Added CAPSTONE prefix to CMake options
diff --git a/.gitignore b/.gitignore
index 0e8174c..9f10eb5 100644
--- a/.gitignore
+++ b/.gitignore
@@ -71,9 +71,14 @@
 packages/freebsd/ports/devel/capstone/distinfo
 
 # VisualStudio
-Debug
-Release
+Debug/
+Release/
+ipch/
 *.sdf
 *.opensdf
 *.suo
 *.user
+
+# suite/
+test_arm_regression
+test_arm_regression.o
diff --git a/CMakeLists.txt b/CMakeLists.txt
index d34a03e..275c05f 100644
--- a/CMakeLists.txt
+++ b/CMakeLists.txt
@@ -166,7 +166,6 @@
 if (CAPSTONE_BUILD_STATIC)
     add_library(capstone-static STATIC ${SOURCES})
     set_property(TARGET capstone-static PROPERTY OUTPUT_NAME capstone)
-    set_property(TARGET capstone-static PROPERTY PREFIX lib)
     set(default-target capstone-static)
 endif ()
 
diff --git a/CREDITS.TXT b/CREDITS.TXT
index 54b2df5..326f6d3 100644
--- a/CREDITS.TXT
+++ b/CREDITS.TXT
@@ -49,3 +49,4 @@
 Daniel Pistelli: Cmake support.
 Peter Hlavaty: integrate Capstone for Windows kernel drivers.
 Guillaume Jeanne: Ocaml binding.
+Martin Tofall, Obsidium Software: Optimize X86 performance & size.
diff --git a/MCInst.c b/MCInst.c
index 5dde0e6..cdd7a0d 100644
--- a/MCInst.c
+++ b/MCInst.c
@@ -14,6 +14,7 @@
 {
 	inst->OpcodePub = 0;
 	inst->size = 0;
+	inst->has_imm = 0;
 }
 
 void MCInst_clear(MCInst *inst)
diff --git a/MCInst.h b/MCInst.h
index a76baa5..0cea40b 100644
--- a/MCInst.h
+++ b/MCInst.h
@@ -90,19 +90,21 @@
 /// MCInst - Instances of this class represent a single low-level machine
 /// instruction.
 struct MCInst {
-	unsigned Opcode;
-	MCOperand Operands[48];
 	unsigned OpcodePub;
 	unsigned size;	// number of operands
+	int has_imm;	// indicate this instruction has an X86_OP_IMM operand - used for ATT syntax
+	unsigned Opcode;
+	MCOperand Operands[48];
 	cs_insn *flat_insn;	// insn to be exposed to public
 	uint64_t address;	// address of this insn
 	cs_struct *csh;	// save the main csh
-	uint8_t x86_imm_size;	// save immediate size to print immediate properly
+	uint8_t x86opsize;	// opsize for [mem] operand
 
-	// (Optional) instruction prefix, which can be up to 5 bytes.
+	// (Optional) instruction prefix, which can be up to 4 bytes.
 	// A prefix byte gets value 0 when irrelevant.
 	// This is copied from cs_x86 struct
 	uint8_t x86_prefix[4];
+	uint8_t imm_size;	// immediate size for X86_OP_IMM operand
 };
 
 void MCInst_Init(MCInst *inst);
diff --git a/MCInstrDesc.h b/MCInstrDesc.h
index 0691152..ae94b4f 100644
--- a/MCInstrDesc.h
+++ b/MCInstrDesc.h
@@ -109,7 +109,8 @@
 	MCID_Rematerializable,
 	MCID_CheapAsAMove,
 	MCID_ExtraSrcRegAllocReq,
-	MCID_ExtraDefRegAllocReq
+	MCID_ExtraDefRegAllocReq,
+	MCID_RegSequence,
 };
 
 /// MCInstrDesc - Describe properties that are true of each instruction in the
diff --git a/MathExtras.h b/MathExtras.h
index e4d4bdf..33bce7b 100644
--- a/MathExtras.h
+++ b/MathExtras.h
@@ -414,4 +414,26 @@
 	return (int64_t)(X << (64 - B)) >> (64 - B);
 }
 
+/// \brief Count number of 0's from the most significant bit to the least
+///   stopping at the first 1.
+///
+/// Only unsigned integral types are allowed.
+///
+/// \param ZB the behavior on an input of 0. Only ZB_Width and ZB_Undefined are
+///   valid arguments.
+static inline unsigned int countLeadingZeros(int x)
+{
+	unsigned count = 0;
+	int i;
+	const unsigned bits = sizeof(x) * 8;
+
+	for (i = bits; --i; ) {
+		if (x < 0) break;
+		count++;
+		x <<= 1;
+	}
+
+	return count;
+}
+
 #endif
diff --git a/SStream.c b/SStream.c
index 9f7eb38..fd72308 100644
--- a/SStream.c
+++ b/SStream.c
@@ -2,12 +2,14 @@
 /* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 #include <stdint.h>
+#include <inttypes.h>
 #include <stdarg.h>
 #include <stdio.h>
 #include <string.h>
 
 #include "SStream.h"
 #include "cs_priv.h"
+#include "utils.h"
 
 #ifdef _MSC_VER
 #pragma warning(disable: 4996) // disable MSVC's warning on strcpy()
@@ -23,7 +25,7 @@
 {
 #ifndef CAPSTONE_DIET
 	strcpy(ss->buffer + ss->index, s);
-	ss->index += strlen(s);
+	ss->index += (int) strlen(s);
 #endif
 }
 
@@ -40,6 +42,84 @@
 #endif
 }
 
+// print number with prefix #
+void printInt64Bang(SStream *O, int64_t val)
+{
+	if (val >= 0) {
+		if (val > HEX_THRESHOLD)
+			SStream_concat(O, "#0x%"PRIx64, val);
+		else
+			SStream_concat(O, "#%"PRIu64, val);
+	} else {
+		if (val <- HEX_THRESHOLD)
+			SStream_concat(O, "#-0x%"PRIx64, -val);
+		else
+			SStream_concat(O, "#-%"PRIu64, -val);
+	}
+}
+
+// print number
+void printInt64(SStream *O, int64_t val)
+{
+	if (val >= 0) {
+		if (val > HEX_THRESHOLD)
+			SStream_concat(O, "0x%"PRIx64, val);
+		else
+			SStream_concat(O, "%"PRIu64, val);
+	} else {
+		if (val <- HEX_THRESHOLD)
+			SStream_concat(O, "-0x%"PRIx64, -val);
+		else
+			SStream_concat(O, "-%"PRIu64, -val);
+	}
+}
+
+void printInt32Bang(SStream *O, int32_t val)
+{
+	if (val >= 0) {
+		if (val > HEX_THRESHOLD)
+			SStream_concat(O, "#0x%x", val);
+		else
+			SStream_concat(O, "#%u", val);
+	} else {
+		if (val <- HEX_THRESHOLD)
+			SStream_concat(O, "#-0x%x", -val);
+		else
+			SStream_concat(O, "#-%u", -val);
+	}
+}
+
+void printInt32(SStream *O, int32_t val)
+{
+	if (val >= 0) {
+		if (val > HEX_THRESHOLD)
+			SStream_concat(O, "0x%x", val);
+		else
+			SStream_concat(O, "%u", val);
+	} else {
+		if (val <- HEX_THRESHOLD)
+			SStream_concat(O, "-0x%x", -val);
+		else
+			SStream_concat(O, "-%u", -val);
+	}
+}
+
+void printUInt32Bang(SStream *O, uint32_t val)
+{
+	if (val > HEX_THRESHOLD)
+		SStream_concat(O, "#0x%x", val);
+	else
+		SStream_concat(O, "#%u", val);
+}
+
+void printUInt32(SStream *O, uint32_t val)
+{
+	if (val > HEX_THRESHOLD)
+		SStream_concat(O, "0x%x", val);
+	else
+		SStream_concat(O, "%u", val);
+}
+
 /*
    int main()
    {
diff --git a/SStream.h b/SStream.h
index 28e193d..732f89b 100644
--- a/SStream.h
+++ b/SStream.h
@@ -15,4 +15,16 @@
 
 void SStream_concat0(SStream *ss, char *s);
 
+void printInt64Bang(SStream *O, int64_t val);
+
+void printInt64(SStream *O, int64_t val);
+
+void printInt32Bang(SStream *O, int32_t val);
+
+void printInt32(SStream *O, int32_t val);
+
+void printUInt32Bang(SStream *O, uint32_t val);
+
+void printUInt32(SStream *O, uint32_t val);
+
 #endif
diff --git a/arch/AArch64/AArch64AddressingModes.h b/arch/AArch64/AArch64AddressingModes.h
new file mode 100644
index 0000000..047ee31
--- /dev/null
+++ b/arch/AArch64/AArch64AddressingModes.h
@@ -0,0 +1,228 @@
+//===- AArch64AddressingModes.h - AArch64 Addressing Modes ------*- C++ -*-===//
+//
+//                     The LLVM Compiler Infrastructure
+//
+// This file is distributed under the University of Illinois Open Source
+// License. See LICENSE.TXT for details.
+//
+//===----------------------------------------------------------------------===//
+//
+// This file contains the AArch64 addressing mode implementation stuff.
+//
+//===----------------------------------------------------------------------===//
+
+#ifndef CS_AARCH64_ADDRESSINGMODES_H
+#define CS_AARCH64_ADDRESSINGMODES_H
+
+/* Capstone Disassembly Engine */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2014 */
+
+#include "../../MathExtras.h"
+
+/// AArch64_AM - AArch64 Addressing Mode Stuff
+
+//===----------------------------------------------------------------------===//
+// Shifts
+//
+
+typedef enum AArch64_AM_ShiftExtendType {
+	AArch64_AM_InvalidShiftExtend = -1,
+	AArch64_AM_LSL = 0,
+	AArch64_AM_LSR,
+	AArch64_AM_ASR,
+	AArch64_AM_ROR,
+	AArch64_AM_MSL,
+
+	AArch64_AM_UXTB,
+	AArch64_AM_UXTH,
+	AArch64_AM_UXTW,
+	AArch64_AM_UXTX,
+
+	AArch64_AM_SXTB,
+	AArch64_AM_SXTH,
+	AArch64_AM_SXTW,
+	AArch64_AM_SXTX,
+} AArch64_AM_ShiftExtendType;
+
+/// getShiftName - Get the string encoding for the shift type.
+static inline const char *AArch64_AM_getShiftExtendName(AArch64_AM_ShiftExtendType ST)
+{
+	switch (ST) {
+		default: return NULL; // never reach
+		case AArch64_AM_LSL: return "lsl";
+		case AArch64_AM_LSR: return "lsr";
+		case AArch64_AM_ASR: return "asr";
+		case AArch64_AM_ROR: return "ror";
+		case AArch64_AM_MSL: return "msl";
+		case AArch64_AM_UXTB: return "uxtb";
+		case AArch64_AM_UXTH: return "uxth";
+		case AArch64_AM_UXTW: return "uxtw";
+		case AArch64_AM_UXTX: return "uxtx";
+		case AArch64_AM_SXTB: return "sxtb";
+		case AArch64_AM_SXTH: return "sxth";
+		case AArch64_AM_SXTW: return "sxtw";
+		case AArch64_AM_SXTX: return "sxtx";
+	}
+}
+
+/// getShiftType - Extract the shift type.
+static inline AArch64_AM_ShiftExtendType AArch64_AM_getShiftType(unsigned Imm)
+{
+	switch ((Imm >> 6) & 0x7) {
+		default: return AArch64_AM_InvalidShiftExtend;
+		case 0: return AArch64_AM_LSL;
+		case 1: return AArch64_AM_LSR;
+		case 2: return AArch64_AM_ASR;
+		case 3: return AArch64_AM_ROR;
+		case 4: return AArch64_AM_MSL;
+	}
+}
+
+/// getShiftValue - Extract the shift value.
+static inline unsigned AArch64_AM_getShiftValue(unsigned Imm)
+{
+	return Imm & 0x3f;
+}
+
+//===----------------------------------------------------------------------===//
+// Extends
+//
+
+/// getArithShiftValue - get the arithmetic shift value.
+static inline unsigned AArch64_AM_getArithShiftValue(unsigned Imm)
+{
+	return Imm & 0x7;
+}
+
+/// getExtendType - Extract the extend type for operands of arithmetic ops.
+static inline AArch64_AM_ShiftExtendType AArch64_AM_getExtendType(unsigned Imm)
+{
+	// assert((Imm & 0x7) == Imm && "invalid immediate!");
+	switch (Imm) {
+		default: // llvm_unreachable("Compiler bug!");
+		case 0: return AArch64_AM_UXTB;
+		case 1: return AArch64_AM_UXTH;
+		case 2: return AArch64_AM_UXTW;
+		case 3: return AArch64_AM_UXTX;
+		case 4: return AArch64_AM_SXTB;
+		case 5: return AArch64_AM_SXTH;
+		case 6: return AArch64_AM_SXTW;
+		case 7: return AArch64_AM_SXTX;
+	}
+}
+
+static inline AArch64_AM_ShiftExtendType AArch64_AM_getArithExtendType(unsigned Imm)
+{
+	return AArch64_AM_getExtendType((Imm >> 3) & 0x7);
+}
+
+static inline uint64_t ror(uint64_t elt, unsigned size)
+{
+	return ((elt & 1) << (size-1)) | (elt >> 1);
+}
+
+/// decodeLogicalImmediate - Decode a logical immediate value in the form
+/// "N:immr:imms" (where the immr and imms fields are each 6 bits) into the
+/// integer value it represents with regSize bits.
+static inline uint64_t AArch64_AM_decodeLogicalImmediate(uint64_t val, unsigned regSize)
+{
+	// Extract the N, imms, and immr fields.
+	unsigned N = (val >> 12) & 1;
+	unsigned immr = (val >> 6) & 0x3f;
+	unsigned imms = val & 0x3f;
+	unsigned i;
+
+	// assert((regSize == 64 || N == 0) && "undefined logical immediate encoding");
+	int len = 31 - countLeadingZeros((N << 6) | (~imms & 0x3f));
+	// assert(len >= 0 && "undefined logical immediate encoding");
+	unsigned size = (1 << len);
+	unsigned R = immr & (size - 1);
+	unsigned S = imms & (size - 1);
+	// assert(S != size - 1 && "undefined logical immediate encoding");
+	uint64_t pattern = (1ULL << (S + 1)) - 1;
+	for (i = 0; i < R; ++i)
+		pattern = ror(pattern, size);
+
+	// Replicate the pattern to fill the regSize.
+	while (size != regSize) {
+		pattern |= (pattern << size);
+		size *= 2;
+	}
+
+	return pattern;
+}
+
+/// isValidDecodeLogicalImmediate - Check to see if the logical immediate value
+/// in the form "N:immr:imms" (where the immr and imms fields are each 6 bits)
+/// is a valid encoding for an integer value with regSize bits.
+static inline bool AArch64_AM_isValidDecodeLogicalImmediate(uint64_t val, unsigned regSize)
+{
+	unsigned size;
+	unsigned S;
+	int len;
+	// Extract the N and imms fields needed for checking.
+	unsigned N = (val >> 12) & 1;
+	unsigned imms = val & 0x3f;
+
+	if (regSize == 32 && N != 0) // undefined logical immediate encoding
+		return false;
+	len = 31 - countLeadingZeros((N << 6) | (~imms & 0x3f));
+	if (len < 0) // undefined logical immediate encoding
+		return false;
+	size = (1 << len);
+	S = imms & (size - 1);
+	if (S == size - 1) // undefined logical immediate encoding
+		return false;
+
+	return true;
+}
+
+//===----------------------------------------------------------------------===//
+// Floating-point Immediates
+//
+static inline float AArch64_AM_getFPImmFloat(unsigned Imm)
+{
+	// We expect an 8-bit binary encoding of a floating-point number here.
+	union {
+		uint32_t I;
+		float F;
+	} FPUnion;
+
+	uint8_t Sign = (Imm >> 7) & 0x1;
+	uint8_t Exp = (Imm >> 4) & 0x7;
+	uint8_t Mantissa = Imm & 0xf;
+
+	//   8-bit FP    iEEEE Float Encoding
+	//   abcd efgh   aBbbbbbc defgh000 00000000 00000000
+	//
+	// where B = NOT(b);
+
+	FPUnion.I = 0;
+	FPUnion.I |= Sign << 31;
+	FPUnion.I |= ((Exp & 0x4) != 0 ? 0 : 1) << 30;
+	FPUnion.I |= ((Exp & 0x4) != 0 ? 0x1f : 0) << 25;
+	FPUnion.I |= (Exp & 0x3) << 23;
+	FPUnion.I |= Mantissa << 19;
+
+	return FPUnion.F;
+}
+
+//===--------------------------------------------------------------------===//
+// AdvSIMD Modified Immediates
+//===--------------------------------------------------------------------===//
+
+static inline uint64_t AArch64_AM_decodeAdvSIMDModImmType10(uint8_t Imm)
+{
+	uint64_t EncVal = 0;
+	if (Imm & 0x80) EncVal |= 0xff00000000000000ULL;
+	if (Imm & 0x40) EncVal |= 0x00ff000000000000ULL;
+	if (Imm & 0x20) EncVal |= 0x0000ff0000000000ULL;
+	if (Imm & 0x10) EncVal |= 0x000000ff00000000ULL;
+	if (Imm & 0x08) EncVal |= 0x00000000ff000000ULL;
+	if (Imm & 0x04) EncVal |= 0x0000000000ff0000ULL;
+	if (Imm & 0x02) EncVal |= 0x000000000000ff00ULL;
+	if (Imm & 0x01) EncVal |= 0x00000000000000ffULL;
+	return EncVal;
+}
+
+#endif
diff --git a/arch/AArch64/AArch64BaseInfo.c b/arch/AArch64/AArch64BaseInfo.c
index 64e5411..a498c6a 100644
--- a/arch/AArch64/AArch64BaseInfo.c
+++ b/arch/AArch64/AArch64BaseInfo.c
@@ -27,7 +27,7 @@
 
 #include "AArch64BaseInfo.h"
 
-char *NamedImmMapper_toString(NamedImmMapper *N, uint32_t Value, bool *Valid)
+char *A64NamedImmMapper_toString(A64NamedImmMapper *N, uint32_t Value, bool *Valid)
 {
 	unsigned i;
 	for (i = 0; i < N->NumPairs; ++i) {
@@ -56,7 +56,7 @@
 	return res;
 }
 
-uint32_t NamedImmMapper_fromString(NamedImmMapper *N, char *Name, bool *Valid)
+uint32_t A64NamedImmMapper_fromString(A64NamedImmMapper *N, char *Name, bool *Valid)
 {
 	unsigned i;
 	for (i = 0; i < N->NumPairs; ++i) {
@@ -70,7 +70,7 @@
 	return (uint32_t)-1;
 }
 
-bool NamedImmMapper_validImm(NamedImmMapper *N, uint32_t Value)
+bool A64NamedImmMapper_validImm(A64NamedImmMapper *N, uint32_t Value)
 {
 	return Value < N->TooBigImm;
 }
@@ -97,7 +97,7 @@
 	return result;
 }
 
-static NamedImmMapper_Mapping SysRegPairs[] = {
+static A64NamedImmMapper_Mapping SysRegPairs[] = {
 	{"osdtrrx_el1", A64SysReg_OSDTRRX_EL1},
 	{"osdtrtx_el1",  A64SysReg_OSDTRTX_EL1},
 	{"teecr32_el1", A64SysReg_TEECR32_EL1},
@@ -576,14 +576,19 @@
 	{"ich_lr15_el2", A64SysReg_ICH_LR15_EL2}
 };
 
+static A64NamedImmMapper_Mapping CycloneSysRegPairs[] = {
+	{"cpm_ioacc_ctl_el3", A64SysReg_CPM_IOACC_CTL_EL3}
+};
+
 // result must be a big enough buffer: 128 bytes is more than enough
-void SysRegMapper_toString(SysRegMapper *S, uint32_t Bits, bool *Valid, char *result)
+void A64SysRegMapper_toString(A64SysRegMapper *S, uint32_t Bits, bool *Valid, char *result)
 {
 	int dummy;
 	uint32_t Op0, Op1, CRn, CRm, Op2;
 	char *Op1S, *CRnS, *CRmS, *Op2S;
 	unsigned i;
 
+	// First search the registers shared by all
 	for (i = 0; i < ARR_SIZE(SysRegPairs); ++i) {
 		if (SysRegPairs[i].Value == Bits) {
 			*Valid = true;
@@ -592,6 +597,20 @@
 		}
 	}
 
+	// Next search for target specific registers
+	// if (FeatureBits & AArch64_ProcCyclone) {
+	if (true) {
+		for (i = 0; i < ARR_SIZE(CycloneSysRegPairs); ++i) {
+			if (CycloneSysRegPairs[i].Value == Bits) {
+				*Valid = true;
+				strcpy(result, CycloneSysRegPairs[i].Name);
+				return;
+			}
+		}
+	}
+
+	// Now try the instruction-specific registers (either read-only or
+	// write-only).
 	for (i = 0; i < S->NumInstPairs; ++i) {
 		if (S->InstPairs[i].Value == Bits) {
 			*Valid = true;
@@ -632,7 +651,7 @@
 	cs_mem_free(Op2S);
 }
 
-static NamedImmMapper_Mapping TLBIPairs[] = {
+static A64NamedImmMapper_Mapping TLBIPairs[] = {
 	{"ipas2e1is", A64TLBI_IPAS2E1IS},
 	{"ipas2le1is", A64TLBI_IPAS2LE1IS},
 	{"vmalle1is", A64TLBI_VMALLE1IS},
@@ -667,13 +686,13 @@
 	{"vaale1", A64TLBI_VAALE1}
 };
 
-NamedImmMapper A64TLBI_TLBIMapper = {
+A64NamedImmMapper A64TLBI_TLBIMapper = {
 	TLBIPairs,
 	ARR_SIZE(TLBIPairs),
 	0,
 };
 
-static NamedImmMapper_Mapping ATPairs[] = {
+static A64NamedImmMapper_Mapping ATPairs[] = {
 	{"s1e1r", A64AT_S1E1R},
 	{"s1e2r", A64AT_S1E2R},
 	{"s1e3r", A64AT_S1E3R},
@@ -688,13 +707,13 @@
 	{"s12e0w", A64AT_S12E0W},
 };
 
-NamedImmMapper A64AT_ATMapper = {
+A64NamedImmMapper A64AT_ATMapper = {
 	ATPairs,
 	ARR_SIZE(ATPairs),
 	0,
 };
 
-static NamedImmMapper_Mapping DBarrierPairs[] = {
+static A64NamedImmMapper_Mapping DBarrierPairs[] = {
 	{"oshld", A64DB_OSHLD},
 	{"oshst", A64DB_OSHST},
 	{"osh", A64DB_OSH},
@@ -709,13 +728,13 @@
 	{"sy", A64DB_SY}
 };
 
-NamedImmMapper A64DB_DBarrierMapper = {
+A64NamedImmMapper A64DB_DBarrierMapper = {
 	DBarrierPairs,
 	ARR_SIZE(DBarrierPairs),
 	16,
 };
 
-static NamedImmMapper_Mapping DCPairs[] = {
+static A64NamedImmMapper_Mapping DCPairs[] = {
 	{"zva", A64DC_ZVA},
 	{"ivac", A64DC_IVAC},
 	{"isw", A64DC_ISW},
@@ -726,35 +745,35 @@
 	{"cisw", A64DC_CISW}
 };
 
-NamedImmMapper A64DC_DCMapper = {
+A64NamedImmMapper A64DC_DCMapper = {
 	DCPairs,
 	ARR_SIZE(DCPairs),
 	0,
 };
 
-static NamedImmMapper_Mapping ICPairs[] = {
+static A64NamedImmMapper_Mapping ICPairs[] = {
 	{"ialluis",  A64IC_IALLUIS},
 	{"iallu", A64IC_IALLU},
 	{"ivau", A64IC_IVAU}
 };
 
-NamedImmMapper A64IC_ICMapper = {
+A64NamedImmMapper A64IC_ICMapper = {
 	ICPairs,
 	ARR_SIZE(ICPairs),
 	0,
 };
 
-static NamedImmMapper_Mapping ISBPairs[] = {
+static A64NamedImmMapper_Mapping ISBPairs[] = {
 	{"sy",  A64DB_SY},
 };
 
-NamedImmMapper A64ISB_ISBMapper = {
+A64NamedImmMapper A64ISB_ISBMapper = {
 	ISBPairs,
 	ARR_SIZE(ISBPairs),
 	16,
 };
 
-static NamedImmMapper_Mapping PRFMPairs[] = {
+static A64NamedImmMapper_Mapping PRFMPairs[] = {
 	{"pldl1keep", A64PRFM_PLDL1KEEP},
 	{"pldl1strm", A64PRFM_PLDL1STRM},
 	{"pldl2keep", A64PRFM_PLDL2KEEP},
@@ -775,25 +794,25 @@
 	{"pstl3strm", A64PRFM_PSTL3STRM}
 };
 
-NamedImmMapper A64PRFM_PRFMMapper = {
+A64NamedImmMapper A64PRFM_PRFMMapper = {
 	PRFMPairs,
 	ARR_SIZE(PRFMPairs),
 	32,
 };
 
-static NamedImmMapper_Mapping PStatePairs[] = {
+static A64NamedImmMapper_Mapping PStatePairs[] = {
 	{"spsel", A64PState_SPSel},
 	{"daifset", A64PState_DAIFSet},
 	{"daifclr", A64PState_DAIFClr}
 };
 
-NamedImmMapper A64PState_PStateMapper = {
+A64NamedImmMapper A64PState_PStateMapper = {
 	PStatePairs,
 	ARR_SIZE(PStatePairs),
 	0,
 };
 
-static NamedImmMapper_Mapping MRSPairs[] = {
+static A64NamedImmMapper_Mapping MRSPairs[] = {
 	{"mdccsr_el0", A64SysReg_MDCCSR_EL0},
 	{"dbgdtrrx_el0", A64SysReg_DBGDTRRX_EL0},
 	{"mdrar_el1", A64SysReg_MDRAR_EL1},
@@ -823,16 +842,16 @@
 	{"id_isar3_el1", A64SysReg_ID_ISAR3_EL1},
 	{"id_isar4_el1", A64SysReg_ID_ISAR4_EL1},
 	{"id_isar5_el1", A64SysReg_ID_ISAR5_EL1},
-	{"id_aa64pfr0_el1", A64SysReg_ID_AA64PFR0_EL1},
-	{"id_aa64pfr1_el1", A64SysReg_ID_AA64PFR1_EL1},
-	{"id_aa64dfr0_el1", A64SysReg_ID_AA64DFR0_EL1},
-	{"id_aa64dfr1_el1", A64SysReg_ID_AA64DFR1_EL1},
-	{"id_aa64afr0_el1", A64SysReg_ID_AA64AFR0_EL1},
-	{"id_aa64afr1_el1", A64SysReg_ID_AA64AFR1_EL1},
-	{"id_aa64isar0_el1", A64SysReg_ID_AA64ISAR0_EL1},
-	{"id_aa64isar1_el1", A64SysReg_ID_AA64ISAR1_EL1},
-	{"id_aa64mmfr0_el1", A64SysReg_ID_AA64MMFR0_EL1},
-	{"id_aa64mmfr1_el1", A64SysReg_ID_AA64MMFR1_EL1},
+	{"id_aa64pfr0_el1", A64SysReg_ID_A64PFR0_EL1},
+	{"id_aa64pfr1_el1", A64SysReg_ID_A64PFR1_EL1},
+	{"id_aa64dfr0_el1", A64SysReg_ID_A64DFR0_EL1},
+	{"id_aa64dfr1_el1", A64SysReg_ID_A64DFR1_EL1},
+	{"id_aa64afr0_el1", A64SysReg_ID_A64AFR0_EL1},
+	{"id_aa64afr1_el1", A64SysReg_ID_A64AFR1_EL1},
+	{"id_aa64isar0_el1", A64SysReg_ID_A64ISAR0_EL1},
+	{"id_aa64isar1_el1", A64SysReg_ID_A64ISAR1_EL1},
+	{"id_aa64mmfr0_el1", A64SysReg_ID_A64MMFR0_EL1},
+	{"id_aa64mmfr1_el1", A64SysReg_ID_A64MMFR1_EL1},
 	{"mvfr0_el1", A64SysReg_MVFR0_EL1},
 	{"mvfr1_el1", A64SysReg_MVFR1_EL1},
 	{"mvfr2_el1", A64SysReg_MVFR2_EL1},
@@ -892,13 +911,13 @@
 	{"ich_elsr_el2", A64SysReg_ICH_ELSR_EL2}
 };
 
-SysRegMapper AArch64_MRSMapper = {
+A64SysRegMapper AArch64_MRSMapper = {
 	NULL,
 	MRSPairs,
 	ARR_SIZE(MRSPairs),
 };
 
-static NamedImmMapper_Mapping MSRPairs[] = {
+static A64NamedImmMapper_Mapping MSRPairs[] = {
 	{"dbgdtrtx_el0", A64SysReg_DBGDTRTX_EL0},
 	{"oslar_el1", A64SysReg_OSLAR_EL1},
 	{"pmswinc_el0", A64SysReg_PMSWINC_EL0},
@@ -916,83 +935,10 @@
 	{"icc_sgi0r_el1", A64SysReg_ICC_SGI0R_EL1}
 };
 
-SysRegMapper AArch64_MSRMapper = {
+A64SysRegMapper AArch64_MSRMapper = {
 	NULL,
 	MSRPairs,
 	ARR_SIZE(MSRPairs),
 };
 
-// Encoding of the immediate for logical (immediate) instructions:
-//
-// | N | imms   | immr   | size | R            | S            |
-// |---+--------+--------+------+--------------+--------------|
-// | 1 | ssssss | rrrrrr |   64 | UInt(rrrrrr) | UInt(ssssss) |
-// | 0 | 0sssss | xrrrrr |   32 | UInt(rrrrr)  | UInt(sssss)  |
-// | 0 | 10ssss | xxrrrr |   16 | UInt(rrrr)   | UInt(ssss)   |
-// | 0 | 110sss | xxxrrr |    8 | UInt(rrr)    | UInt(sss)    |
-// | 0 | 1110ss | xxxxrr |    4 | UInt(rr)     | UInt(ss)     |
-// | 0 | 11110s | xxxxxr |    2 | UInt(r)      | UInt(s)      |
-// | 0 | 11111x | -      |      | UNALLOCATED  |              |
-//
-// Columns 'R', 'S' and 'size' specify a "bitmask immediate" of size bits in
-// which the lower S+1 bits are ones and the remaining bits are zero, then
-// rotated right by R bits, which is then replicated across the datapath.
-//
-// + Values of 'N', 'imms' and 'immr' which do not match the above table are
-//   RESERVED.
-// + If all 's' bits in the imms field are set then the instruction is
-//   RESERVED.
-// + The 'x' bits in the 'immr' field are IGNORED.
-bool A64Imms_isLogicalImmBits(unsigned RegWidth, uint32_t Bits, uint64_t *Imm)
-{
-	uint32_t N = Bits >> 12;
-	uint32_t ImmR = (Bits >> 6) & 0x3f;
-	uint32_t ImmS = Bits & 0x3f;
-	uint64_t Mask, WidthMask;
-	unsigned i;
-	int Width = 0, Num1s, Rotation;
-
-	// N=1 encodes a 64-bit replication and is invalid for the 32-bit
-	// instructions.
-	if (RegWidth == 32 && N != 0) return false;
-
-	if (N == 1)
-		Width = 64;
-	else if ((ImmS & 0x20) == 0)
-		Width = 32;
-	else if ((ImmS & 0x10) == 0)
-		Width = 16;
-	else if ((ImmS & 0x08) == 0)
-		Width = 8;
-	else if ((ImmS & 0x04) == 0)
-		Width = 4;
-	else if ((ImmS & 0x02) == 0)
-		Width = 2;
-	else {
-		// ImmS  is 0b11111x: UNALLOCATED
-		return false;
-	}
-
-	Num1s = (ImmS & (Width - 1)) + 1;
-
-	// All encodings which would map to -1 (signed) are RESERVED.
-	if (Num1s == Width)
-		return false;
-
-	Rotation = (ImmR & (Width - 1));
-	Mask = (1ULL << Num1s) - 1;
-	WidthMask = Width == 64 ? -1 : (1ULL << Width) - 1;
-	if (Rotation != 0 && Rotation != 64)
-		Mask = (Mask >> Rotation)
-			| ((Mask << (Width - Rotation)) & WidthMask);
-
-	*Imm = Mask;
-	for (i = 1; i < RegWidth / Width; ++i) {
-		Mask <<= Width;
-		*Imm |= Mask;
-	}
-
-	return true;
-}
-
 #endif
diff --git a/arch/AArch64/AArch64BaseInfo.h b/arch/AArch64/AArch64BaseInfo.h
index 9dca1b3..1dba3b4 100644
--- a/arch/AArch64/AArch64BaseInfo.h
+++ b/arch/AArch64/AArch64BaseInfo.h
@@ -24,50 +24,58 @@
 #include <stdint.h>
 #include <string.h>
 
-/// Instances of this class can perform bidirectional mapping from random
-/// identifier strings to operand encodings. For example "MSR" takes a named
-/// system-register which must be encoded somehow and decoded for printing. This
-/// central location means that the information for those transformations is not
-/// duplicated and remains in sync.
-///
-/// FIXME: currently the algorithm is a completely unoptimised linear
-/// search. Obviously this could be improved, but we would probably want to work
-/// out just how often these instructions are emitted before working on it. It
-/// might even be optimal to just reorder the tables for the common instructions
-/// rather than changing the algorithm.
-typedef struct NamedImmMapper_Mapping {
-	char *Name;
-	uint32_t Value;
-} NamedImmMapper_Mapping;
+#ifndef __cplusplus
+#if defined (WIN32) || defined (WIN64) || defined (_WIN32) || defined (_WIN64)
+#define inline /* inline */
+#endif
+#endif
 
-typedef struct NamedImmMapper {
-	NamedImmMapper_Mapping *Pairs;
-	size_t NumPairs;
-	uint32_t TooBigImm;
-} NamedImmMapper;
+inline static unsigned getWRegFromXReg(unsigned Reg)
+{
+	switch (Reg) {
+		case ARM64_REG_X0: return ARM64_REG_W0;
+		case ARM64_REG_X1: return ARM64_REG_W1;
+		case ARM64_REG_X2: return ARM64_REG_W2;
+		case ARM64_REG_X3: return ARM64_REG_W3;
+		case ARM64_REG_X4: return ARM64_REG_W4;
+		case ARM64_REG_X5: return ARM64_REG_W5;
+		case ARM64_REG_X6: return ARM64_REG_W6;
+		case ARM64_REG_X7: return ARM64_REG_W7;
+		case ARM64_REG_X8: return ARM64_REG_W8;
+		case ARM64_REG_X9: return ARM64_REG_W9;
+		case ARM64_REG_X10: return ARM64_REG_W10;
+		case ARM64_REG_X11: return ARM64_REG_W11;
+		case ARM64_REG_X12: return ARM64_REG_W12;
+		case ARM64_REG_X13: return ARM64_REG_W13;
+		case ARM64_REG_X14: return ARM64_REG_W14;
+		case ARM64_REG_X15: return ARM64_REG_W15;
+		case ARM64_REG_X16: return ARM64_REG_W16;
+		case ARM64_REG_X17: return ARM64_REG_W17;
+		case ARM64_REG_X18: return ARM64_REG_W18;
+		case ARM64_REG_X19: return ARM64_REG_W19;
+		case ARM64_REG_X20: return ARM64_REG_W20;
+		case ARM64_REG_X21: return ARM64_REG_W21;
+		case ARM64_REG_X22: return ARM64_REG_W22;
+		case ARM64_REG_X23: return ARM64_REG_W23;
+		case ARM64_REG_X24: return ARM64_REG_W24;
+		case ARM64_REG_X25: return ARM64_REG_W25;
+		case ARM64_REG_X26: return ARM64_REG_W26;
+		case ARM64_REG_X27: return ARM64_REG_W27;
+		case ARM64_REG_X28: return ARM64_REG_W28;
+		case ARM64_REG_FP: return ARM64_REG_W29;
+		case ARM64_REG_LR: return ARM64_REG_W30;
+		case ARM64_REG_SP: return ARM64_REG_WSP;
+		case ARM64_REG_XZR: return ARM64_REG_WZR;
+	}
 
-typedef struct SysRegMapper {
-	NamedImmMapper_Mapping *SysRegPairs;
-	NamedImmMapper_Mapping *InstPairs;
-	size_t NumInstPairs;
-} SysRegMapper;
-
-extern SysRegMapper AArch64_MSRMapper;
-extern SysRegMapper AArch64_MRSMapper;
-
-extern NamedImmMapper A64DB_DBarrierMapper;
-extern NamedImmMapper A64AT_ATMapper;
-extern NamedImmMapper A64DC_DCMapper;
-extern NamedImmMapper A64IC_ICMapper;
-extern NamedImmMapper A64ISB_ISBMapper;
-extern NamedImmMapper A64PRFM_PRFMMapper;
-extern NamedImmMapper A64PState_PStateMapper;
-extern NamedImmMapper A64TLBI_TLBIMapper;
+	// For anything else, return it unchanged.
+	return Reg;
+}
 
 // // Enums corresponding to AArch64 condition codes
 // The CondCodes constants map directly to the 4-bit encoding of the
 // condition field for predicated instructions.
-typedef enum A64CC_CondCodes {   // Meaning (integer)          Meaning (floating-point)
+typedef enum A64CC_CondCode { // Meaning (integer)     Meaning (floating-point)
 	A64CC_EQ = 0,        // Equal                      Equal
 	A64CC_NE,            // Not equal                  Not equal, or unordered
 	A64CC_HS,            // Unsigned higher or same    >, ==, or unordered
@@ -84,17 +92,11 @@
 	A64CC_LE,            // Signed less than or equal  <, ==, or unordered
 	A64CC_AL,            // Always (unconditional)     Always (unconditional)
 	A64CC_NV,             // Always (unconditional)     Always (unconditional)
-	// Note the NV exists purely to disassemble 0b1111. Execution
-	// is "always".
+	// Note the NV exists purely to disassemble 0b1111. Execution is "always".
 	A64CC_Invalid
-} A64CC_CondCodes;
+} A64CC_CondCode;
 
-#ifndef __cplusplus
-#if defined (WIN32) || defined (WIN64) || defined (_WIN32) || defined (_WIN64)
-#define inline /* inline */
-#endif
-#endif
-inline static char *A64CondCodeToString(A64CC_CondCodes CC)
+inline static char *getCondCodeName(A64CC_CondCode CC)
 {
 	switch (CC) {
 		default: return NULL;	// never reach
@@ -117,6 +119,52 @@
 	}
 }
 
+inline static A64CC_CondCode getInvertedCondCode(A64CC_CondCode Code)
+{
+	// To reverse a condition it's necessary to only invert the low bit:
+	return (A64CC_CondCode)((unsigned)Code ^ 0x1);
+}
+
+/// Instances of this class can perform bidirectional mapping from random
+/// identifier strings to operand encodings. For example "MSR" takes a named
+/// system-register which must be encoded somehow and decoded for printing. This
+/// central location means that the information for those transformations is not
+/// duplicated and remains in sync.
+///
+/// FIXME: currently the algorithm is a completely unoptimised linear
+/// search. Obviously this could be improved, but we would probably want to work
+/// out just how often these instructions are emitted before working on it. It
+/// might even be optimal to just reorder the tables for the common instructions
+/// rather than changing the algorithm.
+typedef struct A64NamedImmMapper_Mapping {
+	char *Name;
+	uint32_t Value;
+} A64NamedImmMapper_Mapping;
+
+typedef struct A64NamedImmMapper {
+	A64NamedImmMapper_Mapping *Pairs;
+	size_t NumPairs;
+	uint32_t TooBigImm;
+} A64NamedImmMapper;
+
+typedef struct A64SysRegMapper {
+	A64NamedImmMapper_Mapping *SysRegPairs;
+	A64NamedImmMapper_Mapping *InstPairs;
+	size_t NumInstPairs;
+} A64SysRegMapper;
+
+extern A64SysRegMapper AArch64_MSRMapper;
+extern A64SysRegMapper AArch64_MRSMapper;
+
+extern A64NamedImmMapper A64DB_DBarrierMapper;
+extern A64NamedImmMapper A64AT_ATMapper;
+extern A64NamedImmMapper A64DC_DCMapper;
+extern A64NamedImmMapper A64IC_ICMapper;
+extern A64NamedImmMapper A64ISB_ISBMapper;
+extern A64NamedImmMapper A64PRFM_PRFMMapper;
+extern A64NamedImmMapper A64PState_PStateMapper;
+extern A64NamedImmMapper A64TLBI_TLBIMapper;
+
 enum {
 	A64AT_Invalid = -1,    // Op0 Op1  CRn   CRm   Op2
 	A64AT_S1E1R = 0x43c0,  // 01  000  0111  1000  000
@@ -133,7 +181,7 @@
 	A64AT_S12E0W = 0x63c7  // 01  100  0111  1000  111
 };
 
-enum DBValues {
+enum A64DBValues {
 	A64DB_Invalid = -1,
 	A64DB_OSHLD = 0x1,
 	A64DB_OSHST = 0x2,
@@ -149,7 +197,7 @@
 	A64DB_SY =    0xf
 };
 
-enum DCValues {
+enum A64DCValues {
 	A64DC_Invalid = -1,   // Op1  CRn   CRm   Op2
 	A64DC_ZVA   = 0x5ba1, // 01  011  0111  0100  001
 	A64DC_IVAC  = 0x43b1, // 01  000  0111  0110  001
@@ -161,19 +209,19 @@
 	A64DC_CISW  = 0x43f2  // 01  000  0111  1110  010
 };
 
-enum ICValues {
+enum A64ICValues {
 	A64IC_Invalid = -1,     // Op1  CRn   CRm   Op2
 	A64IC_IALLUIS = 0x0388, // 000  0111  0001  000
 	A64IC_IALLU = 0x03a8,   // 000  0111  0101  000
 	A64IC_IVAU = 0x1ba9     // 011  0111  0101  001
 };
 
-enum ISBValues {
+enum A64ISBValues {
 	A64ISB_Invalid = -1,
 	A64ISB_SY = 0xf
 };
 
-enum PRFMValues {
+enum A64PRFMValues {
 	A64PRFM_Invalid = -1,
 	A64PRFM_PLDL1KEEP = 0x00,
 	A64PRFM_PLDL1STRM = 0x01,
@@ -195,7 +243,7 @@
 	A64PRFM_PSTL3STRM = 0x15
 };
 
-enum PStateValues {
+enum A64PStateValues {
 	A64PState_Invalid = -1,
 	A64PState_SPSel = 0x05,
 	A64PState_DAIFSet = 0x1e,
@@ -241,8 +289,7 @@
 	A64Layout_VL_D
 } A64Layout_VectorLayout;
 
-inline static const char *
-A64VectorLayoutToString(A64Layout_VectorLayout Layout)
+inline static char *A64VectorLayoutToString(A64Layout_VectorLayout Layout)
 {
 	switch (Layout) {
 		case A64Layout_VL_8B:  return ".8b";
@@ -261,7 +308,7 @@
 	}
 }
 
-enum SysRegROValues {
+enum A64SysRegROValues {
 	A64SysReg_MDCCSR_EL0        = 0x9808, // 10  011  0000  0001  000
 	A64SysReg_DBGDTRRX_EL0      = 0x9828, // 10  011  0000  0101  000
 	A64SysReg_MDRAR_EL1         = 0x8080, // 10  000  0001  0000  000
@@ -291,16 +338,16 @@
 	A64SysReg_ID_ISAR3_EL1      = 0xc013, // 11  000  0000  0010  011
 	A64SysReg_ID_ISAR4_EL1      = 0xc014, // 11  000  0000  0010  100
 	A64SysReg_ID_ISAR5_EL1      = 0xc015, // 11  000  0000  0010  101
-	A64SysReg_ID_AA64PFR0_EL1   = 0xc020, // 11  000  0000  0100  000
-	A64SysReg_ID_AA64PFR1_EL1   = 0xc021, // 11  000  0000  0100  001
-	A64SysReg_ID_AA64DFR0_EL1   = 0xc028, // 11  000  0000  0101  000
-	A64SysReg_ID_AA64DFR1_EL1   = 0xc029, // 11  000  0000  0101  001
-	A64SysReg_ID_AA64AFR0_EL1   = 0xc02c, // 11  000  0000  0101  100
-	A64SysReg_ID_AA64AFR1_EL1   = 0xc02d, // 11  000  0000  0101  101
-	A64SysReg_ID_AA64ISAR0_EL1  = 0xc030, // 11  000  0000  0110  000
-	A64SysReg_ID_AA64ISAR1_EL1  = 0xc031, // 11  000  0000  0110  001
-	A64SysReg_ID_AA64MMFR0_EL1  = 0xc038, // 11  000  0000  0111  000
-	A64SysReg_ID_AA64MMFR1_EL1  = 0xc039, // 11  000  0000  0111  001
+	A64SysReg_ID_A64PFR0_EL1   = 0xc020, // 11  000  0000  0100  000
+	A64SysReg_ID_A64PFR1_EL1   = 0xc021, // 11  000  0000  0100  001
+	A64SysReg_ID_A64DFR0_EL1   = 0xc028, // 11  000  0000  0101  000
+	A64SysReg_ID_A64DFR1_EL1   = 0xc029, // 11  000  0000  0101  001
+	A64SysReg_ID_A64AFR0_EL1   = 0xc02c, // 11  000  0000  0101  100
+	A64SysReg_ID_A64AFR1_EL1   = 0xc02d, // 11  000  0000  0101  101
+	A64SysReg_ID_A64ISAR0_EL1  = 0xc030, // 11  000  0000  0110  000
+	A64SysReg_ID_A64ISAR1_EL1  = 0xc031, // 11  000  0000  0110  001
+	A64SysReg_ID_A64MMFR0_EL1  = 0xc038, // 11  000  0000  0111  000
+	A64SysReg_ID_A64MMFR1_EL1  = 0xc039, // 11  000  0000  0111  001
 	A64SysReg_MVFR0_EL1         = 0xc018, // 11  000  0000  0011  000
 	A64SysReg_MVFR1_EL1         = 0xc019, // 11  000  0000  0011  001
 	A64SysReg_MVFR2_EL1         = 0xc01a, // 11  000  0000  0011  010
@@ -360,7 +407,7 @@
 	A64SysReg_ICH_ELSR_EL2      = 0xe65d  // 11  100  1100  1011  101
 };
 
-enum SysRegWOValues {
+enum A64SysRegWOValues {
 	A64SysReg_DBGDTRTX_EL0      = 0x9828, // 10  011  0000  0101  000
 	A64SysReg_OSLAR_EL1         = 0x8084, // 10  000  0001  0000  100
 	A64SysReg_PMSWINC_EL0       = 0xdce4,  // 11  011  1001  1100  100
@@ -378,7 +425,7 @@
 	A64SysReg_ICC_SGI0R_EL1     = 0xc65f  // 11  000  1100  1011  111
 };
 
-enum SysRegValues {
+enum A64SysRegValues {
 	A64SysReg_Invalid = -1,               // Op0 Op1  CRn   CRm   Op2
 	A64SysReg_OSDTRRX_EL1       = 0x8002, // 10  000  0000  0000  010
 	A64SysReg_OSDTRTX_EL1       = 0x801a, // 10  000  0000  0011  010
@@ -858,7 +905,12 @@
 	A64SysReg_ICH_LR15_EL2      = 0xe66f  // 11  100  1100  1101  111
 };
 
-enum TLBIValues {
+// Cyclone specific system registers
+enum A64CycloneSysRegValues {
+	A64SysReg_CPM_IOACC_CTL_EL3 = 0xff90
+};
+
+enum A64TLBIValues {
 	A64TLBI_Invalid = -1,          // Op0 Op1  CRn   CRm   Op2
 	A64TLBI_IPAS2E1IS    = 0x6401, // 01  100  1000  0000  001
 	A64TLBI_IPAS2LE1IS   = 0x6405, // 01  100  1000  0000  101
@@ -896,12 +948,12 @@
 
 bool A64Imms_isLogicalImmBits(unsigned RegWidth, uint32_t Bits, uint64_t *Imm);
 
-char *NamedImmMapper_toString(NamedImmMapper *N, uint32_t Value, bool *Valid);
+char *A64NamedImmMapper_toString(A64NamedImmMapper *N, uint32_t Value, bool *Valid);
 
-uint32_t NamedImmMapper_fromString(NamedImmMapper *N, char *Name, bool *Valid);
+uint32_t A64NamedImmMapper_fromString(A64NamedImmMapper *N, char *Name, bool *Valid);
 
-bool NamedImmMapper_validImm(NamedImmMapper *N, uint32_t Value);
+bool A64NamedImmMapper_validImm(A64NamedImmMapper *N, uint32_t Value);
 
-void SysRegMapper_toString(SysRegMapper *S, uint32_t Bits, bool *Valid, char *result);
+void A64SysRegMapper_toString(A64SysRegMapper *S, uint32_t Bits, bool *Valid, char *result);
 
 #endif
diff --git a/arch/AArch64/AArch64Disassembler.c b/arch/AArch64/AArch64Disassembler.c
index 8a3f207..600d402 100644
--- a/arch/AArch64/AArch64Disassembler.c
+++ b/arch/AArch64/AArch64Disassembler.c
@@ -31,204 +31,156 @@
 #include "../../MCDisassembler.h"
 
 #include "AArch64BaseInfo.h"
+#include "AArch64AddressingModes.h"
 
-// Forward-declarations used in the auto-generated files.
-static DecodeStatus DecodeGPR64RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeGPR64xspRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
 
-static DecodeStatus DecodeGPR32RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeGPR32wspRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-
-static DecodeStatus DecodeFPR8RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeFPR16RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeFPR32RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeFPR64RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeFPR64LoRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder);
+// Forward declare these because the autogenerated code will reference them.
+// Definitions are further down.
 static DecodeStatus DecodeFPR128RegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeFPR128LoRegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeGPR64noxzrRegisterClass(MCInst *Inst,
+static DecodeStatus DecodeFPR128_loRegisterClass(MCInst *Inst,
 		unsigned RegNo,
 		uint64_t Address,
 		void *Decoder);
-
-static DecodeStatus DecodeDPairRegisterClass(MCInst *Inst, unsigned RegNo,
+static DecodeStatus DecodeFPR64RegisterClass(MCInst *Inst, unsigned RegNo,
 		uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeQPairRegisterClass(MCInst *Inst, unsigned RegNo,
+static DecodeStatus DecodeFPR32RegisterClass(MCInst *Inst, unsigned RegNo,
 		uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeDTripleRegisterClass(MCInst *Inst,
+static DecodeStatus DecodeFPR16RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeFPR8RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeGPR64RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeGPR64spRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeQTripleRegisterClass(MCInst *Inst,
+static DecodeStatus DecodeGPR32RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeGPR32spRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeDQuadRegisterClass(MCInst *Inst, unsigned RegNo,
+static DecodeStatus DecodeQQRegisterClass(MCInst *Inst, unsigned RegNo,
 		uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeQQuadRegisterClass(MCInst *Inst, unsigned RegNo,
+static DecodeStatus DecodeQQQRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeQQQQRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeDDRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeDDDRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeDDDDRegisterClass(MCInst *Inst, unsigned RegNo,
 		uint64_t Address,
 		void *Decoder);
 
-static DecodeStatus DecodeAddrRegExtendOperand(MCInst *Inst,
-		unsigned OptionHiS,
+static DecodeStatus DecodeFixedPointScaleImm32(MCInst *Inst, unsigned Imm,
 		uint64_t Address,
 		void *Decoder);
-
-static DecodeStatus DecodeBitfield32ImmOperand(MCInst *Inst,
-		unsigned Imm6Bits,
+static DecodeStatus DecodeFixedPointScaleImm64(MCInst *Inst, unsigned Imm,
 		uint64_t Address,
 		void *Decoder);
-
-static DecodeStatus DecodeCVT32FixedPosOperand(MCInst *Inst,
-		unsigned Imm6Bits,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeFPZeroOperand(MCInst *Inst,
-		unsigned RmBits,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeShiftRightImm8(MCInst *Inst, unsigned Val,
+static DecodeStatus DecodePCRelLabel19(MCInst *Inst, unsigned Imm,
 		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeShiftRightImm16(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder);
-static DecodeStatus DecodeShiftRightImm32(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder);
-static DecodeStatus DecodeShiftRightImm64(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeShiftLeftImm8(MCInst *Inst, unsigned Val,
+static DecodeStatus DecodeMemExtend(MCInst *Inst, unsigned Imm,
 		uint64_t Address, void *Decoder);
-static DecodeStatus DecodeShiftLeftImm16(MCInst *Inst, unsigned Val,
+static DecodeStatus DecodeMRSSystemRegister(MCInst *Inst, unsigned Imm,
+		uint64_t Address, void *Decoder);
+static DecodeStatus DecodeMSRSystemRegister(MCInst *Inst, unsigned Imm,
+		uint64_t Address, void *Decoder);
+static DecodeStatus DecodeThreeAddrSRegInstruction(MCInst *Inst,
+		uint32_t insn,
 		uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeShiftLeftImm32(MCInst *Inst, unsigned Val,
+static DecodeStatus DecodeMoveImmInstruction(MCInst *Inst, uint32_t insn,
 		uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeShiftLeftImm64(MCInst *Inst, unsigned Val,
+static DecodeStatus DecodeUnsignedLdStInstruction(MCInst *Inst,
+		uint32_t insn,
 		uint64_t Address,
 		void *Decoder);
-
-static DecodeStatus DecodeMoveWideImmOperand(MCInst *Inst,
-		unsigned FullImm,
-		uint64_t Address,
-		void *Decoder, int RegWidth);
-
-static DecodeStatus DecodeLogicalImmOperand(MCInst *Inst,
-		unsigned Bits,
-		uint64_t Address,
-		void *Decoder, int RegWidth);
-
-static DecodeStatus DecodeRegExtendOperand(MCInst *Inst,
-		unsigned ShiftAmount,
+static DecodeStatus DecodeSignedLdStInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeExclusiveLdStInstruction(MCInst *Inst,
+		uint32_t insn,
 		uint64_t Address,
 		void *Decoder);
-
-static DecodeStatus
-DecodeNeonMovImmShiftOperand(MCInst *Inst, unsigned ShiftAmount,
-		uint64_t Address, void *Decoder, A64SE_ShiftExtSpecifiers Ext, bool IsHalf);
-
-static DecodeStatus Decode32BitShiftOperand(MCInst *Inst,
-		unsigned ShiftAmount,
+static DecodeStatus DecodePairLdStInstruction(MCInst *Inst, uint32_t insn,
 		uint64_t Address,
 		void *Decoder);
-static DecodeStatus DecodeBitfieldInstruction(MCInst *Inst, unsigned Insn,
+static DecodeStatus DecodeAddSubERegInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeLogicalImmInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeModImmInstruction(MCInst *Inst, uint32_t insn,
 		uint64_t Address,
 		void *Decoder);
+static DecodeStatus DecodeModImmTiedInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeAdrInstruction(MCInst *Inst, uint32_t insn,
+		uint64_t Address, void *Decoder);
+static DecodeStatus DecodeBaseAddSubImm(MCInst *Inst, uint32_t insn,
+		uint64_t Address, void *Decoder);
+static DecodeStatus DecodeUnconditionalBranch(MCInst *Inst, uint32_t insn,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeSystemPStateInstruction(MCInst *Inst,
+		uint32_t insn,
+		uint64_t Address,
+		void *Decoder);
+static DecodeStatus DecodeTestAndBranch(MCInst *Inst, uint32_t insn,
+		uint64_t Address, void *Decoder);
 
 static DecodeStatus DecodeFMOVLaneInstruction(MCInst *Inst, unsigned Insn,
 		uint64_t Address,
 		void *Decoder);
-
-static DecodeStatus DecodeLDSTPairInstruction(MCInst *Inst,
-		unsigned Insn,
-		uint64_t Address,
+static DecodeStatus DecodeVecShiftR64Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftR64ImmNarrow(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
 		void *Decoder);
-
-static DecodeStatus DecodeLoadPairExclusiveInstruction(MCInst *Inst,
-		uint32_t Val,
-		uint64_t Address,
+static DecodeStatus DecodeVecShiftR32Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftR32ImmNarrow(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
 		void *Decoder);
-
-static DecodeStatus DecodeNamedImmOperand(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
-		void *Decoder, NamedImmMapper *N);
-
-static DecodeStatus
-DecodeSysRegOperand(SysRegMapper *InstMapper,
-		MCInst *Inst, unsigned Val,
-		uint64_t Address, void *Decoder);
-
-static DecodeStatus DecodeMRSOperand(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
+static DecodeStatus DecodeVecShiftR16Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftR16ImmNarrow(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
 		void *Decoder);
-
-static DecodeStatus DecodeMSROperand(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeSingleIndexedInstruction(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeVLDSTPostInstruction(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeVLDSTLanePostInstruction(MCInst *Inst, unsigned Insn,
-		uint64_t Address,
-		void *Decoder);
-
-static DecodeStatus DecodeSHLLInstruction(MCInst *Inst, unsigned Insn,
-		uint64_t Address,
-		void *Decoder);
-
-static bool Check(DecodeStatus *Out, DecodeStatus In);
-
-#define GET_SUBTARGETINFO_ENUM
-#include "AArch64GenSubtargetInfo.inc"
-
-// Hacky: enable all features for disassembler
-static uint64_t getFeatureBits(int feature)
-{
-	// enable all features
-	return (uint64_t)-1;
-}
-
-#include "AArch64GenDisassemblerTables.inc"
-
-#define GET_INSTRINFO_ENUM
-#include "AArch64GenInstrInfo.inc"
-
-#define GET_REGINFO_ENUM
-#include "AArch64GenRegisterInfo.inc"
+static DecodeStatus DecodeVecShiftR8Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftL64Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftL32Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftL16Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
+static DecodeStatus DecodeVecShiftL8Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder);
 
 static bool Check(DecodeStatus *Out, DecodeStatus In)
 {
 	switch (In) {
+		default:	// never reach
+			return true;
 		case MCDisassembler_Success:
 			// Out stays the same.
 			return true;
@@ -238,25 +190,32 @@
 		case MCDisassembler_Fail:
 			*Out = In;
 			return false;
-		default:
-			return false;	// never reach
 	}
+	// llvm_unreachable("Invalid DecodeStatus!");
 }
 
+// Hacky: enable all features for disassembler
+static uint64_t getFeatureBits(int feature)
+{
+	// enable all features
+	return (uint64_t)-1;
+}
+
+#define GET_SUBTARGETINFO_ENUM
+#include "AArch64GenSubtargetInfo.inc"
+
+#include "AArch64GenDisassemblerTables.inc"
+
+#define GET_INSTRINFO_ENUM
+#include "AArch64GenInstrInfo.inc"
+
+#define GET_REGINFO_ENUM
 #define GET_REGINFO_MC_DESC
 #include "AArch64GenRegisterInfo.inc"
-void AArch64_init(MCRegisterInfo *MRI)
-{
-	MCRegisterInfo_InitMCRegisterInfo(MRI, AArch64RegDesc, 420,
-			0, 0, 
-			AArch64MCRegisterClasses, 61,
-			0, 0, 
-			AArch64RegDiffLists,
-			0, 
-			AArch64SubRegIdxLists, 52,
-			0);
-}
 
+#define Success MCDisassembler_Success
+#define Fail MCDisassembler_Fail
+#define SoftFail MCDisassembler_SoftFail
 
 static DecodeStatus _getInstruction(cs_struct *ud, MCInst *MI,
 		const uint8_t *code, size_t code_len,
@@ -265,6 +224,7 @@
 {
 	uint32_t insn;
 	DecodeStatus result;
+	int i;
 
 	if (code_len < 4) {
 		// not enough data
@@ -273,7 +233,9 @@
 	}
 
 	if (MI->flat_insn->detail) {
-		memset(&MI->flat_insn->detail->arm64, 0, sizeof(cs_arm64));
+		memset(MI->flat_insn->detail, 0, sizeof(cs_detail));
+		for (i = 0; i < ARR_SIZE(MI->flat_insn->detail->arm64.operands); i++)
+			MI->flat_insn->detail->arm64.operands[i].vector_index = -1;
 	}
 
 	if (ud->big_endian)
@@ -284,7 +246,7 @@
 			(code[1] <<  8) | (code[0] <<  0);
 
 	// Calling the auto-generated decoder function.
-	result = decodeInstruction(DecoderTableA6432, MI, insn, Address, MRI, 0);
+	result = decodeInstruction(DecoderTable32, MI, insn, Address, MRI, 0);
 	if (result != MCDisassembler_Fail) {
 		*Size = 4;
 		return result;
@@ -306,494 +268,465 @@
 	return status == MCDisassembler_Success;
 }
 
-static unsigned getReg(MCRegisterInfo *MRI, unsigned RC, unsigned RegNo)
-{
-	MCRegisterClass *rc = MCRegisterInfo_getRegClass(MRI, RC);
-	return rc->RegsBegin[RegNo];
-}
-
-static DecodeStatus DecodeGPR64RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_GPR64RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeGPR64xspRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_GPR64xspRegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeGPR32RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address,
-		void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_GPR32RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeGPR32wspRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_GPR32wspRegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeFPR8RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_FPR8RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeFPR16RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_FPR16RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-
-static DecodeStatus DecodeFPR32RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_FPR32RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeFPR64RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	uint16_t Register;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_FPR64RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeFPR64LoRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
-{
-	if (RegNo > 15)
-		return MCDisassembler_Fail;
-
-	return DecodeFPR64RegisterClass(Inst, RegNo, Address, Decoder);
-}
+static const unsigned FPR128DecoderTable[] = {
+	AArch64_Q0,  AArch64_Q1,  AArch64_Q2,  AArch64_Q3,  AArch64_Q4,
+	AArch64_Q5,  AArch64_Q6,  AArch64_Q7,  AArch64_Q8,  AArch64_Q9,
+	AArch64_Q10, AArch64_Q11, AArch64_Q12, AArch64_Q13, AArch64_Q14,
+	AArch64_Q15, AArch64_Q16, AArch64_Q17, AArch64_Q18, AArch64_Q19,
+	AArch64_Q20, AArch64_Q21, AArch64_Q22, AArch64_Q23, AArch64_Q24,
+	AArch64_Q25, AArch64_Q26, AArch64_Q27, AArch64_Q28, AArch64_Q29,
+	AArch64_Q30, AArch64_Q31
+};
 
 static DecodeStatus DecodeFPR128RegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
+		uint64_t Addr,
+		void *Decoder)
 {
-	uint16_t Register;
-
+	unsigned Register;
 	if (RegNo > 31)
-		return MCDisassembler_Fail;
+		return Fail;
 
-	Register = (uint16_t)getReg(Decoder, AArch64_FPR128RegClassID, RegNo);
+	Register = FPR128DecoderTable[RegNo];
 	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
+	return Success;
 }
 
-static DecodeStatus DecodeFPR128LoRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address, void *Decoder)
+static DecodeStatus DecodeFPR128_loRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
 {
 	if (RegNo > 15)
-		return MCDisassembler_Fail;
+		return Fail;
 
-	return DecodeFPR128RegisterClass(Inst, RegNo, Address, Decoder);
+	return DecodeFPR128RegisterClass(Inst, RegNo, Addr, Decoder);
 }
 
-static DecodeStatus DecodeGPR64noxzrRegisterClass(MCInst *Inst,
-		unsigned RegNo,
-		uint64_t Address,
+static const unsigned FPR64DecoderTable[] = {
+	AArch64_D0,  AArch64_D1,  AArch64_D2,  AArch64_D3,  AArch64_D4,
+	AArch64_D5,  AArch64_D6,  AArch64_D7,  AArch64_D8,  AArch64_D9,
+	AArch64_D10, AArch64_D11, AArch64_D12, AArch64_D13, AArch64_D14,
+	AArch64_D15, AArch64_D16, AArch64_D17, AArch64_D18, AArch64_D19,
+	AArch64_D20, AArch64_D21, AArch64_D22, AArch64_D23, AArch64_D24,
+	AArch64_D25, AArch64_D26, AArch64_D27, AArch64_D28, AArch64_D29,
+	AArch64_D30, AArch64_D31
+};
+
+static DecodeStatus DecodeFPR64RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
 		void *Decoder)
 {
-	uint16_t Register;
-
-	if (RegNo > 30)
-		return MCDisassembler_Fail;
-
-	Register = (uint16_t)getReg(Decoder, AArch64_GPR64noxzrRegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeRegisterClassByID(MCInst *Inst, unsigned RegNo,
-		unsigned RegID,
-		void *Decoder)
-{
-	uint16_t Register;
+	unsigned Register;
 
 	if (RegNo > 31)
-		return MCDisassembler_Fail;
+		return Fail;
 
-	Register = (uint16_t)getReg(Decoder, RegID, RegNo);
+	Register = FPR64DecoderTable[RegNo];
 	MCOperand_CreateReg0(Inst, Register);
-	return MCDisassembler_Success;
+	return Success;
 }
 
-static DecodeStatus DecodeDPairRegisterClass(MCInst *Inst, unsigned RegNo,
+static const unsigned FPR32DecoderTable[] = {
+	AArch64_S0,  AArch64_S1,  AArch64_S2,  AArch64_S3,  AArch64_S4,
+	AArch64_S5,  AArch64_S6,  AArch64_S7,  AArch64_S8,  AArch64_S9,
+	AArch64_S10, AArch64_S11, AArch64_S12, AArch64_S13, AArch64_S14,
+	AArch64_S15, AArch64_S16, AArch64_S17, AArch64_S18, AArch64_S19,
+	AArch64_S20, AArch64_S21, AArch64_S22, AArch64_S23, AArch64_S24,
+	AArch64_S25, AArch64_S26, AArch64_S27, AArch64_S28, AArch64_S29,
+	AArch64_S30, AArch64_S31
+};
+
+static DecodeStatus DecodeFPR32RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = FPR32DecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned FPR16DecoderTable[] = {
+	AArch64_H0,  AArch64_H1,  AArch64_H2,  AArch64_H3,  AArch64_H4,
+	AArch64_H5,  AArch64_H6,  AArch64_H7,  AArch64_H8,  AArch64_H9,
+	AArch64_H10, AArch64_H11, AArch64_H12, AArch64_H13, AArch64_H14,
+	AArch64_H15, AArch64_H16, AArch64_H17, AArch64_H18, AArch64_H19,
+	AArch64_H20, AArch64_H21, AArch64_H22, AArch64_H23, AArch64_H24,
+	AArch64_H25, AArch64_H26, AArch64_H27, AArch64_H28, AArch64_H29,
+	AArch64_H30, AArch64_H31
+};
+
+static DecodeStatus DecodeFPR16RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = FPR16DecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned FPR8DecoderTable[] = {
+	AArch64_B0,  AArch64_B1,  AArch64_B2,  AArch64_B3,  AArch64_B4,
+	AArch64_B5,  AArch64_B6,  AArch64_B7,  AArch64_B8,  AArch64_B9,
+	AArch64_B10, AArch64_B11, AArch64_B12, AArch64_B13, AArch64_B14,
+	AArch64_B15, AArch64_B16, AArch64_B17, AArch64_B18, AArch64_B19,
+	AArch64_B20, AArch64_B21, AArch64_B22, AArch64_B23, AArch64_B24,
+	AArch64_B25, AArch64_B26, AArch64_B27, AArch64_B28, AArch64_B29,
+	AArch64_B30, AArch64_B31
+};
+
+static DecodeStatus DecodeFPR8RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = FPR8DecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned GPR64DecoderTable[] = {
+	AArch64_X0,  AArch64_X1,  AArch64_X2,  AArch64_X3,  AArch64_X4,
+	AArch64_X5,  AArch64_X6,  AArch64_X7,  AArch64_X8,  AArch64_X9,
+	AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14,
+	AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19,
+	AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24,
+	AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_FP,
+	AArch64_LR,  AArch64_XZR
+};
+
+static DecodeStatus DecodeGPR64RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = GPR64DecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static DecodeStatus DecodeGPR64spRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = GPR64DecoderTable[RegNo];
+	if (Register == AArch64_XZR)
+		Register = AArch64_SP;
+
+	MCOperand_CreateReg0(Inst, Register);
+
+	return Success;
+}
+
+static const unsigned GPR32DecoderTable[] = {
+	AArch64_W0,  AArch64_W1,  AArch64_W2,  AArch64_W3,  AArch64_W4,
+	AArch64_W5,  AArch64_W6,  AArch64_W7,  AArch64_W8,  AArch64_W9,
+	AArch64_W10, AArch64_W11, AArch64_W12, AArch64_W13, AArch64_W14,
+	AArch64_W15, AArch64_W16, AArch64_W17, AArch64_W18, AArch64_W19,
+	AArch64_W20, AArch64_W21, AArch64_W22, AArch64_W23, AArch64_W24,
+	AArch64_W25, AArch64_W26, AArch64_W27, AArch64_W28, AArch64_W29,
+	AArch64_W30, AArch64_WZR
+};
+
+static DecodeStatus DecodeGPR32RegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = GPR32DecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static DecodeStatus DecodeGPR32spRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = GPR32DecoderTable[RegNo];
+	if (Register == AArch64_WZR)
+		Register = AArch64_WSP;
+
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned VectorDecoderTable[] = {
+	AArch64_Q0,  AArch64_Q1,  AArch64_Q2,  AArch64_Q3,  AArch64_Q4,
+	AArch64_Q5,  AArch64_Q6,  AArch64_Q7,  AArch64_Q8,  AArch64_Q9,
+	AArch64_Q10, AArch64_Q11, AArch64_Q12, AArch64_Q13, AArch64_Q14,
+	AArch64_Q15, AArch64_Q16, AArch64_Q17, AArch64_Q18, AArch64_Q19,
+	AArch64_Q20, AArch64_Q21, AArch64_Q22, AArch64_Q23, AArch64_Q24,
+	AArch64_Q25, AArch64_Q26, AArch64_Q27, AArch64_Q28, AArch64_Q29,
+	AArch64_Q30, AArch64_Q31
+};
+
+static DecodeStatus DecodeVectorRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = VectorDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned QQDecoderTable[] = {
+	AArch64_Q0_Q1,   AArch64_Q1_Q2,   AArch64_Q2_Q3,   AArch64_Q3_Q4,
+	AArch64_Q4_Q5,   AArch64_Q5_Q6,   AArch64_Q6_Q7,   AArch64_Q7_Q8,
+	AArch64_Q8_Q9,   AArch64_Q9_Q10,  AArch64_Q10_Q11, AArch64_Q11_Q12,
+	AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q15_Q16,
+	AArch64_Q16_Q17, AArch64_Q17_Q18, AArch64_Q18_Q19, AArch64_Q19_Q20,
+	AArch64_Q20_Q21, AArch64_Q21_Q22, AArch64_Q22_Q23, AArch64_Q23_Q24,
+	AArch64_Q24_Q25, AArch64_Q25_Q26, AArch64_Q26_Q27, AArch64_Q27_Q28,
+	AArch64_Q28_Q29, AArch64_Q29_Q30, AArch64_Q30_Q31, AArch64_Q31_Q0
+};
+
+static DecodeStatus DecodeQQRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr, void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = QQDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned QQQDecoderTable[] = {
+	AArch64_Q0_Q1_Q2,    AArch64_Q1_Q2_Q3,    AArch64_Q2_Q3_Q4,
+	AArch64_Q3_Q4_Q5,    AArch64_Q4_Q5_Q6,    AArch64_Q5_Q6_Q7,
+	AArch64_Q6_Q7_Q8,    AArch64_Q7_Q8_Q9,    AArch64_Q8_Q9_Q10,
+	AArch64_Q9_Q10_Q11,  AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13,
+	AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16,
+	AArch64_Q15_Q16_Q17, AArch64_Q16_Q17_Q18, AArch64_Q17_Q18_Q19,
+	AArch64_Q18_Q19_Q20, AArch64_Q19_Q20_Q21, AArch64_Q20_Q21_Q22,
+	AArch64_Q21_Q22_Q23, AArch64_Q22_Q23_Q24, AArch64_Q23_Q24_Q25,
+	AArch64_Q24_Q25_Q26, AArch64_Q25_Q26_Q27, AArch64_Q26_Q27_Q28,
+	AArch64_Q27_Q28_Q29, AArch64_Q28_Q29_Q30, AArch64_Q29_Q30_Q31,
+	AArch64_Q30_Q31_Q0,  AArch64_Q31_Q0_Q1
+};
+
+static DecodeStatus DecodeQQQRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr, void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = QQQDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned QQQQDecoderTable[] = {
+	AArch64_Q0_Q1_Q2_Q3,     AArch64_Q1_Q2_Q3_Q4,     AArch64_Q2_Q3_Q4_Q5,
+	AArch64_Q3_Q4_Q5_Q6,     AArch64_Q4_Q5_Q6_Q7,     AArch64_Q5_Q6_Q7_Q8,
+	AArch64_Q6_Q7_Q8_Q9,     AArch64_Q7_Q8_Q9_Q10,    AArch64_Q8_Q9_Q10_Q11,
+	AArch64_Q9_Q10_Q11_Q12,  AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14,
+	AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17,
+	AArch64_Q15_Q16_Q17_Q18, AArch64_Q16_Q17_Q18_Q19, AArch64_Q17_Q18_Q19_Q20,
+	AArch64_Q18_Q19_Q20_Q21, AArch64_Q19_Q20_Q21_Q22, AArch64_Q20_Q21_Q22_Q23,
+	AArch64_Q21_Q22_Q23_Q24, AArch64_Q22_Q23_Q24_Q25, AArch64_Q23_Q24_Q25_Q26,
+	AArch64_Q24_Q25_Q26_Q27, AArch64_Q25_Q26_Q27_Q28, AArch64_Q26_Q27_Q28_Q29,
+	AArch64_Q27_Q28_Q29_Q30, AArch64_Q28_Q29_Q30_Q31, AArch64_Q29_Q30_Q31_Q0,
+	AArch64_Q30_Q31_Q0_Q1,   AArch64_Q31_Q0_Q1_Q2
+};
+
+static DecodeStatus DecodeQQQQRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+	if (RegNo > 31)
+		return Fail;
+
+	Register = QQQQDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned DDDecoderTable[] = {
+	AArch64_D0_D1,   AArch64_D1_D2,   AArch64_D2_D3,   AArch64_D3_D4,
+	AArch64_D4_D5,   AArch64_D5_D6,   AArch64_D6_D7,   AArch64_D7_D8,
+	AArch64_D8_D9,   AArch64_D9_D10,  AArch64_D10_D11, AArch64_D11_D12,
+	AArch64_D12_D13, AArch64_D13_D14, AArch64_D14_D15, AArch64_D15_D16,
+	AArch64_D16_D17, AArch64_D17_D18, AArch64_D18_D19, AArch64_D19_D20,
+	AArch64_D20_D21, AArch64_D21_D22, AArch64_D22_D23, AArch64_D23_D24,
+	AArch64_D24_D25, AArch64_D25_D26, AArch64_D26_D27, AArch64_D27_D28,
+	AArch64_D28_D29, AArch64_D29_D30, AArch64_D30_D31, AArch64_D31_D0
+};
+
+static DecodeStatus DecodeDDRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr, void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = DDDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned DDDDecoderTable[] = {
+	AArch64_D0_D1_D2,    AArch64_D1_D2_D3,    AArch64_D2_D3_D4,
+	AArch64_D3_D4_D5,    AArch64_D4_D5_D6,    AArch64_D5_D6_D7,
+	AArch64_D6_D7_D8,    AArch64_D7_D8_D9,    AArch64_D8_D9_D10,
+	AArch64_D9_D10_D11,  AArch64_D10_D11_D12, AArch64_D11_D12_D13,
+	AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D14_D15_D16,
+	AArch64_D15_D16_D17, AArch64_D16_D17_D18, AArch64_D17_D18_D19,
+	AArch64_D18_D19_D20, AArch64_D19_D20_D21, AArch64_D20_D21_D22,
+	AArch64_D21_D22_D23, AArch64_D22_D23_D24, AArch64_D23_D24_D25,
+	AArch64_D24_D25_D26, AArch64_D25_D26_D27, AArch64_D26_D27_D28,
+	AArch64_D27_D28_D29, AArch64_D28_D29_D30, AArch64_D29_D30_D31,
+	AArch64_D30_D31_D0,  AArch64_D31_D0_D1
+};
+
+static DecodeStatus DecodeDDDRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr, void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = DDDDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static const unsigned DDDDDecoderTable[] = {
+	AArch64_D0_D1_D2_D3,     AArch64_D1_D2_D3_D4,     AArch64_D2_D3_D4_D5,
+	AArch64_D3_D4_D5_D6,     AArch64_D4_D5_D6_D7,     AArch64_D5_D6_D7_D8,
+	AArch64_D6_D7_D8_D9,     AArch64_D7_D8_D9_D10,    AArch64_D8_D9_D10_D11,
+	AArch64_D9_D10_D11_D12,  AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14,
+	AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D14_D15_D16_D17,
+	AArch64_D15_D16_D17_D18, AArch64_D16_D17_D18_D19, AArch64_D17_D18_D19_D20,
+	AArch64_D18_D19_D20_D21, AArch64_D19_D20_D21_D22, AArch64_D20_D21_D22_D23,
+	AArch64_D21_D22_D23_D24, AArch64_D22_D23_D24_D25, AArch64_D23_D24_D25_D26,
+	AArch64_D24_D25_D26_D27, AArch64_D25_D26_D27_D28, AArch64_D26_D27_D28_D29,
+	AArch64_D27_D28_D29_D30, AArch64_D28_D29_D30_D31, AArch64_D29_D30_D31_D0,
+	AArch64_D30_D31_D0_D1,   AArch64_D31_D0_D1_D2
+};
+
+static DecodeStatus DecodeDDDDRegisterClass(MCInst *Inst, unsigned RegNo,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Register;
+
+	if (RegNo > 31)
+		return Fail;
+
+	Register = DDDDDecoderTable[RegNo];
+	MCOperand_CreateReg0(Inst, Register);
+	return Success;
+}
+
+static DecodeStatus DecodeFixedPointScaleImm32(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
+		void *Decoder)
+{
+	// scale{5} is asserted as 1 in tblgen.
+	Imm |= 0x20;  
+	MCOperand_CreateImm0(Inst, 64 - Imm);
+	return Success;
+}
+
+static DecodeStatus DecodeFixedPointScaleImm64(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
+		void *Decoder)
+{
+	MCOperand_CreateImm0(Inst, 64 - Imm);
+	return Success;
+}
+
+static DecodeStatus DecodePCRelLabel19(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	int64_t ImmVal = Imm;
+
+	// Sign-extend 19-bit immediate.
+	if (ImmVal & (1 << (19 - 1)))
+		ImmVal |= ~((1LL << 19) - 1);
+
+	MCOperand_CreateImm0(Inst, ImmVal);
+	return Success;
+}
+
+static DecodeStatus DecodeMemExtend(MCInst *Inst, unsigned Imm,
+		uint64_t Address, void *Decoder)
+{
+	MCOperand_CreateImm0(Inst, (Imm  >> 1) & 1);
+	MCOperand_CreateImm0(Inst, Imm & 1);
+	return Success;
+}
+
+static DecodeStatus DecodeMRSSystemRegister(MCInst *Inst, unsigned Imm,
+		uint64_t Address, void *Decoder)
+{
+	bool ValidNamed;
+	char result[128];
+
+	Imm |= 0x8000;
+	MCOperand_CreateImm0(Inst, Imm);
+
+	A64SysRegMapper_toString(&AArch64_MRSMapper, Imm, &ValidNamed, result);
+
+	return ValidNamed ? Success : Fail;
+}
+
+static DecodeStatus DecodeMSRSystemRegister(MCInst *Inst, unsigned Imm,
 		uint64_t Address,
 		void *Decoder)
 {
-	return DecodeRegisterClassByID(Inst, RegNo, AArch64_DPairRegClassID,
-			Decoder);
-}
+	bool ValidNamed;
+	char result[128];
 
-static DecodeStatus DecodeQPairRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address,
-		void *Decoder)
-{
-	return DecodeRegisterClassByID(Inst, RegNo, AArch64_QPairRegClassID,
-			Decoder);
-}
+	Imm |= 0x8000;
+	MCOperand_CreateImm0(Inst, Imm);
 
-static DecodeStatus DecodeDTripleRegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address,
-		void *Decoder)
-{
-	return DecodeRegisterClassByID(Inst, RegNo, AArch64_DTripleRegClassID,
-			Decoder);
-}
+	A64SysRegMapper_toString(&AArch64_MSRMapper, Imm, &ValidNamed, result);
 
-static DecodeStatus DecodeQTripleRegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address,
-		void *Decoder)
-{
-	return DecodeRegisterClassByID(Inst, RegNo, AArch64_QTripleRegClassID,
-			Decoder);
-}
-
-static DecodeStatus DecodeDQuadRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address,
-		void *Decoder)
-{
-	return DecodeRegisterClassByID(Inst, RegNo, AArch64_DQuadRegClassID,
-			Decoder);
-}
-
-static DecodeStatus DecodeQQuadRegisterClass(MCInst *Inst, unsigned RegNo,
-		uint64_t Address,
-		void *Decoder)
-{
-	return DecodeRegisterClassByID(Inst, RegNo, AArch64_QQuadRegClassID,
-			Decoder);
-}
-
-static DecodeStatus DecodeAddrRegExtendOperand(MCInst *Inst,
-		unsigned OptionHiS,
-		uint64_t Address,
-		void *Decoder)
-{
-	// Option{1} must be 1. OptionHiS is made up of {Option{2}, Option{1},
-	// S}. Hence we want to check bit 1.
-	if (!(OptionHiS & 2))
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, OptionHiS);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeBitfield32ImmOperand(MCInst *Inst,
-		unsigned Imm6Bits,
-		uint64_t Address,
-		void *Decoder)
-{
-	// In the 32-bit variant, bit 6 must be zero. I.e. the immediate must be
-	// between 0 and 31.
-	if (Imm6Bits > 31)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Imm6Bits);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeCVT32FixedPosOperand(MCInst *Inst,
-		unsigned Imm6Bits,
-		uint64_t Address,
-		void *Decoder)
-{
-	// 1 <= Imm <= 32. Encoded as 64 - Imm so: 63 >= Encoded >= 32.
-	if (Imm6Bits < 32)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Imm6Bits);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeFPZeroOperand(MCInst *Inst,
-		unsigned RmBits, uint64_t Address, void *Decoder)
-{
-	// Any bits are valid in the instruction (they're architecturally ignored),
-	// but a code generator should insert 0.
-	MCOperand_CreateImm0(Inst, 0);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftRightImm8(MCInst *Inst,
-		unsigned Val, uint64_t Address, void *Decoder)
-{
-	MCOperand_CreateImm0(Inst, 8 - Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftRightImm16(MCInst *Inst,
-		unsigned Val, uint64_t Address, void *Decoder)
-{
-	MCOperand_CreateImm0(Inst, 16 - Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftRightImm32(MCInst *Inst,
-		unsigned Val, uint64_t Address, void *Decoder)
-{
-	MCOperand_CreateImm0(Inst, 32 - Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftRightImm64(MCInst *Inst,
-		unsigned Val, uint64_t Address, void *Decoder)
-{
-	MCOperand_CreateImm0(Inst, 64 - Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftLeftImm8(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder)
-{
-	if (Val > 7)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftLeftImm16(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder)
-{
-	if (Val > 15)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftLeftImm32(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder)
-{
-	if (Val > 31)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeShiftLeftImm64(MCInst *Inst, unsigned Val,
-		uint64_t Address,
-		void *Decoder)
-{
-	if (Val > 63)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Val);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeMoveWideImmOperand(MCInst *Inst,
-		unsigned FullImm,
-		uint64_t Address,
-		void *Decoder, int RegWidth)
-{
-	unsigned Imm16 = FullImm & 0xffff;
-	unsigned Shift = FullImm >> 16;
-
-	if (RegWidth == 32 && Shift > 1) return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Imm16);
-	MCOperand_CreateImm0(Inst, Shift);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeLogicalImmOperand(MCInst *Inst,
-		unsigned Bits,
-		uint64_t Address,
-		void *Decoder, int RegWidth)
-{
-	uint64_t Imm;
-	if (!A64Imms_isLogicalImmBits(RegWidth, Bits, &Imm))
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, Bits);
-	return MCDisassembler_Success;
-}
-
-
-static DecodeStatus DecodeRegExtendOperand(MCInst *Inst,
-		unsigned ShiftAmount,
-		uint64_t Address,
-		void *Decoder)
-{
-	// Only values 0-4 are valid for this 3-bit field
-	if (ShiftAmount > 4)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, ShiftAmount);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus Decode32BitShiftOperand(MCInst *Inst,
-		unsigned ShiftAmount,
-		uint64_t Address,
-		void *Decoder)
-{
-	// Only values below 32 are valid for a 32-bit register
-	if (ShiftAmount > 31)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, ShiftAmount);
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeBitfieldInstruction(MCInst *Inst, unsigned Insn,
-		uint64_t Address,
-		void *Decoder)
-{
-	unsigned ExtractOp = 0, InsertOp = 0;
-	unsigned Rd = fieldFromInstruction(Insn, 0, 5);
-	unsigned Rn = fieldFromInstruction(Insn, 5, 5);
-	unsigned ImmS = fieldFromInstruction(Insn, 10, 6);
-	unsigned ImmR = fieldFromInstruction(Insn, 16, 6);
-	unsigned SF = fieldFromInstruction(Insn, 31, 1);
-
-	// Undef for 0b11 just in case it occurs. Don't want the compiler to optimise
-	// out assertions that it thinks should never be hit.
-	enum OpcTypes { SBFM = 0, BFM, UBFM, Undef } Opc;
-	Opc = (enum OpcTypes)fieldFromInstruction(Insn, 29, 2);
-
-	if (!SF) {
-		// ImmR and ImmS must be between 0 and 31 for 32-bit instructions.
-		if (ImmR > 31 || ImmS > 31)
-			return MCDisassembler_Fail;
-	}
-
-	if (SF) {
-		DecodeGPR64RegisterClass(Inst, Rd, Address, Decoder);
-		// BFM MCInsts use Rd as a source too.
-		if (Opc == BFM) DecodeGPR64RegisterClass(Inst, Rd, Address, Decoder);
-		DecodeGPR64RegisterClass(Inst, Rn, Address, Decoder);
-	} else {
-		DecodeGPR32RegisterClass(Inst, Rd, Address, Decoder);
-		// BFM MCInsts use Rd as a source too.
-		if (Opc == BFM) DecodeGPR32RegisterClass(Inst, Rd, Address, Decoder);
-		DecodeGPR32RegisterClass(Inst, Rn, Address, Decoder);
-	}
-
-	// ASR and LSR have more specific patterns so they won't get here:
-	//assert(!(ImmS == 31 && !SF && Opc != BFM)
-	//       && "shift should have used auto decode");
-	//assert(!(ImmS == 63 && SF && Opc != BFM)
-	//       && "shift should have used auto decode");
-
-	// Extension instructions similarly:
-	if (Opc == SBFM && ImmR == 0) {
-		//assert((ImmS != 7 && ImmS != 15) && "extension got here");
-		//assert((ImmS != 31 || SF == 0) && "extension got here");
-	} else if (Opc == UBFM && ImmR == 0) {
-		//assert((SF != 0 || (ImmS != 7 && ImmS != 15)) && "extension got here");
-	}
-
-	if (Opc == UBFM) {
-		// It might be a LSL instruction, which actually takes the shift amount
-		// itself as an MCInst operand.
-		if (SF && (ImmS + 1) % 64 == ImmR) {
-			MCInst_setOpcode(Inst, AArch64_LSLxxi);
-			MCOperand_CreateImm0(Inst, 63 - ImmS);
-			return MCDisassembler_Success;
-		} else if (!SF && (ImmS + 1) % 32 == ImmR) {
-			MCInst_setOpcode(Inst, AArch64_LSLwwi);
-			MCOperand_CreateImm0(Inst, 31 - ImmS);
-			return MCDisassembler_Success;
-		}
-	}
-
-	// Otherwise it's definitely either an extract or an insert depending on which
-	// of ImmR or ImmS is larger.
-	switch (Opc) {
-		default: break;	// never reach
-		case SBFM:
-				 ExtractOp = SF ? AArch64_SBFXxxii : AArch64_SBFXwwii;
-				 InsertOp = SF ? AArch64_SBFIZxxii : AArch64_SBFIZwwii;
-				 break;
-		case BFM:
-				 ExtractOp = SF ? AArch64_BFXILxxii : AArch64_BFXILwwii;
-				 InsertOp = SF ? AArch64_BFIxxii : AArch64_BFIwwii;
-				 break;
-		case UBFM:
-				 ExtractOp = SF ? AArch64_UBFXxxii : AArch64_UBFXwwii;
-				 InsertOp = SF ? AArch64_UBFIZxxii : AArch64_UBFIZwwii;
-				 break;
-	}
-
-	// Otherwise it's a boring insert or extract
-	MCOperand_CreateImm0(Inst, ImmR);
-	MCOperand_CreateImm0(Inst, ImmS);
-
-
-	if (ImmS < ImmR)
-		MCInst_setOpcode(Inst, InsertOp);
-	else
-		MCInst_setOpcode(Inst, ExtractOp);
-
-	return MCDisassembler_Success;
+	return ValidNamed ? Success : Fail;
 }
 
 static DecodeStatus DecodeFMOVLaneInstruction(MCInst *Inst, unsigned Insn,
@@ -817,823 +750,932 @@
 	// Add the lane
 	MCOperand_CreateImm0(Inst, 1);
 
-	return MCDisassembler_Success;
+	return Success;
 }
 
-static DecodeStatus DecodeLDSTPairInstruction(MCInst *Inst,
-		unsigned Insn,
-		uint64_t Address,
+static DecodeStatus DecodeVecShiftRImm(MCInst *Inst, unsigned Imm,
+		unsigned Add)
+{
+	MCOperand_CreateImm0(Inst, Add - Imm);
+	return Success;
+}
+
+static DecodeStatus DecodeVecShiftLImm(MCInst *Inst, unsigned Imm,
+		unsigned Add)
+{
+	MCOperand_CreateImm0(Inst, (Imm + Add) & (Add - 1));
+	return Success;
+}
+
+static DecodeStatus DecodeVecShiftR64Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftRImm(Inst, Imm, 64);
+}
+
+static DecodeStatus DecodeVecShiftR64ImmNarrow(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
 		void *Decoder)
 {
-	DecodeStatus Result = MCDisassembler_Success;
-	unsigned Rt = fieldFromInstruction(Insn, 0, 5);
-	unsigned Rn = fieldFromInstruction(Insn, 5, 5);
-	unsigned Rt2 = fieldFromInstruction(Insn, 10, 5);
-	unsigned SImm7 = fieldFromInstruction(Insn, 15, 7);
-	unsigned L = fieldFromInstruction(Insn, 22, 1);
-	unsigned V = fieldFromInstruction(Insn, 26, 1);
-	unsigned Opc = fieldFromInstruction(Insn, 30, 2);
+	return DecodeVecShiftRImm(Inst, Imm | 0x20, 64);
+}
 
-	// Not an official name, but it turns out that bit 23 distinguishes indexed
-	// from non-indexed operations.
-	unsigned Indexed = fieldFromInstruction(Insn, 23, 1);
+static DecodeStatus DecodeVecShiftR32Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftRImm(Inst, Imm, 32);
+}
 
-	if (Indexed && L == 0) {
-		// The MCInst for an indexed store has an out operand and 4 ins:
-		//    Rn_wb, Rt, Rt2, Rn, Imm
-		DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
+static DecodeStatus DecodeVecShiftR32ImmNarrow(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
+		void *Decoder)
+{
+	return DecodeVecShiftRImm(Inst, Imm | 0x10, 32);
+}
+
+static DecodeStatus DecodeVecShiftR16Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftRImm(Inst, Imm, 16);
+}
+
+static DecodeStatus DecodeVecShiftR16ImmNarrow(MCInst *Inst, unsigned Imm,
+		uint64_t Addr,
+		void *Decoder)
+{
+	return DecodeVecShiftRImm(Inst, Imm | 0x8, 16);
+}
+
+static DecodeStatus DecodeVecShiftR8Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftRImm(Inst, Imm, 8);
+}
+
+static DecodeStatus DecodeVecShiftL64Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftLImm(Inst, Imm, 64);
+}
+
+static DecodeStatus DecodeVecShiftL32Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftLImm(Inst, Imm, 32);
+}
+
+static DecodeStatus DecodeVecShiftL16Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftLImm(Inst, Imm, 16);
+}
+
+static DecodeStatus DecodeVecShiftL8Imm(MCInst *Inst, unsigned Imm,
+		uint64_t Addr, void *Decoder)
+{
+	return DecodeVecShiftLImm(Inst, Imm, 8);
+}
+
+static DecodeStatus DecodeThreeAddrSRegInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	unsigned Rm = fieldFromInstruction(insn, 16, 5);
+	unsigned shiftHi = fieldFromInstruction(insn, 22, 2);
+	unsigned shiftLo = fieldFromInstruction(insn, 10, 6);
+	unsigned shift = (shiftHi << 6) | shiftLo;
+
+	switch (MCInst_getOpcode(Inst)) {
+		default:
+			return Fail;
+		case AArch64_ADDWrs:
+		case AArch64_ADDSWrs:
+		case AArch64_SUBWrs:
+		case AArch64_SUBSWrs:
+			// if shift == '11' then ReservedValue()
+			if (shiftHi == 0x3)
+				return Fail;
+			// Deliberate fallthrough
+		case AArch64_ANDWrs:
+		case AArch64_ANDSWrs:
+		case AArch64_BICWrs:
+		case AArch64_BICSWrs:
+		case AArch64_ORRWrs:
+		case AArch64_ORNWrs:
+		case AArch64_EORWrs:
+		case AArch64_EONWrs: {
+				// if sf == '0' and imm6<5> == '1' then ReservedValue()
+				if (shiftLo >> 5 == 1)
+					return Fail;
+				DecodeGPR32RegisterClass(Inst, Rd, Addr, Decoder);
+				DecodeGPR32RegisterClass(Inst, Rn, Addr, Decoder);
+				DecodeGPR32RegisterClass(Inst, Rm, Addr, Decoder);
+				break;
+			}
+		case AArch64_ADDXrs:
+		case AArch64_ADDSXrs:
+		case AArch64_SUBXrs:
+		case AArch64_SUBSXrs:
+				 // if shift == '11' then ReservedValue()
+				 if (shiftHi == 0x3)
+					 return Fail;
+				 // Deliberate fallthrough
+		case AArch64_ANDXrs:
+		case AArch64_ANDSXrs:
+		case AArch64_BICXrs:
+		case AArch64_BICSXrs:
+		case AArch64_ORRXrs:
+		case AArch64_ORNXrs:
+		case AArch64_EORXrs:
+		case AArch64_EONXrs:
+				 DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+				 DecodeGPR64RegisterClass(Inst, Rn, Addr, Decoder);
+				 DecodeGPR64RegisterClass(Inst, Rm, Addr, Decoder);
+				 break;
 	}
 
+	MCOperand_CreateImm0(Inst, shift);
+	return Success;
+}
+
+static DecodeStatus DecodeMoveImmInstruction(MCInst *Inst, uint32_t insn,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	unsigned imm = fieldFromInstruction(insn, 5, 16);
+	unsigned shift = fieldFromInstruction(insn, 21, 2);
+
+	shift <<= 4;
+
+	switch (MCInst_getOpcode(Inst)) {
+		default:
+			return Fail;
+		case AArch64_MOVZWi:
+		case AArch64_MOVNWi:
+		case AArch64_MOVKWi:
+			if (shift & (1U << 5))
+				return Fail;
+			DecodeGPR32RegisterClass(Inst, Rd, Addr, Decoder);
+			break;
+		case AArch64_MOVZXi:
+		case AArch64_MOVNXi:
+		case AArch64_MOVKXi:
+			DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+			break;
+	}
+
+	if (MCInst_getOpcode(Inst) == AArch64_MOVKWi ||
+			MCInst_getOpcode(Inst) == AArch64_MOVKXi)
+		MCInst_addOperand2(Inst, MCInst_getOperand(Inst, 0));
+
+	MCOperand_CreateImm0(Inst, imm);
+	MCOperand_CreateImm0(Inst, shift);
+	return Success;
+}
+
+static DecodeStatus DecodeUnsignedLdStInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Rt = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	unsigned offset = fieldFromInstruction(insn, 10, 12);
+
+	switch (MCInst_getOpcode(Inst)) {
+		default:
+			return Fail;
+		case AArch64_PRFMui:
+			// Rt is an immediate in prefetch.
+			MCOperand_CreateImm0(Inst, Rt);
+			break;
+		case AArch64_STRBBui:
+		case AArch64_LDRBBui:
+		case AArch64_LDRSBWui:
+		case AArch64_STRHHui:
+		case AArch64_LDRHHui:
+		case AArch64_LDRSHWui:
+		case AArch64_STRWui:
+		case AArch64_LDRWui:
+			DecodeGPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDRSBXui:
+		case AArch64_LDRSHXui:
+		case AArch64_LDRSWui:
+		case AArch64_STRXui:
+		case AArch64_LDRXui:
+			DecodeGPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDRQui:
+		case AArch64_STRQui:
+			DecodeFPR128RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDRDui:
+		case AArch64_STRDui:
+			DecodeFPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDRSui:
+		case AArch64_STRSui:
+			DecodeFPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDRHui:
+		case AArch64_STRHui:
+			DecodeFPR16RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDRBui:
+		case AArch64_STRBui:
+			DecodeFPR8RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+	}
+
+	DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+	//if (!Dis->tryAddingSymbolicOperand(Inst, offset, Addr, Fail, 0, 4))
+	MCOperand_CreateImm0(Inst, offset);
+
+	return Success;
+}
+
+static DecodeStatus DecodeSignedLdStInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+	bool IsLoad;
+	bool IsIndexed;
+	bool IsFP;
+	unsigned Rt = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	int32_t offset = fieldFromInstruction(insn, 12, 9);
+
+	// offset is a 9-bit signed immediate, so sign extend it to
+	// fill the unsigned.
+	if (offset & (1 << (9 - 1)))
+		offset |= ~((1LL << 9) - 1);
+
+	// First operand is always the writeback to the address register, if needed.
+	switch (MCInst_getOpcode(Inst)) {
+		default:
+			break;
+		case AArch64_LDRSBWpre:
+		case AArch64_LDRSHWpre:
+		case AArch64_STRBBpre:
+		case AArch64_LDRBBpre:
+		case AArch64_STRHHpre:
+		case AArch64_LDRHHpre:
+		case AArch64_STRWpre:
+		case AArch64_LDRWpre:
+		case AArch64_LDRSBWpost:
+		case AArch64_LDRSHWpost:
+		case AArch64_STRBBpost:
+		case AArch64_LDRBBpost:
+		case AArch64_STRHHpost:
+		case AArch64_LDRHHpost:
+		case AArch64_STRWpost:
+		case AArch64_LDRWpost:
+		case AArch64_LDRSBXpre:
+		case AArch64_LDRSHXpre:
+		case AArch64_STRXpre:
+		case AArch64_LDRSWpre:
+		case AArch64_LDRXpre:
+		case AArch64_LDRSBXpost:
+		case AArch64_LDRSHXpost:
+		case AArch64_STRXpost:
+		case AArch64_LDRSWpost:
+		case AArch64_LDRXpost:
+		case AArch64_LDRQpre:
+		case AArch64_STRQpre:
+		case AArch64_LDRQpost:
+		case AArch64_STRQpost:
+		case AArch64_LDRDpre:
+		case AArch64_STRDpre:
+		case AArch64_LDRDpost:
+		case AArch64_STRDpost:
+		case AArch64_LDRSpre:
+		case AArch64_STRSpre:
+		case AArch64_LDRSpost:
+		case AArch64_STRSpost:
+		case AArch64_LDRHpre:
+		case AArch64_STRHpre:
+		case AArch64_LDRHpost:
+		case AArch64_STRHpost:
+		case AArch64_LDRBpre:
+		case AArch64_STRBpre:
+		case AArch64_LDRBpost:
+		case AArch64_STRBpost:
+			DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+			break;
+	}
+
+	switch (MCInst_getOpcode(Inst)) {
+		default:
+			return Fail;
+		case AArch64_PRFUMi:
+			// Rt is an immediate in prefetch.
+			MCOperand_CreateImm0(Inst, Rt);
+			break;
+		case AArch64_STURBBi:
+		case AArch64_LDURBBi:
+		case AArch64_LDURSBWi:
+		case AArch64_STURHHi:
+		case AArch64_LDURHHi:
+		case AArch64_LDURSHWi:
+		case AArch64_STURWi:
+		case AArch64_LDURWi:
+		case AArch64_LDTRSBWi:
+		case AArch64_LDTRSHWi:
+		case AArch64_STTRWi:
+		case AArch64_LDTRWi:
+		case AArch64_STTRHi:
+		case AArch64_LDTRHi:
+		case AArch64_LDTRBi:
+		case AArch64_STTRBi:
+		case AArch64_LDRSBWpre:
+		case AArch64_LDRSHWpre:
+		case AArch64_STRBBpre:
+		case AArch64_LDRBBpre:
+		case AArch64_STRHHpre:
+		case AArch64_LDRHHpre:
+		case AArch64_STRWpre:
+		case AArch64_LDRWpre:
+		case AArch64_LDRSBWpost:
+		case AArch64_LDRSHWpost:
+		case AArch64_STRBBpost:
+		case AArch64_LDRBBpost:
+		case AArch64_STRHHpost:
+		case AArch64_LDRHHpost:
+		case AArch64_STRWpost:
+		case AArch64_LDRWpost:
+			DecodeGPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDURSBXi:
+		case AArch64_LDURSHXi:
+		case AArch64_LDURSWi:
+		case AArch64_STURXi:
+		case AArch64_LDURXi:
+		case AArch64_LDTRSBXi:
+		case AArch64_LDTRSHXi:
+		case AArch64_LDTRSWi:
+		case AArch64_STTRXi:
+		case AArch64_LDTRXi:
+		case AArch64_LDRSBXpre:
+		case AArch64_LDRSHXpre:
+		case AArch64_STRXpre:
+		case AArch64_LDRSWpre:
+		case AArch64_LDRXpre:
+		case AArch64_LDRSBXpost:
+		case AArch64_LDRSHXpost:
+		case AArch64_STRXpost:
+		case AArch64_LDRSWpost:
+		case AArch64_LDRXpost:
+			DecodeGPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDURQi:
+		case AArch64_STURQi:
+		case AArch64_LDRQpre:
+		case AArch64_STRQpre:
+		case AArch64_LDRQpost:
+		case AArch64_STRQpost:
+			DecodeFPR128RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDURDi:
+		case AArch64_STURDi:
+		case AArch64_LDRDpre:
+		case AArch64_STRDpre:
+		case AArch64_LDRDpost:
+		case AArch64_STRDpost:
+			DecodeFPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDURSi:
+		case AArch64_STURSi:
+		case AArch64_LDRSpre:
+		case AArch64_STRSpre:
+		case AArch64_LDRSpost:
+		case AArch64_STRSpost:
+			DecodeFPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDURHi:
+		case AArch64_STURHi:
+		case AArch64_LDRHpre:
+		case AArch64_STRHpre:
+		case AArch64_LDRHpost:
+		case AArch64_STRHpost:
+			DecodeFPR16RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_LDURBi:
+		case AArch64_STURBi:
+		case AArch64_LDRBpre:
+		case AArch64_STRBpre:
+		case AArch64_LDRBpost:
+		case AArch64_STRBpost:
+			DecodeFPR8RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+	}
+
+	DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+	MCOperand_CreateImm0(Inst, offset);
+
+	IsLoad = fieldFromInstruction(insn, 22, 1);
+	IsIndexed = fieldFromInstruction(insn, 10, 2) != 0;
+	IsFP = fieldFromInstruction(insn, 26, 1);
+
+	// Cannot write back to a transfer register (but xzr != sp).
+	if (IsLoad && IsIndexed && !IsFP && Rn != 31 && Rt == Rn)
+		return SoftFail;
+
+	return Success;
+}
+
+static DecodeStatus DecodeExclusiveLdStInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Rt = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	unsigned Rt2 = fieldFromInstruction(insn, 10, 5);
+	unsigned Rs = fieldFromInstruction(insn, 16, 5);
+	unsigned Opcode = MCInst_getOpcode(Inst);
+
+	switch (Opcode) {
+		default:
+			return Fail;
+		case AArch64_STLXRW:
+		case AArch64_STLXRB:
+		case AArch64_STLXRH:
+		case AArch64_STXRW:
+		case AArch64_STXRB:
+		case AArch64_STXRH:
+			DecodeGPR32RegisterClass(Inst, Rs, Addr, Decoder);
+			// FALLTHROUGH
+		case AArch64_LDARW:
+		case AArch64_LDARB:
+		case AArch64_LDARH:
+		case AArch64_LDAXRW:
+		case AArch64_LDAXRB:
+		case AArch64_LDAXRH:
+		case AArch64_LDXRW:
+		case AArch64_LDXRB:
+		case AArch64_LDXRH:
+		case AArch64_STLRW:
+		case AArch64_STLRB:
+		case AArch64_STLRH:
+			DecodeGPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_STLXRX:
+		case AArch64_STXRX:
+			DecodeGPR32RegisterClass(Inst, Rs, Addr, Decoder);
+			// FALLTHROUGH
+		case AArch64_LDARX:
+		case AArch64_LDAXRX:
+		case AArch64_LDXRX:
+		case AArch64_STLRX:
+			DecodeGPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			break;
+		case AArch64_STLXPW:
+		case AArch64_STXPW:
+			DecodeGPR32RegisterClass(Inst, Rs, Addr, Decoder);
+			// FALLTHROUGH
+		case AArch64_LDAXPW:
+		case AArch64_LDXPW:
+			DecodeGPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeGPR32RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+		case AArch64_STLXPX:
+		case AArch64_STXPX:
+			DecodeGPR32RegisterClass(Inst, Rs, Addr, Decoder);
+			// FALLTHROUGH
+		case AArch64_LDAXPX:
+		case AArch64_LDXPX:
+			DecodeGPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeGPR64RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+	}
+
+	DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+
 	// You shouldn't load to the same register twice in an instruction...
-	if (L && Rt == Rt2)
-		Result = MCDisassembler_SoftFail;
+	if ((Opcode == AArch64_LDAXPW || Opcode == AArch64_LDXPW ||
+				Opcode == AArch64_LDAXPX || Opcode == AArch64_LDXPX) &&
+			Rt == Rt2)
+		return SoftFail;
+
+	return Success;
+}
+
+static DecodeStatus DecodePairLdStInstruction(MCInst *Inst, uint32_t insn,
+		uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Rt = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	unsigned Rt2 = fieldFromInstruction(insn, 10, 5);
+	int32_t offset = fieldFromInstruction(insn, 15, 7);
+	bool IsLoad = fieldFromInstruction(insn, 22, 1);
+	unsigned Opcode = MCInst_getOpcode(Inst);
+	bool NeedsDisjointWritebackTransfer = false;
+
+	// offset is a 7-bit signed immediate, so sign extend it to
+	// fill the unsigned.
+	if (offset & (1 << (7 - 1)))
+		offset |= ~((1LL << 7) - 1);
+
+	// First operand is always writeback of base register.
+	switch (Opcode) {
+		default:
+			break;
+		case AArch64_LDPXpost:
+		case AArch64_STPXpost:
+		case AArch64_LDPSWpost:
+		case AArch64_LDPXpre:
+		case AArch64_STPXpre:
+		case AArch64_LDPSWpre:
+		case AArch64_LDPWpost:
+		case AArch64_STPWpost:
+		case AArch64_LDPWpre:
+		case AArch64_STPWpre:
+		case AArch64_LDPQpost:
+		case AArch64_STPQpost:
+		case AArch64_LDPQpre:
+		case AArch64_STPQpre:
+		case AArch64_LDPDpost:
+		case AArch64_STPDpost:
+		case AArch64_LDPDpre:
+		case AArch64_STPDpre:
+		case AArch64_LDPSpost:
+		case AArch64_STPSpost:
+		case AArch64_LDPSpre:
+		case AArch64_STPSpre:
+			DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+			break;
+	}
+
+	switch (Opcode) {
+		default:
+			return Fail;
+		case AArch64_LDPXpost:
+		case AArch64_STPXpost:
+		case AArch64_LDPSWpost:
+		case AArch64_LDPXpre:
+		case AArch64_STPXpre:
+		case AArch64_LDPSWpre:
+			NeedsDisjointWritebackTransfer = true;
+			// Fallthrough
+		case AArch64_LDNPXi:
+		case AArch64_STNPXi:
+		case AArch64_LDPXi:
+		case AArch64_STPXi:
+		case AArch64_LDPSWi:
+			DecodeGPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeGPR64RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+		case AArch64_LDPWpost:
+		case AArch64_STPWpost:
+		case AArch64_LDPWpre:
+		case AArch64_STPWpre:
+			NeedsDisjointWritebackTransfer = true;
+			// Fallthrough
+		case AArch64_LDNPWi:
+		case AArch64_STNPWi:
+		case AArch64_LDPWi:
+		case AArch64_STPWi:
+			DecodeGPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeGPR32RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+		case AArch64_LDNPQi:
+		case AArch64_STNPQi:
+		case AArch64_LDPQpost:
+		case AArch64_STPQpost:
+		case AArch64_LDPQi:
+		case AArch64_STPQi:
+		case AArch64_LDPQpre:
+		case AArch64_STPQpre:
+			DecodeFPR128RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeFPR128RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+		case AArch64_LDNPDi:
+		case AArch64_STNPDi:
+		case AArch64_LDPDpost:
+		case AArch64_STPDpost:
+		case AArch64_LDPDi:
+		case AArch64_STPDi:
+		case AArch64_LDPDpre:
+		case AArch64_STPDpre:
+			DecodeFPR64RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeFPR64RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+		case AArch64_LDNPSi:
+		case AArch64_STNPSi:
+		case AArch64_LDPSpost:
+		case AArch64_STPSpost:
+		case AArch64_LDPSi:
+		case AArch64_STPSi:
+		case AArch64_LDPSpre:
+		case AArch64_STPSpre:
+			DecodeFPR32RegisterClass(Inst, Rt, Addr, Decoder);
+			DecodeFPR32RegisterClass(Inst, Rt2, Addr, Decoder);
+			break;
+	}
+
+	DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+	MCOperand_CreateImm0(Inst, offset);
+
+	// You shouldn't load to the same register twice in an instruction...
+	if (IsLoad && Rt == Rt2)
+		return SoftFail;
 
 	// ... or do any operation that writes-back to a transfer register. But note
 	// that "stp xzr, xzr, [sp], #4" is fine because xzr and sp are different.
-	if (Indexed && V == 0 && Rn != 31 && (Rt == Rn || Rt2 == Rn))
-		Result = MCDisassembler_SoftFail;
+	if (NeedsDisjointWritebackTransfer && Rn != 31 && (Rt == Rn || Rt2 == Rn))
+		return SoftFail;
 
-	// Exactly how we decode the MCInst's registers depends on the Opc and V
-	// fields of the instruction. These also obviously determine the size of the
-	// operation so we can fill in that information while we're at it.
-	if (V) {
-		// The instruction operates on the FP/SIMD registers
-		switch (Opc) {
-			default: return MCDisassembler_Fail;
-			case 0:
-					 DecodeFPR32RegisterClass(Inst, Rt, Address, Decoder);
-					 DecodeFPR32RegisterClass(Inst, Rt2, Address, Decoder);
-					 break;
-			case 1:
-					 DecodeFPR64RegisterClass(Inst, Rt, Address, Decoder);
-					 DecodeFPR64RegisterClass(Inst, Rt2, Address, Decoder);
-					 break;
-			case 2:
-					 DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder);
-					 DecodeFPR128RegisterClass(Inst, Rt2, Address, Decoder);
-					 break;
-		}
+	return Success;
+}
+
+static DecodeStatus DecodeAddSubERegInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+  unsigned Rd, Rn, Rm;
+  unsigned extend = fieldFromInstruction(insn, 10, 6);
+  unsigned shift = extend & 0x7;
+
+  if (shift > 4)
+    return Fail;
+
+  Rd = fieldFromInstruction(insn, 0, 5);
+  Rn = fieldFromInstruction(insn, 5, 5);
+  Rm = fieldFromInstruction(insn, 16, 5);
+
+  switch (MCInst_getOpcode(Inst)) {
+  default:
+    return Fail;
+  case AArch64_ADDWrx:
+  case AArch64_SUBWrx:
+    DecodeGPR32spRegisterClass(Inst, Rd, Addr, Decoder);
+    DecodeGPR32spRegisterClass(Inst, Rn, Addr, Decoder);
+    DecodeGPR32RegisterClass(Inst, Rm, Addr, Decoder);
+    break;
+  case AArch64_ADDSWrx:
+  case AArch64_SUBSWrx:
+    DecodeGPR32RegisterClass(Inst, Rd, Addr, Decoder);
+    DecodeGPR32spRegisterClass(Inst, Rn, Addr, Decoder);
+    DecodeGPR32RegisterClass(Inst, Rm, Addr, Decoder);
+    break;
+  case AArch64_ADDXrx:
+  case AArch64_SUBXrx:
+    DecodeGPR64spRegisterClass(Inst, Rd, Addr, Decoder);
+    DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+    DecodeGPR32RegisterClass(Inst, Rm, Addr, Decoder);
+    break;
+  case AArch64_ADDSXrx:
+  case AArch64_SUBSXrx:
+    DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+    DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+    DecodeGPR32RegisterClass(Inst, Rm, Addr, Decoder);
+    break;
+  case AArch64_ADDXrx64:
+  case AArch64_SUBXrx64:
+    DecodeGPR64spRegisterClass(Inst, Rd, Addr, Decoder);
+    DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+    DecodeGPR64RegisterClass(Inst, Rm, Addr, Decoder);
+    break;
+  case AArch64_SUBSXrx64:
+  case AArch64_ADDSXrx64:
+    DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+    DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
+    DecodeGPR64RegisterClass(Inst, Rm, Addr, Decoder);
+    break;
+  }
+
+  MCOperand_CreateImm0(Inst, extend);
+  return Success;
+}
+
+static DecodeStatus DecodeLogicalImmInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	unsigned Datasize = fieldFromInstruction(insn, 31, 1);
+	unsigned imm;
+
+	if (Datasize) {
+		if (MCInst_getOpcode(Inst) == AArch64_ANDSXri)
+			DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+		else
+			DecodeGPR64spRegisterClass(Inst, Rd, Addr, Decoder);
+		DecodeGPR64RegisterClass(Inst, Rn, Addr, Decoder);
+		imm = fieldFromInstruction(insn, 10, 13);
+		if (!AArch64_AM_isValidDecodeLogicalImmediate(imm, 64))
+			return Fail;
 	} else {
-		switch (Opc) {
-			default: return MCDisassembler_Fail;
-			case 0:
-					 DecodeGPR32RegisterClass(Inst, Rt, Address, Decoder);
-					 DecodeGPR32RegisterClass(Inst, Rt2, Address, Decoder);
-					 break;
-			case 1:
-					 //assert(L && "unexpected \"store signed\" attempt");
-					 DecodeGPR64RegisterClass(Inst, Rt, Address, Decoder);
-					 DecodeGPR64RegisterClass(Inst, Rt2, Address, Decoder);
-					 break;
-			case 2:
-					 DecodeGPR64RegisterClass(Inst, Rt, Address, Decoder);
-					 DecodeGPR64RegisterClass(Inst, Rt2, Address, Decoder);
-					 break;
-		}
+		if (MCInst_getOpcode(Inst) == AArch64_ANDSWri)
+			DecodeGPR32RegisterClass(Inst, Rd, Addr, Decoder);
+		else
+			DecodeGPR32spRegisterClass(Inst, Rd, Addr, Decoder);
+		DecodeGPR32RegisterClass(Inst, Rn, Addr, Decoder);
+		imm = fieldFromInstruction(insn, 10, 12);
+		if (!AArch64_AM_isValidDecodeLogicalImmediate(imm, 32))
+			return Fail;
 	}
 
-	if (Indexed && L == 1) {
-		// The MCInst for an indexed load has 3 out operands and an 3 ins:
-		//    Rt, Rt2, Rn_wb, Rt2, Rn, Imm
-		DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-	}
-
-
-	DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-	MCOperand_CreateImm0(Inst, SImm7);
-
-	return Result;
+	MCOperand_CreateImm0(Inst, imm);
+	return Success;
 }
 
-static DecodeStatus DecodeLoadPairExclusiveInstruction(MCInst *Inst,
-		uint32_t Val,
-		uint64_t Address,
+static DecodeStatus DecodeModImmInstruction(MCInst *Inst, uint32_t insn,
+		uint64_t Addr,
 		void *Decoder)
 {
-	unsigned Rt = fieldFromInstruction(Val, 0, 5);
-	unsigned Rn = fieldFromInstruction(Val, 5, 5);
-	unsigned Rt2 = fieldFromInstruction(Val, 10, 5);
-	unsigned MemSize = fieldFromInstruction(Val, 30, 2);
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	unsigned cmode = fieldFromInstruction(insn, 12, 4);
+	unsigned imm = fieldFromInstruction(insn, 16, 3) << 5;
+	imm |= fieldFromInstruction(insn, 5, 5);
 
-	DecodeStatus S = MCDisassembler_Success;
-	if (Rt == Rt2) S = MCDisassembler_SoftFail;
+	if (MCInst_getOpcode(Inst) == AArch64_MOVID)
+		DecodeFPR64RegisterClass(Inst, Rd, Addr, Decoder);
+	else
+		DecodeVectorRegisterClass(Inst, Rd, Addr, Decoder);
 
-	switch (MemSize) {
-		case 2:
-			if (!Check(&S, DecodeGPR32RegisterClass(Inst, Rt, Address, Decoder)))
-				return MCDisassembler_Fail;
-			if (!Check(&S, DecodeGPR32RegisterClass(Inst, Rt2, Address, Decoder)))
-				return MCDisassembler_Fail;
-			break;
-		case 3:
-			if (!Check(&S, DecodeGPR64RegisterClass(Inst, Rt, Address, Decoder)))
-				return MCDisassembler_Fail;
-			if (!Check(&S, DecodeGPR64RegisterClass(Inst, Rt2, Address, Decoder)))
-				return MCDisassembler_Fail;
-			break;
+	MCOperand_CreateImm0(Inst, imm);
+
+	switch (MCInst_getOpcode(Inst)) {
 		default:
-			break;	// never reach
+			break;
+		case AArch64_MOVIv4i16:
+		case AArch64_MOVIv8i16:
+		case AArch64_MVNIv4i16:
+		case AArch64_MVNIv8i16:
+		case AArch64_MOVIv2i32:
+		case AArch64_MOVIv4i32:
+		case AArch64_MVNIv2i32:
+		case AArch64_MVNIv4i32:
+			MCOperand_CreateImm0(Inst, (cmode & 6) << 2);
+			break;
+		case AArch64_MOVIv2s_msl:
+		case AArch64_MOVIv4s_msl:
+		case AArch64_MVNIv2s_msl:
+		case AArch64_MVNIv4s_msl:
+			MCOperand_CreateImm0(Inst, cmode & 1 ? 0x110 : 0x108);
+			break;
 	}
 
-	if (!Check(&S, DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder)))
-		return MCDisassembler_Fail;
-
-	return S;
+	return Success;
 }
 
-static DecodeStatus DecodeNamedImmOperand(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
-		void *Decoder, NamedImmMapper *N)
-{
-	bool ValidNamed;
-
-	NamedImmMapper_toString(N, Val, &ValidNamed);
-	if (ValidNamed || NamedImmMapper_validImm(N, Val)) {
-		MCOperand_CreateImm0(Inst, Val);
-		return MCDisassembler_Success;
-	}
-
-	return MCDisassembler_Fail;
-}
-
-static DecodeStatus DecodeSysRegOperand(SysRegMapper *Mapper,
-		MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
+static DecodeStatus DecodeModImmTiedInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
 		void *Decoder)
 {
-	bool ValidNamed;
-	char result[128];
-	SysRegMapper_toString(Mapper, Val, &ValidNamed, result);
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	unsigned cmode = fieldFromInstruction(insn, 12, 4);
+	unsigned imm = fieldFromInstruction(insn, 16, 3) << 5;
+	imm |= fieldFromInstruction(insn, 5, 5);
 
-	MCOperand_CreateImm0(Inst, Val);
+	// Tied operands added twice.
+	DecodeVectorRegisterClass(Inst, Rd, Addr, Decoder);
+	DecodeVectorRegisterClass(Inst, Rd, Addr, Decoder);
 
-	return ValidNamed ? MCDisassembler_Success : MCDisassembler_Fail;
+	MCOperand_CreateImm0(Inst, imm);
+	MCOperand_CreateImm0(Inst, (cmode & 6) << 2);
+
+	return Success;
 }
 
-static DecodeStatus DecodeMRSOperand(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
-		void *Decoder)
+static DecodeStatus DecodeAdrInstruction(MCInst *Inst, uint32_t insn,
+		uint64_t Addr, void *Decoder)
 {
-	return DecodeSysRegOperand(&AArch64_MRSMapper, Inst, Val, Address, Decoder);
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	int32_t imm = fieldFromInstruction(insn, 5, 19) << 2;
+
+	imm |= fieldFromInstruction(insn, 29, 2);
+
+	// Sign-extend the 21-bit immediate.
+	if (imm & (1 << (21 - 1)))
+		imm |= ~((1LL << 21) - 1);
+
+	DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+	//if (!Dis->tryAddingSymbolicOperand(Inst, imm, Addr, Fail, 0, 4))
+	MCOperand_CreateImm0(Inst, imm);
+
+	return Success;
 }
 
-static DecodeStatus DecodeMSROperand(MCInst *Inst,
-		unsigned Val,
-		uint64_t Address,
-		void *Decoder)
+static DecodeStatus DecodeBaseAddSubImm(MCInst *Inst, uint32_t insn,
+		uint64_t Addr, void *Decoder)
 {
-	return DecodeSysRegOperand(&AArch64_MSRMapper, Inst, Val, Address, Decoder);
-}
+	unsigned Rd = fieldFromInstruction(insn, 0, 5);
+	unsigned Rn = fieldFromInstruction(insn, 5, 5);
+	unsigned Imm = fieldFromInstruction(insn, 10, 14);
+	unsigned S = fieldFromInstruction(insn, 29, 1);
+	unsigned Datasize = fieldFromInstruction(insn, 31, 1);
 
-static DecodeStatus DecodeSingleIndexedInstruction(MCInst *Inst,
-		unsigned Insn,
-		uint64_t Address,
-		void *Decoder)
-{
-	unsigned Rt = fieldFromInstruction(Insn, 0, 5);
-	unsigned Rn = fieldFromInstruction(Insn, 5, 5);
-	unsigned Imm9 = fieldFromInstruction(Insn, 12, 9);
+	unsigned ShifterVal = (Imm >> 12) & 3;
+	unsigned ImmVal = Imm & 0xFFF;
 
-	unsigned Opc = fieldFromInstruction(Insn, 22, 2);
-	unsigned V = fieldFromInstruction(Insn, 26, 1);
-	unsigned Size = fieldFromInstruction(Insn, 30, 2);
+	if (ShifterVal != 0 && ShifterVal != 1)
+		return Fail;
 
-	if (Opc == 0 || (V == 1 && Opc == 2)) {
-		// It's a store, the MCInst gets: Rn_wb, Rt, Rn, Imm
-		DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-	}
-
-	if (V == 0 && (Opc == 2 || Size == 3)) {
-		DecodeGPR64RegisterClass(Inst, Rt, Address, Decoder);
-	} else if (V == 0) {
-		DecodeGPR32RegisterClass(Inst, Rt, Address, Decoder);
-	} else if (V == 1 && (Opc & 2)) {
-		DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder);
+	if (Datasize) {
+		if (Rd == 31 && !S)
+			DecodeGPR64spRegisterClass(Inst, Rd, Addr, Decoder);
+		else
+			DecodeGPR64RegisterClass(Inst, Rd, Addr, Decoder);
+		DecodeGPR64spRegisterClass(Inst, Rn, Addr, Decoder);
 	} else {
-		switch (Size) {
-			case 0:
-				DecodeFPR8RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 1:
-				DecodeFPR16RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 2:
-				DecodeFPR32RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 3:
-				DecodeFPR64RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-		}
+		if (Rd == 31 && !S)
+			DecodeGPR32spRegisterClass(Inst, Rd, Addr, Decoder);
+		else
+			DecodeGPR32RegisterClass(Inst, Rd, Addr, Decoder);
+		DecodeGPR32spRegisterClass(Inst, Rn, Addr, Decoder);
 	}
 
-	if (Opc != 0 && (V != 1 || Opc != 2)) {
-		// It's a load, the MCInst gets: Rt, Rn_wb, Rn, Imm
-		DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-	}
+	//if (!Dis->tryAddingSymbolicOperand(Inst, Imm, Addr, Fail, 0, 4))
+	MCOperand_CreateImm0(Inst, ImmVal);
+	MCOperand_CreateImm0(Inst, 12 * ShifterVal);
+	return Success;
+}
 
-	DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
+static DecodeStatus DecodeUnconditionalBranch(MCInst *Inst, uint32_t insn,
+		uint64_t Addr,
+		void *Decoder)
+{
+	int32_t imm = fieldFromInstruction(insn, 0, 26);
 
-	MCOperand_CreateImm0(Inst, Imm9);
+	// Sign-extend the 26-bit immediate.
+	if (imm & (1 << (26 - 1)))
+		imm |= ~((1LL << 26) - 1);
 
-	// N.b. The official documentation says undpredictable if Rt == Rn, but this
-	// takes place at the architectural rather than encoding level:
-	//
-	// "STR xzr, [sp], #4" is perfectly valid.
-	if (V == 0 && Rt == Rn && Rn != 31)
-		return MCDisassembler_SoftFail;
+	// if (!Dis->tryAddingSymbolicOperand(Inst, imm << 2, Addr, true, 0, 4))
+	MCOperand_CreateImm0(Inst, imm);
+
+	return Success;
+}
+
+static DecodeStatus DecodeSystemPStateInstruction(MCInst *Inst,
+		uint32_t insn, uint64_t Addr,
+		void *Decoder)
+{
+	uint32_t op1 = fieldFromInstruction(insn, 16, 3);
+	uint32_t op2 = fieldFromInstruction(insn, 5, 3);
+	uint32_t crm = fieldFromInstruction(insn, 8, 4);
+	bool ValidNamed;
+	uint32_t pstate_field = (op1 << 3) | op2;
+
+	MCOperand_CreateImm0(Inst, pstate_field);
+	MCOperand_CreateImm0(Inst, crm);
+
+	A64NamedImmMapper_toString(&A64PState_PStateMapper, pstate_field, &ValidNamed);
+
+	return ValidNamed ? Success : Fail;
+}
+
+static DecodeStatus DecodeTestAndBranch(MCInst *Inst, uint32_t insn,
+		uint64_t Addr, void *Decoder)
+{
+	uint32_t Rt = fieldFromInstruction(insn, 0, 5);
+	uint32_t bit = fieldFromInstruction(insn, 31, 1) << 5;
+	int32_t dst = fieldFromInstruction(insn, 5, 14);
+
+	bit |= fieldFromInstruction(insn, 19, 5);
+
+	// Sign-extend 14-bit immediate.
+	if (dst & (1 << (14 - 1)))
+		dst |= ~((1LL << 14) - 1);
+
+	if (fieldFromInstruction(insn, 31, 1) == 0)
+		DecodeGPR32RegisterClass(Inst, Rt, Addr, Decoder);
 	else
-		return MCDisassembler_Success;
+		DecodeGPR64RegisterClass(Inst, Rt, Addr, Decoder);
+
+	MCOperand_CreateImm0(Inst, bit);
+	//if (!Dis->tryAddingSymbolicOperand(Inst, dst << 2, Addr, true, 0, 4))
+	MCOperand_CreateImm0(Inst, dst);
+
+	return Success;
 }
 
-static DecodeStatus DecodeNeonMovImmShiftOperand(MCInst *Inst, unsigned ShiftAmount,
-		uint64_t Address, void *Decoder, A64SE_ShiftExtSpecifiers Ext, bool IsHalf)
+void AArch64_init(MCRegisterInfo *MRI)
 {
-	bool IsLSL = false;
-	if (Ext == A64SE_LSL)
-		IsLSL = true;
-	else if (Ext != A64SE_MSL)
-		return MCDisassembler_Fail;
+	/*
+		InitMCRegisterInfo(AArch64RegDesc, 420,
+			RA, PC,
+			AArch64MCRegisterClasses, 43,
+			AArch64RegUnitRoots, 66, AArch64RegDiffLists,
+			AArch64RegStrings,
+			AArch64SubRegIdxLists, 53,
+			AArch64SubRegIdxRanges,
+			AArch64RegEncodingTable);
+	*/
 
-	// MSL and LSLH accepts encoded shift amount 0 or 1.
-	if ((!IsLSL || (IsLSL && IsHalf)) && ShiftAmount != 0 && ShiftAmount != 1)
-		return MCDisassembler_Fail;
-
-	// LSL  accepts encoded shift amount 0, 1, 2 or 3.
-	if (IsLSL && ShiftAmount > 3)
-		return MCDisassembler_Fail;
-
-	MCOperand_CreateImm0(Inst, ShiftAmount);
-	return MCDisassembler_Success;
-}
-
-// Decode post-index vector load/store instructions.
-// This is necessary as we need to decode Rm: if Rm == 0b11111, the last
-// operand is an immediate equal the the length of vector list in bytes,
-// or Rm is decoded to a GPR64noxzr register.
-static DecodeStatus DecodeVLDSTPostInstruction(MCInst *Inst, unsigned Insn,
-		uint64_t Address,
-		void *Decoder)
-{
-	unsigned Rt = fieldFromInstruction(Insn, 0, 5);
-	unsigned Rn = fieldFromInstruction(Insn, 5, 5);
-	unsigned Rm = fieldFromInstruction(Insn, 16, 5);
-	unsigned Opcode = fieldFromInstruction(Insn, 12, 4);
-	unsigned IsLoad = fieldFromInstruction(Insn, 22, 1);
-	// 0 for 64bit vector list, 1 for 128bit vector list
-	unsigned Is128BitVec = fieldFromInstruction(Insn, 30, 1);
-
-	unsigned NumVecs;
-	switch (Opcode) {
-		default:
-			// llvm_unreachable("Invalid opcode for post-index load/store instructions");
-		case 0: // ld4/st4
-		case 2: // ld1/st1 with 4 vectors
-			NumVecs = 4; break;
-		case 4: // ld3/st3
-		case 6: // ld1/st1 with 3 vectors
-			NumVecs = 3; break;
-		case 7: // ld1/st1 with 1 vector
-			NumVecs = 1; break;
-		case 8:  // ld2/st2
-		case 10: // ld1/st1 with 2 vectors
-			NumVecs = 2; break;
-	}
-
-	// Decode vector list of 1/2/3/4 vectors for load instructions.
-	if (IsLoad) {
-		switch (NumVecs) {
-			case 1:
-				Is128BitVec ? DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeFPR64RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 2:
-				Is128BitVec ? DecodeQPairRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeDPairRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 3:
-				Is128BitVec ? DecodeQTripleRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeDTripleRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 4:
-				Is128BitVec ? DecodeQQuadRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeDQuadRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-		}
-	}
-
-	// Decode write back register, which is equal to Rn.
-	DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-	DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-
-	if (Rm == 31) // If Rm is 0x11111, add the vector list length in byte
-		MCOperand_CreateImm0(Inst, NumVecs * (Is128BitVec ? 16 : 8));
-	else // Decode Rm
-		DecodeGPR64noxzrRegisterClass(Inst, Rm, Address, Decoder);
-
-	// Decode vector list of 1/2/3/4 vectors for load instructions.
-	if (!IsLoad) {
-		switch (NumVecs) {
-			case 1:
-				Is128BitVec ? DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeFPR64RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 2:
-				Is128BitVec ? DecodeQPairRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeDPairRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 3:
-				Is128BitVec ? DecodeQTripleRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeDTripleRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 4:
-				Is128BitVec ? DecodeQQuadRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeDQuadRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-		}
-	}
-
-	return MCDisassembler_Success;
-}
-
-// Decode post-index vector load/store lane instructions.
-// This is necessary as we need to decode Rm: if Rm == 0b11111, the last
-// operand is an immediate equal the the length of the changed bytes,
-// or Rm is decoded to a GPR64noxzr register.
-static DecodeStatus DecodeVLDSTLanePostInstruction(MCInst *Inst, unsigned Insn,
-		uint64_t Address,
-		void *Decoder)
-{
-	bool Is64bitVec = false;
-	bool IsLoadDup = false;
-	bool IsLoad = false;
-	// The total number of bytes transferred.
-	// TransferBytes = NumVecs * OneLaneBytes
-	unsigned TransferBytes = 0;
-	unsigned NumVecs = 0;
-	unsigned Rt, Rn, Rm, Q, S, lane, NumLanes;
-	unsigned Opc = MCInst_getOpcode(Inst);
-	switch (Opc) {
-		case AArch64_LD1R_WB_8B_fixed: case AArch64_LD1R_WB_8B_register:
-		case AArch64_LD1R_WB_4H_fixed: case AArch64_LD1R_WB_4H_register:
-		case AArch64_LD1R_WB_2S_fixed: case AArch64_LD1R_WB_2S_register:
-		case AArch64_LD1R_WB_1D_fixed: case AArch64_LD1R_WB_1D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD1R_WB_8B_fixed: case AArch64_LD1R_WB_8B_register:
-						TransferBytes = 1; break;
-					case AArch64_LD1R_WB_4H_fixed: case AArch64_LD1R_WB_4H_register:
-						TransferBytes = 2; break;
-					case AArch64_LD1R_WB_2S_fixed: case AArch64_LD1R_WB_2S_register:
-						TransferBytes = 4; break;
-					case AArch64_LD1R_WB_1D_fixed: case AArch64_LD1R_WB_1D_register:
-						TransferBytes = 8; break;
-				}
-				Is64bitVec = true;
-				IsLoadDup = true;
-				NumVecs = 1;
-				break;
-			}
-
-		case AArch64_LD1R_WB_16B_fixed: case AArch64_LD1R_WB_16B_register:
-		case AArch64_LD1R_WB_8H_fixed: case AArch64_LD1R_WB_8H_register:
-		case AArch64_LD1R_WB_4S_fixed: case AArch64_LD1R_WB_4S_register:
-		case AArch64_LD1R_WB_2D_fixed: case AArch64_LD1R_WB_2D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD1R_WB_16B_fixed: case AArch64_LD1R_WB_16B_register:
-						TransferBytes = 1; break;
-					case AArch64_LD1R_WB_8H_fixed: case AArch64_LD1R_WB_8H_register:
-						TransferBytes = 2; break;
-					case AArch64_LD1R_WB_4S_fixed: case AArch64_LD1R_WB_4S_register:
-						TransferBytes = 4; break;
-					case AArch64_LD1R_WB_2D_fixed: case AArch64_LD1R_WB_2D_register:
-						TransferBytes = 8; break;
-				}
-				IsLoadDup = true;
-				NumVecs = 1;
-				break;
-			}
-
-		case AArch64_LD2R_WB_8B_fixed: case AArch64_LD2R_WB_8B_register:
-		case AArch64_LD2R_WB_4H_fixed: case AArch64_LD2R_WB_4H_register:
-		case AArch64_LD2R_WB_2S_fixed: case AArch64_LD2R_WB_2S_register:
-		case AArch64_LD2R_WB_1D_fixed: case AArch64_LD2R_WB_1D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD2R_WB_8B_fixed: case AArch64_LD2R_WB_8B_register:
-						TransferBytes = 2; break;
-					case AArch64_LD2R_WB_4H_fixed: case AArch64_LD2R_WB_4H_register:
-						TransferBytes = 4; break;
-					case AArch64_LD2R_WB_2S_fixed: case AArch64_LD2R_WB_2S_register:
-						TransferBytes = 8; break;
-					case AArch64_LD2R_WB_1D_fixed: case AArch64_LD2R_WB_1D_register:
-						TransferBytes = 16; break;
-				}
-				Is64bitVec = true;
-				IsLoadDup = true;
-				NumVecs = 2;
-				break;
-			}
-
-		case AArch64_LD2R_WB_16B_fixed: case AArch64_LD2R_WB_16B_register:
-		case AArch64_LD2R_WB_8H_fixed: case AArch64_LD2R_WB_8H_register:
-		case AArch64_LD2R_WB_4S_fixed: case AArch64_LD2R_WB_4S_register:
-		case AArch64_LD2R_WB_2D_fixed: case AArch64_LD2R_WB_2D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD2R_WB_16B_fixed: case AArch64_LD2R_WB_16B_register:
-						TransferBytes = 2; break;
-					case AArch64_LD2R_WB_8H_fixed: case AArch64_LD2R_WB_8H_register:
-						TransferBytes = 4; break;
-					case AArch64_LD2R_WB_4S_fixed: case AArch64_LD2R_WB_4S_register:
-						TransferBytes = 8; break;
-					case AArch64_LD2R_WB_2D_fixed: case AArch64_LD2R_WB_2D_register:
-						TransferBytes = 16; break;
-				}
-				IsLoadDup = true;
-				NumVecs = 2;
-				break;
-			}
-
-		case AArch64_LD3R_WB_8B_fixed: case AArch64_LD3R_WB_8B_register:
-		case AArch64_LD3R_WB_4H_fixed: case AArch64_LD3R_WB_4H_register:
-		case AArch64_LD3R_WB_2S_fixed: case AArch64_LD3R_WB_2S_register:
-		case AArch64_LD3R_WB_1D_fixed: case AArch64_LD3R_WB_1D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD3R_WB_8B_fixed: case AArch64_LD3R_WB_8B_register:
-						TransferBytes = 3; break;
-					case AArch64_LD3R_WB_4H_fixed: case AArch64_LD3R_WB_4H_register:
-						TransferBytes = 6; break;
-					case AArch64_LD3R_WB_2S_fixed: case AArch64_LD3R_WB_2S_register:
-						TransferBytes = 12; break;
-					case AArch64_LD3R_WB_1D_fixed: case AArch64_LD3R_WB_1D_register:
-						TransferBytes = 24; break;
-				}
-				Is64bitVec = true;
-				IsLoadDup = true;
-				NumVecs = 3;
-				break;
-			}
-
-		case AArch64_LD3R_WB_16B_fixed: case AArch64_LD3R_WB_16B_register:
-		case AArch64_LD3R_WB_4S_fixed: case AArch64_LD3R_WB_8H_register:
-		case AArch64_LD3R_WB_8H_fixed: case AArch64_LD3R_WB_4S_register:
-		case AArch64_LD3R_WB_2D_fixed: case AArch64_LD3R_WB_2D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD3R_WB_16B_fixed: case AArch64_LD3R_WB_16B_register:
-						TransferBytes = 3; break;
-					case AArch64_LD3R_WB_8H_fixed: case AArch64_LD3R_WB_8H_register:
-						TransferBytes = 6; break;
-					case AArch64_LD3R_WB_4S_fixed: case AArch64_LD3R_WB_4S_register:
-						TransferBytes = 12; break;
-					case AArch64_LD3R_WB_2D_fixed: case AArch64_LD3R_WB_2D_register:
-						TransferBytes = 24; break;
-				}
-				IsLoadDup = true;
-				NumVecs = 3;
-				break;
-			}
-
-		case AArch64_LD4R_WB_8B_fixed: case AArch64_LD4R_WB_8B_register:
-		case AArch64_LD4R_WB_4H_fixed: case AArch64_LD4R_WB_4H_register:
-		case AArch64_LD4R_WB_2S_fixed: case AArch64_LD4R_WB_2S_register:
-		case AArch64_LD4R_WB_1D_fixed: case AArch64_LD4R_WB_1D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD4R_WB_8B_fixed: case AArch64_LD4R_WB_8B_register:
-						TransferBytes = 4; break;
-					case AArch64_LD4R_WB_4H_fixed: case AArch64_LD4R_WB_4H_register:
-						TransferBytes = 8; break;
-					case AArch64_LD4R_WB_2S_fixed: case AArch64_LD4R_WB_2S_register:
-						TransferBytes = 16; break;
-					case AArch64_LD4R_WB_1D_fixed: case AArch64_LD4R_WB_1D_register:
-						TransferBytes = 32; break;
-				}
-				Is64bitVec = true;
-				IsLoadDup = true;
-				NumVecs = 4;
-				break;
-			}
-
-		case AArch64_LD4R_WB_16B_fixed: case AArch64_LD4R_WB_16B_register:
-		case AArch64_LD4R_WB_4S_fixed: case AArch64_LD4R_WB_8H_register:
-		case AArch64_LD4R_WB_8H_fixed: case AArch64_LD4R_WB_4S_register:
-		case AArch64_LD4R_WB_2D_fixed: case AArch64_LD4R_WB_2D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD4R_WB_16B_fixed: case AArch64_LD4R_WB_16B_register:
-						TransferBytes = 4; break;
-					case AArch64_LD4R_WB_8H_fixed: case AArch64_LD4R_WB_8H_register:
-						TransferBytes = 8; break;
-					case AArch64_LD4R_WB_4S_fixed: case AArch64_LD4R_WB_4S_register:
-						TransferBytes = 16; break;
-					case AArch64_LD4R_WB_2D_fixed: case AArch64_LD4R_WB_2D_register:
-						TransferBytes = 32; break;
-				}
-				IsLoadDup = true;
-				NumVecs = 4;
-				break;
-			}
-
-		case AArch64_LD1LN_WB_B_fixed: case AArch64_LD1LN_WB_B_register:
-		case AArch64_LD1LN_WB_H_fixed: case AArch64_LD1LN_WB_H_register:
-		case AArch64_LD1LN_WB_S_fixed: case AArch64_LD1LN_WB_S_register:
-		case AArch64_LD1LN_WB_D_fixed: case AArch64_LD1LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD1LN_WB_B_fixed: case AArch64_LD1LN_WB_B_register:
-						TransferBytes = 1; break;
-					case AArch64_LD1LN_WB_H_fixed: case AArch64_LD1LN_WB_H_register:
-						TransferBytes = 2; break;
-					case AArch64_LD1LN_WB_S_fixed: case AArch64_LD1LN_WB_S_register:
-						TransferBytes = 4; break;
-					case AArch64_LD1LN_WB_D_fixed: case AArch64_LD1LN_WB_D_register:
-						TransferBytes = 8; break;
-				}
-				IsLoad = true;
-				NumVecs = 1;
-				break;
-			}
-
-		case AArch64_LD2LN_WB_B_fixed: case AArch64_LD2LN_WB_B_register:
-		case AArch64_LD2LN_WB_H_fixed: case AArch64_LD2LN_WB_H_register:
-		case AArch64_LD2LN_WB_S_fixed: case AArch64_LD2LN_WB_S_register:
-		case AArch64_LD2LN_WB_D_fixed: case AArch64_LD2LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD2LN_WB_B_fixed: case AArch64_LD2LN_WB_B_register:
-						TransferBytes = 2; break;
-					case AArch64_LD2LN_WB_H_fixed: case AArch64_LD2LN_WB_H_register:
-						TransferBytes = 4; break;
-					case AArch64_LD2LN_WB_S_fixed: case AArch64_LD2LN_WB_S_register:
-						TransferBytes = 8; break;
-					case AArch64_LD2LN_WB_D_fixed: case AArch64_LD2LN_WB_D_register:
-						TransferBytes = 16; break;
-				}
-				IsLoad = true;
-				NumVecs = 2;
-				break;
-			}
-
-		case AArch64_LD3LN_WB_B_fixed: case AArch64_LD3LN_WB_B_register:
-		case AArch64_LD3LN_WB_H_fixed: case AArch64_LD3LN_WB_H_register:
-		case AArch64_LD3LN_WB_S_fixed: case AArch64_LD3LN_WB_S_register:
-		case AArch64_LD3LN_WB_D_fixed: case AArch64_LD3LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD3LN_WB_B_fixed: case AArch64_LD3LN_WB_B_register:
-						TransferBytes = 3; break;
-					case AArch64_LD3LN_WB_H_fixed: case AArch64_LD3LN_WB_H_register:
-						TransferBytes = 6; break;
-					case AArch64_LD3LN_WB_S_fixed: case AArch64_LD3LN_WB_S_register:
-						TransferBytes = 12; break;
-					case AArch64_LD3LN_WB_D_fixed: case AArch64_LD3LN_WB_D_register:
-						TransferBytes = 24; break;
-				}
-				IsLoad = true;
-				NumVecs = 3;
-				break;
-			}
-
-		case AArch64_LD4LN_WB_B_fixed: case AArch64_LD4LN_WB_B_register:
-		case AArch64_LD4LN_WB_H_fixed: case AArch64_LD4LN_WB_H_register:
-		case AArch64_LD4LN_WB_S_fixed: case AArch64_LD4LN_WB_S_register:
-		case AArch64_LD4LN_WB_D_fixed: case AArch64_LD4LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_LD4LN_WB_B_fixed: case AArch64_LD4LN_WB_B_register:
-						TransferBytes = 4; break;
-					case AArch64_LD4LN_WB_H_fixed: case AArch64_LD4LN_WB_H_register:
-						TransferBytes = 8; break;
-					case AArch64_LD4LN_WB_S_fixed: case AArch64_LD4LN_WB_S_register:
-						TransferBytes = 16; break;
-					case AArch64_LD4LN_WB_D_fixed: case AArch64_LD4LN_WB_D_register:
-						TransferBytes = 32; break;
-				}
-				IsLoad = true;
-				NumVecs = 4;
-				break;
-			}
-
-		case AArch64_ST1LN_WB_B_fixed: case AArch64_ST1LN_WB_B_register:
-		case AArch64_ST1LN_WB_H_fixed: case AArch64_ST1LN_WB_H_register:
-		case AArch64_ST1LN_WB_S_fixed: case AArch64_ST1LN_WB_S_register:
-		case AArch64_ST1LN_WB_D_fixed: case AArch64_ST1LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_ST1LN_WB_B_fixed: case AArch64_ST1LN_WB_B_register:
-						TransferBytes = 1; break;
-					case AArch64_ST1LN_WB_H_fixed: case AArch64_ST1LN_WB_H_register:
-						TransferBytes = 2; break;
-					case AArch64_ST1LN_WB_S_fixed: case AArch64_ST1LN_WB_S_register:
-						TransferBytes = 4; break;
-					case AArch64_ST1LN_WB_D_fixed: case AArch64_ST1LN_WB_D_register:
-						TransferBytes = 8; break;
-				}
-				NumVecs = 1;
-				break;
-			}
-
-		case AArch64_ST2LN_WB_B_fixed: case AArch64_ST2LN_WB_B_register:
-		case AArch64_ST2LN_WB_H_fixed: case AArch64_ST2LN_WB_H_register:
-		case AArch64_ST2LN_WB_S_fixed: case AArch64_ST2LN_WB_S_register:
-		case AArch64_ST2LN_WB_D_fixed: case AArch64_ST2LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_ST2LN_WB_B_fixed: case AArch64_ST2LN_WB_B_register:
-						TransferBytes = 2; break;
-					case AArch64_ST2LN_WB_H_fixed: case AArch64_ST2LN_WB_H_register:
-						TransferBytes = 4; break;
-					case AArch64_ST2LN_WB_S_fixed: case AArch64_ST2LN_WB_S_register:
-						TransferBytes = 8; break;
-					case AArch64_ST2LN_WB_D_fixed: case AArch64_ST2LN_WB_D_register:
-						TransferBytes = 16; break;
-				}
-				NumVecs = 2;
-				break;
-			}
-
-		case AArch64_ST3LN_WB_B_fixed: case AArch64_ST3LN_WB_B_register:
-		case AArch64_ST3LN_WB_H_fixed: case AArch64_ST3LN_WB_H_register:
-		case AArch64_ST3LN_WB_S_fixed: case AArch64_ST3LN_WB_S_register:
-		case AArch64_ST3LN_WB_D_fixed: case AArch64_ST3LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_ST3LN_WB_B_fixed: case AArch64_ST3LN_WB_B_register:
-						TransferBytes = 3; break;
-					case AArch64_ST3LN_WB_H_fixed: case AArch64_ST3LN_WB_H_register:
-						TransferBytes = 6; break;
-					case AArch64_ST3LN_WB_S_fixed: case AArch64_ST3LN_WB_S_register:
-						TransferBytes = 12; break;
-					case AArch64_ST3LN_WB_D_fixed: case AArch64_ST3LN_WB_D_register:
-						TransferBytes = 24; break;
-				}
-				NumVecs = 3;
-				break;
-			}
-
-		case AArch64_ST4LN_WB_B_fixed: case AArch64_ST4LN_WB_B_register:
-		case AArch64_ST4LN_WB_H_fixed: case AArch64_ST4LN_WB_H_register:
-		case AArch64_ST4LN_WB_S_fixed: case AArch64_ST4LN_WB_S_register:
-		case AArch64_ST4LN_WB_D_fixed: case AArch64_ST4LN_WB_D_register:
-			{
-				switch (Opc) {
-					case AArch64_ST4LN_WB_B_fixed: case AArch64_ST4LN_WB_B_register:
-						TransferBytes = 4; break;
-					case AArch64_ST4LN_WB_H_fixed: case AArch64_ST4LN_WB_H_register:
-						TransferBytes = 8; break;
-					case AArch64_ST4LN_WB_S_fixed: case AArch64_ST4LN_WB_S_register:
-						TransferBytes = 16; break;
-					case AArch64_ST4LN_WB_D_fixed: case AArch64_ST4LN_WB_D_register:
-						TransferBytes = 32; break;
-				}
-				NumVecs = 4;
-				break;
-			}
-
-		default:
-			return MCDisassembler_Fail;
-	} // End of switch (Opc)
-
-	Rt = fieldFromInstruction(Insn, 0, 5);
-	Rn = fieldFromInstruction(Insn, 5, 5);
-	Rm = fieldFromInstruction(Insn, 16, 5);
-
-	// Decode post-index of load duplicate lane
-	if (IsLoadDup) {
-		switch (NumVecs) {
-			case 1:
-				Is64bitVec ? DecodeFPR64RegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 2:
-				Is64bitVec ? DecodeDPairRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeQPairRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 3:
-				Is64bitVec ? DecodeDTripleRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeQTripleRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 4:
-				Is64bitVec ? DecodeDQuadRegisterClass(Inst, Rt, Address, Decoder)
-					: DecodeQQuadRegisterClass(Inst, Rt, Address, Decoder);
-		}
-
-		// Decode write back register, which is equal to Rn.
-		DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-		DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-
-		if (Rm == 31) // If Rm is 0x11111, add the number of transferred bytes
-			MCOperand_CreateImm0(Inst, TransferBytes);
-		else // Decode Rm
-			DecodeGPR64noxzrRegisterClass(Inst, Rm, Address, Decoder);
-
-		return MCDisassembler_Success;
-	}
-
-	// Decode post-index of load/store lane
-	// Loads have a vector list as output.
-	if (IsLoad) {
-		switch (NumVecs) {
-			case 1:
-				DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 2:
-				DecodeQPairRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 3:
-				DecodeQTripleRegisterClass(Inst, Rt, Address, Decoder);
-				break;
-			case 4:
-				DecodeQQuadRegisterClass(Inst, Rt, Address, Decoder);
-		}
-	}
-
-	// Decode write back register, which is equal to Rn.
-	DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-	DecodeGPR64xspRegisterClass(Inst, Rn, Address, Decoder);
-
-	if (Rm == 31) // If Rm is 0x11111, add the number of transferred bytes
-		MCOperand_CreateImm0(Inst, TransferBytes);
-	else // Decode Rm
-		DecodeGPR64noxzrRegisterClass(Inst, Rm, Address, Decoder);
-
-	// Decode the source vector list.
-	switch (NumVecs) {
-		case 1:
-			DecodeFPR128RegisterClass(Inst, Rt, Address, Decoder);
-			break;
-		case 2:
-			DecodeQPairRegisterClass(Inst, Rt, Address, Decoder);
-			break;
-		case 3:
-			DecodeQTripleRegisterClass(Inst, Rt, Address, Decoder);
-			break;
-		case 4:
-			DecodeQQuadRegisterClass(Inst, Rt, Address, Decoder);
-	}
-
-	// Decode lane
-	Q = fieldFromInstruction(Insn, 30, 1);
-	S = fieldFromInstruction(Insn, 10, 3);
-	lane = 0;
-	// Calculate the number of lanes by number of vectors and transfered bytes.
-	// NumLanes = 16 bytes / bytes of each lane
-	NumLanes = 16 / (TransferBytes / NumVecs);
-	switch (NumLanes) {
-		case 16: // A vector has 16 lanes, each lane is 1 bytes.
-			lane = (Q << 3) | S;
-			break;
-		case 8:
-			lane = (Q << 2) | (S >> 1);
-			break;
-		case 4:
-			lane = (Q << 1) | (S >> 2);
-			break;
-		case 2:
-			lane = Q;
-			break;
-	}
-	MCOperand_CreateImm0(Inst, lane);
-
-	return MCDisassembler_Success;
-}
-
-static DecodeStatus DecodeSHLLInstruction(MCInst *Inst, unsigned Insn,
-		uint64_t Address,
-		void *Decoder)
-{
-	unsigned Rd = fieldFromInstruction(Insn, 0, 5);
-	unsigned Rn = fieldFromInstruction(Insn, 5, 5);
-	unsigned size = fieldFromInstruction(Insn, 22, 2);
-	unsigned Q = fieldFromInstruction(Insn, 30, 1);
-
-	DecodeFPR128RegisterClass(Inst, Rd, Address, Decoder);
-
-	if (Q)
-		DecodeFPR128RegisterClass(Inst, Rn, Address, Decoder);
-	else
-		DecodeFPR64RegisterClass(Inst, Rn, Address, Decoder);
-
-	switch (size) {
-		case 0:
-			MCOperand_CreateImm0(Inst, 8);
-			break;
-		case 1:
-			MCOperand_CreateImm0(Inst, 16);
-			break;
-		case 2:
-			MCOperand_CreateImm0(Inst, 32);
-			break;
-		default :
-			return MCDisassembler_Fail;
-	}
-	return MCDisassembler_Success;
+	MCRegisterInfo_InitMCRegisterInfo(MRI, AArch64RegDesc, 420,
+			0, 0, 
+			AArch64MCRegisterClasses, 43,
+			0, 0, AArch64RegDiffLists,
+			0, 
+			AArch64SubRegIdxLists, 53,
+			0);
 }
 
 #endif
diff --git a/arch/AArch64/AArch64GenAsmWriter.inc b/arch/AArch64/AArch64GenAsmWriter.inc
index e5bb84f..bc559d7 100644
--- a/arch/AArch64/AArch64GenAsmWriter.inc
+++ b/arch/AArch64/AArch64GenAsmWriter.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,2785 +25,2390 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    2780U,	// DBG_VALUE
+    2694U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    2773U,	// BUNDLE
-    2790U,	// LIFETIME_START
-    2760U,	// LIFETIME_END
+    2687U,	// BUNDLE
+    2704U,	// LIFETIME_START
+    2674U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    6229U,	// ABS16b
-    1074796629U,	// ABS2d
-    2149587029U,	// ABS2s
-    3224377429U,	// ABS4h
-    4200533U,	// ABS4s
-    1078990933U,	// ABS8b
-    2153781333U,	// ABS8h
-    3262130261U,	// ABSdd
-    40904813U,	// ADCSwww
-    40904813U,	// ADCSxxx
-    40903414U,	// ADCwww
-    40903414U,	// ADCxxx
-    1140855066U,	// ADDHN2vvv_16b8h
-    2218791194U,	// ADDHN2vvv_4s2d
-    3294630170U,	// ADDHN2vvv_8h4s
-    2149586505U,	// ADDHNvvv_2s2d
-    3224376905U,	// ADDHNvvv_4h4s
-    1078990409U,	// ADDHNvvv_8b8h
-    5863U,	// ADDP_16B
-    2148538087U,	// ADDP_2D
-    1075844839U,	// ADDP_2S
-    2150635239U,	// ADDP_4H
-    3225425639U,	// ADDP_4S
-    3226474215U,	// ADDP_8B
-    1080039143U,	// ADDP_8H
-    1081091815U,	// ADDPvv_D_2D
-    40904825U,	// ADDSwww_asr
-    40904825U,	// ADDSwww_lsl
-    40904825U,	// ADDSwww_lsr
-    40904825U,	// ADDSwww_sxtb
-    40904825U,	// ADDSwww_sxth
-    40904825U,	// ADDSwww_sxtw
-    40904825U,	// ADDSwww_sxtx
-    40904825U,	// ADDSwww_uxtb
-    40904825U,	// ADDSwww_uxth
-    40904825U,	// ADDSwww_uxtw
-    40904825U,	// ADDSwww_uxtx
-    40904825U,	// ADDSxxw_sxtb
-    40904825U,	// ADDSxxw_sxth
-    40904825U,	// ADDSxxw_sxtw
-    40904825U,	// ADDSxxw_uxtb
-    40904825U,	// ADDSxxw_uxth
-    40904825U,	// ADDSxxw_uxtw
-    40904825U,	// ADDSxxx_asr
-    40904825U,	// ADDSxxx_lsl
-    40904825U,	// ADDSxxx_lsr
-    40904825U,	// ADDSxxx_sxtx
-    40904825U,	// ADDSxxx_uxtx
-    7350616U,	// ADDV_1b16b
-    1081092440U,	// ADDV_1b8b
-    3228576088U,	// ADDV_1h4h
-    2154834264U,	// ADDV_1h8h
-    7350616U,	// ADDV_1s4s
-    40903475U,	// ADDddd
-    4915U,	// ADDvvv_16B
-    2148537139U,	// ADDvvv_2D
-    1075843891U,	// ADDvvv_2S
-    2150634291U,	// ADDvvv_4H
-    3225424691U,	// ADDvvv_4S
-    3226473267U,	// ADDvvv_8B
-    1080038195U,	// ADDvvv_8H
-    40904825U,	// ADDwwi_lsl0_S
-    108014267U,	// ADDwwi_lsl0_cmp
-    40903475U,	// ADDwwi_lsl0_s
-    40904825U,	// ADDwwi_lsl12_S
-    141568699U,	// ADDwwi_lsl12_cmp
-    40903475U,	// ADDwwi_lsl12_s
-    40903475U,	// ADDwww_asr
-    40903475U,	// ADDwww_lsl
-    40903475U,	// ADDwww_lsr
-    40903475U,	// ADDwww_sxtb
-    40903475U,	// ADDwww_sxth
-    40903475U,	// ADDwww_sxtw
-    40903475U,	// ADDwww_sxtx
-    40903475U,	// ADDwww_uxtb
-    40903475U,	// ADDwww_uxth
-    40903475U,	// ADDwww_uxtw
-    40903475U,	// ADDwww_uxtx
-    40904825U,	// ADDxxi_lsl0_S
-    108014267U,	// ADDxxi_lsl0_cmp
-    40903475U,	// ADDxxi_lsl0_s
-    40904825U,	// ADDxxi_lsl12_S
-    141568699U,	// ADDxxi_lsl12_cmp
-    40903475U,	// ADDxxi_lsl12_s
-    40903475U,	// ADDxxw_sxtb
-    40903475U,	// ADDxxw_sxth
-    40903475U,	// ADDxxw_sxtw
-    40903475U,	// ADDxxw_uxtb
-    40903475U,	// ADDxxw_uxth
-    40903475U,	// ADDxxw_uxtw
-    40903475U,	// ADDxxx_asr
-    40903475U,	// ADDxxx_lsl
-    40903475U,	// ADDxxx_lsr
-    40903475U,	// ADDxxx_sxtx
-    40903475U,	// ADDxxx_uxtx
+    0U,	// LOAD_STACK_GUARD
+    6182U,	// ABSv16i8
+    553920550U,	// ABSv1i64
+    1074272294U,	// ABSv2i32
+    1611405350U,	// ABSv2i64
+    2148538406U,	// ABSv4i16
+    2685671462U,	// ABSv4i32
+    3222804518U,	// ABSv8i16
+    3759937574U,	// ABSv8i8
+    17049662U,	// ADCSWr
+    17049662U,	// ADCSXr
+    17048298U,	// ADCWr
+    17048298U,	// ADCXr
+    537400863U,	// ADDHNv2i64_v2i32
+    571748634U,	// ADDHNv2i64_v4i32
+    1074796063U,	// ADDHNv4i32_v4i16
+    1108881690U,	// ADDHNv4i32_v8i16
+    1644179738U,	// ADDHNv8i16_v16i8
+    1612453407U,	// ADDHNv8i16_v8i8
+    2147489464U,	// ADDPv16i8
+    2684884664U,	// ADDPv2i32
+    537663160U,	// ADDPv2i64
+    1610884792U,	// ADDPv2i64p
+    3222279864U,	// ADDPv4i16
+    1075058360U,	// ADDPv4i32
+    1612191416U,	// ADDPv8i16
+    3759937208U,	// ADDPv8i8
+    17049674U,	// ADDSWri
+    0U,	// ADDSWrr
+    17049674U,	// ADDSWrs
+    17049674U,	// ADDSWrx
+    17049674U,	// ADDSXri
+    0U,	// ADDSXrr
+    17049674U,	// ADDSXrs
+    17049674U,	// ADDSXrx
+    17049674U,	// ADDSXrx64
+    272671U,	// ADDVv16i8v
+    2147756319U,	// ADDVv4i16v
+    2684627231U,	// ADDVv4i32v
+    3221498143U,	// ADDVv8i16v
+    3758369055U,	// ADDVv8i8v
+    17048359U,	// ADDWri
+    0U,	// ADDWrr
+    17048359U,	// ADDWrs
+    17048359U,	// ADDWrx
+    17048359U,	// ADDXri
+    0U,	// ADDXrr
+    17048359U,	// ADDXrs
+    17048359U,	// ADDXrx
+    17048359U,	// ADDXrx64
+    2147488551U,	// ADDv16i8
+    17048359U,	// ADDv1i64
+    2684883751U,	// ADDv2i32
+    537662247U,	// ADDv2i64
+    3222278951U,	// ADDv4i16
+    1075057447U,	// ADDv4i32
+    1612190503U,	// ADDv8i16
+    3759936295U,	// ADDv8i8
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
-    175122258U,	// ADRPxi
-    208676802U,	// ADRxi
-    67113850U,	// AESD
-    67113908U,	// AESE
-    4864U,	// AESIMC
-    4872U,	// AESMC
-    40904831U,	// ANDSwwi
-    40904831U,	// ANDSwww_asr
-    40904831U,	// ANDSwww_lsl
-    40904831U,	// ANDSwww_lsr
-    40904831U,	// ANDSwww_ror
-    40904831U,	// ANDSxxi
-    40904831U,	// ANDSxxx_asr
-    40904831U,	// ANDSxxx_lsl
-    40904831U,	// ANDSxxx_lsr
-    40904831U,	// ANDSxxx_ror
-    4981U,	// ANDvvv_16B
-    3226473333U,	// ANDvvv_8B
-    40903541U,	// ANDwwi
-    40903541U,	// ANDwww_asr
-    40903541U,	// ANDwww_lsl
-    40903541U,	// ANDwww_lsr
-    40903541U,	// ANDwww_ror
-    40903541U,	// ANDxxi
-    40903541U,	// ANDxxx_asr
-    40903541U,	// ANDxxx_lsl
-    40903541U,	// ANDxxx_lsr
-    40903541U,	// ANDxxx_ror
-    40904704U,	// ASRVwww
-    40904704U,	// ASRVxxx
-    40904704U,	// ASRwwi
-    40904704U,	// ASRxxi
-    0U,	// ATOMIC_CMP_SWAP_I16
-    0U,	// ATOMIC_CMP_SWAP_I32
-    0U,	// ATOMIC_CMP_SWAP_I64
-    0U,	// ATOMIC_CMP_SWAP_I8
-    0U,	// ATOMIC_LOAD_ADD_I16
-    0U,	// ATOMIC_LOAD_ADD_I32
-    0U,	// ATOMIC_LOAD_ADD_I64
-    0U,	// ATOMIC_LOAD_ADD_I8
-    0U,	// ATOMIC_LOAD_AND_I16
-    0U,	// ATOMIC_LOAD_AND_I32
-    0U,	// ATOMIC_LOAD_AND_I64
-    0U,	// ATOMIC_LOAD_AND_I8
-    0U,	// ATOMIC_LOAD_MAX_I16
-    0U,	// ATOMIC_LOAD_MAX_I32
-    0U,	// ATOMIC_LOAD_MAX_I64
-    0U,	// ATOMIC_LOAD_MAX_I8
-    0U,	// ATOMIC_LOAD_MIN_I16
-    0U,	// ATOMIC_LOAD_MIN_I32
-    0U,	// ATOMIC_LOAD_MIN_I64
-    0U,	// ATOMIC_LOAD_MIN_I8
-    0U,	// ATOMIC_LOAD_NAND_I16
-    0U,	// ATOMIC_LOAD_NAND_I32
-    0U,	// ATOMIC_LOAD_NAND_I64
-    0U,	// ATOMIC_LOAD_NAND_I8
-    0U,	// ATOMIC_LOAD_OR_I16
-    0U,	// ATOMIC_LOAD_OR_I32
-    0U,	// ATOMIC_LOAD_OR_I64
-    0U,	// ATOMIC_LOAD_OR_I8
-    0U,	// ATOMIC_LOAD_SUB_I16
-    0U,	// ATOMIC_LOAD_SUB_I32
-    0U,	// ATOMIC_LOAD_SUB_I64
-    0U,	// ATOMIC_LOAD_SUB_I8
-    0U,	// ATOMIC_LOAD_UMAX_I16
-    0U,	// ATOMIC_LOAD_UMAX_I32
-    0U,	// ATOMIC_LOAD_UMAX_I64
-    0U,	// ATOMIC_LOAD_UMAX_I8
-    0U,	// ATOMIC_LOAD_UMIN_I16
-    0U,	// ATOMIC_LOAD_UMIN_I32
-    0U,	// ATOMIC_LOAD_UMIN_I64
-    0U,	// ATOMIC_LOAD_UMIN_I8
-    0U,	// ATOMIC_LOAD_XOR_I16
-    0U,	// ATOMIC_LOAD_XOR_I32
-    0U,	// ATOMIC_LOAD_XOR_I64
-    0U,	// ATOMIC_LOAD_XOR_I8
-    0U,	// ATOMIC_SWAP_I16
-    0U,	// ATOMIC_SWAP_I32
-    0U,	// ATOMIC_SWAP_I64
-    0U,	// ATOMIC_SWAP_I8
-    14550U,	// ATix
-    242230450U,	// BFIwwii
-    242230450U,	// BFIxxii
-    242230800U,	// BFMwwii
-    242230800U,	// BFMxxii
-    242230681U,	// BFXILwwii
-    242230681U,	// BFXILxxii
-    40904819U,	// BICSwww_asr
-    40904819U,	// BICSwww_lsl
-    40904819U,	// BICSwww_lsr
-    40904819U,	// BICSwww_ror
-    40904819U,	// BICSxxx_asr
-    40904819U,	// BICSxxx_lsl
-    40904819U,	// BICSxxx_lsr
-    40904819U,	// BICSxxx_ror
-    270537467U,	// BICvi_lsl_2S
-    1345327867U,	// BICvi_lsl_4H
-    272634619U,	// BICvi_lsl_4S
-    1348473595U,	// BICvi_lsl_8H
-    4859U,	// BICvvv_16B
-    3226473211U,	// BICvvv_8B
-    40903419U,	// BICwww_asr
-    40903419U,	// BICwww_lsl
-    40903419U,	// BICwww_lsr
-    40903419U,	// BICwww_ror
-    40903419U,	// BICxxx_asr
-    40903419U,	// BICxxx_lsl
-    40903419U,	// BICxxx_lsr
-    40903419U,	// BICxxx_ror
-    67113932U,	// BIFvvv_16B
-    3293582284U,	// BIFvvv_8B
-    67115246U,	// BITvvv_16B
-    3293583598U,	// BITvvv_8B
-    8398822U,	// BLRx
-    17676U,	// BLimm
-    8398043U,	// BRKi
-    8398782U,	// BRx
-    67114444U,	// BSLvvv_16B
-    3293582796U,	// BSLvvv_8B
-    23237U,	// Bcc
-    16935U,	// Bimm
-    309340826U,	// CBNZw
-    309340826U,	// CBNZx
-    309340797U,	// CBZw
-    309340797U,	// CBZx
-    40904290U,	// CCMNwi
-    40904290U,	// CCMNww
-    40904290U,	// CCMNxi
-    40904290U,	// CCMNxx
-    40904467U,	// CCMPwi
-    40904467U,	// CCMPww
-    40904467U,	// CCMPxi
-    40904467U,	// CCMPxx
-    8399443U,	// CLREXi
-    6283U,	// CLS16b
-    2149587083U,	// CLS2s
-    3224377483U,	// CLS4h
-    4200587U,	// CLS4s
-    1078990987U,	// CLS8b
-    2153781387U,	// CLS8h
-    3262130315U,	// CLSww
-    3262130315U,	// CLSxx
-    6805U,	// CLZ16b
-    2149587605U,	// CLZ2s
-    3224378005U,	// CLZ4h
-    4201109U,	// CLZ4s
-    1078991509U,	// CLZ8b
-    2153781909U,	// CLZ8h
-    3262130837U,	// CLZww
-    3262130837U,	// CLZxx
-    40904602U,	// CMEQddd
-    40904602U,	// CMEQddi
-    6042U,	// CMEQvvi_16B
-    2148538266U,	// CMEQvvi_2D
-    1075845018U,	// CMEQvvi_2S
-    2150635418U,	// CMEQvvi_4H
-    3225425818U,	// CMEQvvi_4S
-    3226474394U,	// CMEQvvi_8B
-    1080039322U,	// CMEQvvi_8H
-    6042U,	// CMEQvvv_16B
-    2148538266U,	// CMEQvvv_2D
-    1075845018U,	// CMEQvvv_2S
-    2150635418U,	// CMEQvvv_4H
-    3225425818U,	// CMEQvvv_4S
-    3226474394U,	// CMEQvvv_8B
-    1080039322U,	// CMEQvvv_8H
-    40903560U,	// CMGEddd
-    40903560U,	// CMGEddi
-    5000U,	// CMGEvvi_16B
-    2148537224U,	// CMGEvvi_2D
-    1075843976U,	// CMGEvvi_2S
-    2150634376U,	// CMGEvvi_4H
-    3225424776U,	// CMGEvvi_4S
-    3226473352U,	// CMGEvvi_8B
-    1080038280U,	// CMGEvvi_8H
-    5000U,	// CMGEvvv_16B
-    2148537224U,	// CMGEvvv_2D
-    1075843976U,	// CMGEvvv_2S
-    2150634376U,	// CMGEvvv_4H
-    3225424776U,	// CMGEvvv_4S
-    3226473352U,	// CMGEvvv_8B
-    1080038280U,	// CMGEvvv_8H
-    40904935U,	// CMGTddd
-    40904935U,	// CMGTddi
-    6375U,	// CMGTvvi_16B
-    2148538599U,	// CMGTvvi_2D
-    1075845351U,	// CMGTvvi_2S
-    2150635751U,	// CMGTvvi_4H
-    3225426151U,	// CMGTvvi_4S
-    3226474727U,	// CMGTvvi_8B
-    1080039655U,	// CMGTvvi_8H
-    6375U,	// CMGTvvv_16B
-    2148538599U,	// CMGTvvv_2D
-    1075845351U,	// CMGTvvv_2S
-    2150635751U,	// CMGTvvv_4H
-    3225426151U,	// CMGTvvv_4S
-    3226474727U,	// CMGTvvv_8B
-    1080039655U,	// CMGTvvv_8H
-    40903863U,	// CMHIddd
-    5303U,	// CMHIvvv_16B
-    2148537527U,	// CMHIvvv_2D
-    1075844279U,	// CMHIvvv_2S
-    2150634679U,	// CMHIvvv_4H
-    3225425079U,	// CMHIvvv_4S
-    3226473655U,	// CMHIvvv_8B
-    1080038583U,	// CMHIvvv_8H
-    40904837U,	// CMHSddd
-    6277U,	// CMHSvvv_16B
-    2148538501U,	// CMHSvvv_2D
-    1075845253U,	// CMHSvvv_2S
-    2150635653U,	// CMHSvvv_4H
-    3225426053U,	// CMHSvvv_4S
-    3226474629U,	// CMHSvvv_8B
-    1080039557U,	// CMHSvvv_8H
-    40903567U,	// CMLEddi
-    5007U,	// CMLEvvi_16B
-    2148537231U,	// CMLEvvi_2D
-    1075843983U,	// CMLEvvi_2S
-    2150634383U,	// CMLEvvi_4H
-    3225424783U,	// CMLEvvi_4S
-    3226473359U,	// CMLEvvi_8B
-    1080038287U,	// CMLEvvi_8H
-    40904953U,	// CMLTddi
-    6393U,	// CMLTvvi_16B
-    2148538617U,	// CMLTvvi_2D
-    1075845369U,	// CMLTvvi_2S
-    2150635769U,	// CMLTvvi_4H
-    3225426169U,	// CMLTvvi_4S
-    3226474745U,	// CMLTvvi_8B
-    1080039673U,	// CMLTvvi_8H
-    40904291U,	// CMNww_asr
-    40904291U,	// CMNww_lsl
-    40904291U,	// CMNww_lsr
-    40904291U,	// CMNww_sxtb
-    40904291U,	// CMNww_sxth
-    40904291U,	// CMNww_sxtw
-    40904291U,	// CMNww_sxtx
-    40904291U,	// CMNww_uxtb
-    40904291U,	// CMNww_uxth
-    40904291U,	// CMNww_uxtw
-    40904291U,	// CMNww_uxtx
-    40904291U,	// CMNxw_sxtb
-    40904291U,	// CMNxw_sxth
-    40904291U,	// CMNxw_sxtw
-    40904291U,	// CMNxw_uxtb
-    40904291U,	// CMNxw_uxth
-    40904291U,	// CMNxw_uxtw
-    40904291U,	// CMNxx_asr
-    40904291U,	// CMNxx_lsl
-    40904291U,	// CMNxx_lsr
-    40904291U,	// CMNxx_sxtx
-    40904291U,	// CMNxx_uxtx
-    40904468U,	// CMPww_asr
-    40904468U,	// CMPww_lsl
-    40904468U,	// CMPww_lsr
-    40904468U,	// CMPww_sxtb
-    40904468U,	// CMPww_sxth
-    40904468U,	// CMPww_sxtw
-    40904468U,	// CMPww_sxtx
-    40904468U,	// CMPww_uxtb
-    40904468U,	// CMPww_uxth
-    40904468U,	// CMPww_uxtw
-    40904468U,	// CMPww_uxtx
-    40904468U,	// CMPxw_sxtb
-    40904468U,	// CMPxw_sxth
-    40904468U,	// CMPxw_sxtw
-    40904468U,	// CMPxw_uxtb
-    40904468U,	// CMPxw_uxth
-    40904468U,	// CMPxw_uxtw
-    40904468U,	// CMPxx_asr
-    40904468U,	// CMPxx_lsl
-    40904468U,	// CMPxx_lsr
-    40904468U,	// CMPxx_sxtx
-    40904468U,	// CMPxx_uxtx
-    40904982U,	// CMTSTddd
-    6422U,	// CMTSTvvv_16B
-    2148538646U,	// CMTSTvvv_2D
-    1075845398U,	// CMTSTvvv_2S
-    2150635798U,	// CMTSTvvv_4H
-    3225426198U,	// CMTSTvvv_4S
-    3226474774U,	// CMTSTvvv_8B
-    1080039702U,	// CMTSTvvv_8H
-    6399U,	// CNT16b
-    1078991103U,	// CNT8b
-    40903202U,	// CRC32B_www
-    40903210U,	// CRC32CB_www
-    40903691U,	// CRC32CH_www
-    40905200U,	// CRC32CW_www
-    40905290U,	// CRC32CX_wwx
-    40903674U,	// CRC32H_www
-    40905178U,	// CRC32W_www
-    40905259U,	// CRC32X_wwx
-    40904027U,	// CSELwwwc
-    40904027U,	// CSELxxxc
-    40903439U,	// CSINCwwwc
-    40903439U,	// CSINCxxxc
-    40905132U,	// CSINVwwwc
-    40905132U,	// CSINVxxxc
-    40903660U,	// CSNEGwwwc
-    40903660U,	// CSNEGxxxc
-    8396844U,	// DCPS1i
-    8397209U,	// DCPS2i
-    8397258U,	// DCPS3i
-    25335U,	// DCix
-    29235U,	// DMBi
-    2805U,	// DRPS
-    29324U,	// DSBi
-    3254785893U,	// DUP16b
-    3255834469U,	// DUP2d
-    3256883045U,	// DUP2s
-    3257931621U,	// DUP4h
-    3258980197U,	// DUP4s
-    3260028773U,	// DUP8b
-    3261077349U,	// DUP8h
-    2147489637U,	// DUPELT16b
-    3222280037U,	// DUPELT2d
-    2103141U,	// DUPELT2s
-    1076893541U,	// DUPELT4h
-    4200293U,	// DUPELT4s
-    2152732517U,	// DUPELT8b
-    1080039269U,	// DUPELT8h
-    2154833765U,	// DUPbv_B
-    3228575589U,	// DUPdv_D
-    1081091941U,	// DUPhv_H
-    7350117U,	// DUPsv_S
-    40904296U,	// EONwww_asr
-    40904296U,	// EONwww_lsl
-    40904296U,	// EONwww_lsr
-    40904296U,	// EONwww_ror
-    40904296U,	// EONxxx_asr
-    40904296U,	// EONxxx_lsl
-    40904296U,	// EONxxx_lsr
-    40904296U,	// EONxxx_ror
-    6129U,	// EORvvv_16B
-    3226474481U,	// EORvvv_8B
-    40904689U,	// EORwwi
-    40904689U,	// EORwww_asr
-    40904689U,	// EORwww_lsl
-    40904689U,	// EORwww_lsr
-    40904689U,	// EORwww_ror
-    40904689U,	// EORxxi
-    40904689U,	// EORxxx_asr
-    40904689U,	// EORxxx_lsl
-    40904689U,	// EORxxx_lsr
-    40904689U,	// EORxxx_ror
-    2810U,	// ERET
-    40904736U,	// EXTRwwwi
-    40904736U,	// EXTRxxxi
-    6435U,	// EXTvvvi_16b
-    3226474787U,	// EXTvvvi_8b
+    553920403U,	// ADR
+    50603811U,	// ADRP
+    33567598U,	// AESDrr
+    33567656U,	// AESErr
+    4852U,	// AESIMCrr
+    4860U,	// AESMCrr
+    17049680U,	// ANDSWri
+    0U,	// ANDSWrr
+    17049680U,	// ANDSWrs
+    17049680U,	// ANDSXri
+    0U,	// ANDSXrr
+    17049680U,	// ANDSXrs
+    17048425U,	// ANDWri
+    0U,	// ANDWrr
+    17048425U,	// ANDWrs
+    17048425U,	// ANDXri
+    0U,	// ANDXrr
+    17048425U,	// ANDXrs
+    2147488617U,	// ANDv16i8
+    3759936361U,	// ANDv8i8
+    17049553U,	// ASRVWr
+    17049553U,	// ASRVXr
+    16935U,	// B
+    67380710U,	// BFMWri
+    67380710U,	// BFMXri
+    0U,	// BICSWrr
+    17049668U,	// BICSWrs
+    0U,	// BICSXrr
+    17049668U,	// BICSXrs
+    0U,	// BICWrr
+    17048303U,	// BICWrs
+    0U,	// BICXrr
+    17048303U,	// BICXrs
+    2147488495U,	// BICv16i8
+    84423407U,	// BICv2i32
+    84947695U,	// BICv4i16
+    85209839U,	// BICv4i32
+    85471983U,	// BICv8i16
+    3759936239U,	// BICv8i8
+    2147488704U,	// BIFv16i8
+    3759936448U,	// BIFv8i8
+    2181052603U,	// BITv16i8
+    3793500347U,	// BITv8i8
+    17641U,	// BL
+    2107319U,	// BLR
+    2107279U,	// BR
+    21688U,	// BRK
+    2181051810U,	// BSLv16i8
+    3793499554U,	// BSLv8i8
+    27247U,	// Bcc
+    100936257U,	// CBNZW
+    100936257U,	// CBNZX
+    100936242U,	// CBZW
+    100936242U,	// CBZX
+    17049144U,	// CCMNWi
+    17049144U,	// CCMNWr
+    17049144U,	// CCMNXi
+    17049144U,	// CCMNXr
+    17049316U,	// CCMPWi
+    17049316U,	// CCMPWr
+    17049316U,	// CCMPXi
+    17049316U,	// CCMPXr
+    2107924U,	// CLREX
+    553920604U,	// CLSWr
+    553920604U,	// CLSXr
+    6236U,	// CLSv16i8
+    1074272348U,	// CLSv2i32
+    2148538460U,	// CLSv4i16
+    2685671516U,	// CLSv4i32
+    3222804572U,	// CLSv8i16
+    3759937628U,	// CLSv8i8
+    553921084U,	// CLZWr
+    553921084U,	// CLZXr
+    6716U,	// CLZv16i8
+    1074272828U,	// CLZv2i32
+    2148538940U,	// CLZv4i16
+    2685671996U,	// CLZv4i32
+    3222805052U,	// CLZv8i16
+    3759938108U,	// CLZv8i8
+    2147489643U,	// CMEQv16i8
+    5995U,	// CMEQv16i8rz
+    17049451U,	// CMEQv1i64
+    553920363U,	// CMEQv1i64rz
+    2684884843U,	// CMEQv2i32
+    1074272107U,	// CMEQv2i32rz
+    537663339U,	// CMEQv2i64
+    1611405163U,	// CMEQv2i64rz
+    3222280043U,	// CMEQv4i16
+    2148538219U,	// CMEQv4i16rz
+    1075058539U,	// CMEQv4i32
+    2685671275U,	// CMEQv4i32rz
+    1612191595U,	// CMEQv8i16
+    3222804331U,	// CMEQv8i16rz
+    3759937387U,	// CMEQv8i8
+    3759937387U,	// CMEQv8i8rz
+    2147488636U,	// CMGEv16i8
+    4988U,	// CMGEv16i8rz
+    17048444U,	// CMGEv1i64
+    553919356U,	// CMGEv1i64rz
+    2684883836U,	// CMGEv2i32
+    1074271100U,	// CMGEv2i32rz
+    537662332U,	// CMGEv2i64
+    1611404156U,	// CMGEv2i64rz
+    3222279036U,	// CMGEv4i16
+    2148537212U,	// CMGEv4i16rz
+    1075057532U,	// CMGEv4i32
+    2685670268U,	// CMGEv4i32rz
+    1612190588U,	// CMGEv8i16
+    3222803324U,	// CMGEv8i16rz
+    3759936380U,	// CMGEv8i8
+    3759936380U,	// CMGEv8i8rz
+    2147489972U,	// CMGTv16i8
+    6324U,	// CMGTv16i8rz
+    17049780U,	// CMGTv1i64
+    553920692U,	// CMGTv1i64rz
+    2684885172U,	// CMGTv2i32
+    1074272436U,	// CMGTv2i32rz
+    537663668U,	// CMGTv2i64
+    1611405492U,	// CMGTv2i64rz
+    3222280372U,	// CMGTv4i16
+    2148538548U,	// CMGTv4i16rz
+    1075058868U,	// CMGTv4i32
+    2685671604U,	// CMGTv4i32rz
+    1612191924U,	// CMGTv8i16
+    3222804660U,	// CMGTv8i16rz
+    3759937716U,	// CMGTv8i8
+    3759937716U,	// CMGTv8i8rz
+    2147488916U,	// CMHIv16i8
+    17048724U,	// CMHIv1i64
+    2684884116U,	// CMHIv2i32
+    537662612U,	// CMHIv2i64
+    3222279316U,	// CMHIv4i16
+    1075057812U,	// CMHIv4i32
+    1612190868U,	// CMHIv8i16
+    3759936660U,	// CMHIv8i8
+    2147489878U,	// CMHSv16i8
+    17049686U,	// CMHSv1i64
+    2684885078U,	// CMHSv2i32
+    537663574U,	// CMHSv2i64
+    3222280278U,	// CMHSv4i16
+    1075058774U,	// CMHSv4i32
+    1612191830U,	// CMHSv8i16
+    3759937622U,	// CMHSv8i8
+    4995U,	// CMLEv16i8rz
+    553919363U,	// CMLEv1i64rz
+    1074271107U,	// CMLEv2i32rz
+    1611404163U,	// CMLEv2i64rz
+    2148537219U,	// CMLEv4i16rz
+    2685670275U,	// CMLEv4i32rz
+    3222803331U,	// CMLEv8i16rz
+    3759936387U,	// CMLEv8i8rz
+    6342U,	// CMLTv16i8rz
+    553920710U,	// CMLTv1i64rz
+    1074272454U,	// CMLTv2i32rz
+    1611405510U,	// CMLTv2i64rz
+    2148538566U,	// CMLTv4i16rz
+    2685671622U,	// CMLTv4i32rz
+    3222804678U,	// CMLTv8i16rz
+    3759937734U,	// CMLTv8i8rz
+    2147490013U,	// CMTSTv16i8
+    17049821U,	// CMTSTv1i64
+    2684885213U,	// CMTSTv2i32
+    537663709U,	// CMTSTv2i64
+    3222280413U,	// CMTSTv4i16
+    1075058909U,	// CMTSTv4i32
+    1612191965U,	// CMTSTv8i16
+    3759937757U,	// CMTSTv8i8
+    6348U,	// CNTv16i8
+    3759937740U,	// CNTv8i8
+    272763U,	// CPYi16
+    537143675U,	// CPYi32
+    1074014587U,	// CPYi64
+    1610885499U,	// CPYi8
+    17048098U,	// CRC32Brr
+    17048106U,	// CRC32CBrr
+    17048575U,	// CRC32CHrr
+    17050039U,	// CRC32CWrr
+    17050123U,	// CRC32CXrr
+    17048558U,	// CRC32Hrr
+    17050017U,	// CRC32Wrr
+    17050092U,	// CRC32Xrr
+    17048888U,	// CSELWr
+    17048888U,	// CSELXr
+    17048323U,	// CSINCWr
+    17048323U,	// CSINCXr
+    17049971U,	// CSINVWr
+    17049971U,	// CSINVXr
+    17048544U,	// CSNEGWr
+    17048544U,	// CSNEGXr
+    20524U,	// DCPS1
+    20889U,	// DCPS2
+    20938U,	// DCPS3
+    29235U,	// DMB
+    2719U,	// DRPS
+    29324U,	// DSB
+    553654070U,	// DUPv16i8gpr
+    1610618678U,	// DUPv16i8lane
+    554178358U,	// DUPv2i32gpr
+    537401142U,	// DUPv2i32lane
+    554440502U,	// DUPv2i64gpr
+    1074534198U,	// DUPv2i64lane
+    554702646U,	// DUPv4i16gpr
+    1054518U,	// DUPv4i16lane
+    554964790U,	// DUPv4i32gpr
+    538187574U,	// DUPv4i32lane
+    555226934U,	// DUPv8i16gpr
+    1578806U,	// DUPv8i16lane
+    555489078U,	// DUPv8i8gpr
+    1612453686U,	// DUPv8i8lane
+    0U,	// EONWrr
+    17049150U,	// EONWrs
+    0U,	// EONXrr
+    17049150U,	// EONXrs
+    17049538U,	// EORWri
+    0U,	// EORWrr
+    17049538U,	// EORWrs
+    17049538U,	// EORXri
+    0U,	// EORXrr
+    17049538U,	// EORXrs
+    2147489730U,	// EORv16i8
+    3759937474U,	// EORv8i8
+    2724U,	// ERET
+    17049585U,	// EXTRWrri
+    17049585U,	// EXTRXrri
+    2147490026U,	// EXTv16i8
+    3759937770U,	// EXTv8i8
     0U,	// F128CSEL
-    40903456U,	// FABDddd
-    40903456U,	// FABDsss
-    2148537120U,	// FABDvvv_2D
-    1075843872U,	// FABDvvv_2S
-    3225424672U,	// FABDvvv_4S
-    1074796628U,	// FABS2d
-    2149587028U,	// FABS2s
-    4200532U,	// FABS4s
-    3262130260U,	// FABSdd
-    3262130260U,	// FABSss
-    40903552U,	// FACGEddd
-    40903552U,	// FACGEsss
-    2148537216U,	// FACGEvvv_2D
-    1075843968U,	// FACGEvvv_2S
-    3225424768U,	// FACGEvvv_4S
-    40904927U,	// FACGTddd
-    40904927U,	// FACGTsss
-    2148538591U,	// FACGTvvv_2D
-    1075845343U,	// FACGTvvv_2S
-    3225426143U,	// FACGTvvv_4S
-    2148538086U,	// FADDP_2D
-    1075844838U,	// FADDP_2S
-    3225425638U,	// FADDP_4S
-    1081091814U,	// FADDPvv_D_2D
-    2154833638U,	// FADDPvv_S_2S
-    40903474U,	// FADDddd
-    40903474U,	// FADDsss
-    2148537138U,	// FADDvvv_2D
-    1075843890U,	// FADDvvv_2S
-    3225424690U,	// FADDvvv_4S
-    40903589U,	// FCCMPEdd
-    40903589U,	// FCCMPEss
-    40904466U,	// FCCMPdd
-    40904466U,	// FCCMPss
-    40904601U,	// FCMEQZddi
-    40904601U,	// FCMEQZssi
-    40904601U,	// FCMEQddd
-    40904601U,	// FCMEQsss
-    2148538265U,	// FCMEQvvi_2D
-    1075845017U,	// FCMEQvvi_2S
-    3225425817U,	// FCMEQvvi_4S
-    2148538265U,	// FCMEQvvv_2D
-    1075845017U,	// FCMEQvvv_2S
-    3225425817U,	// FCMEQvvv_4S
-    40903559U,	// FCMGEZddi
-    40903559U,	// FCMGEZssi
-    40903559U,	// FCMGEddd
-    40903559U,	// FCMGEsss
-    2148537223U,	// FCMGEvvi_2D
-    1075843975U,	// FCMGEvvi_2S
-    3225424775U,	// FCMGEvvi_4S
-    2148537223U,	// FCMGEvvv_2D
-    1075843975U,	// FCMGEvvv_2S
-    3225424775U,	// FCMGEvvv_4S
-    40904934U,	// FCMGTZddi
-    40904934U,	// FCMGTZssi
-    40904934U,	// FCMGTddd
-    40904934U,	// FCMGTsss
-    2148538598U,	// FCMGTvvi_2D
-    1075845350U,	// FCMGTvvi_2S
-    3225426150U,	// FCMGTvvi_4S
-    2148538598U,	// FCMGTvvv_2D
-    1075845350U,	// FCMGTvvv_2S
-    3225426150U,	// FCMGTvvv_4S
-    40903566U,	// FCMLEZddi
-    40903566U,	// FCMLEZssi
-    2148537230U,	// FCMLEvvi_2D
-    1075843982U,	// FCMLEvvi_2S
-    3225424782U,	// FCMLEvvi_4S
-    40904952U,	// FCMLTZddi
-    40904952U,	// FCMLTZssi
-    2148538616U,	// FCMLTvvi_2D
-    1075845368U,	// FCMLTvvi_2S
-    3225426168U,	// FCMLTvvi_4S
-    3262129945U,	// FCMPdd_quiet
-    3262129069U,	// FCMPdd_sig
-    342894361U,	// FCMPdi_quiet
-    342893485U,	// FCMPdi_sig
-    342894361U,	// FCMPsi_quiet
-    342893485U,	// FCMPsi_sig
-    3262129945U,	// FCMPss_quiet
-    3262129069U,	// FCMPss_sig
-    40904026U,	// FCSELdddc
-    40904026U,	// FCSELsssc
-    1074796620U,	// FCVTAS_2d
-    2149587020U,	// FCVTAS_2s
-    4200524U,	// FCVTAS_4s
-    3262130252U,	// FCVTASdd
-    3262130252U,	// FCVTASss
-    3262130252U,	// FCVTASwd
-    3262130252U,	// FCVTASws
-    3262130252U,	// FCVTASxd
-    3262130252U,	// FCVTASxs
-    1074796840U,	// FCVTAU_2d
-    2149587240U,	// FCVTAU_2s
-    4200744U,	// FCVTAU_4s
-    3262130472U,	// FCVTAUdd
-    3262130472U,	// FCVTAUss
-    3262130472U,	// FCVTAUwd
-    3262130472U,	// FCVTAUws
-    3262130472U,	// FCVTAUxd
-    3262130472U,	// FCVTAUxs
-    2148537838U,	// FCVTL2s2d
-    3225425390U,	// FCVTL4h4s
-    1052936U,	// FCVTL4s2d
-    2151682312U,	// FCVTL8h4s
-    1074796694U,	// FCVTMS_2d
-    2149587094U,	// FCVTMS_2s
-    4200598U,	// FCVTMS_4s
-    3262130326U,	// FCVTMSdd
-    3262130326U,	// FCVTMSss
-    3262130326U,	// FCVTMSwd
-    3262130326U,	// FCVTMSws
-    3262130326U,	// FCVTMSxd
-    3262130326U,	// FCVTMSxs
-    1074796856U,	// FCVTMU_2d
-    2149587256U,	// FCVTMU_2s
-    4200760U,	// FCVTMU_4s
-    3262130488U,	// FCVTMUdd
-    3262130488U,	// FCVTMUss
-    3262130488U,	// FCVTMUwd
-    3262130488U,	// FCVTMUws
-    3262130488U,	// FCVTMUxd
-    3262130488U,	// FCVTMUxs
-    1075844764U,	// FCVTN2d2s
-    1145049422U,	// FCVTN2d4s
-    3151516U,	// FCVTN4s4h
-    73404750U,	// FCVTN4s8h
-    1074796707U,	// FCVTNS_2d
-    2149587107U,	// FCVTNS_2s
-    4200611U,	// FCVTNS_4s
-    3262130339U,	// FCVTNSdd
-    3262130339U,	// FCVTNSss
-    3262130339U,	// FCVTNSwd
-    3262130339U,	// FCVTNSws
-    3262130339U,	// FCVTNSxd
-    3262130339U,	// FCVTNSxs
-    1074796864U,	// FCVTNU_2d
-    2149587264U,	// FCVTNU_2s
-    4200768U,	// FCVTNU_4s
-    3262130496U,	// FCVTNUdd
-    3262130496U,	// FCVTNUss
-    3262130496U,	// FCVTNUwd
-    3262130496U,	// FCVTNUws
-    3262130496U,	// FCVTNUxd
-    3262130496U,	// FCVTNUxs
-    1074796723U,	// FCVTPS_2d
-    2149587123U,	// FCVTPS_2s
-    4200627U,	// FCVTPS_4s
-    3262130355U,	// FCVTPSdd
-    3262130355U,	// FCVTPSss
-    3262130355U,	// FCVTPSwd
-    3262130355U,	// FCVTPSws
-    3262130355U,	// FCVTPSxd
-    3262130355U,	// FCVTPSxs
-    1074796872U,	// FCVTPU_2d
-    2149587272U,	// FCVTPU_2s
-    4200776U,	// FCVTPU_4s
-    3262130504U,	// FCVTPUdd
-    3262130504U,	// FCVTPUss
-    3262130504U,	// FCVTPUwd
-    3262130504U,	// FCVTPUws
-    3262130504U,	// FCVTPUxd
-    3262130504U,	// FCVTPUxs
-    3262129879U,	// FCVTXN
-    1075844823U,	// FCVTXN2d2s
-    1145049476U,	// FCVTXN2d4s
-    1074796750U,	// FCVTZS_2d
-    2149587150U,	// FCVTZS_2s
-    4200654U,	// FCVTZS_4s
-    40904910U,	// FCVTZS_Nddi
-    40904910U,	// FCVTZS_Nssi
-    3262130382U,	// FCVTZSdd
-    3262130382U,	// FCVTZSss
-    3262130382U,	// FCVTZSwd
-    40904910U,	// FCVTZSwdi
-    3262130382U,	// FCVTZSws
-    40904910U,	// FCVTZSwsi
-    3262130382U,	// FCVTZSxd
-    40904910U,	// FCVTZSxdi
-    3262130382U,	// FCVTZSxs
-    40904910U,	// FCVTZSxsi
-    1074796880U,	// FCVTZU_2d
-    2149587280U,	// FCVTZU_2s
-    4200784U,	// FCVTZU_4s
-    40905040U,	// FCVTZU_Nddi
-    40905040U,	// FCVTZU_Nssi
-    3262130512U,	// FCVTZUdd
-    3262130512U,	// FCVTZUss
-    3262130512U,	// FCVTZUwd
-    40905040U,	// FCVTZUwdi
-    3262130512U,	// FCVTZUws
-    40905040U,	// FCVTZUwsi
-    3262130512U,	// FCVTZUxd
-    40905040U,	// FCVTZUxdi
-    3262130512U,	// FCVTZUxs
-    40905040U,	// FCVTZUxsi
-    3262130461U,	// FCVTdh
-    3262130461U,	// FCVTds
-    3262130461U,	// FCVThd
-    3262130461U,	// FCVThs
-    3262130461U,	// FCVTsd
-    3262130461U,	// FCVTsh
-    40905059U,	// FDIVddd
-    40905059U,	// FDIVsss
-    2148538723U,	// FDIVvvv_2D
-    1075845475U,	// FDIVvvv_2S
-    3225426275U,	// FDIVvvv_4S
-    40903510U,	// FMADDdddd
-    40903510U,	// FMADDssss
-    1081091880U,	// FMAXNMPvv_D_2D
-    2154833704U,	// FMAXNMPvv_S_2S
-    2148538152U,	// FMAXNMPvvv_2D
-    1075844904U,	// FMAXNMPvvv_2S
-    3225425704U,	// FMAXNMPvvv_4S
-    7350670U,	// FMAXNMV_1s4s
-    40904233U,	// FMAXNMddd
-    40904233U,	// FMAXNMsss
-    2148537897U,	// FMAXNMvvv_2D
-    1075844649U,	// FMAXNMvvv_2S
-    3225425449U,	// FMAXNMvvv_4S
-    1081091953U,	// FMAXPvv_D_2D
-    2154833777U,	// FMAXPvv_S_2S
-    2148538225U,	// FMAXPvvv_2D
-    1075844977U,	// FMAXPvvv_2S
-    3225425777U,	// FMAXPvvv_4S
-    7350725U,	// FMAXV_1s4s
-    40905267U,	// FMAXddd
-    40905267U,	// FMAXsss
-    2148538931U,	// FMAXvvv_2D
-    1075845683U,	// FMAXvvv_2S
-    3225426483U,	// FMAXvvv_4S
-    1081091871U,	// FMINNMPvv_D_2D
-    2154833695U,	// FMINNMPvv_S_2S
-    2148538143U,	// FMINNMPvvv_2D
-    1075844895U,	// FMINNMPvvv_2S
-    3225425695U,	// FMINNMPvvv_4S
-    7350661U,	// FMINNMV_1s4s
-    40904225U,	// FMINNMddd
-    40904225U,	// FMINNMsss
-    2148537889U,	// FMINNMvvv_2D
-    1075844641U,	// FMINNMvvv_2S
-    3225425441U,	// FMINNMvvv_4S
-    1081091895U,	// FMINPvv_D_2D
-    2154833719U,	// FMINPvv_S_2S
-    2148538167U,	// FMINPvvv_2D
-    1075844919U,	// FMINPvvv_2S
-    3225425719U,	// FMINPvvv_4S
-    7350679U,	// FMINV_1s4s
-    40904272U,	// FMINddd
-    40904272U,	// FMINsss
-    2148537936U,	// FMINvvv_2D
-    1075844688U,	// FMINvvv_2S
-    3225425488U,	// FMINvvv_4S
-    242229754U,	// FMLAddv_2D
-    242229754U,	// FMLAssv_4S
-    2215645690U,	// FMLAvve_2d2d
-    1142952442U,	// FMLAvve_2s4s
-    3292533242U,	// FMLAvve_4s4s
-    2215645690U,	// FMLAvvv_2D
-    1142952442U,	// FMLAvvv_2S
-    3292533242U,	// FMLAvvv_4S
-    242231440U,	// FMLSddv_2D
-    242231440U,	// FMLSssv_4S
-    2215647376U,	// FMLSvve_2d2d
-    1142954128U,	// FMLSvve_2s4s
-    3292534928U,	// FMLSvve_4s4s
-    2215647376U,	// FMLSvvv_2D
-    1142954128U,	// FMLSvvv_2S
-    3292534928U,	// FMLSvvv_4S
-    3262130611U,	// FMOVdd
-    376449459U,	// FMOVdi
-    3262130611U,	// FMOVdx
-    376449459U,	// FMOVsi
-    3262130611U,	// FMOVss
-    3262130611U,	// FMOVsw
-    370153907U,	// FMOVvi_2D
-    371202483U,	// FMOVvi_2S
-    373299635U,	// FMOVvi_4S
-    412096947U,	// FMOVvx
-    3262130611U,	// FMOVws
-    3262130611U,	// FMOVxd
-    3228576179U,	// FMOVxv
-    40903373U,	// FMSUBdddd
-    40903373U,	// FMSUBssss
-    40905318U,	// FMULXddd
-    40905318U,	// FMULXddv_2D
-    40905318U,	// FMULXsss
-    40905318U,	// FMULXssv_4S
-    2148538982U,	// FMULXve_2d2d
-    1075845734U,	// FMULXve_2s4s
-    3225426534U,	// FMULXve_4s4s
-    2148538982U,	// FMULXvvv_2D
-    1075845734U,	// FMULXvvv_2S
-    3225426534U,	// FMULXvvv_4S
-    40904181U,	// FMULddd
-    40904181U,	// FMULddv_2D
-    40904181U,	// FMULsss
-    40904181U,	// FMULssv_4S
-    2148537845U,	// FMULve_2d2d
-    1075844597U,	// FMULve_2s4s
-    3225425397U,	// FMULve_4s4s
-    2148537845U,	// FMULvvv_2D
-    1075844597U,	// FMULvvv_2S
-    3225425397U,	// FMULvvv_4S
-    1074795487U,	// FNEG2d
-    2149585887U,	// FNEG2s
-    4199391U,	// FNEG4s
-    3262129119U,	// FNEGdd
-    3262129119U,	// FNEGss
-    40903517U,	// FNMADDdddd
-    40903517U,	// FNMADDssss
-    40903380U,	// FNMSUBdddd
-    40903380U,	// FNMSUBssss
-    40904187U,	// FNMULddd
-    40904187U,	// FNMULsss
-    1074795413U,	// FRECPE_2d
-    2149585813U,	// FRECPE_2s
-    4199317U,	// FRECPE_4s
-    3262129045U,	// FRECPEdd
-    3262129045U,	// FRECPEss
-    40904875U,	// FRECPSddd
-    40904875U,	// FRECPSsss
-    2148538539U,	// FRECPSvvv_2D
-    1075845291U,	// FRECPSvvv_2S
-    3225426091U,	// FRECPSvvv_4S
-    3262130797U,	// FRECPXdd
-    3262130797U,	// FRECPXss
-    1074795034U,	// FRINTA_2d
-    2149585434U,	// FRINTA_2s
-    4198938U,	// FRINTA_4s
-    3262128666U,	// FRINTAdd
-    3262128666U,	// FRINTAss
-    1074795725U,	// FRINTI_2d
-    2149586125U,	// FRINTI_2s
-    4199629U,	// FRINTI_4s
-    3262129357U,	// FRINTIdd
-    3262129357U,	// FRINTIss
-    1074796081U,	// FRINTM_2d
-    2149586481U,	// FRINTM_2s
-    4199985U,	// FRINTM_4s
-    3262129713U,	// FRINTMdd
-    3262129713U,	// FRINTMss
-    1074796180U,	// FRINTN_2d
-    2149586580U,	// FRINTN_2s
-    4200084U,	// FRINTN_4s
-    3262129812U,	// FRINTNdd
-    3262129812U,	// FRINTNss
-    1074796376U,	// FRINTP_2d
-    2149586776U,	// FRINTP_2s
-    4200280U,	// FRINTP_4s
-    3262130008U,	// FRINTPdd
-    3262130008U,	// FRINTPss
-    1074797173U,	// FRINTX_2d
-    2149587573U,	// FRINTX_2s
-    4201077U,	// FRINTX_4s
-    3262130805U,	// FRINTXdd
-    3262130805U,	// FRINTXss
-    1074797222U,	// FRINTZ_2d
-    2149587622U,	// FRINTZ_2s
-    4201126U,	// FRINTZ_4s
-    3262130854U,	// FRINTZdd
-    3262130854U,	// FRINTZss
-    1074795450U,	// FRSQRTE_2d
-    2149585850U,	// FRSQRTE_2s
-    4199354U,	// FRSQRTE_4s
-    3262129082U,	// FRSQRTEdd
-    3262129082U,	// FRSQRTEss
-    40904896U,	// FRSQRTSddd
-    40904896U,	// FRSQRTSsss
-    2148538560U,	// FRSQRTSvvv_2D
-    1075845312U,	// FRSQRTSvvv_2S
-    3225426112U,	// FRSQRTSvvv_4S
-    1074796815U,	// FSQRT_2d
-    2149587215U,	// FSQRT_2s
-    4200719U,	// FSQRT_4s
-    3262130447U,	// FSQRTdd
-    3262130447U,	// FSQRTss
-    40903353U,	// FSUBddd
-    40903353U,	// FSUBsss
-    2148537017U,	// FSUBvvv_2D
-    1075843769U,	// FSUBvvv_2S
-    3225424569U,	// FSUBvvv_4S
-    8399108U,	// HINTi
-    8399091U,	// HLTi
-    8397590U,	// HVCi
-    8422140U,	// ICi
-    3262153468U,	// ICix
-    2225084574U,	// INSELb
-    2593134750U,	// INSELd
-    1152391326U,	// INSELh
-    79698078U,	// INSELs
-    3466598558U,	// INSbw
-    3666876574U,	// INSdx
-    3467647134U,	// INShw
-    3468695710U,	// INSsw
-    37521U,	// ISBi
-    13672469U,	// LD1LN_B
-    13676565U,	// LD1LN_D
-    13680661U,	// LD1LN_H
-    13684757U,	// LD1LN_S
-    14721045U,	// LD1LN_WB_B_fixed
-    14721045U,	// LD1LN_WB_B_register
-    14725141U,	// LD1LN_WB_D_fixed
-    14725141U,	// LD1LN_WB_D_register
-    14729237U,	// LD1LN_WB_H_fixed
-    14729237U,	// LD1LN_WB_H_register
-    14733333U,	// LD1LN_WB_S_fixed
-    14733333U,	// LD1LN_WB_S_register
-    15787936U,	// LD1R_16B
-    15792032U,	// LD1R_1D
-    15796128U,	// LD1R_2D
-    15800224U,	// LD1R_2S
-    15804320U,	// LD1R_4H
-    15808416U,	// LD1R_4S
-    15812512U,	// LD1R_8B
-    15816608U,	// LD1R_8H
-    16836512U,	// LD1R_WB_16B_fixed
-    16836512U,	// LD1R_WB_16B_register
-    16840608U,	// LD1R_WB_1D_fixed
-    16840608U,	// LD1R_WB_1D_register
-    16844704U,	// LD1R_WB_2D_fixed
-    16844704U,	// LD1R_WB_2D_register
-    16848800U,	// LD1R_WB_2S_fixed
-    16848800U,	// LD1R_WB_2S_register
-    16852896U,	// LD1R_WB_4H_fixed
-    16852896U,	// LD1R_WB_4H_register
-    16856992U,	// LD1R_WB_4S_fixed
-    16856992U,	// LD1R_WB_4S_register
-    16861088U,	// LD1R_WB_8B_fixed
-    16861088U,	// LD1R_WB_8B_register
-    16865184U,	// LD1R_WB_8H_fixed
-    16865184U,	// LD1R_WB_8H_register
-    16834581U,	// LD1WB_16B_fixed
-    16834581U,	// LD1WB_16B_register
-    16838677U,	// LD1WB_1D_fixed
-    16838677U,	// LD1WB_1D_register
-    16842773U,	// LD1WB_2D_fixed
-    16842773U,	// LD1WB_2D_register
-    16846869U,	// LD1WB_2S_fixed
-    16846869U,	// LD1WB_2S_register
-    16850965U,	// LD1WB_4H_fixed
-    16850965U,	// LD1WB_4H_register
-    16855061U,	// LD1WB_4S_fixed
-    16855061U,	// LD1WB_4S_register
-    16859157U,	// LD1WB_8B_fixed
-    16859157U,	// LD1WB_8B_register
-    16863253U,	// LD1WB_8H_fixed
-    16863253U,	// LD1WB_8H_register
-    15786005U,	// LD1_16B
-    15790101U,	// LD1_1D
-    15794197U,	// LD1_2D
-    15798293U,	// LD1_2S
-    15802389U,	// LD1_4H
-    15806485U,	// LD1_4S
-    15810581U,	// LD1_8B
-    15814677U,	// LD1_8H
-    16867349U,	// LD1x2WB_16B_fixed
-    16867349U,	// LD1x2WB_16B_register
-    16871445U,	// LD1x2WB_1D_fixed
-    16871445U,	// LD1x2WB_1D_register
-    16875541U,	// LD1x2WB_2D_fixed
-    16875541U,	// LD1x2WB_2D_register
-    16879637U,	// LD1x2WB_2S_fixed
-    16879637U,	// LD1x2WB_2S_register
-    16883733U,	// LD1x2WB_4H_fixed
-    16883733U,	// LD1x2WB_4H_register
-    16887829U,	// LD1x2WB_4S_fixed
-    16887829U,	// LD1x2WB_4S_register
-    16891925U,	// LD1x2WB_8B_fixed
-    16891925U,	// LD1x2WB_8B_register
-    16896021U,	// LD1x2WB_8H_fixed
-    16896021U,	// LD1x2WB_8H_register
-    15818773U,	// LD1x2_16B
-    15822869U,	// LD1x2_1D
-    15826965U,	// LD1x2_2D
-    15831061U,	// LD1x2_2S
-    15835157U,	// LD1x2_4H
-    15839253U,	// LD1x2_4S
-    15843349U,	// LD1x2_8B
-    15847445U,	// LD1x2_8H
-    16900117U,	// LD1x3WB_16B_fixed
-    16900117U,	// LD1x3WB_16B_register
-    16904213U,	// LD1x3WB_1D_fixed
-    16904213U,	// LD1x3WB_1D_register
-    16908309U,	// LD1x3WB_2D_fixed
-    16908309U,	// LD1x3WB_2D_register
-    16912405U,	// LD1x3WB_2S_fixed
-    16912405U,	// LD1x3WB_2S_register
-    16916501U,	// LD1x3WB_4H_fixed
-    16916501U,	// LD1x3WB_4H_register
-    16920597U,	// LD1x3WB_4S_fixed
-    16920597U,	// LD1x3WB_4S_register
-    16924693U,	// LD1x3WB_8B_fixed
-    16924693U,	// LD1x3WB_8B_register
-    16928789U,	// LD1x3WB_8H_fixed
-    16928789U,	// LD1x3WB_8H_register
-    15851541U,	// LD1x3_16B
-    15855637U,	// LD1x3_1D
-    15859733U,	// LD1x3_2D
-    15863829U,	// LD1x3_2S
-    15867925U,	// LD1x3_4H
-    15872021U,	// LD1x3_4S
-    15876117U,	// LD1x3_8B
-    15880213U,	// LD1x3_8H
-    16932885U,	// LD1x4WB_16B_fixed
-    16932885U,	// LD1x4WB_16B_register
-    16936981U,	// LD1x4WB_1D_fixed
-    16936981U,	// LD1x4WB_1D_register
-    16941077U,	// LD1x4WB_2D_fixed
-    16941077U,	// LD1x4WB_2D_register
-    16945173U,	// LD1x4WB_2S_fixed
-    16945173U,	// LD1x4WB_2S_register
-    16949269U,	// LD1x4WB_4H_fixed
-    16949269U,	// LD1x4WB_4H_register
-    16953365U,	// LD1x4WB_4S_fixed
-    16953365U,	// LD1x4WB_4S_register
-    16957461U,	// LD1x4WB_8B_fixed
-    16957461U,	// LD1x4WB_8B_register
-    16961557U,	// LD1x4WB_8H_fixed
-    16961557U,	// LD1x4WB_8H_register
-    15884309U,	// LD1x4_16B
-    15888405U,	// LD1x4_1D
-    15892501U,	// LD1x4_2D
-    15896597U,	// LD1x4_2S
-    15900693U,	// LD1x4_4H
-    15904789U,	// LD1x4_4S
-    15908885U,	// LD1x4_8B
-    15912981U,	// LD1x4_8H
-    13819987U,	// LD2LN_B
-    13824083U,	// LD2LN_D
-    13828179U,	// LD2LN_H
-    13832275U,	// LD2LN_S
-    14868563U,	// LD2LN_WB_B_fixed
-    14868563U,	// LD2LN_WB_B_register
-    14872659U,	// LD2LN_WB_D_fixed
-    14872659U,	// LD2LN_WB_D_register
-    14876755U,	// LD2LN_WB_H_fixed
-    14876755U,	// LD2LN_WB_H_register
-    14880851U,	// LD2LN_WB_S_fixed
-    14880851U,	// LD2LN_WB_S_register
-    15820710U,	// LD2R_16B
-    15824806U,	// LD2R_1D
-    15828902U,	// LD2R_2D
-    15832998U,	// LD2R_2S
-    15837094U,	// LD2R_4H
-    15841190U,	// LD2R_4S
-    15845286U,	// LD2R_8B
-    15849382U,	// LD2R_8H
-    16869286U,	// LD2R_WB_16B_fixed
-    16869286U,	// LD2R_WB_16B_register
-    16873382U,	// LD2R_WB_1D_fixed
-    16873382U,	// LD2R_WB_1D_register
-    16877478U,	// LD2R_WB_2D_fixed
-    16877478U,	// LD2R_WB_2D_register
-    16881574U,	// LD2R_WB_2S_fixed
-    16881574U,	// LD2R_WB_2S_register
-    16885670U,	// LD2R_WB_4H_fixed
-    16885670U,	// LD2R_WB_4H_register
-    16889766U,	// LD2R_WB_4S_fixed
-    16889766U,	// LD2R_WB_4S_register
-    16893862U,	// LD2R_WB_8B_fixed
-    16893862U,	// LD2R_WB_8B_register
-    16897958U,	// LD2R_WB_8H_fixed
-    16897958U,	// LD2R_WB_8H_register
-    16867411U,	// LD2WB_16B_fixed
-    16867411U,	// LD2WB_16B_register
-    16875603U,	// LD2WB_2D_fixed
-    16875603U,	// LD2WB_2D_register
-    16879699U,	// LD2WB_2S_fixed
-    16879699U,	// LD2WB_2S_register
-    16883795U,	// LD2WB_4H_fixed
-    16883795U,	// LD2WB_4H_register
-    16887891U,	// LD2WB_4S_fixed
-    16887891U,	// LD2WB_4S_register
-    16891987U,	// LD2WB_8B_fixed
-    16891987U,	// LD2WB_8B_register
-    16896083U,	// LD2WB_8H_fixed
-    16896083U,	// LD2WB_8H_register
-    15818835U,	// LD2_16B
-    15827027U,	// LD2_2D
-    15831123U,	// LD2_2S
-    15835219U,	// LD2_4H
-    15839315U,	// LD2_4S
-    15843411U,	// LD2_8B
-    15847507U,	// LD2_8H
-    13836741U,	// LD3LN_B
-    13840837U,	// LD3LN_D
-    13844933U,	// LD3LN_H
-    13849029U,	// LD3LN_S
-    14885317U,	// LD3LN_WB_B_fixed
-    14885317U,	// LD3LN_WB_B_register
-    14889413U,	// LD3LN_WB_D_fixed
-    14889413U,	// LD3LN_WB_D_register
-    14893509U,	// LD3LN_WB_H_fixed
-    14893509U,	// LD3LN_WB_H_register
-    14897605U,	// LD3LN_WB_S_fixed
-    14897605U,	// LD3LN_WB_S_register
-    15853484U,	// LD3R_16B
-    15857580U,	// LD3R_1D
-    15861676U,	// LD3R_2D
-    15865772U,	// LD3R_2S
-    15869868U,	// LD3R_4H
-    15873964U,	// LD3R_4S
-    15878060U,	// LD3R_8B
-    15882156U,	// LD3R_8H
-    16902060U,	// LD3R_WB_16B_fixed
-    16902060U,	// LD3R_WB_16B_register
-    16906156U,	// LD3R_WB_1D_fixed
-    16906156U,	// LD3R_WB_1D_register
-    16910252U,	// LD3R_WB_2D_fixed
-    16910252U,	// LD3R_WB_2D_register
-    16914348U,	// LD3R_WB_2S_fixed
-    16914348U,	// LD3R_WB_2S_register
-    16918444U,	// LD3R_WB_4H_fixed
-    16918444U,	// LD3R_WB_4H_register
-    16922540U,	// LD3R_WB_4S_fixed
-    16922540U,	// LD3R_WB_4S_register
-    16926636U,	// LD3R_WB_8B_fixed
-    16926636U,	// LD3R_WB_8B_register
-    16930732U,	// LD3R_WB_8H_fixed
-    16930732U,	// LD3R_WB_8H_register
-    16900549U,	// LD3WB_16B_fixed
-    16900549U,	// LD3WB_16B_register
-    16908741U,	// LD3WB_2D_fixed
-    16908741U,	// LD3WB_2D_register
-    16912837U,	// LD3WB_2S_fixed
-    16912837U,	// LD3WB_2S_register
-    16916933U,	// LD3WB_4H_fixed
-    16916933U,	// LD3WB_4H_register
-    16921029U,	// LD3WB_4S_fixed
-    16921029U,	// LD3WB_4S_register
-    16925125U,	// LD3WB_8B_fixed
-    16925125U,	// LD3WB_8B_register
-    16929221U,	// LD3WB_8H_fixed
-    16929221U,	// LD3WB_8H_register
-    15851973U,	// LD3_16B
-    15860165U,	// LD3_2D
-    15864261U,	// LD3_2S
-    15868357U,	// LD3_4H
-    15872453U,	// LD3_4S
-    15876549U,	// LD3_8B
-    15880645U,	// LD3_8H
-    13853149U,	// LD4LN_B
-    13857245U,	// LD4LN_D
-    13861341U,	// LD4LN_H
-    13865437U,	// LD4LN_S
-    14901725U,	// LD4LN_WB_B_fixed
-    14901725U,	// LD4LN_WB_B_register
-    14905821U,	// LD4LN_WB_D_fixed
-    14905821U,	// LD4LN_WB_D_register
-    14909917U,	// LD4LN_WB_H_fixed
-    14909917U,	// LD4LN_WB_H_register
-    14914013U,	// LD4LN_WB_S_fixed
-    14914013U,	// LD4LN_WB_S_register
-    15886258U,	// LD4R_16B
-    15890354U,	// LD4R_1D
-    15894450U,	// LD4R_2D
-    15898546U,	// LD4R_2S
-    15902642U,	// LD4R_4H
-    15906738U,	// LD4R_4S
-    15910834U,	// LD4R_8B
-    15914930U,	// LD4R_8H
-    16934834U,	// LD4R_WB_16B_fixed
-    16934834U,	// LD4R_WB_16B_register
-    16938930U,	// LD4R_WB_1D_fixed
-    16938930U,	// LD4R_WB_1D_register
-    16943026U,	// LD4R_WB_2D_fixed
-    16943026U,	// LD4R_WB_2D_register
-    16947122U,	// LD4R_WB_2S_fixed
-    16947122U,	// LD4R_WB_2S_register
-    16951218U,	// LD4R_WB_4H_fixed
-    16951218U,	// LD4R_WB_4H_register
-    16955314U,	// LD4R_WB_4S_fixed
-    16955314U,	// LD4R_WB_4S_register
-    16959410U,	// LD4R_WB_8B_fixed
-    16959410U,	// LD4R_WB_8B_register
-    16963506U,	// LD4R_WB_8H_fixed
-    16963506U,	// LD4R_WB_8H_register
-    16933341U,	// LD4WB_16B_fixed
-    16933341U,	// LD4WB_16B_register
-    16941533U,	// LD4WB_2D_fixed
-    16941533U,	// LD4WB_2D_register
-    16945629U,	// LD4WB_2S_fixed
-    16945629U,	// LD4WB_2S_register
-    16949725U,	// LD4WB_4H_fixed
-    16949725U,	// LD4WB_4H_register
-    16953821U,	// LD4WB_4S_fixed
-    16953821U,	// LD4WB_4S_register
-    16957917U,	// LD4WB_8B_fixed
-    16957917U,	// LD4WB_8B_register
-    16962013U,	// LD4WB_8H_fixed
-    16962013U,	// LD4WB_8H_register
-    15884765U,	// LD4_16B
-    15892957U,	// LD4_2D
-    15897053U,	// LD4_2S
-    15901149U,	// LD4_4H
-    15905245U,	// LD4_4S
-    15909341U,	// LD4_8B
-    15913437U,	// LD4_8H
-    51388984U,	// LDAR_byte
-    51390392U,	// LDAR_dword
-    51389493U,	// LDAR_hword
-    51390392U,	// LDAR_word
-    1114646378U,	// LDAXP_dword
-    1114646378U,	// LDAXP_word
-    51389038U,	// LDAXR_byte
-    51390514U,	// LDAXR_dword
-    51389547U,	// LDAXR_hword
-    51390514U,	// LDAXR_word
-    1114647047U,	// LDPSWx
-    1114647047U,	// LDPSWx_PostInd
-    1114647047U,	// LDPSWx_PreInd
-    51389078U,	// LDRSBw
-    2400199318U,	// LDRSBw_PostInd
-    252715670U,	// LDRSBw_PreInd
-    51389093U,	// LDRSBw_U
-    51389078U,	// LDRSBw_Wm_RegOffset
-    51389078U,	// LDRSBw_Xm_RegOffset
-    51389078U,	// LDRSBx
-    2400199318U,	// LDRSBx_PostInd
-    252715670U,	// LDRSBx_PreInd
-    51389093U,	// LDRSBx_U
-    51389078U,	// LDRSBx_Wm_RegOffset
-    51389078U,	// LDRSBx_Xm_RegOffset
-    51389577U,	// LDRSHw
-    2400199817U,	// LDRSHw_PostInd
-    252716169U,	// LDRSHw_PreInd
-    51389592U,	// LDRSHw_U
-    51389577U,	// LDRSHw_Wm_RegOffset
-    51389577U,	// LDRSHw_Xm_RegOffset
-    51389577U,	// LDRSHx
-    2400199817U,	// LDRSHx_PostInd
-    252716169U,	// LDRSHx_PreInd
-    51389592U,	// LDRSHx_U
-    51389577U,	// LDRSHx_Wm_RegOffset
-    51389577U,	// LDRSHx_Xm_RegOffset
-    51390990U,	// LDRSWx
-    2400201230U,	// LDRSWx_PostInd
-    252717582U,	// LDRSWx_PreInd
-    51390990U,	// LDRSWx_Wm_RegOffset
-    51390990U,	// LDRSWx_Xm_RegOffset
-    309340686U,	// LDRSWx_lit
-    309340103U,	// LDRd_lit
-    309340103U,	// LDRq_lit
-    309340103U,	// LDRs_lit
-    309340103U,	// LDRw_lit
-    309340103U,	// LDRx_lit
-    51389085U,	// LDTRSBw
-    51389085U,	// LDTRSBx
-    51389584U,	// LDTRSHw
-    51389584U,	// LDTRSHx
-    51390997U,	// LDTRSWx
-    51391005U,	// LDURSWx
-    1114646406U,	// LDXP_dword
-    1114646406U,	// LDXP_word
-    51389046U,	// LDXR_byte
-    51390521U,	// LDXR_dword
-    51389555U,	// LDXR_hword
-    51390521U,	// LDXR_word
-    51389500U,	// LS16_LDR
-    51389533U,	// LS16_LDUR
-    2400199740U,	// LS16_PostInd_LDR
-    2400429136U,	// LS16_PostInd_STR
-    252716092U,	// LS16_PreInd_LDR
-    252945488U,	// LS16_PreInd_STR
-    51389520U,	// LS16_STR
-    51389540U,	// LS16_STUR
-    51389513U,	// LS16_UnPriv_LDR
-    51389526U,	// LS16_UnPriv_STR
-    51389500U,	// LS16_Wm_RegOffset_LDR
-    51389520U,	// LS16_Wm_RegOffset_STR
-    51389500U,	// LS16_Xm_RegOffset_LDR
-    51389520U,	// LS16_Xm_RegOffset_STR
-    51390407U,	// LS32_LDR
-    51390502U,	// LS32_LDUR
-    2400200647U,	// LS32_PostInd_LDR
-    2400430101U,	// LS32_PostInd_STR
-    252716999U,	// LS32_PreInd_LDR
-    252946453U,	// LS32_PreInd_STR
-    51390485U,	// LS32_STR
-    51390508U,	// LS32_STUR
-    51390479U,	// LS32_UnPriv_LDR
-    51390490U,	// LS32_UnPriv_STR
-    51390407U,	// LS32_Wm_RegOffset_LDR
-    51390485U,	// LS32_Wm_RegOffset_STR
-    51390407U,	// LS32_Xm_RegOffset_LDR
-    51390485U,	// LS32_Xm_RegOffset_STR
-    51390407U,	// LS64_LDR
-    51390502U,	// LS64_LDUR
-    2400200647U,	// LS64_PostInd_LDR
-    2400430101U,	// LS64_PostInd_STR
-    252716999U,	// LS64_PreInd_LDR
-    252946453U,	// LS64_PreInd_STR
-    51390485U,	// LS64_STR
-    51390508U,	// LS64_STUR
-    51390479U,	// LS64_UnPriv_LDR
-    51390490U,	// LS64_UnPriv_STR
-    51390407U,	// LS64_Wm_RegOffset_LDR
-    51390485U,	// LS64_Wm_RegOffset_STR
-    51390407U,	// LS64_Xm_RegOffset_LDR
-    51390485U,	// LS64_Xm_RegOffset_STR
-    51388991U,	// LS8_LDR
-    51389024U,	// LS8_LDUR
-    2400199231U,	// LS8_PostInd_LDR
-    2400428627U,	// LS8_PostInd_STR
-    252715583U,	// LS8_PreInd_LDR
-    252944979U,	// LS8_PreInd_STR
-    51389011U,	// LS8_STR
-    51389031U,	// LS8_STUR
-    51389004U,	// LS8_UnPriv_LDR
-    51389017U,	// LS8_UnPriv_STR
-    51388991U,	// LS8_Wm_RegOffset_LDR
-    51389011U,	// LS8_Wm_RegOffset_STR
-    51388991U,	// LS8_Xm_RegOffset_LDR
-    51389011U,	// LS8_Xm_RegOffset_STR
-    51390407U,	// LSFP128_LDR
-    51390502U,	// LSFP128_LDUR
-    2400200647U,	// LSFP128_PostInd_LDR
-    2400430101U,	// LSFP128_PostInd_STR
-    252716999U,	// LSFP128_PreInd_LDR
-    252946453U,	// LSFP128_PreInd_STR
-    51390485U,	// LSFP128_STR
-    51390508U,	// LSFP128_STUR
-    51390407U,	// LSFP128_Wm_RegOffset_LDR
-    51390485U,	// LSFP128_Wm_RegOffset_STR
-    51390407U,	// LSFP128_Xm_RegOffset_LDR
-    51390485U,	// LSFP128_Xm_RegOffset_STR
-    51390407U,	// LSFP16_LDR
-    51390502U,	// LSFP16_LDUR
-    2400200647U,	// LSFP16_PostInd_LDR
-    2400430101U,	// LSFP16_PostInd_STR
-    252716999U,	// LSFP16_PreInd_LDR
-    252946453U,	// LSFP16_PreInd_STR
-    51390485U,	// LSFP16_STR
-    51390508U,	// LSFP16_STUR
-    51390407U,	// LSFP16_Wm_RegOffset_LDR
-    51390485U,	// LSFP16_Wm_RegOffset_STR
-    51390407U,	// LSFP16_Xm_RegOffset_LDR
-    51390485U,	// LSFP16_Xm_RegOffset_STR
-    51390407U,	// LSFP32_LDR
-    51390502U,	// LSFP32_LDUR
-    2400200647U,	// LSFP32_PostInd_LDR
-    2400430101U,	// LSFP32_PostInd_STR
-    252716999U,	// LSFP32_PreInd_LDR
-    252946453U,	// LSFP32_PreInd_STR
-    51390485U,	// LSFP32_STR
-    51390508U,	// LSFP32_STUR
-    51390407U,	// LSFP32_Wm_RegOffset_LDR
-    51390485U,	// LSFP32_Wm_RegOffset_STR
-    51390407U,	// LSFP32_Xm_RegOffset_LDR
-    51390485U,	// LSFP32_Xm_RegOffset_STR
-    51390407U,	// LSFP64_LDR
-    51390502U,	// LSFP64_LDUR
-    2400200647U,	// LSFP64_PostInd_LDR
-    2400430101U,	// LSFP64_PostInd_STR
-    252716999U,	// LSFP64_PreInd_LDR
-    252946453U,	// LSFP64_PreInd_STR
-    51390485U,	// LSFP64_STR
-    51390508U,	// LSFP64_STUR
-    51390407U,	// LSFP64_Wm_RegOffset_LDR
-    51390485U,	// LSFP64_Wm_RegOffset_STR
-    51390407U,	// LSFP64_Xm_RegOffset_LDR
-    51390485U,	// LSFP64_Xm_RegOffset_STR
-    51390407U,	// LSFP8_LDR
-    51390502U,	// LSFP8_LDUR
-    2400200647U,	// LSFP8_PostInd_LDR
-    2400430101U,	// LSFP8_PostInd_STR
-    252716999U,	// LSFP8_PreInd_LDR
-    252946453U,	// LSFP8_PreInd_STR
-    51390485U,	// LSFP8_STR
-    51390508U,	// LSFP8_STUR
-    51390407U,	// LSFP8_Wm_RegOffset_LDR
-    51390485U,	// LSFP8_Wm_RegOffset_STR
-    51390407U,	// LSFP8_Xm_RegOffset_LDR
-    51390485U,	// LSFP8_Xm_RegOffset_STR
-    1114646253U,	// LSFPPair128_LDR
-    1114646321U,	// LSFPPair128_NonTemp_LDR
-    1114646348U,	// LSFPPair128_NonTemp_STR
-    1114646253U,	// LSFPPair128_PostInd_LDR
-    1316202336U,	// LSFPPair128_PostInd_STR
-    1114646253U,	// LSFPPair128_PreInd_LDR
-    1316202336U,	// LSFPPair128_PreInd_STR
-    1114646368U,	// LSFPPair128_STR
-    1114646253U,	// LSFPPair32_LDR
-    1114646321U,	// LSFPPair32_NonTemp_LDR
-    1114646348U,	// LSFPPair32_NonTemp_STR
-    1114646253U,	// LSFPPair32_PostInd_LDR
-    1316202336U,	// LSFPPair32_PostInd_STR
-    1114646253U,	// LSFPPair32_PreInd_LDR
-    1316202336U,	// LSFPPair32_PreInd_STR
-    1114646368U,	// LSFPPair32_STR
-    1114646253U,	// LSFPPair64_LDR
-    1114646321U,	// LSFPPair64_NonTemp_LDR
-    1114646348U,	// LSFPPair64_NonTemp_STR
-    1114646253U,	// LSFPPair64_PostInd_LDR
-    1316202336U,	// LSFPPair64_PostInd_STR
-    1114646253U,	// LSFPPair64_PreInd_LDR
-    1316202336U,	// LSFPPair64_PreInd_STR
-    1114646368U,	// LSFPPair64_STR
-    40904149U,	// LSLVwww
-    40904149U,	// LSLVxxx
-    40904149U,	// LSLwwi
-    40904149U,	// LSLxxi
-    1114646253U,	// LSPair32_LDR
-    1114646321U,	// LSPair32_NonTemp_LDR
-    1114646348U,	// LSPair32_NonTemp_STR
-    1114646253U,	// LSPair32_PostInd_LDR
-    1316202336U,	// LSPair32_PostInd_STR
-    1114646253U,	// LSPair32_PreInd_LDR
-    1316202336U,	// LSPair32_PreInd_STR
-    1114646368U,	// LSPair32_STR
-    1114646253U,	// LSPair64_LDR
-    1114646321U,	// LSPair64_NonTemp_LDR
-    1114646348U,	// LSPair64_NonTemp_STR
-    1114646253U,	// LSPair64_PostInd_LDR
-    1316202336U,	// LSPair64_PostInd_STR
-    1114646253U,	// LSPair64_PreInd_LDR
-    1316202336U,	// LSPair64_PreInd_STR
-    1114646368U,	// LSPair64_STR
-    40904709U,	// LSRVwww
-    40904709U,	// LSRVxxx
-    40904709U,	// LSRwwi
-    40904709U,	// LSRxxi
-    40903511U,	// MADDwwww
-    40903511U,	// MADDxxxx
-    1142952443U,	// MLAvve_2s4s
-    2217742843U,	// MLAvve_4h8h
-    3292533243U,	// MLAvve_4s4s
-    1147146747U,	// MLAvve_8h8h
-    67113467U,	// MLAvvv_16B
-    1142952443U,	// MLAvvv_2S
-    2217742843U,	// MLAvvv_4H
-    3292533243U,	// MLAvvv_4S
-    3293581819U,	// MLAvvv_8B
-    1147146747U,	// MLAvvv_8H
-    1142954129U,	// MLSvve_2s4s
-    2217744529U,	// MLSvve_4h8h
-    3292534929U,	// MLSvve_4s4s
-    1147148433U,	// MLSvve_8h8h
-    67115153U,	// MLSvvv_16B
-    1142954129U,	// MLSvvv_2S
-    2217744529U,	// MLSvvv_4H
-    3292534929U,	// MLSvvv_4S
-    3293583505U,	// MLSvvv_8B
-    1147148433U,	// MLSvvv_8H
-    477113012U,	// MOVIdi
-    3724547285U,	// MOVIvi_16B
-    470817460U,	// MOVIvi_2D
-    3729790165U,	// MOVIvi_8B
-    3726644437U,	// MOVIvi_lsl_2S
-    506467541U,	// MOVIvi_lsl_4H
-    3728741589U,	// MOVIvi_lsl_4S
-    509613269U,	// MOVIvi_lsl_8H
-    1579160789U,	// MOVIvi_msl_2S
-    1581257941U,	// MOVIvi_msl_4S
-    544220384U,	// MOVKwii
-    544220384U,	// MOVKxii
-    577775313U,	// MOVNwii
-    577775313U,	// MOVNxii
-    577776302U,	// MOVZwii
-    577776302U,	// MOVZxii
-    611330235U,	// MRSxi
-    243722U,	// MSRii
-    247818U,	// MSRix
-    40903374U,	// MSUBwwww
-    40903374U,	// MSUBxxxx
-    1075844598U,	// MULve_2s4s
-    2150634998U,	// MULve_4h8h
-    3225425398U,	// MULve_4s4s
-    1080038902U,	// MULve_8h8h
-    5622U,	// MULvvv_16B
-    1075844598U,	// MULvvv_2S
-    2150634998U,	// MULvvv_4H
-    3225425398U,	// MULvvv_4S
-    3226473974U,	// MULvvv_8B
-    1080038902U,	// MULvvv_8H
-    3726644418U,	// MVNIvi_lsl_2S
-    506467522U,	// MVNIvi_lsl_4H
-    3728741570U,	// MVNIvi_lsl_4S
-    509613250U,	// MVNIvi_lsl_8H
-    1579160770U,	// MVNIvi_msl_2S
-    1581257922U,	// MVNIvi_msl_4S
-    40904396U,	// MVNww_asr
-    40904396U,	// MVNww_lsl
-    40904396U,	// MVNww_lsr
-    40904396U,	// MVNww_ror
-    40904396U,	// MVNxx_asr
-    40904396U,	// MVNxx_lsl
-    40904396U,	// MVNxx_lsr
-    40904396U,	// MVNxx_ror
-    5088U,	// NEG16b
-    1074795488U,	// NEG2d
-    2149585888U,	// NEG2s
-    3224376288U,	// NEG4h
-    4199392U,	// NEG4s
-    1078989792U,	// NEG8b
-    2153780192U,	// NEG8h
-    3262129120U,	// NEGdd
-    6410U,	// NOT16b
-    1078991114U,	// NOT8b
-    5775U,	// ORNvvv_16B
-    3226474127U,	// ORNvvv_8B
-    40904335U,	// ORNwww_asr
-    40904335U,	// ORNwww_lsl
-    40904335U,	// ORNwww_lsr
-    40904335U,	// ORNwww_ror
-    40904335U,	// ORNxxx_asr
-    40904335U,	// ORNxxx_lsl
-    40904335U,	// ORNxxx_lsr
-    40904335U,	// ORNxxx_ror
-    270538747U,	// ORRvi_lsl_2S
-    1345329147U,	// ORRvi_lsl_4H
-    272635899U,	// ORRvi_lsl_4S
-    1348474875U,	// ORRvi_lsl_8H
-    6139U,	// ORRvvv_16B
-    3226474491U,	// ORRvvv_8B
-    40904699U,	// ORRwwi
-    40904699U,	// ORRwww_asr
-    40904699U,	// ORRwww_lsl
-    40904699U,	// ORRwww_lsr
-    40904699U,	// ORRwww_ror
-    40904699U,	// ORRxxi
-    40904699U,	// ORRxxx_asr
-    40904699U,	// ORRxxx_lsl
-    40904699U,	// ORRxxx_lsr
-    40904699U,	// ORRxxx_ror
-    656412886U,	// PMULL2vvv_1q2d
-    6295766U,	// PMULL2vvv_8h16b
-    689968567U,	// PMULLvvv_1q1d
-    3227522487U,	// PMULLvvv_8h8b
-    5634U,	// PMULvvv_16B
-    3226473986U,	// PMULvvv_8B
-    51631643U,	// PRFM
-    51631643U,	// PRFM_Wm_RegOffset
-    51631643U,	// PRFM_Xm_RegOffset
-    309581339U,	// PRFM_lit
-    51631673U,	// PRFUM
-    1140855152U,	// QRSHRUNvvi_16B
-    2149586618U,	// QRSHRUNvvi_2S
-    3224377018U,	// QRSHRUNvvi_4H
-    2218791280U,	// QRSHRUNvvi_4S
-    1078990522U,	// QRSHRUNvvi_8B
-    3294630256U,	// QRSHRUNvvi_8H
-    1140855142U,	// QSHRUNvvi_16B
-    2149586609U,	// QSHRUNvvi_2S
-    3224377009U,	// QSHRUNvvi_4H
-    2218791270U,	// QSHRUNvvi_4S
-    1078990513U,	// QSHRUNvvi_8B
-    3294630246U,	// QSHRUNvvi_8H
-    1140855065U,	// RADDHN2vvv_16b8h
-    2218791193U,	// RADDHN2vvv_4s2d
-    3294630169U,	// RADDHN2vvv_8h4s
-    2149586504U,	// RADDHNvvv_2s2d
-    3224376904U,	// RADDHNvvv_4h4s
-    1078990408U,	// RADDHNvvv_8b8h
-    6381U,	// RBIT16b
-    1078991085U,	// RBIT8b
-    3262130413U,	// RBITww
-    3262130413U,	// RBITxx
-    0U,	// RET
-    8399066U,	// RETx
-    4583U,	// REV16_16b
-    1078989287U,	// REV16_8b
-    3262128615U,	// REV16ww
-    3262128615U,	// REV16xx
-    4172U,	// REV32_16b
-    3224375372U,	// REV32_4h
-    1078988876U,	// REV32_8b
-    2153779276U,	// REV32_8h
-    3262128204U,	// REV32xx
-    4566U,	// REV64_16b
-    2149585366U,	// REV64_2s
-    3224375766U,	// REV64_4h
-    4198870U,	// REV64_4s
-    1078989270U,	// REV64_8b
-    2153779670U,	// REV64_8h
-    3262130526U,	// REVww
-    3262130526U,	// REVxx
-    40904694U,	// RORVwww
-    40904694U,	// RORVxxx
-    1140855094U,	// RSHRNvvi_16B
-    2149586559U,	// RSHRNvvi_2S
-    3224376959U,	// RSHRNvvi_4H
-    2218791222U,	// RSHRNvvi_4S
-    1078990463U,	// RSHRNvvi_8B
-    3294630198U,	// RSHRNvvi_8H
-    1140855056U,	// RSUBHN2vvv_16b8h
-    2218791184U,	// RSUBHN2vvv_4s2d
-    3294630160U,	// RSUBHN2vvv_8h4s
-    2149586496U,	// RSUBHNvvv_2s2d
-    3224376896U,	// RSUBHNvvv_4h4s
-    1078990400U,	// RSUBHNvvv_8b8h
-    3289387106U,	// SABAL2vvv_2d2s
-    1145049186U,	// SABAL2vvv_4s4h
-    73404514U,	// SABAL2vvv_8h8b
-    1141904614U,	// SABALvvv_2d2s
-    2218792166U,	// SABALvvv_4s4h
-    3294631142U,	// SABALvvv_8h8b
-    67113454U,	// SABAvvv_16B
-    1142952430U,	// SABAvvv_2S
-    2217742830U,	// SABAvvv_4H
-    3292533230U,	// SABAvvv_4S
-    3293581806U,	// SABAvvv_8B
-    1147146734U,	// SABAvvv_8H
-    3222278300U,	// SABDL2vvv_2d2s
-    1077940380U,	// SABDL2vvv_4s4h
-    6295708U,	// SABDL2vvv_8h8b
-    1074795822U,	// SABDLvvv_2d2s
-    2151683374U,	// SABDLvvv_4s4h
-    3227522350U,	// SABDLvvv_8h8b
-    4902U,	// SABDvvv_16B
-    1075843878U,	// SABDvvv_2S
-    2150634278U,	// SABDvvv_4H
-    3225424678U,	// SABDvvv_4S
-    3226473254U,	// SABDvvv_8B
-    1080038182U,	// SABDvvv_8H
-    73406194U,	// SADALP16b8h
-    2234521330U,	// SADALP2s1d
-    3290437362U,	// SADALP4h2s
-    68163314U,	// SADALP4s2d
-    1144002290U,	// SADALP8b4h
-    2218792690U,	// SADALP8h4s
-    3222278316U,	// SADDL2vvv_2d4s
-    1077940396U,	// SADDL2vvv_4s8h
-    6295724U,	// SADDL2vvv_8h16b
-    6297346U,	// SADDLP16b8h
-    2167412482U,	// SADDLP2s1d
-    3223328514U,	// SADDLP4h2s
-    1054466U,	// SADDLP4s2d
-    1076893442U,	// SADDLP8b4h
-    2151683842U,	// SADDLP8h4s
-    7350645U,	// SADDLV_1d4s
-    7350645U,	// SADDLV_1h16b
-    1081092469U,	// SADDLV_1h8b
-    3228576117U,	// SADDLV_1s4h
-    2154834293U,	// SADDLV_1s8h
-    1074795852U,	// SADDLvvv_2d2s
-    2151683404U,	// SADDLvvv_4s4h
-    3227522380U,	// SADDLvvv_8h8b
-    2148536757U,	// SADDW2vvv_2d4s
-    3225424309U,	// SADDW2vvv_4s8h
-    1080037813U,	// SADDW2vvv_8h16b
-    2148538873U,	// SADDWvvv_2d2s
-    3225426425U,	// SADDWvvv_4s4h
-    1080039929U,	// SADDWvvv_8h8b
-    40904807U,	// SBCSwww
-    40904807U,	// SBCSxxx
-    40903409U,	// SBCwww
-    40903409U,	// SBCxxx
-    40905351U,	// SBFIZwwii
-    40905351U,	// SBFIZxxii
-    40904207U,	// SBFMwwii
-    40904207U,	// SBFMxxii
-    40905306U,	// SBFXwwii
-    40905306U,	// SBFXxxii
-    1074795473U,	// SCVTF_2d
-    2149585873U,	// SCVTF_2s
-    4199377U,	// SCVTF_4s
-    40903633U,	// SCVTF_Nddi
-    40903633U,	// SCVTF_Nssi
-    3262129105U,	// SCVTFdd
-    3262129105U,	// SCVTFdw
-    40903633U,	// SCVTFdwi
-    3262129105U,	// SCVTFdx
-    40903633U,	// SCVTFdxi
-    3262129105U,	// SCVTFss
-    3262129105U,	// SCVTFsw
-    40903633U,	// SCVTFswi
-    3262129105U,	// SCVTFsx
-    40903633U,	// SCVTFsxi
-    40905065U,	// SDIVwww
-    40905065U,	// SDIVxxx
-    242229994U,	// SHA1C
-    3262129139U,	// SHA1H
-    242230792U,	// SHA1M
-    242231007U,	// SHA1P
-    3292532737U,	// SHA1SU0
-    71307320U,	// SHA1SU1
-    242230274U,	// SHA256H
-    242229336U,	// SHA256H2
-    71307274U,	// SHA256SU0
-    3292532801U,	// SHA256SU1
-    4936U,	// SHADDvvv_16B
-    1075843912U,	// SHADDvvv_2S
-    2150634312U,	// SHADDvvv_4H
-    3225424712U,	// SHADDvvv_4S
-    3226473288U,	// SHADDvvv_8B
-    1080038216U,	// SHADDvvv_8H
-    6295741U,	// SHLL16b8h
-    1074795937U,	// SHLL2s2d
-    2151683489U,	// SHLL4h4s
-    3222278333U,	// SHLL4s2d
-    3227522465U,	// SHLL8b8h
-    1077940413U,	// SHLL8h4s
-    40904035U,	// SHLddi
-    5475U,	// SHLvvi_16B
-    2148537699U,	// SHLvvi_2D
-    1075844451U,	// SHLvvi_2S
-    2150634851U,	// SHLvvi_4H
-    3225425251U,	// SHLvvi_4S
-    3226473827U,	// SHLvvi_8B
-    1080038755U,	// SHLvvi_8H
-    1140855076U,	// SHRNvvi_16B
-    2149586543U,	// SHRNvvi_2S
-    3224376943U,	// SHRNvvi_4H
-    2218791204U,	// SHRNvvi_4S
-    1078990447U,	// SHRNvvi_8B
-    3294630180U,	// SHRNvvi_8H
-    4799U,	// SHSUBvvv_16B
-    1075843775U,	// SHSUBvvv_2S
-    2150634175U,	// SHSUBvvv_4H
-    3225424575U,	// SHSUBvvv_4S
-    3226473151U,	// SHSUBvvv_8B
-    1080038079U,	// SHSUBvvv_8H
-    242230461U,	// SLI
-    67114173U,	// SLIvvi_16B
-    2215646397U,	// SLIvvi_2D
-    1142953149U,	// SLIvvi_2S
-    2217743549U,	// SLIvvi_4H
-    3292533949U,	// SLIvvi_4S
-    3293582525U,	// SLIvvi_8B
-    1147147453U,	// SLIvvi_8H
-    40903996U,	// SMADDLxwwx
-    6008U,	// SMAXPvvv_16B
-    1075844984U,	// SMAXPvvv_2S
-    2150635384U,	// SMAXPvvv_4H
-    3225425784U,	// SMAXPvvv_4S
-    3226474360U,	// SMAXPvvv_8B
-    1080039288U,	// SMAXPvvv_8H
-    7350732U,	// SMAXV_1b16b
-    1081092556U,	// SMAXV_1b8b
-    3228576204U,	// SMAXV_1h4h
-    2154834380U,	// SMAXV_1h8h
-    7350732U,	// SMAXV_1s4s
-    6713U,	// SMAXvvv_16B
-    1075845689U,	// SMAXvvv_2S
-    2150636089U,	// SMAXvvv_4H
-    3225426489U,	// SMAXvvv_4S
-    3226475065U,	// SMAXvvv_8B
-    1080039993U,	// SMAXvvv_8H
-    8397578U,	// SMCi
-    5950U,	// SMINPvvv_16B
-    1075844926U,	// SMINPvvv_2S
-    2150635326U,	// SMINPvvv_4H
-    3225425726U,	// SMINPvvv_4S
-    3226474302U,	// SMINPvvv_8B
-    1080039230U,	// SMINPvvv_8H
-    7350686U,	// SMINV_1b16b
-    1081092510U,	// SMINV_1b8b
-    3228576158U,	// SMINV_1h4h
-    2154834334U,	// SMINV_1h8h
-    7350686U,	// SMINV_1s4s
-    5718U,	// SMINvvv_16B
-    1075844694U,	// SMINvvv_2S
-    2150635094U,	// SMINvvv_4H
-    3225425494U,	// SMINvvv_4S
-    3226474070U,	// SMINvvv_8B
-    1080038998U,	// SMINvvv_8H
-    3289387132U,	// SMLAL2vvv_2d4s
-    1145049212U,	// SMLAL2vvv_4s8h
-    73404540U,	// SMLAL2vvv_8h16b
-    1141904637U,	// SMLALvve_2d2s
-    3289387132U,	// SMLALvve_2d4s
-    2218792189U,	// SMLALvve_4s4h
-    1145049212U,	// SMLALvve_4s8h
-    1141904637U,	// SMLALvvv_2d2s
-    2218792189U,	// SMLALvvv_4s4h
-    3294631165U,	// SMLALvvv_8h8b
-    3289387256U,	// SMLSL2vvv_2d4s
-    1145049336U,	// SMLSL2vvv_4s8h
-    73404664U,	// SMLSL2vvv_8h16b
-    1141904858U,	// SMLSLvve_2d2s
-    3289387256U,	// SMLSLvve_2d4s
-    2218792410U,	// SMLSLvve_4s4h
-    1145049336U,	// SMLSLvve_4s8h
-    1141904858U,	// SMLSLvvv_2d2s
-    2218792410U,	// SMLSLvvv_4s4h
-    3294631386U,	// SMLSLvvv_8h8b
-    2154834361U,	// SMOVwb
-    1081092537U,	// SMOVwh
-    2154834361U,	// SMOVxb
-    1081092537U,	// SMOVxh
-    7350713U,	// SMOVxs
-    40903952U,	// SMSUBLxwwx
-    40903719U,	// SMULHxxx
-    3222278366U,	// SMULL2vvv_2d4s
-    1077940446U,	// SMULL2vvv_4s8h
-    6295774U,	// SMULL2vvv_8h16b
-    1074795966U,	// SMULLve_2d2s
-    3222278366U,	// SMULLve_2d4s
-    2151683518U,	// SMULLve_4s4h
-    1077940446U,	// SMULLve_4s8h
-    1074795966U,	// SMULLvvv_2d2s
-    2151683518U,	// SMULLvvv_4s4h
-    3227522494U,	// SMULLvvv_8h8b
-    6234U,	// SQABS16b
-    1074796634U,	// SQABS2d
-    2149587034U,	// SQABS2s
-    3224377434U,	// SQABS4h
-    4200538U,	// SQABS4s
-    1078990938U,	// SQABS8b
-    2153781338U,	// SQABS8h
-    3262130266U,	// SQABSbb
-    3262130266U,	// SQABSdd
-    3262130266U,	// SQABShh
-    3262130266U,	// SQABSss
-    40903526U,	// SQADDbbb
-    40903526U,	// SQADDddd
-    40903526U,	// SQADDhhh
-    40903526U,	// SQADDsss
-    4966U,	// SQADDvvv_16B
-    2148537190U,	// SQADDvvv_2D
-    1075843942U,	// SQADDvvv_2S
-    2150634342U,	// SQADDvvv_4H
-    3225424742U,	// SQADDvvv_4S
-    3226473318U,	// SQADDvvv_8B
-    1080038246U,	// SQADDvvv_8H
-    3289387122U,	// SQDMLAL2vvv_2d4s
-    1145049202U,	// SQDMLAL2vvv_4s8h
-    242230516U,	// SQDMLALdss
-    242230516U,	// SQDMLALdsv_2S
-    242230516U,	// SQDMLALdsv_4S
-    242230516U,	// SQDMLALshh
-    242230516U,	// SQDMLALshv_4H
-    242230516U,	// SQDMLALshv_8H
-    1141904628U,	// SQDMLALvve_2d2s
-    3289387122U,	// SQDMLALvve_2d4s
-    2218792180U,	// SQDMLALvve_4s4h
-    1145049202U,	// SQDMLALvve_4s8h
-    1141904628U,	// SQDMLALvvv_2d2s
-    2218792180U,	// SQDMLALvvv_4s4h
-    3289387246U,	// SQDMLSL2vvv_2d4s
-    1145049326U,	// SQDMLSL2vvv_4s8h
-    242230737U,	// SQDMLSLdss
-    242230737U,	// SQDMLSLdsv_2S
-    242230737U,	// SQDMLSLdsv_4S
-    242230737U,	// SQDMLSLshh
-    242230737U,	// SQDMLSLshv_4H
-    242230737U,	// SQDMLSLshv_8H
-    1141904849U,	// SQDMLSLvve_2d2s
-    3289387246U,	// SQDMLSLvve_2d4s
-    2218792401U,	// SQDMLSLvve_4s4h
-    1145049326U,	// SQDMLSLvve_4s8h
-    1141904849U,	// SQDMLSLvvv_2d2s
-    2218792401U,	// SQDMLSLvvv_4s4h
-    40903700U,	// SQDMULHhhh
-    40903700U,	// SQDMULHhhv_4H
-    40903700U,	// SQDMULHhhv_8H
-    40903700U,	// SQDMULHsss
-    40903700U,	// SQDMULHssv_2S
-    40903700U,	// SQDMULHssv_4S
-    1075844116U,	// SQDMULHve_2s4s
-    2150634516U,	// SQDMULHve_4h8h
-    3225424916U,	// SQDMULHve_4s4s
-    1080038420U,	// SQDMULHve_8h8h
-    1075844116U,	// SQDMULHvvv_2S
-    2150634516U,	// SQDMULHvvv_4H
-    3225424916U,	// SQDMULHvvv_4S
-    1080038420U,	// SQDMULHvvv_8H
-    3222278348U,	// SQDMULL2vvv_2d4s
-    1077940428U,	// SQDMULL2vvv_4s8h
-    40904110U,	// SQDMULLdss
-    40904110U,	// SQDMULLdsv_2S
-    40904110U,	// SQDMULLdsv_4S
-    40904110U,	// SQDMULLshh
-    40904110U,	// SQDMULLshv_4H
-    40904110U,	// SQDMULLshv_8H
-    1074795950U,	// SQDMULLve_2d2s
-    3222278348U,	// SQDMULLve_2d4s
-    2151683502U,	// SQDMULLve_4s4h
-    1077940428U,	// SQDMULLve_4s8h
-    1074795950U,	// SQDMULLvvv_2d2s
-    2151683502U,	// SQDMULLvvv_4s4h
-    5093U,	// SQNEG16b
-    1074795493U,	// SQNEG2d
-    2149585893U,	// SQNEG2s
-    3224376293U,	// SQNEG4h
-    4199397U,	// SQNEG4s
-    1078989797U,	// SQNEG8b
-    2153780197U,	// SQNEG8h
-    3262129125U,	// SQNEGbb
-    3262129125U,	// SQNEGdd
-    3262129125U,	// SQNEGhh
-    3262129125U,	// SQNEGss
-    40903709U,	// SQRDMULHhhh
-    40903709U,	// SQRDMULHhhv_4H
-    40903709U,	// SQRDMULHhhv_8H
-    40903709U,	// SQRDMULHsss
-    40903709U,	// SQRDMULHssv_2S
-    40903709U,	// SQRDMULHssv_4S
-    1075844125U,	// SQRDMULHve_2s4s
-    2150634525U,	// SQRDMULHve_4h8h
-    3225424925U,	// SQRDMULHve_4s4s
-    1080038429U,	// SQRDMULHve_8h8h
-    1075844125U,	// SQRDMULHvvv_2S
-    2150634525U,	// SQRDMULHvvv_4H
-    3225424925U,	// SQRDMULHvvv_4S
-    1080038429U,	// SQRDMULHvvv_8H
-    40904047U,	// SQRSHLbbb
-    40904047U,	// SQRSHLddd
-    40904047U,	// SQRSHLhhh
-    40904047U,	// SQRSHLsss
-    5487U,	// SQRSHLvvv_16B
-    2148537711U,	// SQRSHLvvv_2D
-    1075844463U,	// SQRSHLvvv_2S
-    2150634863U,	// SQRSHLvvv_4H
-    3225425263U,	// SQRSHLvvv_4S
-    3226473839U,	// SQRSHLvvv_8B
-    1080038767U,	// SQRSHLvvv_8H
-    40904317U,	// SQRSHRNbhi
-    40904317U,	// SQRSHRNhsi
-    40904317U,	// SQRSHRNsdi
-    1140855092U,	// SQRSHRNvvi_16B
-    2149586557U,	// SQRSHRNvvi_2S
-    3224376957U,	// SQRSHRNvvi_4H
-    2218791220U,	// SQRSHRNvvi_4S
-    1078990461U,	// SQRSHRNvvi_8B
-    3294630196U,	// SQRSHRNvvi_8H
-    40904378U,	// SQRSHRUNbhi
-    40904378U,	// SQRSHRUNhsi
-    40904378U,	// SQRSHRUNsdi
-    40905008U,	// SQSHLUbbi
-    40905008U,	// SQSHLUddi
-    40905008U,	// SQSHLUhhi
-    40905008U,	// SQSHLUssi
-    6448U,	// SQSHLUvvi_16B
-    2148538672U,	// SQSHLUvvi_2D
-    1075845424U,	// SQSHLUvvi_2S
-    2150635824U,	// SQSHLUvvi_4H
-    3225426224U,	// SQSHLUvvi_4S
-    3226474800U,	// SQSHLUvvi_8B
-    1080039728U,	// SQSHLUvvi_8H
-    40904033U,	// SQSHLbbb
-    40904033U,	// SQSHLbbi
-    40904033U,	// SQSHLddd
-    40904033U,	// SQSHLddi
-    40904033U,	// SQSHLhhh
-    40904033U,	// SQSHLhhi
-    40904033U,	// SQSHLssi
-    40904033U,	// SQSHLsss
-    5473U,	// SQSHLvvi_16B
-    2148537697U,	// SQSHLvvi_2D
-    1075844449U,	// SQSHLvvi_2S
-    2150634849U,	// SQSHLvvi_4H
-    3225425249U,	// SQSHLvvi_4S
-    3226473825U,	// SQSHLvvi_8B
-    1080038753U,	// SQSHLvvi_8H
-    5473U,	// SQSHLvvv_16B
-    2148537697U,	// SQSHLvvv_2D
-    1075844449U,	// SQSHLvvv_2S
-    2150634849U,	// SQSHLvvv_4H
-    3225425249U,	// SQSHLvvv_4S
-    3226473825U,	// SQSHLvvv_8B
-    1080038753U,	// SQSHLvvv_8H
-    40904301U,	// SQSHRNbhi
-    40904301U,	// SQSHRNhsi
-    40904301U,	// SQSHRNsdi
-    1140855074U,	// SQSHRNvvi_16B
-    2149586541U,	// SQSHRNvvi_2S
-    3224376941U,	// SQSHRNvvi_4H
-    2218791202U,	// SQSHRNvvi_4S
-    1078990445U,	// SQSHRNvvi_8B
-    3294630178U,	// SQSHRNvvi_8H
-    40904369U,	// SQSHRUNbhi
-    40904369U,	// SQSHRUNhsi
-    40904369U,	// SQSHRUNsdi
-    40903388U,	// SQSUBbbb
-    40903388U,	// SQSUBddd
-    40903388U,	// SQSUBhhh
-    40903388U,	// SQSUBsss
-    4828U,	// SQSUBvvv_16B
-    2148537052U,	// SQSUBvvv_2D
-    1075843804U,	// SQSUBvvv_2S
-    2150634204U,	// SQSUBvvv_4H
-    3225424604U,	// SQSUBvvv_4S
-    3226473180U,	// SQSUBvvv_8B
-    1080038108U,	// SQSUBvvv_8H
-    1075844771U,	// SQXTN2d2s
-    1145049430U,	// SQXTN2d4s
-    3151523U,	// SQXTN4s4h
-    73404758U,	// SQXTN4s8h
-    2214596950U,	// SQXTN8h16b
-    2152732323U,	// SQXTN8h8b
-    3262129827U,	// SQXTNbh
-    3262129827U,	// SQXTNhs
-    3262129827U,	// SQXTNsd
-    1075844804U,	// SQXTUN2d2s
-    1145049467U,	// SQXTUN2d4s
-    3151556U,	// SQXTUN4s4h
-    73404795U,	// SQXTUN4s8h
-    2214596987U,	// SQXTUN8h16b
-    2152732356U,	// SQXTUN8h8b
-    3262129860U,	// SQXTUNbh
-    3262129860U,	// SQXTUNhs
-    3262129860U,	// SQXTUNsd
-    4920U,	// SRHADDvvv_16B
-    1075843896U,	// SRHADDvvv_2S
-    2150634296U,	// SRHADDvvv_4H
-    3225424696U,	// SRHADDvvv_4S
-    3226473272U,	// SRHADDvvv_8B
-    1080038200U,	// SRHADDvvv_8H
-    242230472U,	// SRI
-    67114184U,	// SRIvvi_16B
-    2215646408U,	// SRIvvi_2D
-    1142953160U,	// SRIvvi_2S
-    2217743560U,	// SRIvvi_4H
-    3292533960U,	// SRIvvi_4S
-    3293582536U,	// SRIvvi_8B
-    1147147464U,	// SRIvvi_8H
-    40904063U,	// SRSHLddd
-    5503U,	// SRSHLvvv_16B
-    2148537727U,	// SRSHLvvv_2D
-    1075844479U,	// SRSHLvvv_2S
-    2150634879U,	// SRSHLvvv_4H
-    3225425279U,	// SRSHLvvv_4S
-    3226473855U,	// SRSHLvvv_8B
-    1080038783U,	// SRSHLvvv_8H
-    40904652U,	// SRSHRddi
-    6092U,	// SRSHRvvi_16B
-    2148538316U,	// SRSHRvvi_2D
-    1075845068U,	// SRSHRvvi_2S
-    2150635468U,	// SRSHRvvi_4H
-    3225425868U,	// SRSHRvvi_4S
-    3226474444U,	// SRSHRvvi_8B
-    1080039372U,	// SRSHRvvi_8H
-    242229760U,	// SRSRA
-    67113472U,	// SRSRAvvi_16B
-    2215645696U,	// SRSRAvvi_2D
-    1142952448U,	// SRSRAvvi_2S
-    2217742848U,	// SRSRAvvi_4H
-    3292533248U,	// SRSRAvvi_4S
-    3293581824U,	// SRSRAvvi_8B
-    1147146752U,	// SRSRAvvi_8H
-    6295740U,	// SSHLLvvi_16B
-    1074795936U,	// SSHLLvvi_2S
-    2151683488U,	// SSHLLvvi_4H
-    3222278332U,	// SSHLLvvi_4S
-    3227522464U,	// SSHLLvvi_8B
-    1077940412U,	// SSHLLvvi_8H
-    40904077U,	// SSHLddd
-    5517U,	// SSHLvvv_16B
-    2148537741U,	// SSHLvvv_2D
-    1075844493U,	// SSHLvvv_2S
-    2150634893U,	// SSHLvvv_4H
-    3225425293U,	// SSHLvvv_4S
-    3226473869U,	// SSHLvvv_8B
-    1080038797U,	// SSHLvvv_8H
-    40904666U,	// SSHRddi
-    6106U,	// SSHRvvi_16B
-    2148538330U,	// SSHRvvi_2D
-    1075845082U,	// SSHRvvi_2S
-    2150635482U,	// SSHRvvi_4H
-    3225425882U,	// SSHRvvi_4S
-    3226474458U,	// SSHRvvi_8B
-    1080039386U,	// SSHRvvi_8H
-    242229774U,	// SSRA
-    67113486U,	// SSRAvvi_16B
-    2215645710U,	// SSRAvvi_2D
-    1142952462U,	// SSRAvvi_2S
-    2217742862U,	// SSRAvvi_4H
-    3292533262U,	// SSRAvvi_4S
-    3293581838U,	// SSRAvvi_8B
-    1147146766U,	// SSRAvvi_8H
-    3222278284U,	// SSUBL2vvv_2d4s
-    1077940364U,	// SSUBL2vvv_4s8h
-    6295692U,	// SSUBL2vvv_8h16b
-    1074795808U,	// SSUBLvvv_2d2s
-    2151683360U,	// SSUBLvvv_4s4h
-    3227522336U,	// SSUBLvvv_8h8b
-    2148536741U,	// SSUBW2vvv_2d4s
-    3225424293U,	// SSUBW2vvv_4s8h
-    1080037797U,	// SSUBW2vvv_8h16b
-    2148538850U,	// SSUBWvvv_2d2s
-    3225426402U,	// SSUBWvvv_4s4h
-    1080039906U,	// SSUBWvvv_8h8b
-    254003U,	// ST1LN_B
-    258099U,	// ST1LN_D
-    262195U,	// ST1LN_H
-    266291U,	// ST1LN_S
-    270387U,	// ST1LN_WB_B_fixed
-    270387U,	// ST1LN_WB_B_register
-    274483U,	// ST1LN_WB_D_fixed
-    274483U,	// ST1LN_WB_D_register
-    278579U,	// ST1LN_WB_H_fixed
-    278579U,	// ST1LN_WB_H_register
-    282675U,	// ST1LN_WB_S_fixed
-    282675U,	// ST1LN_WB_S_register
-    286771U,	// ST1WB_16B_fixed
-    286771U,	// ST1WB_16B_register
-    290867U,	// ST1WB_1D_fixed
-    290867U,	// ST1WB_1D_register
-    294963U,	// ST1WB_2D_fixed
-    294963U,	// ST1WB_2D_register
-    299059U,	// ST1WB_2S_fixed
-    299059U,	// ST1WB_2S_register
-    303155U,	// ST1WB_4H_fixed
-    303155U,	// ST1WB_4H_register
-    307251U,	// ST1WB_4S_fixed
-    307251U,	// ST1WB_4S_register
-    311347U,	// ST1WB_8B_fixed
-    311347U,	// ST1WB_8B_register
-    315443U,	// ST1WB_8H_fixed
-    315443U,	// ST1WB_8H_register
-    319539U,	// ST1_16B
-    323635U,	// ST1_1D
-    327731U,	// ST1_2D
-    331827U,	// ST1_2S
-    335923U,	// ST1_4H
-    340019U,	// ST1_4S
-    344115U,	// ST1_8B
-    348211U,	// ST1_8H
-    352307U,	// ST1x2WB_16B_fixed
-    352307U,	// ST1x2WB_16B_register
-    356403U,	// ST1x2WB_1D_fixed
-    356403U,	// ST1x2WB_1D_register
-    360499U,	// ST1x2WB_2D_fixed
-    360499U,	// ST1x2WB_2D_register
-    364595U,	// ST1x2WB_2S_fixed
-    364595U,	// ST1x2WB_2S_register
-    368691U,	// ST1x2WB_4H_fixed
-    368691U,	// ST1x2WB_4H_register
-    372787U,	// ST1x2WB_4S_fixed
-    372787U,	// ST1x2WB_4S_register
-    376883U,	// ST1x2WB_8B_fixed
-    376883U,	// ST1x2WB_8B_register
-    380979U,	// ST1x2WB_8H_fixed
-    380979U,	// ST1x2WB_8H_register
-    385075U,	// ST1x2_16B
-    389171U,	// ST1x2_1D
-    393267U,	// ST1x2_2D
-    397363U,	// ST1x2_2S
-    401459U,	// ST1x2_4H
-    405555U,	// ST1x2_4S
-    409651U,	// ST1x2_8B
-    413747U,	// ST1x2_8H
-    417843U,	// ST1x3WB_16B_fixed
-    417843U,	// ST1x3WB_16B_register
-    421939U,	// ST1x3WB_1D_fixed
-    421939U,	// ST1x3WB_1D_register
-    426035U,	// ST1x3WB_2D_fixed
-    426035U,	// ST1x3WB_2D_register
-    430131U,	// ST1x3WB_2S_fixed
-    430131U,	// ST1x3WB_2S_register
-    434227U,	// ST1x3WB_4H_fixed
-    434227U,	// ST1x3WB_4H_register
-    438323U,	// ST1x3WB_4S_fixed
-    438323U,	// ST1x3WB_4S_register
-    442419U,	// ST1x3WB_8B_fixed
-    442419U,	// ST1x3WB_8B_register
-    446515U,	// ST1x3WB_8H_fixed
-    446515U,	// ST1x3WB_8H_register
-    450611U,	// ST1x3_16B
-    454707U,	// ST1x3_1D
-    458803U,	// ST1x3_2D
-    462899U,	// ST1x3_2S
-    466995U,	// ST1x3_4H
-    471091U,	// ST1x3_4S
-    475187U,	// ST1x3_8B
-    479283U,	// ST1x3_8H
-    483379U,	// ST1x4WB_16B_fixed
-    483379U,	// ST1x4WB_16B_register
-    487475U,	// ST1x4WB_1D_fixed
-    487475U,	// ST1x4WB_1D_register
-    491571U,	// ST1x4WB_2D_fixed
-    491571U,	// ST1x4WB_2D_register
-    495667U,	// ST1x4WB_2S_fixed
-    495667U,	// ST1x4WB_2S_register
-    499763U,	// ST1x4WB_4H_fixed
-    499763U,	// ST1x4WB_4H_register
-    503859U,	// ST1x4WB_4S_fixed
-    503859U,	// ST1x4WB_4S_register
-    507955U,	// ST1x4WB_8B_fixed
-    507955U,	// ST1x4WB_8B_register
-    512051U,	// ST1x4WB_8H_fixed
-    512051U,	// ST1x4WB_8H_register
-    516147U,	// ST1x4_16B
-    520243U,	// ST1x4_1D
-    524339U,	// ST1x4_2D
-    528435U,	// ST1x4_2S
-    532531U,	// ST1x4_4H
-    536627U,	// ST1x4_4S
-    540723U,	// ST1x4_8B
-    544819U,	// ST1x4_8H
-    549280U,	// ST2LN_B
-    553376U,	// ST2LN_D
-    557472U,	// ST2LN_H
-    561568U,	// ST2LN_S
-    565664U,	// ST2LN_WB_B_fixed
-    565664U,	// ST2LN_WB_B_register
-    569760U,	// ST2LN_WB_D_fixed
-    569760U,	// ST2LN_WB_D_register
-    573856U,	// ST2LN_WB_H_fixed
-    573856U,	// ST2LN_WB_H_register
-    577952U,	// ST2LN_WB_S_fixed
-    577952U,	// ST2LN_WB_S_register
-    352672U,	// ST2WB_16B_fixed
-    352672U,	// ST2WB_16B_register
-    360864U,	// ST2WB_2D_fixed
-    360864U,	// ST2WB_2D_register
-    364960U,	// ST2WB_2S_fixed
-    364960U,	// ST2WB_2S_register
-    369056U,	// ST2WB_4H_fixed
-    369056U,	// ST2WB_4H_register
-    373152U,	// ST2WB_4S_fixed
-    373152U,	// ST2WB_4S_register
-    377248U,	// ST2WB_8B_fixed
-    377248U,	// ST2WB_8B_register
-    381344U,	// ST2WB_8H_fixed
-    381344U,	// ST2WB_8H_register
-    385440U,	// ST2_16B
-    393632U,	// ST2_2D
-    397728U,	// ST2_2S
-    401824U,	// ST2_4H
-    405920U,	// ST2_4S
-    410016U,	// ST2_8B
-    414112U,	// ST2_8H
-    582097U,	// ST3LN_B
-    586193U,	// ST3LN_D
-    590289U,	// ST3LN_H
-    594385U,	// ST3LN_S
-    598481U,	// ST3LN_WB_B_fixed
-    598481U,	// ST3LN_WB_B_register
-    602577U,	// ST3LN_WB_D_fixed
-    602577U,	// ST3LN_WB_D_register
-    606673U,	// ST3LN_WB_H_fixed
-    606673U,	// ST3LN_WB_H_register
-    610769U,	// ST3LN_WB_S_fixed
-    610769U,	// ST3LN_WB_S_register
-    418257U,	// ST3WB_16B_fixed
-    418257U,	// ST3WB_16B_register
-    426449U,	// ST3WB_2D_fixed
-    426449U,	// ST3WB_2D_register
-    430545U,	// ST3WB_2S_fixed
-    430545U,	// ST3WB_2S_register
-    434641U,	// ST3WB_4H_fixed
-    434641U,	// ST3WB_4H_register
-    438737U,	// ST3WB_4S_fixed
-    438737U,	// ST3WB_4S_register
-    442833U,	// ST3WB_8B_fixed
-    442833U,	// ST3WB_8B_register
-    446929U,	// ST3WB_8H_fixed
-    446929U,	// ST3WB_8H_register
-    451025U,	// ST3_16B
-    459217U,	// ST3_2D
-    463313U,	// ST3_2S
-    467409U,	// ST3_4H
-    471505U,	// ST3_4S
-    475601U,	// ST3_8B
-    479697U,	// ST3_8H
-    614882U,	// ST4LN_B
-    618978U,	// ST4LN_D
-    623074U,	// ST4LN_H
-    627170U,	// ST4LN_S
-    631266U,	// ST4LN_WB_B_fixed
-    631266U,	// ST4LN_WB_B_register
-    635362U,	// ST4LN_WB_D_fixed
-    635362U,	// ST4LN_WB_D_register
-    639458U,	// ST4LN_WB_H_fixed
-    639458U,	// ST4LN_WB_H_register
-    643554U,	// ST4LN_WB_S_fixed
-    643554U,	// ST4LN_WB_S_register
-    483810U,	// ST4WB_16B_fixed
-    483810U,	// ST4WB_16B_register
-    492002U,	// ST4WB_2D_fixed
-    492002U,	// ST4WB_2D_register
-    496098U,	// ST4WB_2S_fixed
-    496098U,	// ST4WB_2S_register
-    500194U,	// ST4WB_4H_fixed
-    500194U,	// ST4WB_4H_register
-    504290U,	// ST4WB_4S_fixed
-    504290U,	// ST4WB_4S_register
-    508386U,	// ST4WB_8B_fixed
-    508386U,	// ST4WB_8B_register
-    512482U,	// ST4WB_8H_fixed
-    512482U,	// ST4WB_8H_register
-    516578U,	// ST4_16B
-    524770U,	// ST4_2D
-    528866U,	// ST4_2S
-    532962U,	// ST4_4H
-    537058U,	// ST4_4S
-    541154U,	// ST4_8B
-    545250U,	// ST4_8H
-    51388997U,	// STLR_byte
-    51390443U,	// STLR_dword
-    51389506U,	// STLR_hword
-    51390443U,	// STLR_word
-    40904588U,	// STLXP_dword
-    40904588U,	// STLXP_word
-    1114645117U,	// STLXR_byte
-    1114646591U,	// STLXR_dword
-    1114645626U,	// STLXR_hword
-    1114646591U,	// STLXR_word
-    40904595U,	// STXP_dword
-    40904595U,	// STXP_word
-    1114645125U,	// STXR_byte
-    1114646598U,	// STXR_dword
-    1114645634U,	// STXR_hword
-    1114646598U,	// STXR_word
-    1140855057U,	// SUBHN2vvv_16b8h
-    2218791185U,	// SUBHN2vvv_4s2d
-    3294630161U,	// SUBHN2vvv_8h4s
-    2149586497U,	// SUBHNvvv_2s2d
-    3224376897U,	// SUBHNvvv_4h4s
-    1078990401U,	// SUBHNvvv_8b8h
-    40904801U,	// SUBSwww_asr
-    40904801U,	// SUBSwww_lsl
-    40904801U,	// SUBSwww_lsr
-    40904801U,	// SUBSwww_sxtb
-    40904801U,	// SUBSwww_sxth
-    40904801U,	// SUBSwww_sxtw
-    40904801U,	// SUBSwww_sxtx
-    40904801U,	// SUBSwww_uxtb
-    40904801U,	// SUBSwww_uxth
-    40904801U,	// SUBSwww_uxtw
-    40904801U,	// SUBSwww_uxtx
-    40904801U,	// SUBSxxw_sxtb
-    40904801U,	// SUBSxxw_sxth
-    40904801U,	// SUBSxxw_sxtw
-    40904801U,	// SUBSxxw_uxtb
-    40904801U,	// SUBSxxw_uxth
-    40904801U,	// SUBSxxw_uxtw
-    40904801U,	// SUBSxxx_asr
-    40904801U,	// SUBSxxx_lsl
-    40904801U,	// SUBSxxx_lsr
-    40904801U,	// SUBSxxx_sxtx
-    40904801U,	// SUBSxxx_uxtx
-    40903354U,	// SUBddd
-    4794U,	// SUBvvv_16B
-    2148537018U,	// SUBvvv_2D
-    1075843770U,	// SUBvvv_2S
-    2150634170U,	// SUBvvv_4H
-    3225424570U,	// SUBvvv_4S
-    3226473146U,	// SUBvvv_8B
-    1080038074U,	// SUBvvv_8H
-    40904801U,	// SUBwwi_lsl0_S
-    108014272U,	// SUBwwi_lsl0_cmp
-    40903354U,	// SUBwwi_lsl0_s
-    40904801U,	// SUBwwi_lsl12_S
-    141568704U,	// SUBwwi_lsl12_cmp
-    40903354U,	// SUBwwi_lsl12_s
-    40903354U,	// SUBwww_asr
-    40903354U,	// SUBwww_lsl
-    40903354U,	// SUBwww_lsr
-    40903354U,	// SUBwww_sxtb
-    40903354U,	// SUBwww_sxth
-    40903354U,	// SUBwww_sxtw
-    40903354U,	// SUBwww_sxtx
-    40903354U,	// SUBwww_uxtb
-    40903354U,	// SUBwww_uxth
-    40903354U,	// SUBwww_uxtw
-    40903354U,	// SUBwww_uxtx
-    40904801U,	// SUBxxi_lsl0_S
-    108014272U,	// SUBxxi_lsl0_cmp
-    40903354U,	// SUBxxi_lsl0_s
-    40904801U,	// SUBxxi_lsl12_S
-    141568704U,	// SUBxxi_lsl12_cmp
-    40903354U,	// SUBxxi_lsl12_s
-    40903354U,	// SUBxxw_sxtb
-    40903354U,	// SUBxxw_sxth
-    40903354U,	// SUBxxw_sxtw
-    40903354U,	// SUBxxw_uxtb
-    40903354U,	// SUBxxw_uxth
-    40903354U,	// SUBxxw_uxtw
-    40903354U,	// SUBxxx_asr
-    40903354U,	// SUBxxx_lsl
-    40903354U,	// SUBxxx_lsr
-    40903354U,	// SUBxxx_sxtx
-    40903354U,	// SUBxxx_uxtx
-    67113837U,	// SUQADD16b
-    1141904237U,	// SUQADD2d
-    2216694637U,	// SUQADD2s
-    3291485037U,	// SUQADD4h
-    71308141U,	// SUQADD4s
-    1146098541U,	// SUQADD8b
-    2220888941U,	// SUQADD8h
-    3463455597U,	// SUQADDbb
-    3463455597U,	// SUQADDdd
-    3463455597U,	// SUQADDhh
-    3463455597U,	// SUQADDss
-    8397595U,	// SVCi
-    3262128813U,	// SXTBww
-    3262128813U,	// SXTBxw
-    3262129312U,	// SXTHww
-    3262129312U,	// SXTHxw
-    3262130725U,	// SXTWxw
-    40904168U,	// SYSLxicci
-    711993545U,	// SYSiccix
-    0U,	// TAIL_BRx
-    0U,	// TAIL_Bimm
-    738202891U,	// TBL1_16b
-    1817187595U,	// TBL1_8b
-    771757323U,	// TBL2_16b
-    1850742027U,	// TBL2_8b
-    805311755U,	// TBL3_16b
-    1884296459U,	// TBL3_8b
-    838866187U,	// TBL4_16b
-    1917850891U,	// TBL4_8b
-    40905376U,	// TBNZwii
-    40905376U,	// TBNZxii
-    872421957U,	// TBX1_16b
-    1951406661U,	// TBX1_8b
-    905976389U,	// TBX2_16b
-    1984961093U,	// TBX2_8b
-    939530821U,	// TBX3_16b
-    2018515525U,	// TBX3_8b
-    973085253U,	// TBX4_16b
-    2052069957U,	// TBX4_8b
-    40905346U,	// TBZwii
-    40905346U,	// TBZxii
-    0U,	// TC_RETURNdi
-    0U,	// TC_RETURNxi
-    9036972U,	// TLBIi
-    3262768300U,	// TLBIix
-    0U,	// TLSDESCCALL
-    0U,	// TLSDESC_BLRx
-    4122U,	// TRN1vvv_16b
-    2148536346U,	// TRN1vvv_2d
-    1075843098U,	// TRN1vvv_2s
-    2150633498U,	// TRN1vvv_4h
-    3225423898U,	// TRN1vvv_4s
-    3226472474U,	// TRN1vvv_8b
-    1080037402U,	// TRN1vvv_8h
-    4424U,	// TRN2vvv_16b
-    2148536648U,	// TRN2vvv_2d
-    1075843400U,	// TRN2vvv_2s
-    2150633800U,	// TRN2vvv_4h
-    3225424200U,	// TRN2vvv_4s
-    3226472776U,	// TRN2vvv_8b
-    1080037704U,	// TRN2vvv_8h
-    40904984U,	// TSTww_asr
-    40904984U,	// TSTww_lsl
-    40904984U,	// TSTww_lsr
-    40904984U,	// TSTww_ror
-    40904984U,	// TSTxx_asr
-    40904984U,	// TSTxx_lsl
-    40904984U,	// TSTxx_lsr
-    40904984U,	// TSTxx_ror
-    3289387114U,	// UABAL2vvv_2d2s
-    1145049194U,	// UABAL2vvv_4s4h
-    73404522U,	// UABAL2vvv_8h8b
-    1141904621U,	// UABALvvv_2d2s
-    2218792173U,	// UABALvvv_4s4h
-    3294631149U,	// UABALvvv_8h8b
-    67113460U,	// UABAvvv_16B
-    1142952436U,	// UABAvvv_2S
-    2217742836U,	// UABAvvv_4H
-    3292533236U,	// UABAvvv_4S
-    3293581812U,	// UABAvvv_8B
-    1147146740U,	// UABAvvv_8H
-    3222278308U,	// UABDL2vvv_2d2s
-    1077940388U,	// UABDL2vvv_4s4h
-    6295716U,	// UABDL2vvv_8h8b
-    1074795829U,	// UABDLvvv_2d2s
-    2151683381U,	// UABDLvvv_4s4h
-    3227522357U,	// UABDLvvv_8h8b
-    4908U,	// UABDvvv_16B
-    1075843884U,	// UABDvvv_2S
-    2150634284U,	// UABDvvv_4H
-    3225424684U,	// UABDvvv_4S
-    3226473260U,	// UABDvvv_8B
-    1080038188U,	// UABDvvv_8H
-    73406202U,	// UADALP16b8h
-    2234521338U,	// UADALP2s1d
-    3290437370U,	// UADALP4h2s
-    68163322U,	// UADALP4s2d
-    1144002298U,	// UADALP8b4h
-    2218792698U,	// UADALP8h4s
-    3222278324U,	// UADDL2vvv_2d4s
-    1077940404U,	// UADDL2vvv_4s8h
-    6295732U,	// UADDL2vvv_8h16b
-    6297354U,	// UADDLP16b8h
-    2167412490U,	// UADDLP2s1d
-    3223328522U,	// UADDLP4h2s
-    1054474U,	// UADDLP4s2d
-    1076893450U,	// UADDLP8b4h
-    2151683850U,	// UADDLP8h4s
-    7350653U,	// UADDLV_1d4s
-    7350653U,	// UADDLV_1h16b
-    1081092477U,	// UADDLV_1h8b
-    3228576125U,	// UADDLV_1s4h
-    2154834301U,	// UADDLV_1s8h
-    1074795859U,	// UADDLvvv_2d2s
-    2151683411U,	// UADDLvvv_4s4h
-    3227522387U,	// UADDLvvv_8h8b
-    2148536765U,	// UADDW2vvv_2d4s
-    3225424317U,	// UADDW2vvv_4s8h
-    1080037821U,	// UADDW2vvv_8h16b
-    2148538880U,	// UADDWvvv_2d2s
-    3225426432U,	// UADDWvvv_4s4h
-    1080039936U,	// UADDWvvv_8h8b
-    40905358U,	// UBFIZwwii
-    40905358U,	// UBFIZxxii
-    40904213U,	// UBFMwwii
-    40904213U,	// UBFMxxii
-    40905312U,	// UBFXwwii
-    40905312U,	// UBFXxxii
-    1074795480U,	// UCVTF_2d
-    2149585880U,	// UCVTF_2s
-    4199384U,	// UCVTF_4s
-    40903640U,	// UCVTF_Nddi
-    40903640U,	// UCVTF_Nssi
-    3262129112U,	// UCVTFdd
-    3262129112U,	// UCVTFdw
-    40903640U,	// UCVTFdwi
-    3262129112U,	// UCVTFdx
-    40903640U,	// UCVTFdxi
-    3262129112U,	// UCVTFss
-    3262129112U,	// UCVTFsw
-    40903640U,	// UCVTFswi
-    3262129112U,	// UCVTFsx
-    40903640U,	// UCVTFsxi
-    40905071U,	// UDIVwww
-    40905071U,	// UDIVxxx
-    4943U,	// UHADDvvv_16B
-    1075843919U,	// UHADDvvv_2S
-    2150634319U,	// UHADDvvv_4H
-    3225424719U,	// UHADDvvv_4S
-    3226473295U,	// UHADDvvv_8B
-    1080038223U,	// UHADDvvv_8H
-    4806U,	// UHSUBvvv_16B
-    1075843782U,	// UHSUBvvv_2S
-    2150634182U,	// UHSUBvvv_4H
-    3225424582U,	// UHSUBvvv_4S
-    3226473158U,	// UHSUBvvv_8B
-    1080038086U,	// UHSUBvvv_8H
-    40904004U,	// UMADDLxwwx
-    6015U,	// UMAXPvvv_16B
-    1075844991U,	// UMAXPvvv_2S
-    2150635391U,	// UMAXPvvv_4H
-    3225425791U,	// UMAXPvvv_4S
-    3226474367U,	// UMAXPvvv_8B
-    1080039295U,	// UMAXPvvv_8H
-    7350739U,	// UMAXV_1b16b
-    1081092563U,	// UMAXV_1b8b
-    3228576211U,	// UMAXV_1h4h
-    2154834387U,	// UMAXV_1h8h
-    7350739U,	// UMAXV_1s4s
-    6719U,	// UMAXvvv_16B
-    1075845695U,	// UMAXvvv_2S
-    2150636095U,	// UMAXvvv_4H
-    3225426495U,	// UMAXvvv_4S
-    3226475071U,	// UMAXvvv_8B
-    1080039999U,	// UMAXvvv_8H
-    5957U,	// UMINPvvv_16B
-    1075844933U,	// UMINPvvv_2S
-    2150635333U,	// UMINPvvv_4H
-    3225425733U,	// UMINPvvv_4S
-    3226474309U,	// UMINPvvv_8B
-    1080039237U,	// UMINPvvv_8H
-    7350693U,	// UMINV_1b16b
-    1081092517U,	// UMINV_1b8b
-    3228576165U,	// UMINV_1h4h
-    2154834341U,	// UMINV_1h8h
-    7350693U,	// UMINV_1s4s
-    5724U,	// UMINvvv_16B
-    1075844700U,	// UMINvvv_2S
-    2150635100U,	// UMINvvv_4H
-    3225425500U,	// UMINvvv_4S
-    3226474076U,	// UMINvvv_8B
-    1080039004U,	// UMINvvv_8H
-    3289387140U,	// UMLAL2vvv_2d4s
-    1145049220U,	// UMLAL2vvv_4s8h
-    73404548U,	// UMLAL2vvv_8h16b
-    1141904644U,	// UMLALvve_2d2s
-    3289387140U,	// UMLALvve_2d4s
-    2218792196U,	// UMLALvve_4s4h
-    1145049220U,	// UMLALvve_4s8h
-    1141904644U,	// UMLALvvv_2d2s
-    2218792196U,	// UMLALvvv_4s4h
-    3294631172U,	// UMLALvvv_8h8b
-    3289387264U,	// UMLSL2vvv_2d4s
-    1145049344U,	// UMLSL2vvv_4s8h
-    73404672U,	// UMLSL2vvv_8h16b
-    1141904865U,	// UMLSLvve_2d2s
-    3289387264U,	// UMLSLvve_2d4s
-    2218792417U,	// UMLSLvve_4s4h
-    1145049344U,	// UMLSLvve_4s8h
-    1141904865U,	// UMLSLvvv_2d2s
-    2218792417U,	// UMLSLvvv_4s4h
-    3294631393U,	// UMLSLvvv_8h8b
-    2154834367U,	// UMOVwb
-    1081092543U,	// UMOVwh
-    7350719U,	// UMOVws
-    3228576191U,	// UMOVxd
-    40903960U,	// UMSUBLxwwx
-    40903726U,	// UMULHxxx
-    3222278374U,	// UMULL2vvv_2d4s
-    1077940454U,	// UMULL2vvv_4s8h
-    6295782U,	// UMULL2vvv_8h16b
-    1074795973U,	// UMULLve_2d2s
-    3222278374U,	// UMULLve_2d4s
-    2151683525U,	// UMULLve_4s4h
-    1077940454U,	// UMULLve_4s8h
-    1074795973U,	// UMULLvvv_2d2s
-    2151683525U,	// UMULLvvv_4s4h
-    3227522501U,	// UMULLvvv_8h8b
-    40903534U,	// UQADDbbb
-    40903534U,	// UQADDddd
-    40903534U,	// UQADDhhh
-    40903534U,	// UQADDsss
-    4974U,	// UQADDvvv_16B
-    2148537198U,	// UQADDvvv_2D
-    1075843950U,	// UQADDvvv_2S
-    2150634350U,	// UQADDvvv_4H
-    3225424750U,	// UQADDvvv_4S
-    3226473326U,	// UQADDvvv_8B
-    1080038254U,	// UQADDvvv_8H
-    40904055U,	// UQRSHLbbb
-    40904055U,	// UQRSHLddd
-    40904055U,	// UQRSHLhhh
-    40904055U,	// UQRSHLsss
-    5495U,	// UQRSHLvvv_16B
-    2148537719U,	// UQRSHLvvv_2D
-    1075844471U,	// UQRSHLvvv_2S
-    2150634871U,	// UQRSHLvvv_4H
-    3225425271U,	// UQRSHLvvv_4S
-    3226473847U,	// UQRSHLvvv_8B
-    1080038775U,	// UQRSHLvvv_8H
-    40904326U,	// UQRSHRNbhi
-    40904326U,	// UQRSHRNhsi
-    40904326U,	// UQRSHRNsdi
-    1140855102U,	// UQRSHRNvvi_16B
-    2149586566U,	// UQRSHRNvvi_2S
-    3224376966U,	// UQRSHRNvvi_4H
-    2218791230U,	// UQRSHRNvvi_4S
-    1078990470U,	// UQRSHRNvvi_8B
-    3294630206U,	// UQRSHRNvvi_8H
-    40904040U,	// UQSHLbbb
-    40904040U,	// UQSHLbbi
-    40904040U,	// UQSHLddd
-    40904040U,	// UQSHLddi
-    40904040U,	// UQSHLhhh
-    40904040U,	// UQSHLhhi
-    40904040U,	// UQSHLssi
-    40904040U,	// UQSHLsss
-    5480U,	// UQSHLvvi_16B
-    2148537704U,	// UQSHLvvi_2D
-    1075844456U,	// UQSHLvvi_2S
-    2150634856U,	// UQSHLvvi_4H
-    3225425256U,	// UQSHLvvi_4S
-    3226473832U,	// UQSHLvvi_8B
-    1080038760U,	// UQSHLvvi_8H
-    5480U,	// UQSHLvvv_16B
-    2148537704U,	// UQSHLvvv_2D
-    1075844456U,	// UQSHLvvv_2S
-    2150634856U,	// UQSHLvvv_4H
-    3225425256U,	// UQSHLvvv_4S
-    3226473832U,	// UQSHLvvv_8B
-    1080038760U,	// UQSHLvvv_8H
-    40904309U,	// UQSHRNbhi
-    40904309U,	// UQSHRNhsi
-    40904309U,	// UQSHRNsdi
-    1140855083U,	// UQSHRNvvi_16B
-    2149586549U,	// UQSHRNvvi_2S
-    3224376949U,	// UQSHRNvvi_4H
-    2218791211U,	// UQSHRNvvi_4S
-    1078990453U,	// UQSHRNvvi_8B
-    3294630187U,	// UQSHRNvvi_8H
-    40903395U,	// UQSUBbbb
-    40903395U,	// UQSUBddd
-    40903395U,	// UQSUBhhh
-    40903395U,	// UQSUBsss
-    4835U,	// UQSUBvvv_16B
-    2148537059U,	// UQSUBvvv_2D
-    1075843811U,	// UQSUBvvv_2S
-    2150634211U,	// UQSUBvvv_4H
-    3225424611U,	// UQSUBvvv_4S
-    3226473187U,	// UQSUBvvv_8B
-    1080038115U,	// UQSUBvvv_8H
-    1075844778U,	// UQXTN2d2s
-    1145049438U,	// UQXTN2d4s
-    3151530U,	// UQXTN4s4h
-    73404766U,	// UQXTN4s8h
-    2214596958U,	// UQXTN8h16b
-    2152732330U,	// UQXTN8h8b
-    3262129834U,	// UQXTNbh
-    3262129834U,	// UQXTNhs
-    3262129834U,	// UQXTNsd
-    2149585821U,	// URECPE2s
-    4199325U,	// URECPE4s
-    4928U,	// URHADDvvv_16B
-    1075843904U,	// URHADDvvv_2S
-    2150634304U,	// URHADDvvv_4H
-    3225424704U,	// URHADDvvv_4S
-    3226473280U,	// URHADDvvv_8B
-    1080038208U,	// URHADDvvv_8H
-    40904070U,	// URSHLddd
-    5510U,	// URSHLvvv_16B
-    2148537734U,	// URSHLvvv_2D
-    1075844486U,	// URSHLvvv_2S
-    2150634886U,	// URSHLvvv_4H
-    3225425286U,	// URSHLvvv_4S
-    3226473862U,	// URSHLvvv_8B
-    1080038790U,	// URSHLvvv_8H
-    40904659U,	// URSHRddi
-    6099U,	// URSHRvvi_16B
-    2148538323U,	// URSHRvvi_2D
-    1075845075U,	// URSHRvvi_2S
-    2150635475U,	// URSHRvvi_4H
-    3225425875U,	// URSHRvvi_4S
-    3226474451U,	// URSHRvvi_8B
-    1080039379U,	// URSHRvvi_8H
-    2149585859U,	// URSQRTE2s
-    4199363U,	// URSQRTE4s
-    242229767U,	// URSRA
-    67113479U,	// URSRAvvi_16B
-    2215645703U,	// URSRAvvi_2D
-    1142952455U,	// URSRAvvi_2S
-    2217742855U,	// URSRAvvi_4H
-    3292533255U,	// URSRAvvi_4S
-    3293581831U,	// URSRAvvi_8B
-    1147146759U,	// URSRAvvi_8H
-    6295748U,	// USHLLvvi_16B
-    1074795943U,	// USHLLvvi_2S
-    2151683495U,	// USHLLvvi_4H
-    3222278340U,	// USHLLvvi_4S
-    3227522471U,	// USHLLvvi_8B
-    1077940420U,	// USHLLvvi_8H
-    40904083U,	// USHLddd
-    5523U,	// USHLvvv_16B
-    2148537747U,	// USHLvvv_2D
-    1075844499U,	// USHLvvv_2S
-    2150634899U,	// USHLvvv_4H
-    3225425299U,	// USHLvvv_4S
-    3226473875U,	// USHLvvv_8B
-    1080038803U,	// USHLvvv_8H
-    40904672U,	// USHRddi
-    6112U,	// USHRvvi_16B
-    2148538336U,	// USHRvvi_2D
-    1075845088U,	// USHRvvi_2S
-    2150635488U,	// USHRvvi_4H
-    3225425888U,	// USHRvvi_4S
-    3226474464U,	// USHRvvi_8B
-    1080039392U,	// USHRvvi_8H
-    67113829U,	// USQADD16b
-    1141904229U,	// USQADD2d
-    2216694629U,	// USQADD2s
-    3291485029U,	// USQADD4h
-    71308133U,	// USQADD4s
-    1146098533U,	// USQADD8b
-    2220888933U,	// USQADD8h
-    3463455589U,	// USQADDbb
-    3463455589U,	// USQADDdd
-    3463455589U,	// USQADDhh
-    3463455589U,	// USQADDss
-    242229780U,	// USRA
-    67113492U,	// USRAvvi_16B
-    2215645716U,	// USRAvvi_2D
-    1142952468U,	// USRAvvi_2S
-    2217742868U,	// USRAvvi_4H
-    3292533268U,	// USRAvvi_4S
-    3293581844U,	// USRAvvi_8B
-    1147146772U,	// USRAvvi_8H
-    3222278292U,	// USUBL2vvv_2d4s
-    1077940372U,	// USUBL2vvv_4s8h
-    6295700U,	// USUBL2vvv_8h16b
-    1074795815U,	// USUBLvvv_2d2s
-    2151683367U,	// USUBLvvv_4s4h
-    3227522343U,	// USUBLvvv_8h8b
-    2148536749U,	// USUBW2vvv_2d4s
-    3225424301U,	// USUBW2vvv_4s8h
-    1080037805U,	// USUBW2vvv_8h16b
-    2148538857U,	// USUBWvvv_2d2s
-    3225426409U,	// USUBWvvv_4s4h
-    1080039913U,	// USUBWvvv_8h8b
-    3262128819U,	// UXTBww
-    3262128819U,	// UXTBxw
-    3262129318U,	// UXTHww
-    3262129318U,	// UXTHxw
-    4134U,	// UZP1vvv_16b
-    2148536358U,	// UZP1vvv_2d
-    1075843110U,	// UZP1vvv_2s
-    2150633510U,	// UZP1vvv_4h
-    3225423910U,	// UZP1vvv_4s
-    3226472486U,	// UZP1vvv_8b
-    1080037414U,	// UZP1vvv_8h
-    4499U,	// UZP2vvv_16b
-    2148536723U,	// UZP2vvv_2d
-    1075843475U,	// UZP2vvv_2s
-    2150633875U,	// UZP2vvv_4h
-    3225424275U,	// UZP2vvv_4s
-    3226472851U,	// UZP2vvv_8b
-    1080037779U,	// UZP2vvv_8h
-    2148538574U,	// VCVTf2xs_2D
-    1075845326U,	// VCVTf2xs_2S
-    3225426126U,	// VCVTf2xs_4S
-    2148538704U,	// VCVTf2xu_2D
-    1075845456U,	// VCVTf2xu_2S
-    3225426256U,	// VCVTf2xu_4S
-    2148537297U,	// VCVTxs2f_2D
-    1075844049U,	// VCVTxs2f_2S
-    3225424849U,	// VCVTxs2f_4S
-    2148537304U,	// VCVTxu2f_2D
-    1075844056U,	// VCVTxu2f_2S
-    3225424856U,	// VCVTxu2f_4S
-    1075844773U,	// XTN2d2s
-    1145049432U,	// XTN2d4s
-    3151525U,	// XTN4s4h
-    73404760U,	// XTN4s8h
-    2214596952U,	// XTN8h16b
-    2152732325U,	// XTN8h8b
-    4128U,	// ZIP1vvv_16b
-    2148536352U,	// ZIP1vvv_2d
-    1075843104U,	// ZIP1vvv_2s
-    2150633504U,	// ZIP1vvv_4h
-    3225423904U,	// ZIP1vvv_4s
-    3226472480U,	// ZIP1vvv_8b
-    1080037408U,	// ZIP1vvv_8h
-    4493U,	// ZIP2vvv_16b
-    2148536717U,	// ZIP2vvv_2d
-    1075843469U,	// ZIP2vvv_2s
-    2150633869U,	// ZIP2vvv_4h
-    3225424269U,	// ZIP2vvv_4s
-    3226472845U,	// ZIP2vvv_8b
-    1080037773U,	// ZIP2vvv_8h
+    17048340U,	// FABD32
+    17048340U,	// FABD64
+    2684883732U,	// FABDv2f32
+    537662228U,	// FABDv2f64
+    1075057428U,	// FABDv4f32
+    553920549U,	// FABSDr
+    553920549U,	// FABSSr
+    1074272293U,	// FABSv2f32
+    1611405349U,	// FABSv2f64
+    2685671461U,	// FABSv4f32
+    17048436U,	// FACGE32
+    17048436U,	// FACGE64
+    2684883828U,	// FACGEv2f32
+    537662324U,	// FACGEv2f64
+    1075057524U,	// FACGEv4f32
+    17049772U,	// FACGT32
+    17049772U,	// FACGT64
+    2684885164U,	// FACGTv2f32
+    537663660U,	// FACGTv2f64
+    1075058860U,	// FACGTv4f32
+    17048358U,	// FADDDrr
+    2684884663U,	// FADDPv2f32
+    537663159U,	// FADDPv2f64
+    1074013879U,	// FADDPv2i32p
+    1610884791U,	// FADDPv2i64p
+    1075058359U,	// FADDPv4f32
+    17048358U,	// FADDSrr
+    2684883750U,	// FADDv2f32
+    537662246U,	// FADDv2f64
+    1075057446U,	// FADDv4f32
+    17049315U,	// FCCMPDrr
+    17048473U,	// FCCMPEDrr
+    17048473U,	// FCCMPESrr
+    17049315U,	// FCCMPSrr
+    17049450U,	// FCMEQ32
+    17049450U,	// FCMEQ64
+    2164533098U,	// FCMEQv1i32rz
+    2164533098U,	// FCMEQv1i64rz
+    2684884842U,	// FCMEQv2f32
+    537663338U,	// FCMEQv2f64
+    2684884842U,	// FCMEQv2i32rz
+    3222017898U,	// FCMEQv2i64rz
+    1075058538U,	// FCMEQv4f32
+    3759413098U,	// FCMEQv4i32rz
+    17048443U,	// FCMGE32
+    17048443U,	// FCMGE64
+    2164532091U,	// FCMGEv1i32rz
+    2164532091U,	// FCMGEv1i64rz
+    2684883835U,	// FCMGEv2f32
+    537662331U,	// FCMGEv2f64
+    2684883835U,	// FCMGEv2i32rz
+    3222016891U,	// FCMGEv2i64rz
+    1075057531U,	// FCMGEv4f32
+    3759412091U,	// FCMGEv4i32rz
+    17049779U,	// FCMGT32
+    17049779U,	// FCMGT64
+    2164533427U,	// FCMGTv1i32rz
+    2164533427U,	// FCMGTv1i64rz
+    2684885171U,	// FCMGTv2f32
+    537663667U,	// FCMGTv2f64
+    2684885171U,	// FCMGTv2i32rz
+    3222018227U,	// FCMGTv2i64rz
+    1075058867U,	// FCMGTv4f32
+    3759413427U,	// FCMGTv4i32rz
+    2164532098U,	// FCMLEv1i32rz
+    2164532098U,	// FCMLEv1i64rz
+    2684883842U,	// FCMLEv2i32rz
+    3222016898U,	// FCMLEv2i64rz
+    3759412098U,	// FCMLEv4i32rz
+    2164533445U,	// FCMLTv1i32rz
+    2164533445U,	// FCMLTv1i64rz
+    2684885189U,	// FCMLTv2i32rz
+    3222018245U,	// FCMLTv2i64rz
+    3759413445U,	// FCMLTv4i32rz
+    2369258U,	// FCMPDri
+    553920234U,	// FCMPDrr
+    2368417U,	// FCMPEDri
+    553919393U,	// FCMPEDrr
+    2368417U,	// FCMPESri
+    553919393U,	// FCMPESrr
+    2369258U,	// FCMPSri
+    553920234U,	// FCMPSrr
+    17048887U,	// FCSELDrrr
+    17048887U,	// FCSELSrrr
+    553920541U,	// FCVTASUWDr
+    553920541U,	// FCVTASUWSr
+    553920541U,	// FCVTASUXDr
+    553920541U,	// FCVTASUXSr
+    553920541U,	// FCVTASv1i32
+    553920541U,	// FCVTASv1i64
+    1074272285U,	// FCVTASv2f32
+    1611405341U,	// FCVTASv2f64
+    2685671453U,	// FCVTASv4f32
+    553920751U,	// FCVTAUUWDr
+    553920751U,	// FCVTAUUWSr
+    553920751U,	// FCVTAUUXDr
+    553920751U,	// FCVTAUUXSr
+    553920751U,	// FCVTAUv1i32
+    553920751U,	// FCVTAUv1i64
+    1074272495U,	// FCVTAUv2f32
+    1611405551U,	// FCVTAUv2f64
+    2685671663U,	// FCVTAUv4f32
+    553920740U,	// FCVTDHr
+    553920740U,	// FCVTDSr
+    553920740U,	// FCVTHDr
+    553920740U,	// FCVTHSr
+    1074533828U,	// FCVTLv2i32
+    2148799940U,	// FCVTLv4i16
+    2685145352U,	// FCVTLv4i32
+    3222540552U,	// FCVTLv8i16
+    553920615U,	// FCVTMSUWDr
+    553920615U,	// FCVTMSUWSr
+    553920615U,	// FCVTMSUXDr
+    553920615U,	// FCVTMSUXSr
+    553920615U,	// FCVTMSv1i32
+    553920615U,	// FCVTMSv1i64
+    1074272359U,	// FCVTMSv2f32
+    1611405415U,	// FCVTMSv2f64
+    2685671527U,	// FCVTMSv4f32
+    553920767U,	// FCVTMUUWDr
+    553920767U,	// FCVTMUUWSr
+    553920767U,	// FCVTMUUXDr
+    553920767U,	// FCVTMUUXSr
+    553920767U,	// FCVTMUv1i32
+    553920767U,	// FCVTMUv1i64
+    1074272511U,	// FCVTMUv2f32
+    1611405567U,	// FCVTMUv2f64
+    2685671679U,	// FCVTMUv4f32
+    553920628U,	// FCVTNSUWDr
+    553920628U,	// FCVTNSUWSr
+    553920628U,	// FCVTNSUXDr
+    553920628U,	// FCVTNSUXSr
+    553920628U,	// FCVTNSv1i32
+    553920628U,	// FCVTNSv1i64
+    1074272372U,	// FCVTNSv2f32
+    1611405428U,	// FCVTNSv2f64
+    2685671540U,	// FCVTNSv4f32
+    553920775U,	// FCVTNUUWDr
+    553920775U,	// FCVTNUUWSr
+    553920775U,	// FCVTNUUXDr
+    553920775U,	// FCVTNUUXSr
+    553920775U,	// FCVTNUv1i32
+    553920775U,	// FCVTNUv1i64
+    1074272519U,	// FCVTNUv2f32
+    1611405575U,	// FCVTNUv2f64
+    2685671687U,	// FCVTNUv4f32
+    1611142770U,	// FCVTNv2i32
+    2685408882U,	// FCVTNv4i16
+    1645490510U,	// FCVTNv4i32
+    2719494478U,	// FCVTNv8i16
+    553920644U,	// FCVTPSUWDr
+    553920644U,	// FCVTPSUWSr
+    553920644U,	// FCVTPSUXDr
+    553920644U,	// FCVTPSUXSr
+    553920644U,	// FCVTPSv1i32
+    553920644U,	// FCVTPSv1i64
+    1074272388U,	// FCVTPSv2f32
+    1611405444U,	// FCVTPSv2f64
+    2685671556U,	// FCVTPSv4f32
+    553920783U,	// FCVTPUUWDr
+    553920783U,	// FCVTPUUWSr
+    553920783U,	// FCVTPUUXDr
+    553920783U,	// FCVTPUUXSr
+    553920783U,	// FCVTPUv1i32
+    553920783U,	// FCVTPUv1i64
+    1074272527U,	// FCVTPUv2f32
+    1611405583U,	// FCVTPUv2f64
+    2685671695U,	// FCVTPUv4f32
+    553920740U,	// FCVTSDr
+    553920740U,	// FCVTSHr
+    553920168U,	// FCVTXNv1i64
+    1611142824U,	// FCVTXNv2f32
+    1645490564U,	// FCVTXNv4f32
+    17049759U,	// FCVTZSSWDri
+    17049759U,	// FCVTZSSWSri
+    17049759U,	// FCVTZSSXDri
+    17049759U,	// FCVTZSSXSri
+    553920671U,	// FCVTZSUWDr
+    553920671U,	// FCVTZSUWSr
+    553920671U,	// FCVTZSUXDr
+    553920671U,	// FCVTZSUXSr
+    17049759U,	// FCVTZS_IntSWDri
+    17049759U,	// FCVTZS_IntSWSri
+    17049759U,	// FCVTZS_IntSXDri
+    17049759U,	// FCVTZS_IntSXSri
+    553920671U,	// FCVTZS_IntUWDr
+    553920671U,	// FCVTZS_IntUWSr
+    553920671U,	// FCVTZS_IntUXDr
+    553920671U,	// FCVTZS_IntUXSr
+    1074272415U,	// FCVTZS_Intv2f32
+    1611405471U,	// FCVTZS_Intv2f64
+    2685671583U,	// FCVTZS_Intv4f32
+    17049759U,	// FCVTZSd
+    17049759U,	// FCVTZSs
+    553920671U,	// FCVTZSv1i32
+    553920671U,	// FCVTZSv1i64
+    1074272415U,	// FCVTZSv2f32
+    1611405471U,	// FCVTZSv2f64
+    2684885151U,	// FCVTZSv2i32_shift
+    537663647U,	// FCVTZSv2i64_shift
+    2685671583U,	// FCVTZSv4f32
+    1075058847U,	// FCVTZSv4i32_shift
+    17049879U,	// FCVTZUSWDri
+    17049879U,	// FCVTZUSWSri
+    17049879U,	// FCVTZUSXDri
+    17049879U,	// FCVTZUSXSri
+    553920791U,	// FCVTZUUWDr
+    553920791U,	// FCVTZUUWSr
+    553920791U,	// FCVTZUUXDr
+    553920791U,	// FCVTZUUXSr
+    17049879U,	// FCVTZU_IntSWDri
+    17049879U,	// FCVTZU_IntSWSri
+    17049879U,	// FCVTZU_IntSXDri
+    17049879U,	// FCVTZU_IntSXSri
+    553920791U,	// FCVTZU_IntUWDr
+    553920791U,	// FCVTZU_IntUWSr
+    553920791U,	// FCVTZU_IntUXDr
+    553920791U,	// FCVTZU_IntUXSr
+    1074272535U,	// FCVTZU_Intv2f32
+    1611405591U,	// FCVTZU_Intv2f64
+    2685671703U,	// FCVTZU_Intv4f32
+    17049879U,	// FCVTZUd
+    17049879U,	// FCVTZUs
+    553920791U,	// FCVTZUv1i32
+    553920791U,	// FCVTZUv1i64
+    1074272535U,	// FCVTZUv2f32
+    1611405591U,	// FCVTZUv2f64
+    2684885271U,	// FCVTZUv2i32_shift
+    537663767U,	// FCVTZUv2i64_shift
+    2685671703U,	// FCVTZUv4f32
+    1075058967U,	// FCVTZUv4i32_shift
+    17049898U,	// FDIVDrr
+    17049898U,	// FDIVSrr
+    2684885290U,	// FDIVv2f32
+    537663786U,	// FDIVv2f64
+    1075058986U,	// FDIVv4f32
+    17048394U,	// FMADDDrrr
+    17048394U,	// FMADDSrrr
+    17050100U,	// FMAXDrr
+    17049087U,	// FMAXNMDrr
+    2684884729U,	// FMAXNMPv2f32
+    537663225U,	// FMAXNMPv2f64
+    1074013945U,	// FMAXNMPv2i32p
+    1610884857U,	// FMAXNMPv2i64p
+    1075058425U,	// FMAXNMPv4f32
+    17049087U,	// FMAXNMSrr
+    2684627285U,	// FMAXNMVv4i32v
+    2684884479U,	// FMAXNMv2f32
+    537662975U,	// FMAXNMv2f64
+    1075058175U,	// FMAXNMv4f32
+    2684884802U,	// FMAXPv2f32
+    537663298U,	// FMAXPv2f64
+    1074014018U,	// FMAXPv2i32p
+    1610884930U,	// FMAXPv2i64p
+    1075058498U,	// FMAXPv4f32
+    17050100U,	// FMAXSrr
+    2684627340U,	// FMAXVv4i32v
+    2684885492U,	// FMAXv2f32
+    537663988U,	// FMAXv2f64
+    1075059188U,	// FMAXv4f32
+    17049126U,	// FMINDrr
+    17049079U,	// FMINNMDrr
+    2684884720U,	// FMINNMPv2f32
+    537663216U,	// FMINNMPv2f64
+    1074013936U,	// FMINNMPv2i32p
+    1610884848U,	// FMINNMPv2i64p
+    1075058416U,	// FMINNMPv4f32
+    17049079U,	// FMINNMSrr
+    2684627276U,	// FMINNMVv4i32v
+    2684884471U,	// FMINNMv2f32
+    537662967U,	// FMINNMv2f64
+    1075058167U,	// FMINNMv4f32
+    2684884744U,	// FMINPv2f32
+    537663240U,	// FMINPv2f64
+    1074013960U,	// FMINPv2i32p
+    1610884872U,	// FMINPv2i64p
+    1075058440U,	// FMINPv4f32
+    17049126U,	// FMINSrr
+    2684627294U,	// FMINVv4i32v
+    2684884518U,	// FMINv2f32
+    537663014U,	// FMINv2f64
+    1075058214U,	// FMINv4f32
+    67404282U,	// FMLAv1i32_indexed
+    67404282U,	// FMLAv1i64_indexed
+    2718446074U,	// FMLAv2f32
+    571224570U,	// FMLAv2f64
+    2718446074U,	// FMLAv2i32_indexed
+    571224570U,	// FMLAv2i64_indexed
+    1108619770U,	// FMLAv4f32
+    1108619770U,	// FMLAv4i32_indexed
+    67405921U,	// FMLSv1i32_indexed
+    67405921U,	// FMLSv1i64_indexed
+    2718447713U,	// FMLSv2f32
+    571226209U,	// FMLSv2f64
+    2718447713U,	// FMLSv2i32_indexed
+    571226209U,	// FMLSv2i64_indexed
+    1108621409U,	// FMLSv4f32
+    1108621409U,	// FMLSv4i32_indexed
+    1074014586U,	// FMOVDXHighr
+    553920890U,	// FMOVDXr
+    117713274U,	// FMOVDi
+    553920890U,	// FMOVDr
+    553920890U,	// FMOVSWr
+    117713274U,	// FMOVSi
+    553920890U,	// FMOVSr
+    553920890U,	// FMOVWSr
+    556276090U,	// FMOVXDHighr
+    553920890U,	// FMOVXDr
+    117971322U,	// FMOVv2f32_ns
+    118233466U,	// FMOVv2f64_ns
+    118757754U,	// FMOVv4f32_ns
+    17048257U,	// FMSUBDrrr
+    17048257U,	// FMSUBSrrr
+    17049035U,	// FMULDrr
+    17049035U,	// FMULSrr
+    17050139U,	// FMULX32
+    17050139U,	// FMULX64
+    17050139U,	// FMULXv1i32_indexed
+    17050139U,	// FMULXv1i64_indexed
+    2684885531U,	// FMULXv2f32
+    537664027U,	// FMULXv2f64
+    2684885531U,	// FMULXv2i32_indexed
+    537664027U,	// FMULXv2i64_indexed
+    1075059227U,	// FMULXv4f32
+    1075059227U,	// FMULXv4i32_indexed
+    17049035U,	// FMULv1i32_indexed
+    17049035U,	// FMULv1i64_indexed
+    2684884427U,	// FMULv2f32
+    537662923U,	// FMULv2f64
+    2684884427U,	// FMULv2i32_indexed
+    537662923U,	// FMULv2i64_indexed
+    1075058123U,	// FMULv4f32
+    1075058123U,	// FMULv4i32_indexed
+    553919443U,	// FNEGDr
+    553919443U,	// FNEGSr
+    1074271187U,	// FNEGv2f32
+    1611404243U,	// FNEGv2f64
+    2685670355U,	// FNEGv4f32
+    17048401U,	// FNMADDDrrr
+    17048401U,	// FNMADDSrrr
+    17048264U,	// FNMSUBDrrr
+    17048264U,	// FNMSUBSrrr
+    17049041U,	// FNMULDrr
+    17049041U,	// FNMULSrr
+    553919369U,	// FRECPEv1i32
+    553919369U,	// FRECPEv1i64
+    1074271113U,	// FRECPEv2f32
+    1611404169U,	// FRECPEv2f64
+    2685670281U,	// FRECPEv4f32
+    17049724U,	// FRECPS32
+    17049724U,	// FRECPS64
+    2684885116U,	// FRECPSv2f32
+    537663612U,	// FRECPSv2f64
+    1075058812U,	// FRECPSv4f32
+    553921058U,	// FRECPXv1i32
+    553921058U,	// FRECPXv1i64
+    553919002U,	// FRINTADr
+    553919002U,	// FRINTASr
+    1074270746U,	// FRINTAv2f32
+    1611403802U,	// FRINTAv2f64
+    2685669914U,	// FRINTAv4f32
+    553919658U,	// FRINTIDr
+    553919658U,	// FRINTISr
+    1074271402U,	// FRINTIv2f32
+    1611404458U,	// FRINTIv2f64
+    2685670570U,	// FRINTIv4f32
+    553920007U,	// FRINTMDr
+    553920007U,	// FRINTMSr
+    1074271751U,	// FRINTMv2f32
+    1611404807U,	// FRINTMv2f64
+    2685670919U,	// FRINTMv4f32
+    553920106U,	// FRINTNDr
+    553920106U,	// FRINTNSr
+    1074271850U,	// FRINTNv2f32
+    1611404906U,	// FRINTNv2f64
+    2685671018U,	// FRINTNv4f32
+    553920297U,	// FRINTPDr
+    553920297U,	// FRINTPSr
+    1074272041U,	// FRINTPv2f32
+    1611405097U,	// FRINTPv2f64
+    2685671209U,	// FRINTPv4f32
+    553921066U,	// FRINTXDr
+    553921066U,	// FRINTXSr
+    1074272810U,	// FRINTXv2f32
+    1611405866U,	// FRINTXv2f64
+    2685671978U,	// FRINTXv4f32
+    553921101U,	// FRINTZDr
+    553921101U,	// FRINTZSr
+    1074272845U,	// FRINTZv2f32
+    1611405901U,	// FRINTZv2f64
+    2685672013U,	// FRINTZv4f32
+    553919406U,	// FRSQRTEv1i32
+    553919406U,	// FRSQRTEv1i64
+    1074271150U,	// FRSQRTEv2f32
+    1611404206U,	// FRSQRTEv2f64
+    2685670318U,	// FRSQRTEv4f32
+    17049745U,	// FRSQRTS32
+    17049745U,	// FRSQRTS64
+    2684885137U,	// FRSQRTSv2f32
+    537663633U,	// FRSQRTSv2f64
+    1075058833U,	// FRSQRTSv4f32
+    553920726U,	// FSQRTDr
+    553920726U,	// FSQRTSr
+    1074272470U,	// FSQRTv2f32
+    1611405526U,	// FSQRTv2f64
+    2685671638U,	// FSQRTv4f32
+    17048237U,	// FSUBDrr
+    17048237U,	// FSUBSrr
+    2684883629U,	// FSUBv2f32
+    537662125U,	// FSUBv2f64
+    1075057325U,	// FSUBv4f32
+    23145U,	// HINT
+    22720U,	// HLT
+    21258U,	// HVC
+    137115759U,	// INSvi16gpr
+    153892975U,	// INSvi16lane
+    137377903U,	// INSvi32gpr
+    691026031U,	// INSvi32lane
+    136853615U,	// INSvi64gpr
+    1227372655U,	// INSvi64lane
+    137640047U,	// INSvi8gpr
+    1765029999U,	// INSvi8lane
+    29329U,	// ISB
+    36885U,	// LD1Fourv16b
+    3710997U,	// LD1Fourv16b_POST
+    45077U,	// LD1Fourv1d
+    3981333U,	// LD1Fourv1d_POST
+    53269U,	// LD1Fourv2d
+    3727381U,	// LD1Fourv2d_POST
+    61461U,	// LD1Fourv2s
+    3997717U,	// LD1Fourv2s_POST
+    69653U,	// LD1Fourv4h
+    4005909U,	// LD1Fourv4h_POST
+    77845U,	// LD1Fourv4s
+    3751957U,	// LD1Fourv4s_POST
+    86037U,	// LD1Fourv8b
+    4022293U,	// LD1Fourv8b_POST
+    94229U,	// LD1Fourv8h
+    3768341U,	// LD1Fourv8h_POST
+    36885U,	// LD1Onev16b
+    4235285U,	// LD1Onev16b_POST
+    45077U,	// LD1Onev1d
+    4505621U,	// LD1Onev1d_POST
+    53269U,	// LD1Onev2d
+    4251669U,	// LD1Onev2d_POST
+    61461U,	// LD1Onev2s
+    4522005U,	// LD1Onev2s_POST
+    69653U,	// LD1Onev4h
+    4530197U,	// LD1Onev4h_POST
+    77845U,	// LD1Onev4s
+    4276245U,	// LD1Onev4s_POST
+    86037U,	// LD1Onev8b
+    4546581U,	// LD1Onev8b_POST
+    94229U,	// LD1Onev8h
+    4292629U,	// LD1Onev8h_POST
+    38769U,	// LD1Rv16b
+    4761457U,	// LD1Rv16b_POST
+    46961U,	// LD1Rv1d
+    4507505U,	// LD1Rv1d_POST
+    55153U,	// LD1Rv2d
+    4515697U,	// LD1Rv2d_POST
+    63345U,	// LD1Rv2s
+    5048177U,	// LD1Rv2s_POST
+    71537U,	// LD1Rv4h
+    5318513U,	// LD1Rv4h_POST
+    79729U,	// LD1Rv4s
+    5064561U,	// LD1Rv4s_POST
+    87921U,	// LD1Rv8b
+    4810609U,	// LD1Rv8b_POST
+    96113U,	// LD1Rv8h
+    5343089U,	// LD1Rv8h_POST
+    36885U,	// LD1Threev16b
+    5546005U,	// LD1Threev16b_POST
+    45077U,	// LD1Threev1d
+    5816341U,	// LD1Threev1d_POST
+    53269U,	// LD1Threev2d
+    5562389U,	// LD1Threev2d_POST
+    61461U,	// LD1Threev2s
+    5832725U,	// LD1Threev2s_POST
+    69653U,	// LD1Threev4h
+    5840917U,	// LD1Threev4h_POST
+    77845U,	// LD1Threev4s
+    5586965U,	// LD1Threev4s_POST
+    86037U,	// LD1Threev8b
+    5857301U,	// LD1Threev8b_POST
+    94229U,	// LD1Threev8h
+    5603349U,	// LD1Threev8h_POST
+    36885U,	// LD1Twov16b
+    3973141U,	// LD1Twov16b_POST
+    45077U,	// LD1Twov1d
+    4243477U,	// LD1Twov1d_POST
+    53269U,	// LD1Twov2d
+    3989525U,	// LD1Twov2d_POST
+    61461U,	// LD1Twov2s
+    4259861U,	// LD1Twov2s_POST
+    69653U,	// LD1Twov4h
+    4268053U,	// LD1Twov4h_POST
+    77845U,	// LD1Twov4s
+    4014101U,	// LD1Twov4s_POST
+    86037U,	// LD1Twov8b
+    4284437U,	// LD1Twov8b_POST
+    94229U,	// LD1Twov8h
+    4030485U,	// LD1Twov8h_POST
+    6131733U,	// LD1i16
+    6397973U,	// LD1i16_POST
+    6139925U,	// LD1i32
+    6668309U,	// LD1i32_POST
+    6148117U,	// LD1i64
+    6938645U,	// LD1i64_POST
+    6156309U,	// LD1i8
+    7208981U,	// LD1i8_POST
+    38775U,	// LD2Rv16b
+    5285751U,	// LD2Rv16b_POST
+    46967U,	// LD2Rv1d
+    4245367U,	// LD2Rv1d_POST
+    55159U,	// LD2Rv2d
+    4253559U,	// LD2Rv2d_POST
+    63351U,	// LD2Rv2s
+    4523895U,	// LD2Rv2s_POST
+    71543U,	// LD2Rv4h
+    5056375U,	// LD2Rv4h_POST
+    79735U,	// LD2Rv4s
+    4540279U,	// LD2Rv4s_POST
+    87927U,	// LD2Rv8b
+    5334903U,	// LD2Rv8b_POST
+    96119U,	// LD2Rv8h
+    5080951U,	// LD2Rv8h_POST
+    36947U,	// LD2Twov16b
+    3973203U,	// LD2Twov16b_POST
+    53331U,	// LD2Twov2d
+    3989587U,	// LD2Twov2d_POST
+    61523U,	// LD2Twov2s
+    4259923U,	// LD2Twov2s_POST
+    69715U,	// LD2Twov4h
+    4268115U,	// LD2Twov4h_POST
+    77907U,	// LD2Twov4s
+    4014163U,	// LD2Twov4s_POST
+    86099U,	// LD2Twov8b
+    4284499U,	// LD2Twov8b_POST
+    94291U,	// LD2Twov8h
+    4030547U,	// LD2Twov8h_POST
+    6131795U,	// LD2i16
+    6660179U,	// LD2i16_POST
+    6139987U,	// LD2i32
+    6930515U,	// LD2i32_POST
+    6148179U,	// LD2i64
+    7462995U,	// LD2i64_POST
+    6156371U,	// LD2i8
+    6422611U,	// LD2i8_POST
+    38781U,	// LD3Rv16b
+    7645053U,	// LD3Rv16b_POST
+    46973U,	// LD3Rv1d
+    5818237U,	// LD3Rv1d_POST
+    55165U,	// LD3Rv2d
+    5826429U,	// LD3Rv2d_POST
+    63357U,	// LD3Rv2s
+    7931773U,	// LD3Rv2s_POST
+    71549U,	// LD3Rv4h
+    8202109U,	// LD3Rv4h_POST
+    79741U,	// LD3Rv4s
+    7948157U,	// LD3Rv4s_POST
+    87933U,	// LD3Rv8b
+    7694205U,	// LD3Rv8b_POST
+    96125U,	// LD3Rv8h
+    8226685U,	// LD3Rv8h_POST
+    37317U,	// LD3Threev16b
+    5546437U,	// LD3Threev16b_POST
+    53701U,	// LD3Threev2d
+    5562821U,	// LD3Threev2d_POST
+    61893U,	// LD3Threev2s
+    5833157U,	// LD3Threev2s_POST
+    70085U,	// LD3Threev4h
+    5841349U,	// LD3Threev4h_POST
+    78277U,	// LD3Threev4s
+    5587397U,	// LD3Threev4s_POST
+    86469U,	// LD3Threev8b
+    5857733U,	// LD3Threev8b_POST
+    94661U,	// LD3Threev8h
+    5603781U,	// LD3Threev8h_POST
+    6132165U,	// LD3i16
+    8495557U,	// LD3i16_POST
+    6140357U,	// LD3i32
+    8765893U,	// LD3i32_POST
+    6148549U,	// LD3i64
+    9036229U,	// LD3i64_POST
+    6156741U,	// LD3i8
+    9306565U,	// LD3i8_POST
+    37341U,	// LD4Fourv16b
+    3711453U,	// LD4Fourv16b_POST
+    53725U,	// LD4Fourv2d
+    3727837U,	// LD4Fourv2d_POST
+    61917U,	// LD4Fourv2s
+    3998173U,	// LD4Fourv2s_POST
+    70109U,	// LD4Fourv4h
+    4006365U,	// LD4Fourv4h_POST
+    78301U,	// LD4Fourv4s
+    3752413U,	// LD4Fourv4s_POST
+    86493U,	// LD4Fourv8b
+    4022749U,	// LD4Fourv8b_POST
+    94685U,	// LD4Fourv8h
+    3768797U,	// LD4Fourv8h_POST
+    38787U,	// LD4Rv16b
+    5023619U,	// LD4Rv16b_POST
+    46979U,	// LD4Rv1d
+    3983235U,	// LD4Rv1d_POST
+    55171U,	// LD4Rv2d
+    3991427U,	// LD4Rv2d_POST
+    63363U,	// LD4Rv2s
+    4261763U,	// LD4Rv2s_POST
+    71555U,	// LD4Rv4h
+    4532099U,	// LD4Rv4h_POST
+    79747U,	// LD4Rv4s
+    4278147U,	// LD4Rv4s_POST
+    87939U,	// LD4Rv8b
+    5072771U,	// LD4Rv8b_POST
+    96131U,	// LD4Rv8h
+    4556675U,	// LD4Rv8h_POST
+    6132189U,	// LD4i16
+    6922717U,	// LD4i16_POST
+    6140381U,	// LD4i32
+    7455197U,	// LD4i32_POST
+    6148573U,	// LD4i64
+    9560541U,	// LD4i64_POST
+    6156765U,	// LD4i8
+    6685149U,	// LD4i8_POST
+    26485304U,	// LDARB
+    26485801U,	// LDARH
+    26486665U,	// LDARW
+    26486665U,	// LDARX
+    553920315U,	// LDAXPW
+    553920315U,	// LDAXPX
+    26485358U,	// LDAXRB
+    26485855U,	// LDAXRH
+    26486787U,	// LDAXRW
+    26486787U,	// LDAXRX
+    553920258U,	// LDNPDi
+    553920258U,	// LDNPQi
+    553920258U,	// LDNPSi
+    553920258U,	// LDNPWi
+    553920258U,	// LDNPXi
+    553920190U,	// LDPDi
+    604276414U,	// LDPDpost
+    604276414U,	// LDPDpre
+    553920190U,	// LDPQi
+    604276414U,	// LDPQpost
+    604276414U,	// LDPQpre
+    553920974U,	// LDPSWi
+    604277198U,	// LDPSWpost
+    604277198U,	// LDPSWpre
+    553920190U,	// LDPSi
+    604276414U,	// LDPSpost
+    604276414U,	// LDPSpre
+    553920190U,	// LDPWi
+    604276414U,	// LDPWpost
+    604276414U,	// LDPWpre
+    553920190U,	// LDPXi
+    604276414U,	// LDPXpost
+    604276414U,	// LDPXpre
+    1150583359U,	// LDRBBpost
+    76841535U,	// LDRBBpre
+    26485311U,	// LDRBBroW
+    26485311U,	// LDRBBroX
+    26485311U,	// LDRBBui
+    1150584728U,	// LDRBpost
+    76842904U,	// LDRBpre
+    26486680U,	// LDRBroW
+    26486680U,	// LDRBroX
+    26486680U,	// LDRBui
+    100935576U,	// LDRDl
+    1150584728U,	// LDRDpost
+    76842904U,	// LDRDpre
+    26486680U,	// LDRDroW
+    26486680U,	// LDRDroX
+    26486680U,	// LDRDui
+    1150583856U,	// LDRHHpost
+    76842032U,	// LDRHHpre
+    26485808U,	// LDRHHroW
+    26485808U,	// LDRHHroX
+    26485808U,	// LDRHHui
+    1150584728U,	// LDRHpost
+    76842904U,	// LDRHpre
+    26486680U,	// LDRHroW
+    26486680U,	// LDRHroX
+    26486680U,	// LDRHui
+    100935576U,	// LDRQl
+    1150584728U,	// LDRQpost
+    76842904U,	// LDRQpre
+    26486680U,	// LDRQroW
+    26486680U,	// LDRQroX
+    26486680U,	// LDRQui
+    1150583446U,	// LDRSBWpost
+    76841622U,	// LDRSBWpre
+    26485398U,	// LDRSBWroW
+    26485398U,	// LDRSBWroX
+    26485398U,	// LDRSBWui
+    1150583446U,	// LDRSBXpost
+    76841622U,	// LDRSBXpre
+    26485398U,	// LDRSBXroW
+    26485398U,	// LDRSBXroX
+    26485398U,	// LDRSBXui
+    1150583933U,	// LDRSHWpost
+    76842109U,	// LDRSHWpre
+    26485885U,	// LDRSHWroW
+    26485885U,	// LDRSHWroX
+    26485885U,	// LDRSHWui
+    1150583933U,	// LDRSHXpost
+    76842109U,	// LDRSHXpre
+    26485885U,	// LDRSHXroW
+    26485885U,	// LDRSHXroX
+    26485885U,	// LDRSHXui
+    100936149U,	// LDRSWl
+    1150585301U,	// LDRSWpost
+    76843477U,	// LDRSWpre
+    26487253U,	// LDRSWroW
+    26487253U,	// LDRSWroX
+    26487253U,	// LDRSWui
+    100935576U,	// LDRSl
+    1150584728U,	// LDRSpost
+    76842904U,	// LDRSpre
+    26486680U,	// LDRSroW
+    26486680U,	// LDRSroX
+    26486680U,	// LDRSui
+    100935576U,	// LDRWl
+    1150584728U,	// LDRWpost
+    76842904U,	// LDRWpre
+    26486680U,	// LDRWroW
+    26486680U,	// LDRWroX
+    26486680U,	// LDRWui
+    100935576U,	// LDRXl
+    1150584728U,	// LDRXpost
+    76842904U,	// LDRXpre
+    26486680U,	// LDRXroW
+    26486680U,	// LDRXroX
+    26486680U,	// LDRXui
+    26485324U,	// LDTRBi
+    26485821U,	// LDTRHi
+    26485405U,	// LDTRSBWi
+    26485405U,	// LDTRSBXi
+    26485892U,	// LDTRSHWi
+    26485892U,	// LDTRSHXi
+    26487260U,	// LDTRSWi
+    26486752U,	// LDTRWi
+    26486752U,	// LDTRXi
+    26485344U,	// LDURBBi
+    26486775U,	// LDURBi
+    26486775U,	// LDURDi
+    26485841U,	// LDURHHi
+    26486775U,	// LDURHi
+    26486775U,	// LDURQi
+    26485413U,	// LDURSBWi
+    26485413U,	// LDURSBXi
+    26485900U,	// LDURSHWi
+    26485900U,	// LDURSHXi
+    26487268U,	// LDURSWi
+    26486775U,	// LDURSi
+    26486775U,	// LDURWi
+    26486775U,	// LDURXi
+    553920343U,	// LDXPW
+    553920343U,	// LDXPX
+    26485366U,	// LDXRB
+    26485863U,	// LDXRH
+    26486794U,	// LDXRW
+    26486794U,	// LDXRX
+    0U,	// LOADgot
+    17049003U,	// LSLVWr
+    17049003U,	// LSLVXr
+    17049558U,	// LSRVWr
+    17049558U,	// LSRVXr
+    17048395U,	// MADDWrrr
+    17048395U,	// MADDXrrr
+    2181050875U,	// MLAv16i8
+    2718446075U,	// MLAv2i32
+    2718446075U,	// MLAv2i32_indexed
+    3255841275U,	// MLAv4i16
+    3255841275U,	// MLAv4i16_indexed
+    1108619771U,	// MLAv4i32
+    1108619771U,	// MLAv4i32_indexed
+    1645752827U,	// MLAv8i16
+    1645752827U,	// MLAv8i16_indexed
+    3793498619U,	// MLAv8i8
+    2181052514U,	// MLSv16i8
+    2718447714U,	// MLSv2i32
+    2718447714U,	// MLSv2i32_indexed
+    3255842914U,	// MLSv4i16
+    3255842914U,	// MLSv4i16_indexed
+    1108621410U,	// MLSv4i32
+    1108621410U,	// MLSv4i32_indexed
+    1645754466U,	// MLSv8i16
+    1645754466U,	// MLSv8i16_indexed
+    3793500258U,	// MLSv8i8
+    168043698U,	// MOVID
+    721425586U,	// MOVIv16b_ns
+    168563890U,	// MOVIv2d_ns
+    1795691698U,	// MOVIv2i32
+    1795691698U,	// MOVIv2s_msl
+    1796215986U,	// MOVIv4i16
+    1796478130U,	// MOVIv4i32
+    1796478130U,	// MOVIv4s_msl
+    723260594U,	// MOVIv8b_ns
+    1796740274U,	// MOVIv8i16
+    84157629U,	// MOVKWi
+    84157629U,	// MOVKXi
+    1795434146U,	// MOVNWi
+    1795434146U,	// MOVNXi
+    1795435093U,	// MOVZWi
+    1795435093U,	// MOVZXi
+    0U,	// MOVaddr
+    0U,	// MOVaddrBA
+    0U,	// MOVaddrCP
+    0U,	// MOVaddrEXT
+    0U,	// MOVaddrJT
+    0U,	// MOVaddrTLS
+    0U,	// MOVi32imm
+    0U,	// MOVi64imm
+    201599116U,	// MRS
+    137179U,	// MSR
+    141275U,	// MSRpstate
+    17048258U,	// MSUBWrrr
+    17048258U,	// MSUBXrrr
+    2147489228U,	// MULv16i8
+    2684884428U,	// MULv2i32
+    2684884428U,	// MULv2i32_indexed
+    3222279628U,	// MULv4i16
+    3222279628U,	// MULv4i16_indexed
+    1075058124U,	// MULv4i32
+    1075058124U,	// MULv4i32_indexed
+    1612191180U,	// MULv8i16
+    1612191180U,	// MULv8i16_indexed
+    3759936972U,	// MULv8i8
+    1795691679U,	// MVNIv2i32
+    1795691679U,	// MVNIv2s_msl
+    1796215967U,	// MVNIv4i16
+    1796478111U,	// MVNIv4i32
+    1796478111U,	// MVNIv4s_msl
+    1796740255U,	// MVNIv8i16
+    5076U,	// NEGv16i8
+    553919444U,	// NEGv1i64
+    1074271188U,	// NEGv2i32
+    1611404244U,	// NEGv2i64
+    2148537300U,	// NEGv4i16
+    2685670356U,	// NEGv4i32
+    3222803412U,	// NEGv8i16
+    3759936468U,	// NEGv8i8
+    6353U,	// NOTv16i8
+    3759937745U,	// NOTv8i8
+    0U,	// ORNWrr
+    17049189U,	// ORNWrs
+    0U,	// ORNXrr
+    17049189U,	// ORNXrs
+    2147489381U,	// ORNv16i8
+    3759937125U,	// ORNv8i8
+    17049548U,	// ORRWri
+    0U,	// ORRWrr
+    17049548U,	// ORRWrs
+    17049548U,	// ORRXri
+    0U,	// ORRXrr
+    17049548U,	// ORRXrs
+    2147489740U,	// ORRv16i8
+    84424652U,	// ORRv2i32
+    84948940U,	// ORRv4i16
+    85211084U,	// ORRv4i32
+    85473228U,	// ORRv8i16
+    3759937484U,	// ORRv8i8
+    2149060822U,	// PMULLv16i8
+    228070797U,	// PMULLv1i64
+    244846806U,	// PMULLv2i64
+    3759674765U,	// PMULLv8i8
+    2147489240U,	// PMULv16i8
+    3759936984U,	// PMULv8i8
+    101070321U,	// PRFMl
+    26621425U,	// PRFMroW
+    26621425U,	// PRFMroX
+    26621425U,	// PRFMui
+    26621455U,	// PRFUMi
+    537400862U,	// RADDHNv2i64_v2i32
+    571748633U,	// RADDHNv2i64_v4i32
+    1074796062U,	// RADDHNv4i32_v4i16
+    1108881689U,	// RADDHNv4i32_v8i16
+    1644179737U,	// RADDHNv8i16_v16i8
+    1612453406U,	// RADDHNv8i16_v8i8
+    553920698U,	// RBITWr
+    553920698U,	// RBITXr
+    6330U,	// RBITv16i8
+    3759937722U,	// RBITv8i8
+    2107559U,	// RET
+    0U,	// RET_ReallyLR
+    553918951U,	// REV16Wr
+    553918951U,	// REV16Xr
+    4583U,	// REV16v16i8
+    3759935975U,	// REV16v8i8
+    553918540U,	// REV32Xr
+    4172U,	// REV32v16i8
+    2148536396U,	// REV32v4i16
+    3222802508U,	// REV32v8i16
+    3759935564U,	// REV32v8i8
+    4566U,	// REV64v16i8
+    1074270678U,	// REV64v2i32
+    2148536790U,	// REV64v4i16
+    2685669846U,	// REV64v4i32
+    3222802902U,	// REV64v8i16
+    3759935958U,	// REV64v8i8
+    553920805U,	// REVWr
+    553920805U,	// REVXr
+    17049543U,	// RORVWr
+    17049543U,	// RORVXr
+    1644179766U,	// RSHRNv16i8_shift
+    537400917U,	// RSHRNv2i32_shift
+    1074796117U,	// RSHRNv4i16_shift
+    571748662U,	// RSHRNv4i32_shift
+    1108881718U,	// RSHRNv8i16_shift
+    1612453461U,	// RSHRNv8i8_shift
+    537400854U,	// RSUBHNv2i64_v2i32
+    571748624U,	// RSUBHNv2i64_v4i32
+    1074796054U,	// RSUBHNv4i32_v4i16
+    1108881680U,	// RSUBHNv4i32_v8i16
+    1644179728U,	// RSUBHNv8i16_v16i8
+    1612453398U,	// RSUBHNv8i16_v8i8
+    2182623330U,	// SABALv16i8_v8i16
+    2718708931U,	// SABALv2i32_v2i64
+    3256104131U,	// SABALv4i16_v4i32
+    1108095074U,	// SABALv4i32_v2i64
+    1645490274U,	// SABALv8i16_v4i32
+    3793237187U,	// SABALv8i8_v8i16
+    2181050862U,	// SABAv16i8
+    2718446062U,	// SABAv2i32
+    3255841262U,	// SABAv4i16
+    1108619758U,	// SABAv4i32
+    1645752814U,	// SABAv8i16
+    3793498606U,	// SABAv8i8
+    2149060764U,	// SABDLv16i8_v8i16
+    2685146379U,	// SABDLv2i32_v2i64
+    3222541579U,	// SABDLv4i16_v4i32
+    1074532508U,	// SABDLv4i32_v2i64
+    1611927708U,	// SABDLv8i16_v4i32
+    3759674635U,	// SABDLv8i8_v8i16
+    2147488538U,	// SABDv16i8
+    2684883738U,	// SABDv2i32
+    3222278938U,	// SABDv4i16
+    1075057434U,	// SABDv4i32
+    1612190490U,	// SABDv8i16
+    3759936282U,	// SABDv8i8
+    35141315U,	// SADALPv16i8_v8i16
+    1117533891U,	// SADALPv2i32_v1i64
+    2181576387U,	// SADALPv4i16_v2i32
+    2718709443U,	// SADALPv4i32_v2i64
+    3256104643U,	// SADALPv8i16_v4i32
+    3792713411U,	// SADALPv8i8_v4i16
+    1578707U,	// SADDLPv16i8_v8i16
+    1083971283U,	// SADDLPv2i32_v1i64
+    2148013779U,	// SADDLPv4i16_v2i32
+    2685146835U,	// SADDLPv4i32_v2i64
+    3222542035U,	// SADDLPv8i16_v4i32
+    3759150803U,	// SADDLPv8i8_v4i16
+    272700U,	// SADDLVv16i8v
+    2147756348U,	// SADDLVv4i16v
+    2684627260U,	// SADDLVv4i32v
+    3221498172U,	// SADDLVv8i16v
+    3758369084U,	// SADDLVv8i8v
+    2149060780U,	// SADDLv16i8_v8i16
+    2685146409U,	// SADDLv2i32_v2i64
+    3222541609U,	// SADDLv4i16_v4i32
+    1074532524U,	// SADDLv4i32_v2i64
+    1611927724U,	// SADDLv8i16_v4i32
+    3759674665U,	// SADDLv8i8_v8i16
+    1612190133U,	// SADDWv16i8_v8i16
+    537663936U,	// SADDWv2i32_v2i64
+    1075059136U,	// SADDWv4i16_v4i32
+    537661877U,	// SADDWv4i32_v2i64
+    1075057077U,	// SADDWv8i16_v4i32
+    1612192192U,	// SADDWv8i8_v8i16
+    17049656U,	// SBCSWr
+    17049656U,	// SBCSXr
+    17048293U,	// SBCWr
+    17048293U,	// SBCXr
+    17049061U,	// SBFMWri
+    17049061U,	// SBFMXri
+    17048517U,	// SCVTFSWDri
+    17048517U,	// SCVTFSWSri
+    17048517U,	// SCVTFSXDri
+    17048517U,	// SCVTFSXSri
+    553919429U,	// SCVTFUWDri
+    553919429U,	// SCVTFUWSri
+    553919429U,	// SCVTFUXDri
+    553919429U,	// SCVTFUXSri
+    17048517U,	// SCVTFd
+    17048517U,	// SCVTFs
+    553919429U,	// SCVTFv1i32
+    553919429U,	// SCVTFv1i64
+    1074271173U,	// SCVTFv2f32
+    1611404229U,	// SCVTFv2f64
+    2684883909U,	// SCVTFv2i32_shift
+    537662405U,	// SCVTFv2i64_shift
+    2685670341U,	// SCVTFv4f32
+    1075057605U,	// SCVTFv4i32_shift
+    17049904U,	// SDIVWr
+    17049904U,	// SDIVXr
+    17049904U,	// SDIV_IntWr
+    17049904U,	// SDIV_IntXr
+    67404510U,	// SHA1Crrr
+    553919463U,	// SHA1Hrr
+    67405278U,	// SHA1Mrrr
+    67405488U,	// SHA1Prrr
+    1108619265U,	// SHA1SU0rrr
+    2719232056U,	// SHA1SU1rr
+    67403864U,	// SHA256H2rrr
+    67404790U,	// SHA256Hrrr
+    2719232010U,	// SHA256SU0rr
+    1108619329U,	// SHA256SU1rrr
+    2147488572U,	// SHADDv16i8
+    2684883772U,	// SHADDv2i32
+    3222278972U,	// SHADDv4i16
+    1075057468U,	// SHADDv4i32
+    1612190524U,	// SHADDv8i16
+    3759936316U,	// SHADDv8i8
+    2149060797U,	// SHLLv16i8
+    2685146487U,	// SHLLv2i32
+    3222541687U,	// SHLLv4i16
+    3758887101U,	// SHLLv4i32
+    1315005U,	// SHLLv8i16
+    538449271U,	// SHLLv8i8
+    17048896U,	// SHLd
+    2147489088U,	// SHLv16i8_shift
+    2684884288U,	// SHLv2i32_shift
+    537662784U,	// SHLv2i64_shift
+    3222279488U,	// SHLv4i16_shift
+    1075057984U,	// SHLv4i32_shift
+    1612191040U,	// SHLv8i16_shift
+    3759936832U,	// SHLv8i8_shift
+    1644179748U,	// SHRNv16i8_shift
+    537400901U,	// SHRNv2i32_shift
+    1074796101U,	// SHRNv4i16_shift
+    571748644U,	// SHRNv4i32_shift
+    1108881700U,	// SHRNv8i16_shift
+    1612453445U,	// SHRNv8i8_shift
+    2147488435U,	// SHSUBv16i8
+    2684883635U,	// SHSUBv2i32
+    3222278835U,	// SHSUBv4i16
+    1075057331U,	// SHSUBv4i32
+    1612190387U,	// SHSUBv8i16
+    3759936179U,	// SHSUBv8i8
+    67404954U,	// SLId
+    2181051546U,	// SLIv16i8_shift
+    2718446746U,	// SLIv2i32_shift
+    571225242U,	// SLIv2i64_shift
+    3255841946U,	// SLIv4i16_shift
+    1108620442U,	// SLIv4i32_shift
+    1645753498U,	// SLIv8i16_shift
+    3793499290U,	// SLIv8i8_shift
+    17048857U,	// SMADDLrrr
+    2147489609U,	// SMAXPv16i8
+    2684884809U,	// SMAXPv2i32
+    3222280009U,	// SMAXPv4i16
+    1075058505U,	// SMAXPv4i32
+    1612191561U,	// SMAXPv8i16
+    3759937353U,	// SMAXPv8i8
+    272787U,	// SMAXVv16i8v
+    2147756435U,	// SMAXVv4i16v
+    2684627347U,	// SMAXVv4i32v
+    3221498259U,	// SMAXVv8i16v
+    3758369171U,	// SMAXVv8i8v
+    2147490298U,	// SMAXv16i8
+    2684885498U,	// SMAXv2i32
+    3222280698U,	// SMAXv4i16
+    1075059194U,	// SMAXv4i32
+    1612192250U,	// SMAXv8i16
+    3759938042U,	// SMAXv8i8
+    21246U,	// SMC
+    2147489551U,	// SMINPv16i8
+    2684884751U,	// SMINPv2i32
+    3222279951U,	// SMINPv4i16
+    1075058447U,	// SMINPv4i32
+    1612191503U,	// SMINPv8i16
+    3759937295U,	// SMINPv8i8
+    272741U,	// SMINVv16i8v
+    2147756389U,	// SMINVv4i16v
+    2684627301U,	// SMINVv4i32v
+    3221498213U,	// SMINVv8i16v
+    3758369125U,	// SMINVv8i8v
+    2147489324U,	// SMINv16i8
+    2684884524U,	// SMINv2i32
+    3222279724U,	// SMINv4i16
+    1075058220U,	// SMINv4i32
+    1612191276U,	// SMINv8i16
+    3759937068U,	// SMINv8i8
+    2182623356U,	// SMLALv16i8_v8i16
+    2718708954U,	// SMLALv2i32_indexed
+    2718708954U,	// SMLALv2i32_v2i64
+    3256104154U,	// SMLALv4i16_indexed
+    3256104154U,	// SMLALv4i16_v4i32
+    1108095100U,	// SMLALv4i32_indexed
+    1108095100U,	// SMLALv4i32_v2i64
+    1645490300U,	// SMLALv8i16_indexed
+    1645490300U,	// SMLALv8i16_v4i32
+    3793237210U,	// SMLALv8i8_v8i16
+    2182623480U,	// SMLSLv16i8_v8i16
+    2718709168U,	// SMLSLv2i32_indexed
+    2718709168U,	// SMLSLv2i32_v2i64
+    3256104368U,	// SMLSLv4i16_indexed
+    3256104368U,	// SMLSLv4i16_v4i32
+    1108095224U,	// SMLSLv4i32_indexed
+    1108095224U,	// SMLSLv4i32_v2i64
+    1645490424U,	// SMLSLv8i16_indexed
+    1645490424U,	// SMLSLv8i16_v4i32
+    3793237424U,	// SMLSLv8i8_v8i16
+    272768U,	// SMOVvi16to32
+    272768U,	// SMOVvi16to64
+    537143680U,	// SMOVvi32to64
+    1610885504U,	// SMOVvi8to32
+    1610885504U,	// SMOVvi8to64
+    17048813U,	// SMSUBLrrr
+    17048603U,	// SMULHrr
+    2149060830U,	// SMULLv16i8_v8i16
+    2685146516U,	// SMULLv2i32_indexed
+    2685146516U,	// SMULLv2i32_v2i64
+    3222541716U,	// SMULLv4i16_indexed
+    3222541716U,	// SMULLv4i16_v4i32
+    1074532574U,	// SMULLv4i32_indexed
+    1074532574U,	// SMULLv4i32_v2i64
+    1611927774U,	// SMULLv8i16_indexed
+    1611927774U,	// SMULLv8i16_v4i32
+    3759674772U,	// SMULLv8i8_v8i16
+    6187U,	// SQABSv16i8
+    553920555U,	// SQABSv1i16
+    553920555U,	// SQABSv1i32
+    553920555U,	// SQABSv1i64
+    553920555U,	// SQABSv1i8
+    1074272299U,	// SQABSv2i32
+    1611405355U,	// SQABSv2i64
+    2148538411U,	// SQABSv4i16
+    2685671467U,	// SQABSv4i32
+    3222804523U,	// SQABSv8i16
+    3759937579U,	// SQABSv8i8
+    2147488602U,	// SQADDv16i8
+    17048410U,	// SQADDv1i16
+    17048410U,	// SQADDv1i32
+    17048410U,	// SQADDv1i64
+    17048410U,	// SQADDv1i8
+    2684883802U,	// SQADDv2i32
+    537662298U,	// SQADDv2i64
+    3222279002U,	// SQADDv4i16
+    1075057498U,	// SQADDv4i32
+    1612190554U,	// SQADDv8i16
+    3759936346U,	// SQADDv8i8
+    67405009U,	// SQDMLALi16
+    67405009U,	// SQDMLALi32
+    67405009U,	// SQDMLALv1i32_indexed
+    67405009U,	// SQDMLALv1i64_indexed
+    2718708945U,	// SQDMLALv2i32_indexed
+    2718708945U,	// SQDMLALv2i32_v2i64
+    3256104145U,	// SQDMLALv4i16_indexed
+    3256104145U,	// SQDMLALv4i16_v4i32
+    1108095090U,	// SQDMLALv4i32_indexed
+    1108095090U,	// SQDMLALv4i32_v2i64
+    1645490290U,	// SQDMLALv8i16_indexed
+    1645490290U,	// SQDMLALv8i16_v4i32
+    67405223U,	// SQDMLSLi16
+    67405223U,	// SQDMLSLi32
+    67405223U,	// SQDMLSLv1i32_indexed
+    67405223U,	// SQDMLSLv1i64_indexed
+    2718709159U,	// SQDMLSLv2i32_indexed
+    2718709159U,	// SQDMLSLv2i32_v2i64
+    3256104359U,	// SQDMLSLv4i16_indexed
+    3256104359U,	// SQDMLSLv4i16_v4i32
+    1108095214U,	// SQDMLSLv4i32_indexed
+    1108095214U,	// SQDMLSLv4i32_v2i64
+    1645490414U,	// SQDMLSLv8i16_indexed
+    1645490414U,	// SQDMLSLv8i16_v4i32
+    17048584U,	// SQDMULHv1i16
+    17048584U,	// SQDMULHv1i16_indexed
+    17048584U,	// SQDMULHv1i32
+    17048584U,	// SQDMULHv1i32_indexed
+    2684883976U,	// SQDMULHv2i32
+    2684883976U,	// SQDMULHv2i32_indexed
+    3222279176U,	// SQDMULHv4i16
+    3222279176U,	// SQDMULHv4i16_indexed
+    1075057672U,	// SQDMULHv4i32
+    1075057672U,	// SQDMULHv4i32_indexed
+    1612190728U,	// SQDMULHv8i16
+    1612190728U,	// SQDMULHv8i16_indexed
+    17048964U,	// SQDMULLi16
+    17048964U,	// SQDMULLi32
+    17048964U,	// SQDMULLv1i32_indexed
+    17048964U,	// SQDMULLv1i64_indexed
+    2685146500U,	// SQDMULLv2i32_indexed
+    2685146500U,	// SQDMULLv2i32_v2i64
+    3222541700U,	// SQDMULLv4i16_indexed
+    3222541700U,	// SQDMULLv4i16_v4i32
+    1074532556U,	// SQDMULLv4i32_indexed
+    1074532556U,	// SQDMULLv4i32_v2i64
+    1611927756U,	// SQDMULLv8i16_indexed
+    1611927756U,	// SQDMULLv8i16_v4i32
+    5081U,	// SQNEGv16i8
+    553919449U,	// SQNEGv1i16
+    553919449U,	// SQNEGv1i32
+    553919449U,	// SQNEGv1i64
+    553919449U,	// SQNEGv1i8
+    1074271193U,	// SQNEGv2i32
+    1611404249U,	// SQNEGv2i64
+    2148537305U,	// SQNEGv4i16
+    2685670361U,	// SQNEGv4i32
+    3222803417U,	// SQNEGv8i16
+    3759936473U,	// SQNEGv8i8
+    17048593U,	// SQRDMULHv1i16
+    17048593U,	// SQRDMULHv1i16_indexed
+    17048593U,	// SQRDMULHv1i32
+    17048593U,	// SQRDMULHv1i32_indexed
+    2684883985U,	// SQRDMULHv2i32
+    2684883985U,	// SQRDMULHv2i32_indexed
+    3222279185U,	// SQRDMULHv4i16
+    3222279185U,	// SQRDMULHv4i16_indexed
+    1075057681U,	// SQRDMULHv4i32
+    1075057681U,	// SQRDMULHv4i32_indexed
+    1612190737U,	// SQRDMULHv8i16
+    1612190737U,	// SQRDMULHv8i16_indexed
+    2147489100U,	// SQRSHLv16i8
+    17048908U,	// SQRSHLv1i16
+    17048908U,	// SQRSHLv1i32
+    17048908U,	// SQRSHLv1i64
+    17048908U,	// SQRSHLv1i8
+    2684884300U,	// SQRSHLv2i32
+    537662796U,	// SQRSHLv2i64
+    3222279500U,	// SQRSHLv4i16
+    1075057996U,	// SQRSHLv4i32
+    1612191052U,	// SQRSHLv8i16
+    3759936844U,	// SQRSHLv8i8
+    17049171U,	// SQRSHRNb
+    17049171U,	// SQRSHRNh
+    17049171U,	// SQRSHRNs
+    1644179764U,	// SQRSHRNv16i8_shift
+    537400915U,	// SQRSHRNv2i32_shift
+    1074796115U,	// SQRSHRNv4i16_shift
+    571748660U,	// SQRSHRNv4i32_shift
+    1108881716U,	// SQRSHRNv8i16_shift
+    1612453459U,	// SQRSHRNv8i8_shift
+    17049232U,	// SQRSHRUNb
+    17049232U,	// SQRSHRUNh
+    17049232U,	// SQRSHRUNs
+    1644179824U,	// SQRSHRUNv16i8_shift
+    537400976U,	// SQRSHRUNv2i32_shift
+    1074796176U,	// SQRSHRUNv4i16_shift
+    571748720U,	// SQRSHRUNv4i32_shift
+    1108881776U,	// SQRSHRUNv8i16_shift
+    1612453520U,	// SQRSHRUNv8i8_shift
+    17049847U,	// SQSHLUb
+    17049847U,	// SQSHLUd
+    17049847U,	// SQSHLUh
+    17049847U,	// SQSHLUs
+    2147490039U,	// SQSHLUv16i8_shift
+    2684885239U,	// SQSHLUv2i32_shift
+    537663735U,	// SQSHLUv2i64_shift
+    3222280439U,	// SQSHLUv4i16_shift
+    1075058935U,	// SQSHLUv4i32_shift
+    1612191991U,	// SQSHLUv8i16_shift
+    3759937783U,	// SQSHLUv8i8_shift
+    17048894U,	// SQSHLb
+    17048894U,	// SQSHLd
+    17048894U,	// SQSHLh
+    17048894U,	// SQSHLs
+    2147489086U,	// SQSHLv16i8
+    2147489086U,	// SQSHLv16i8_shift
+    17048894U,	// SQSHLv1i16
+    17048894U,	// SQSHLv1i32
+    17048894U,	// SQSHLv1i64
+    17048894U,	// SQSHLv1i8
+    2684884286U,	// SQSHLv2i32
+    2684884286U,	// SQSHLv2i32_shift
+    537662782U,	// SQSHLv2i64
+    537662782U,	// SQSHLv2i64_shift
+    3222279486U,	// SQSHLv4i16
+    3222279486U,	// SQSHLv4i16_shift
+    1075057982U,	// SQSHLv4i32
+    1075057982U,	// SQSHLv4i32_shift
+    1612191038U,	// SQSHLv8i16
+    1612191038U,	// SQSHLv8i16_shift
+    3759936830U,	// SQSHLv8i8
+    3759936830U,	// SQSHLv8i8_shift
+    17049155U,	// SQSHRNb
+    17049155U,	// SQSHRNh
+    17049155U,	// SQSHRNs
+    1644179746U,	// SQSHRNv16i8_shift
+    537400899U,	// SQSHRNv2i32_shift
+    1074796099U,	// SQSHRNv4i16_shift
+    571748642U,	// SQSHRNv4i32_shift
+    1108881698U,	// SQSHRNv8i16_shift
+    1612453443U,	// SQSHRNv8i8_shift
+    17049223U,	// SQSHRUNb
+    17049223U,	// SQSHRUNh
+    17049223U,	// SQSHRUNs
+    1644179814U,	// SQSHRUNv16i8_shift
+    537400967U,	// SQSHRUNv2i32_shift
+    1074796167U,	// SQSHRUNv4i16_shift
+    571748710U,	// SQSHRUNv4i32_shift
+    1108881766U,	// SQSHRUNv8i16_shift
+    1612453511U,	// SQSHRUNv8i8_shift
+    2147488464U,	// SQSUBv16i8
+    17048272U,	// SQSUBv1i16
+    17048272U,	// SQSUBv1i32
+    17048272U,	// SQSUBv1i64
+    17048272U,	// SQSUBv1i8
+    2684883664U,	// SQSUBv2i32
+    537662160U,	// SQSUBv2i64
+    3222278864U,	// SQSUBv4i16
+    1075057360U,	// SQSUBv4i32
+    1612190416U,	// SQSUBv8i16
+    3759936208U,	// SQSUBv8i8
+    3254792534U,	// SQXTNv16i8
+    553920121U,	// SQXTNv1i16
+    553920121U,	// SQXTNv1i32
+    553920121U,	// SQXTNv1i8
+    1611142777U,	// SQXTNv2i32
+    2685408889U,	// SQXTNv4i16
+    1645490518U,	// SQXTNv4i32
+    2719494486U,	// SQXTNv8i16
+    3223066233U,	// SQXTNv8i8
+    3254792571U,	// SQXTUNv16i8
+    553920154U,	// SQXTUNv1i16
+    553920154U,	// SQXTUNv1i32
+    553920154U,	// SQXTUNv1i8
+    1611142810U,	// SQXTUNv2i32
+    2685408922U,	// SQXTUNv4i16
+    1645490555U,	// SQXTUNv4i32
+    2719494523U,	// SQXTUNv8i16
+    3223066266U,	// SQXTUNv8i8
+    2147488556U,	// SRHADDv16i8
+    2684883756U,	// SRHADDv2i32
+    3222278956U,	// SRHADDv4i16
+    1075057452U,	// SRHADDv4i32
+    1612190508U,	// SRHADDv8i16
+    3759936300U,	// SRHADDv8i8
+    67404965U,	// SRId
+    2181051557U,	// SRIv16i8_shift
+    2718446757U,	// SRIv2i32_shift
+    571225253U,	// SRIv2i64_shift
+    3255841957U,	// SRIv4i16_shift
+    1108620453U,	// SRIv4i32_shift
+    1645753509U,	// SRIv8i16_shift
+    3793499301U,	// SRIv8i8_shift
+    2147489116U,	// SRSHLv16i8
+    17048924U,	// SRSHLv1i64
+    2684884316U,	// SRSHLv2i32
+    537662812U,	// SRSHLv2i64
+    3222279516U,	// SRSHLv4i16
+    1075058012U,	// SRSHLv4i32
+    1612191068U,	// SRSHLv8i16
+    3759936860U,	// SRSHLv8i8
+    17049501U,	// SRSHRd
+    2147489693U,	// SRSHRv16i8_shift
+    2684884893U,	// SRSHRv2i32_shift
+    537663389U,	// SRSHRv2i64_shift
+    3222280093U,	// SRSHRv4i16_shift
+    1075058589U,	// SRSHRv4i32_shift
+    1612191645U,	// SRSHRv8i16_shift
+    3759937437U,	// SRSHRv8i8_shift
+    67404288U,	// SRSRAd
+    2181050880U,	// SRSRAv16i8_shift
+    2718446080U,	// SRSRAv2i32_shift
+    571224576U,	// SRSRAv2i64_shift
+    3255841280U,	// SRSRAv4i16_shift
+    1108619776U,	// SRSRAv4i32_shift
+    1645752832U,	// SRSRAv8i16_shift
+    3793498624U,	// SRSRAv8i8_shift
+    2149060796U,	// SSHLLv16i8_shift
+    2685146486U,	// SSHLLv2i32_shift
+    3222541686U,	// SSHLLv4i16_shift
+    1074532540U,	// SSHLLv4i32_shift
+    1611927740U,	// SSHLLv8i16_shift
+    3759674742U,	// SSHLLv8i8_shift
+    2147489130U,	// SSHLv16i8
+    17048938U,	// SSHLv1i64
+    2684884330U,	// SSHLv2i32
+    537662826U,	// SSHLv2i64
+    3222279530U,	// SSHLv4i16
+    1075058026U,	// SSHLv4i32
+    1612191082U,	// SSHLv8i16
+    3759936874U,	// SSHLv8i8
+    17049515U,	// SSHRd
+    2147489707U,	// SSHRv16i8_shift
+    2684884907U,	// SSHRv2i32_shift
+    537663403U,	// SSHRv2i64_shift
+    3222280107U,	// SSHRv4i16_shift
+    1075058603U,	// SSHRv4i32_shift
+    1612191659U,	// SSHRv8i16_shift
+    3759937451U,	// SSHRv8i8_shift
+    67404302U,	// SSRAd
+    2181050894U,	// SSRAv16i8_shift
+    2718446094U,	// SSRAv2i32_shift
+    571224590U,	// SSRAv2i64_shift
+    3255841294U,	// SSRAv4i16_shift
+    1108619790U,	// SSRAv4i32_shift
+    1645752846U,	// SSRAv8i16_shift
+    3793498638U,	// SSRAv8i8_shift
+    2149060748U,	// SSUBLv16i8_v8i16
+    2685146365U,	// SSUBLv2i32_v2i64
+    3222541565U,	// SSUBLv4i16_v4i32
+    1074532492U,	// SSUBLv4i32_v2i64
+    1611927692U,	// SSUBLv8i16_v4i32
+    3759674621U,	// SSUBLv8i8_v8i16
+    1612190117U,	// SSUBWv16i8_v8i16
+    537663913U,	// SSUBWv2i32_v2i64
+    1075059113U,	// SSUBWv4i16_v4i32
+    537661861U,	// SSUBWv4i32_v2i64
+    1075057061U,	// SSUBWv8i16_v4i32
+    1612192169U,	// SSUBWv8i8_v8i16
+    36915U,	// ST1Fourv16b
+    3711027U,	// ST1Fourv16b_POST
+    45107U,	// ST1Fourv1d
+    3981363U,	// ST1Fourv1d_POST
+    53299U,	// ST1Fourv2d
+    3727411U,	// ST1Fourv2d_POST
+    61491U,	// ST1Fourv2s
+    3997747U,	// ST1Fourv2s_POST
+    69683U,	// ST1Fourv4h
+    4005939U,	// ST1Fourv4h_POST
+    77875U,	// ST1Fourv4s
+    3751987U,	// ST1Fourv4s_POST
+    86067U,	// ST1Fourv8b
+    4022323U,	// ST1Fourv8b_POST
+    94259U,	// ST1Fourv8h
+    3768371U,	// ST1Fourv8h_POST
+    36915U,	// ST1Onev16b
+    4235315U,	// ST1Onev16b_POST
+    45107U,	// ST1Onev1d
+    4505651U,	// ST1Onev1d_POST
+    53299U,	// ST1Onev2d
+    4251699U,	// ST1Onev2d_POST
+    61491U,	// ST1Onev2s
+    4522035U,	// ST1Onev2s_POST
+    69683U,	// ST1Onev4h
+    4530227U,	// ST1Onev4h_POST
+    77875U,	// ST1Onev4s
+    4276275U,	// ST1Onev4s_POST
+    86067U,	// ST1Onev8b
+    4546611U,	// ST1Onev8b_POST
+    94259U,	// ST1Onev8h
+    4292659U,	// ST1Onev8h_POST
+    36915U,	// ST1Threev16b
+    5546035U,	// ST1Threev16b_POST
+    45107U,	// ST1Threev1d
+    5816371U,	// ST1Threev1d_POST
+    53299U,	// ST1Threev2d
+    5562419U,	// ST1Threev2d_POST
+    61491U,	// ST1Threev2s
+    5832755U,	// ST1Threev2s_POST
+    69683U,	// ST1Threev4h
+    5840947U,	// ST1Threev4h_POST
+    77875U,	// ST1Threev4s
+    5586995U,	// ST1Threev4s_POST
+    86067U,	// ST1Threev8b
+    5857331U,	// ST1Threev8b_POST
+    94259U,	// ST1Threev8h
+    5603379U,	// ST1Threev8h_POST
+    36915U,	// ST1Twov16b
+    3973171U,	// ST1Twov16b_POST
+    45107U,	// ST1Twov1d
+    4243507U,	// ST1Twov1d_POST
+    53299U,	// ST1Twov2d
+    3989555U,	// ST1Twov2d_POST
+    61491U,	// ST1Twov2s
+    4259891U,	// ST1Twov2s_POST
+    69683U,	// ST1Twov4h
+    4268083U,	// ST1Twov4h_POST
+    77875U,	// ST1Twov4s
+    4014131U,	// ST1Twov4s_POST
+    86067U,	// ST1Twov8b
+    4284467U,	// ST1Twov8b_POST
+    94259U,	// ST1Twov8h
+    4030515U,	// ST1Twov8h_POST
+    147507U,	// ST1i16
+    262246451U,	// ST1i16_POST
+    151603U,	// ST1i32
+    279031859U,	// ST1i32_POST
+    155699U,	// ST1i64
+    295817267U,	// ST1i64_POST
+    159795U,	// ST1i8
+    312602675U,	// ST1i8_POST
+    37280U,	// ST2Twov16b
+    3973536U,	// ST2Twov16b_POST
+    53664U,	// ST2Twov2d
+    3989920U,	// ST2Twov2d_POST
+    61856U,	// ST2Twov2s
+    4260256U,	// ST2Twov2s_POST
+    70048U,	// ST2Twov4h
+    4268448U,	// ST2Twov4h_POST
+    78240U,	// ST2Twov4s
+    4014496U,	// ST2Twov4s_POST
+    86432U,	// ST2Twov8b
+    4284832U,	// ST2Twov8b_POST
+    94624U,	// ST2Twov8h
+    4030880U,	// ST2Twov8h_POST
+    147872U,	// ST2i16
+    279024032U,	// ST2i16_POST
+    151968U,	// ST2i32
+    295809440U,	// ST2i32_POST
+    156064U,	// ST2i64
+    329372064U,	// ST2i64_POST
+    160160U,	// ST2i8
+    262271392U,	// ST2i8_POST
+    37329U,	// ST3Threev16b
+    5546449U,	// ST3Threev16b_POST
+    53713U,	// ST3Threev2d
+    5562833U,	// ST3Threev2d_POST
+    61905U,	// ST3Threev2s
+    5833169U,	// ST3Threev2s_POST
+    70097U,	// ST3Threev4h
+    5841361U,	// ST3Threev4h_POST
+    78289U,	// ST3Threev4s
+    5587409U,	// ST3Threev4s_POST
+    86481U,	// ST3Threev8b
+    5857745U,	// ST3Threev8b_POST
+    94673U,	// ST3Threev8h
+    5603793U,	// ST3Threev8h_POST
+    147921U,	// ST3i16
+    346132945U,	// ST3i16_POST
+    152017U,	// ST3i32
+    362918353U,	// ST3i32_POST
+    156113U,	// ST3i64
+    379703761U,	// ST3i64_POST
+    160209U,	// ST3i8
+    396489169U,	// ST3i8_POST
+    37346U,	// ST4Fourv16b
+    3711458U,	// ST4Fourv16b_POST
+    53730U,	// ST4Fourv2d
+    3727842U,	// ST4Fourv2d_POST
+    61922U,	// ST4Fourv2s
+    3998178U,	// ST4Fourv2s_POST
+    70114U,	// ST4Fourv4h
+    4006370U,	// ST4Fourv4h_POST
+    78306U,	// ST4Fourv4s
+    3752418U,	// ST4Fourv4s_POST
+    86498U,	// ST4Fourv8b
+    4022754U,	// ST4Fourv8b_POST
+    94690U,	// ST4Fourv8h
+    3768802U,	// ST4Fourv8h_POST
+    147938U,	// ST4i16
+    295801314U,	// ST4i16_POST
+    152034U,	// ST4i32
+    329363938U,	// ST4i32_POST
+    156130U,	// ST4i64
+    413258210U,	// ST4i64_POST
+    160226U,	// ST4i8
+    279048674U,	// ST4i8_POST
+    26485317U,	// STLRB
+    26485814U,	// STLRH
+    26486716U,	// STLRW
+    26486716U,	// STLRX
+    17049437U,	// STLXPW
+    17049437U,	// STLXPX
+    553919101U,	// STLXRB
+    553919598U,	// STLXRH
+    553920528U,	// STLXRW
+    553920528U,	// STLXRX
+    553920285U,	// STNPDi
+    553920285U,	// STNPQi
+    553920285U,	// STNPSi
+    553920285U,	// STNPWi
+    553920285U,	// STNPXi
+    553920305U,	// STPDi
+    604276529U,	// STPDpost
+    604276529U,	// STPDpre
+    553920305U,	// STPQi
+    604276529U,	// STPQpost
+    604276529U,	// STPQpre
+    553920305U,	// STPSi
+    604276529U,	// STPSpost
+    604276529U,	// STPSpre
+    553920305U,	// STPWi
+    604276529U,	// STPWpost
+    604276529U,	// STPWpre
+    553920305U,	// STPXi
+    604276529U,	// STPXpost
+    604276529U,	// STPXpre
+    1150583379U,	// STRBBpost
+    76841555U,	// STRBBpre
+    26485331U,	// STRBBroW
+    26485331U,	// STRBBroX
+    26485331U,	// STRBBui
+    1150584806U,	// STRBpost
+    76842982U,	// STRBpre
+    26486758U,	// STRBroW
+    26486758U,	// STRBroX
+    26486758U,	// STRBui
+    1150584806U,	// STRDpost
+    76842982U,	// STRDpre
+    26486758U,	// STRDroW
+    26486758U,	// STRDroX
+    26486758U,	// STRDui
+    1150583876U,	// STRHHpost
+    76842052U,	// STRHHpre
+    26485828U,	// STRHHroW
+    26485828U,	// STRHHroX
+    26485828U,	// STRHHui
+    1150584806U,	// STRHpost
+    76842982U,	// STRHpre
+    26486758U,	// STRHroW
+    26486758U,	// STRHroX
+    26486758U,	// STRHui
+    1150584806U,	// STRQpost
+    76842982U,	// STRQpre
+    26486758U,	// STRQroW
+    26486758U,	// STRQroX
+    26486758U,	// STRQui
+    1150584806U,	// STRSpost
+    76842982U,	// STRSpre
+    26486758U,	// STRSroW
+    26486758U,	// STRSroX
+    26486758U,	// STRSui
+    1150584806U,	// STRWpost
+    76842982U,	// STRWpre
+    26486758U,	// STRWroW
+    26486758U,	// STRWroX
+    26486758U,	// STRWui
+    1150584806U,	// STRXpost
+    76842982U,	// STRXpre
+    26486758U,	// STRXroW
+    26486758U,	// STRXroX
+    26486758U,	// STRXui
+    26485337U,	// STTRBi
+    26485834U,	// STTRHi
+    26486763U,	// STTRWi
+    26486763U,	// STTRXi
+    26485351U,	// STURBBi
+    26486781U,	// STURBi
+    26486781U,	// STURDi
+    26485848U,	// STURHHi
+    26486781U,	// STURHi
+    26486781U,	// STURQi
+    26486781U,	// STURSi
+    26486781U,	// STURWi
+    26486781U,	// STURXi
+    17049444U,	// STXPW
+    17049444U,	// STXPX
+    553919109U,	// STXRB
+    553919606U,	// STXRH
+    553920535U,	// STXRW
+    553920535U,	// STXRX
+    537400855U,	// SUBHNv2i64_v2i32
+    571748625U,	// SUBHNv2i64_v4i32
+    1074796055U,	// SUBHNv4i32_v4i16
+    1108881681U,	// SUBHNv4i32_v8i16
+    1644179729U,	// SUBHNv8i16_v16i8
+    1612453399U,	// SUBHNv8i16_v8i8
+    17049650U,	// SUBSWri
+    0U,	// SUBSWrr
+    17049650U,	// SUBSWrs
+    17049650U,	// SUBSWrx
+    17049650U,	// SUBSXri
+    0U,	// SUBSXrr
+    17049650U,	// SUBSXrs
+    17049650U,	// SUBSXrx
+    17049650U,	// SUBSXrx64
+    17048238U,	// SUBWri
+    0U,	// SUBWrr
+    17048238U,	// SUBWrs
+    17048238U,	// SUBWrx
+    17048238U,	// SUBXri
+    0U,	// SUBXrr
+    17048238U,	// SUBXrs
+    17048238U,	// SUBXrx
+    17048238U,	// SUBXrx64
+    2147488430U,	// SUBv16i8
+    17048238U,	// SUBv1i64
+    2684883630U,	// SUBv2i32
+    537662126U,	// SUBv2i64
+    3222278830U,	// SUBv4i16
+    1075057326U,	// SUBv4i32
+    1612190382U,	// SUBv8i16
+    3759936174U,	// SUBv8i8
+    33567585U,	// SUQADDv16i8
+    604275553U,	// SUQADDv1i16
+    604275553U,	// SUQADDv1i32
+    604275553U,	// SUQADDv1i64
+    604275553U,	// SUQADDv1i8
+    1107833697U,	// SUQADDv2i32
+    1644966753U,	// SUQADDv2i64
+    2182099809U,	// SUQADDv4i16
+    2719232865U,	// SUQADDv4i32
+    3256365921U,	// SUQADDv8i16
+    3793498977U,	// SUQADDv8i8
+    21263U,	// SVC
+    17049022U,	// SYSLxt
+    419702938U,	// SYSxt
+    436212968U,	// TBLv16i8Four
+    436212968U,	// TBLv16i8One
+    436212968U,	// TBLv16i8Three
+    436212968U,	// TBLv16i8Two
+    4196144360U,	// TBLv8i8Four
+    4196144360U,	// TBLv8i8One
+    4196144360U,	// TBLv8i8Three
+    4196144360U,	// TBLv8i8Two
+    17050183U,	// TBNZW
+    17050183U,	// TBNZX
+    452999686U,	// TBXv16i8Four
+    452999686U,	// TBXv16i8One
+    452999686U,	// TBXv16i8Three
+    452999686U,	// TBXv16i8Two
+    4212931078U,	// TBXv8i8Four
+    4212931078U,	// TBXv8i8One
+    4212931078U,	// TBXv8i8Three
+    4212931078U,	// TBXv8i8Two
+    17050167U,	// TBZW
+    17050167U,	// TBZX
+    0U,	// TCRETURNdi
+    0U,	// TCRETURNri
+    2107995U,	// TLSDESCCALL
+    0U,	// TLSDESC_BLR
+    2147487770U,	// TRN1v16i8
+    2684882970U,	// TRN1v2i32
+    537661466U,	// TRN1v2i64
+    3222278170U,	// TRN1v4i16
+    1075056666U,	// TRN1v4i32
+    1612189722U,	// TRN1v8i16
+    3759935514U,	// TRN1v8i8
+    2147488072U,	// TRN2v16i8
+    2684883272U,	// TRN2v2i32
+    537661768U,	// TRN2v2i64
+    3222278472U,	// TRN2v4i16
+    1075056968U,	// TRN2v4i32
+    1612190024U,	// TRN2v8i16
+    3759935816U,	// TRN2v8i8
+    2182623338U,	// UABALv16i8_v8i16
+    2718708938U,	// UABALv2i32_v2i64
+    3256104138U,	// UABALv4i16_v4i32
+    1108095082U,	// UABALv4i32_v2i64
+    1645490282U,	// UABALv8i16_v4i32
+    3793237194U,	// UABALv8i8_v8i16
+    2181050868U,	// UABAv16i8
+    2718446068U,	// UABAv2i32
+    3255841268U,	// UABAv4i16
+    1108619764U,	// UABAv4i32
+    1645752820U,	// UABAv8i16
+    3793498612U,	// UABAv8i8
+    2149060772U,	// UABDLv16i8_v8i16
+    2685146386U,	// UABDLv2i32_v2i64
+    3222541586U,	// UABDLv4i16_v4i32
+    1074532516U,	// UABDLv4i32_v2i64
+    1611927716U,	// UABDLv8i16_v4i32
+    3759674642U,	// UABDLv8i8_v8i16
+    2147488544U,	// UABDv16i8
+    2684883744U,	// UABDv2i32
+    3222278944U,	// UABDv4i16
+    1075057440U,	// UABDv4i32
+    1612190496U,	// UABDv8i16
+    3759936288U,	// UABDv8i8
+    35141323U,	// UADALPv16i8_v8i16
+    1117533899U,	// UADALPv2i32_v1i64
+    2181576395U,	// UADALPv4i16_v2i32
+    2718709451U,	// UADALPv4i32_v2i64
+    3256104651U,	// UADALPv8i16_v4i32
+    3792713419U,	// UADALPv8i8_v4i16
+    1578715U,	// UADDLPv16i8_v8i16
+    1083971291U,	// UADDLPv2i32_v1i64
+    2148013787U,	// UADDLPv4i16_v2i32
+    2685146843U,	// UADDLPv4i32_v2i64
+    3222542043U,	// UADDLPv8i16_v4i32
+    3759150811U,	// UADDLPv8i8_v4i16
+    272708U,	// UADDLVv16i8v
+    2147756356U,	// UADDLVv4i16v
+    2684627268U,	// UADDLVv4i32v
+    3221498180U,	// UADDLVv8i16v
+    3758369092U,	// UADDLVv8i8v
+    2149060788U,	// UADDLv16i8_v8i16
+    2685146416U,	// UADDLv2i32_v2i64
+    3222541616U,	// UADDLv4i16_v4i32
+    1074532532U,	// UADDLv4i32_v2i64
+    1611927732U,	// UADDLv8i16_v4i32
+    3759674672U,	// UADDLv8i8_v8i16
+    1612190141U,	// UADDWv16i8_v8i16
+    537663943U,	// UADDWv2i32_v2i64
+    1075059143U,	// UADDWv4i16_v4i32
+    537661885U,	// UADDWv4i32_v2i64
+    1075057085U,	// UADDWv8i16_v4i32
+    1612192199U,	// UADDWv8i8_v8i16
+    17049067U,	// UBFMWri
+    17049067U,	// UBFMXri
+    17048524U,	// UCVTFSWDri
+    17048524U,	// UCVTFSWSri
+    17048524U,	// UCVTFSXDri
+    17048524U,	// UCVTFSXSri
+    553919436U,	// UCVTFUWDri
+    553919436U,	// UCVTFUWSri
+    553919436U,	// UCVTFUXDri
+    553919436U,	// UCVTFUXSri
+    17048524U,	// UCVTFd
+    17048524U,	// UCVTFs
+    553919436U,	// UCVTFv1i32
+    553919436U,	// UCVTFv1i64
+    1074271180U,	// UCVTFv2f32
+    1611404236U,	// UCVTFv2f64
+    2684883916U,	// UCVTFv2i32_shift
+    537662412U,	// UCVTFv2i64_shift
+    2685670348U,	// UCVTFv4f32
+    1075057612U,	// UCVTFv4i32_shift
+    17049910U,	// UDIVWr
+    17049910U,	// UDIVXr
+    17049910U,	// UDIV_IntWr
+    17049910U,	// UDIV_IntXr
+    2147488579U,	// UHADDv16i8
+    2684883779U,	// UHADDv2i32
+    3222278979U,	// UHADDv4i16
+    1075057475U,	// UHADDv4i32
+    1612190531U,	// UHADDv8i16
+    3759936323U,	// UHADDv8i8
+    2147488442U,	// UHSUBv16i8
+    2684883642U,	// UHSUBv2i32
+    3222278842U,	// UHSUBv4i16
+    1075057338U,	// UHSUBv4i32
+    1612190394U,	// UHSUBv8i16
+    3759936186U,	// UHSUBv8i8
+    17048865U,	// UMADDLrrr
+    2147489616U,	// UMAXPv16i8
+    2684884816U,	// UMAXPv2i32
+    3222280016U,	// UMAXPv4i16
+    1075058512U,	// UMAXPv4i32
+    1612191568U,	// UMAXPv8i16
+    3759937360U,	// UMAXPv8i8
+    272794U,	// UMAXVv16i8v
+    2147756442U,	// UMAXVv4i16v
+    2684627354U,	// UMAXVv4i32v
+    3221498266U,	// UMAXVv8i16v
+    3758369178U,	// UMAXVv8i8v
+    2147490304U,	// UMAXv16i8
+    2684885504U,	// UMAXv2i32
+    3222280704U,	// UMAXv4i16
+    1075059200U,	// UMAXv4i32
+    1612192256U,	// UMAXv8i16
+    3759938048U,	// UMAXv8i8
+    2147489558U,	// UMINPv16i8
+    2684884758U,	// UMINPv2i32
+    3222279958U,	// UMINPv4i16
+    1075058454U,	// UMINPv4i32
+    1612191510U,	// UMINPv8i16
+    3759937302U,	// UMINPv8i8
+    272748U,	// UMINVv16i8v
+    2147756396U,	// UMINVv4i16v
+    2684627308U,	// UMINVv4i32v
+    3221498220U,	// UMINVv8i16v
+    3758369132U,	// UMINVv8i8v
+    2147489330U,	// UMINv16i8
+    2684884530U,	// UMINv2i32
+    3222279730U,	// UMINv4i16
+    1075058226U,	// UMINv4i32
+    1612191282U,	// UMINv8i16
+    3759937074U,	// UMINv8i8
+    2182623364U,	// UMLALv16i8_v8i16
+    2718708961U,	// UMLALv2i32_indexed
+    2718708961U,	// UMLALv2i32_v2i64
+    3256104161U,	// UMLALv4i16_indexed
+    3256104161U,	// UMLALv4i16_v4i32
+    1108095108U,	// UMLALv4i32_indexed
+    1108095108U,	// UMLALv4i32_v2i64
+    1645490308U,	// UMLALv8i16_indexed
+    1645490308U,	// UMLALv8i16_v4i32
+    3793237217U,	// UMLALv8i8_v8i16
+    2182623488U,	// UMLSLv16i8_v8i16
+    2718709175U,	// UMLSLv2i32_indexed
+    2718709175U,	// UMLSLv2i32_v2i64
+    3256104375U,	// UMLSLv4i16_indexed
+    3256104375U,	// UMLSLv4i16_v4i32
+    1108095232U,	// UMLSLv4i32_indexed
+    1108095232U,	// UMLSLv4i32_v2i64
+    1645490432U,	// UMLSLv8i16_indexed
+    1645490432U,	// UMLSLv8i16_v4i32
+    3793237431U,	// UMLSLv8i8_v8i16
+    272774U,	// UMOVvi16
+    537143686U,	// UMOVvi32
+    1074014598U,	// UMOVvi64
+    1610885510U,	// UMOVvi8
+    17048821U,	// UMSUBLrrr
+    17048610U,	// UMULHrr
+    2149060838U,	// UMULLv16i8_v8i16
+    2685146523U,	// UMULLv2i32_indexed
+    2685146523U,	// UMULLv2i32_v2i64
+    3222541723U,	// UMULLv4i16_indexed
+    3222541723U,	// UMULLv4i16_v4i32
+    1074532582U,	// UMULLv4i32_indexed
+    1074532582U,	// UMULLv4i32_v2i64
+    1611927782U,	// UMULLv8i16_indexed
+    1611927782U,	// UMULLv8i16_v4i32
+    3759674779U,	// UMULLv8i8_v8i16
+    2147488610U,	// UQADDv16i8
+    17048418U,	// UQADDv1i16
+    17048418U,	// UQADDv1i32
+    17048418U,	// UQADDv1i64
+    17048418U,	// UQADDv1i8
+    2684883810U,	// UQADDv2i32
+    537662306U,	// UQADDv2i64
+    3222279010U,	// UQADDv4i16
+    1075057506U,	// UQADDv4i32
+    1612190562U,	// UQADDv8i16
+    3759936354U,	// UQADDv8i8
+    2147489108U,	// UQRSHLv16i8
+    17048916U,	// UQRSHLv1i16
+    17048916U,	// UQRSHLv1i32
+    17048916U,	// UQRSHLv1i64
+    17048916U,	// UQRSHLv1i8
+    2684884308U,	// UQRSHLv2i32
+    537662804U,	// UQRSHLv2i64
+    3222279508U,	// UQRSHLv4i16
+    1075058004U,	// UQRSHLv4i32
+    1612191060U,	// UQRSHLv8i16
+    3759936852U,	// UQRSHLv8i8
+    17049180U,	// UQRSHRNb
+    17049180U,	// UQRSHRNh
+    17049180U,	// UQRSHRNs
+    1644179774U,	// UQRSHRNv16i8_shift
+    537400924U,	// UQRSHRNv2i32_shift
+    1074796124U,	// UQRSHRNv4i16_shift
+    571748670U,	// UQRSHRNv4i32_shift
+    1108881726U,	// UQRSHRNv8i16_shift
+    1612453468U,	// UQRSHRNv8i8_shift
+    17048901U,	// UQSHLb
+    17048901U,	// UQSHLd
+    17048901U,	// UQSHLh
+    17048901U,	// UQSHLs
+    2147489093U,	// UQSHLv16i8
+    2147489093U,	// UQSHLv16i8_shift
+    17048901U,	// UQSHLv1i16
+    17048901U,	// UQSHLv1i32
+    17048901U,	// UQSHLv1i64
+    17048901U,	// UQSHLv1i8
+    2684884293U,	// UQSHLv2i32
+    2684884293U,	// UQSHLv2i32_shift
+    537662789U,	// UQSHLv2i64
+    537662789U,	// UQSHLv2i64_shift
+    3222279493U,	// UQSHLv4i16
+    3222279493U,	// UQSHLv4i16_shift
+    1075057989U,	// UQSHLv4i32
+    1075057989U,	// UQSHLv4i32_shift
+    1612191045U,	// UQSHLv8i16
+    1612191045U,	// UQSHLv8i16_shift
+    3759936837U,	// UQSHLv8i8
+    3759936837U,	// UQSHLv8i8_shift
+    17049163U,	// UQSHRNb
+    17049163U,	// UQSHRNh
+    17049163U,	// UQSHRNs
+    1644179755U,	// UQSHRNv16i8_shift
+    537400907U,	// UQSHRNv2i32_shift
+    1074796107U,	// UQSHRNv4i16_shift
+    571748651U,	// UQSHRNv4i32_shift
+    1108881707U,	// UQSHRNv8i16_shift
+    1612453451U,	// UQSHRNv8i8_shift
+    2147488471U,	// UQSUBv16i8
+    17048279U,	// UQSUBv1i16
+    17048279U,	// UQSUBv1i32
+    17048279U,	// UQSUBv1i64
+    17048279U,	// UQSUBv1i8
+    2684883671U,	// UQSUBv2i32
+    537662167U,	// UQSUBv2i64
+    3222278871U,	// UQSUBv4i16
+    1075057367U,	// UQSUBv4i32
+    1612190423U,	// UQSUBv8i16
+    3759936215U,	// UQSUBv8i8
+    3254792542U,	// UQXTNv16i8
+    553920128U,	// UQXTNv1i16
+    553920128U,	// UQXTNv1i32
+    553920128U,	// UQXTNv1i8
+    1611142784U,	// UQXTNv2i32
+    2685408896U,	// UQXTNv4i16
+    1645490526U,	// UQXTNv4i32
+    2719494494U,	// UQXTNv8i16
+    3223066240U,	// UQXTNv8i8
+    1074271121U,	// URECPEv2i32
+    2685670289U,	// URECPEv4i32
+    2147488564U,	// URHADDv16i8
+    2684883764U,	// URHADDv2i32
+    3222278964U,	// URHADDv4i16
+    1075057460U,	// URHADDv4i32
+    1612190516U,	// URHADDv8i16
+    3759936308U,	// URHADDv8i8
+    2147489123U,	// URSHLv16i8
+    17048931U,	// URSHLv1i64
+    2684884323U,	// URSHLv2i32
+    537662819U,	// URSHLv2i64
+    3222279523U,	// URSHLv4i16
+    1075058019U,	// URSHLv4i32
+    1612191075U,	// URSHLv8i16
+    3759936867U,	// URSHLv8i8
+    17049508U,	// URSHRd
+    2147489700U,	// URSHRv16i8_shift
+    2684884900U,	// URSHRv2i32_shift
+    537663396U,	// URSHRv2i64_shift
+    3222280100U,	// URSHRv4i16_shift
+    1075058596U,	// URSHRv4i32_shift
+    1612191652U,	// URSHRv8i16_shift
+    3759937444U,	// URSHRv8i8_shift
+    1074271159U,	// URSQRTEv2i32
+    2685670327U,	// URSQRTEv4i32
+    67404295U,	// URSRAd
+    2181050887U,	// URSRAv16i8_shift
+    2718446087U,	// URSRAv2i32_shift
+    571224583U,	// URSRAv2i64_shift
+    3255841287U,	// URSRAv4i16_shift
+    1108619783U,	// URSRAv4i32_shift
+    1645752839U,	// URSRAv8i16_shift
+    3793498631U,	// URSRAv8i8_shift
+    2149060804U,	// USHLLv16i8_shift
+    2685146493U,	// USHLLv2i32_shift
+    3222541693U,	// USHLLv4i16_shift
+    1074532548U,	// USHLLv4i32_shift
+    1611927748U,	// USHLLv8i16_shift
+    3759674749U,	// USHLLv8i8_shift
+    2147489136U,	// USHLv16i8
+    17048944U,	// USHLv1i64
+    2684884336U,	// USHLv2i32
+    537662832U,	// USHLv2i64
+    3222279536U,	// USHLv4i16
+    1075058032U,	// USHLv4i32
+    1612191088U,	// USHLv8i16
+    3759936880U,	// USHLv8i8
+    17049521U,	// USHRd
+    2147489713U,	// USHRv16i8_shift
+    2684884913U,	// USHRv2i32_shift
+    537663409U,	// USHRv2i64_shift
+    3222280113U,	// USHRv4i16_shift
+    1075058609U,	// USHRv4i32_shift
+    1612191665U,	// USHRv8i16_shift
+    3759937457U,	// USHRv8i8_shift
+    33567577U,	// USQADDv16i8
+    604275545U,	// USQADDv1i16
+    604275545U,	// USQADDv1i32
+    604275545U,	// USQADDv1i64
+    604275545U,	// USQADDv1i8
+    1107833689U,	// USQADDv2i32
+    1644966745U,	// USQADDv2i64
+    2182099801U,	// USQADDv4i16
+    2719232857U,	// USQADDv4i32
+    3256365913U,	// USQADDv8i16
+    3793498969U,	// USQADDv8i8
+    67404308U,	// USRAd
+    2181050900U,	// USRAv16i8_shift
+    2718446100U,	// USRAv2i32_shift
+    571224596U,	// USRAv2i64_shift
+    3255841300U,	// USRAv4i16_shift
+    1108619796U,	// USRAv4i32_shift
+    1645752852U,	// USRAv8i16_shift
+    3793498644U,	// USRAv8i8_shift
+    2149060756U,	// USUBLv16i8_v8i16
+    2685146372U,	// USUBLv2i32_v2i64
+    3222541572U,	// USUBLv4i16_v4i32
+    1074532500U,	// USUBLv4i32_v2i64
+    1611927700U,	// USUBLv8i16_v4i32
+    3759674628U,	// USUBLv8i8_v8i16
+    1612190125U,	// USUBWv16i8_v8i16
+    537663920U,	// USUBWv2i32_v2i64
+    1075059120U,	// USUBWv4i16_v4i32
+    537661869U,	// USUBWv4i32_v2i64
+    1075057069U,	// USUBWv8i16_v4i32
+    1612192176U,	// USUBWv8i8_v8i16
+    2147487782U,	// UZP1v16i8
+    2684882982U,	// UZP1v2i32
+    537661478U,	// UZP1v2i64
+    3222278182U,	// UZP1v4i16
+    1075056678U,	// UZP1v4i32
+    1612189734U,	// UZP1v8i16
+    3759935526U,	// UZP1v8i8
+    2147488147U,	// UZP2v16i8
+    2684883347U,	// UZP2v2i32
+    537661843U,	// UZP2v2i64
+    3222278547U,	// UZP2v4i16
+    1075057043U,	// UZP2v4i32
+    1612190099U,	// UZP2v8i16
+    3759935891U,	// UZP2v8i8
+    3254792536U,	// XTNv16i8
+    1611142779U,	// XTNv2i32
+    2685408891U,	// XTNv4i16
+    1645490520U,	// XTNv4i32
+    2719494488U,	// XTNv8i16
+    3223066235U,	// XTNv8i8
+    2147487776U,	// ZIP1v16i8
+    2684882976U,	// ZIP1v2i32
+    537661472U,	// ZIP1v2i64
+    3222278176U,	// ZIP1v4i16
+    1075056672U,	// ZIP1v4i32
+    1612189728U,	// ZIP1v8i16
+    3759935520U,	// ZIP1v8i8
+    2147488141U,	// ZIP2v16i8
+    2684883341U,	// ZIP2v2i32
+    537661837U,	// ZIP2v2i64
+    3222278541U,	// ZIP2v4i16
+    1075057037U,	// ZIP2v4i32
+    1612190093U,	// ZIP2v8i16
+    3759935885U,	// ZIP2v8i8
     0U
   };
 
   static const uint32_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -2820,2770 +2425,2375 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    0U,	// ABS16b
-    0U,	// ABS2d
-    0U,	// ABS2s
-    0U,	// ABS4h
-    1U,	// ABS4s
-    1U,	// ABS8b
-    1U,	// ABS8h
-    1U,	// ABSdd
-    2U,	// ADCSwww
-    2U,	// ADCSxxx
-    2U,	// ADCwww
-    2U,	// ADCxxx
-    522U,	// ADDHN2vvv_16b8h
-    1034U,	// ADDHN2vvv_4s2d
-    1546U,	// ADDHN2vvv_8h4s
-    1042U,	// ADDHNvvv_2s2d
-    1554U,	// ADDHNvvv_4h4s
-    530U,	// ADDHNvvv_8b8h
-    2067U,	// ADDP_16B
-    1042U,	// ADDP_2D
-    2579U,	// ADDP_2S
-    3091U,	// ADDP_4H
-    1554U,	// ADDP_4S
-    3603U,	// ADDP_8B
-    530U,	// ADDP_8H
-    0U,	// ADDPvv_D_2D
-    4098U,	// ADDSwww_asr
-    20482U,	// ADDSwww_lsl
-    36866U,	// ADDSwww_lsr
-    53250U,	// ADDSwww_sxtb
-    69634U,	// ADDSwww_sxth
-    86018U,	// ADDSwww_sxtw
-    102402U,	// ADDSwww_sxtx
-    118786U,	// ADDSwww_uxtb
-    135170U,	// ADDSwww_uxth
-    151554U,	// ADDSwww_uxtw
-    167938U,	// ADDSwww_uxtx
-    53250U,	// ADDSxxw_sxtb
-    69634U,	// ADDSxxw_sxth
-    86018U,	// ADDSxxw_sxtw
-    118786U,	// ADDSxxw_uxtb
-    135170U,	// ADDSxxw_uxth
-    151554U,	// ADDSxxw_uxtw
-    4098U,	// ADDSxxx_asr
-    20482U,	// ADDSxxx_lsl
-    36866U,	// ADDSxxx_lsr
-    102402U,	// ADDSxxx_sxtx
-    167938U,	// ADDSxxx_uxtx
-    0U,	// ADDV_1b16b
-    1U,	// ADDV_1b8b
-    0U,	// ADDV_1h4h
-    1U,	// ADDV_1h8h
-    1U,	// ADDV_1s4s
-    2U,	// ADDddd
-    2067U,	// ADDvvv_16B
-    1042U,	// ADDvvv_2D
-    2579U,	// ADDvvv_2S
-    3091U,	// ADDvvv_4H
-    1554U,	// ADDvvv_4S
-    3603U,	// ADDvvv_8B
-    530U,	// ADDvvv_8H
-    26U,	// ADDwwi_lsl0_S
-    0U,	// ADDwwi_lsl0_cmp
-    26U,	// ADDwwi_lsl0_s
-    34U,	// ADDwwi_lsl12_S
-    0U,	// ADDwwi_lsl12_cmp
-    34U,	// ADDwwi_lsl12_s
-    4098U,	// ADDwww_asr
-    20482U,	// ADDwww_lsl
-    36866U,	// ADDwww_lsr
-    53250U,	// ADDwww_sxtb
-    69634U,	// ADDwww_sxth
-    86018U,	// ADDwww_sxtw
-    102402U,	// ADDwww_sxtx
-    118786U,	// ADDwww_uxtb
-    135170U,	// ADDwww_uxth
-    151554U,	// ADDwww_uxtw
-    167938U,	// ADDwww_uxtx
-    26U,	// ADDxxi_lsl0_S
-    0U,	// ADDxxi_lsl0_cmp
-    26U,	// ADDxxi_lsl0_s
-    34U,	// ADDxxi_lsl12_S
-    0U,	// ADDxxi_lsl12_cmp
-    34U,	// ADDxxi_lsl12_s
-    53250U,	// ADDxxw_sxtb
-    69634U,	// ADDxxw_sxth
-    86018U,	// ADDxxw_sxtw
-    118786U,	// ADDxxw_uxtb
-    135170U,	// ADDxxw_uxth
-    151554U,	// ADDxxw_uxtw
-    4098U,	// ADDxxx_asr
-    20482U,	// ADDxxx_lsl
-    36866U,	// ADDxxx_lsr
-    102402U,	// ADDxxx_sxtx
-    167938U,	// ADDxxx_uxtx
+    0U,	// LOAD_STACK_GUARD
+    0U,	// ABSv16i8
+    0U,	// ABSv1i64
+    0U,	// ABSv2i32
+    0U,	// ABSv2i64
+    0U,	// ABSv4i16
+    0U,	// ABSv4i32
+    0U,	// ABSv8i16
+    0U,	// ABSv8i8
+    1U,	// ADCSWr
+    1U,	// ADCSXr
+    1U,	// ADCWr
+    1U,	// ADCXr
+    265U,	// ADDHNv2i64_v2i32
+    273U,	// ADDHNv2i64_v4i32
+    521U,	// ADDHNv4i32_v4i16
+    529U,	// ADDHNv4i32_v8i16
+    785U,	// ADDHNv8i16_v16i8
+    777U,	// ADDHNv8i16_v8i8
+    1033U,	// ADDPv16i8
+    1289U,	// ADDPv2i32
+    265U,	// ADDPv2i64
+    0U,	// ADDPv2i64p
+    1545U,	// ADDPv4i16
+    521U,	// ADDPv4i32
+    777U,	// ADDPv8i16
+    1801U,	// ADDPv8i8
+    25U,	// ADDSWri
+    0U,	// ADDSWrr
+    33U,	// ADDSWrs
+    41U,	// ADDSWrx
+    25U,	// ADDSXri
+    0U,	// ADDSXrr
+    33U,	// ADDSXrs
+    41U,	// ADDSXrx
+    2049U,	// ADDSXrx64
+    0U,	// ADDVv16i8v
+    0U,	// ADDVv4i16v
+    0U,	// ADDVv4i32v
+    0U,	// ADDVv8i16v
+    0U,	// ADDVv8i8v
+    25U,	// ADDWri
+    0U,	// ADDWrr
+    33U,	// ADDWrs
+    41U,	// ADDWrx
+    25U,	// ADDXri
+    0U,	// ADDXrr
+    33U,	// ADDXrs
+    41U,	// ADDXrx
+    2049U,	// ADDXrx64
+    1033U,	// ADDv16i8
+    1U,	// ADDv1i64
+    1289U,	// ADDv2i32
+    265U,	// ADDv2i64
+    1545U,	// ADDv4i16
+    521U,	// ADDv4i32
+    777U,	// ADDv8i16
+    1801U,	// ADDv8i8
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
-    0U,	// ADRPxi
-    0U,	// ADRxi
-    0U,	// AESD
-    0U,	// AESE
-    0U,	// AESIMC
-    0U,	// AESMC
-    42U,	// ANDSwwi
-    4098U,	// ANDSwww_asr
-    20482U,	// ANDSwww_lsl
-    36866U,	// ANDSwww_lsr
-    184322U,	// ANDSwww_ror
-    50U,	// ANDSxxi
-    4098U,	// ANDSxxx_asr
-    20482U,	// ANDSxxx_lsl
-    36866U,	// ANDSxxx_lsr
-    184322U,	// ANDSxxx_ror
-    2067U,	// ANDvvv_16B
-    3603U,	// ANDvvv_8B
-    42U,	// ANDwwi
-    4098U,	// ANDwww_asr
-    20482U,	// ANDwww_lsl
-    36866U,	// ANDwww_lsr
-    184322U,	// ANDwww_ror
-    50U,	// ANDxxi
-    4098U,	// ANDxxx_asr
-    20482U,	// ANDxxx_lsl
-    36866U,	// ANDxxx_lsr
-    184322U,	// ANDxxx_ror
-    2U,	// ASRVwww
-    2U,	// ASRVxxx
-    2U,	// ASRwwi
-    2U,	// ASRxxi
-    0U,	// ATOMIC_CMP_SWAP_I16
-    0U,	// ATOMIC_CMP_SWAP_I32
-    0U,	// ATOMIC_CMP_SWAP_I64
-    0U,	// ATOMIC_CMP_SWAP_I8
-    0U,	// ATOMIC_LOAD_ADD_I16
-    0U,	// ATOMIC_LOAD_ADD_I32
-    0U,	// ATOMIC_LOAD_ADD_I64
-    0U,	// ATOMIC_LOAD_ADD_I8
-    0U,	// ATOMIC_LOAD_AND_I16
-    0U,	// ATOMIC_LOAD_AND_I32
-    0U,	// ATOMIC_LOAD_AND_I64
-    0U,	// ATOMIC_LOAD_AND_I8
-    0U,	// ATOMIC_LOAD_MAX_I16
-    0U,	// ATOMIC_LOAD_MAX_I32
-    0U,	// ATOMIC_LOAD_MAX_I64
-    0U,	// ATOMIC_LOAD_MAX_I8
-    0U,	// ATOMIC_LOAD_MIN_I16
-    0U,	// ATOMIC_LOAD_MIN_I32
-    0U,	// ATOMIC_LOAD_MIN_I64
-    0U,	// ATOMIC_LOAD_MIN_I8
-    0U,	// ATOMIC_LOAD_NAND_I16
-    0U,	// ATOMIC_LOAD_NAND_I32
-    0U,	// ATOMIC_LOAD_NAND_I64
-    0U,	// ATOMIC_LOAD_NAND_I8
-    0U,	// ATOMIC_LOAD_OR_I16
-    0U,	// ATOMIC_LOAD_OR_I32
-    0U,	// ATOMIC_LOAD_OR_I64
-    0U,	// ATOMIC_LOAD_OR_I8
-    0U,	// ATOMIC_LOAD_SUB_I16
-    0U,	// ATOMIC_LOAD_SUB_I32
-    0U,	// ATOMIC_LOAD_SUB_I64
-    0U,	// ATOMIC_LOAD_SUB_I8
-    0U,	// ATOMIC_LOAD_UMAX_I16
-    0U,	// ATOMIC_LOAD_UMAX_I32
-    0U,	// ATOMIC_LOAD_UMAX_I64
-    0U,	// ATOMIC_LOAD_UMAX_I8
-    0U,	// ATOMIC_LOAD_UMIN_I16
-    0U,	// ATOMIC_LOAD_UMIN_I32
-    0U,	// ATOMIC_LOAD_UMIN_I64
-    0U,	// ATOMIC_LOAD_UMIN_I8
-    0U,	// ATOMIC_LOAD_XOR_I16
-    0U,	// ATOMIC_LOAD_XOR_I32
-    0U,	// ATOMIC_LOAD_XOR_I64
-    0U,	// ATOMIC_LOAD_XOR_I8
-    0U,	// ATOMIC_SWAP_I16
-    0U,	// ATOMIC_SWAP_I32
-    0U,	// ATOMIC_SWAP_I64
-    0U,	// ATOMIC_SWAP_I8
-    0U,	// ATix
-    58U,	// BFIwwii
-    66U,	// BFIxxii
-    200778U,	// BFMwwii
-    200778U,	// BFMxxii
-    217162U,	// BFXILwwii
-    217162U,	// BFXILxxii
-    4098U,	// BICSwww_asr
-    20482U,	// BICSwww_lsl
-    36866U,	// BICSwww_lsr
-    184322U,	// BICSwww_ror
-    4098U,	// BICSxxx_asr
-    20482U,	// BICSxxx_lsl
-    36866U,	// BICSxxx_lsr
-    184322U,	// BICSxxx_ror
-    4U,	// BICvi_lsl_2S
-    4U,	// BICvi_lsl_4H
-    4U,	// BICvi_lsl_4S
-    4U,	// BICvi_lsl_8H
-    2067U,	// BICvvv_16B
-    3603U,	// BICvvv_8B
-    4098U,	// BICwww_asr
-    20482U,	// BICwww_lsl
-    36866U,	// BICwww_lsr
-    184322U,	// BICwww_ror
-    4098U,	// BICxxx_asr
-    20482U,	// BICxxx_lsl
-    36866U,	// BICxxx_lsr
-    184322U,	// BICxxx_ror
-    2059U,	// BIFvvv_16B
-    3595U,	// BIFvvv_8B
-    2059U,	// BITvvv_16B
-    3595U,	// BITvvv_8B
-    0U,	// BLRx
-    0U,	// BLimm
-    0U,	// BRKi
-    0U,	// BRx
-    2059U,	// BSLvvv_16B
-    3595U,	// BSLvvv_8B
+    0U,	// ADR
+    0U,	// ADRP
+    0U,	// AESDrr
+    0U,	// AESErr
+    0U,	// AESIMCrr
+    0U,	// AESMCrr
+    49U,	// ANDSWri
+    0U,	// ANDSWrr
+    33U,	// ANDSWrs
+    57U,	// ANDSXri
+    0U,	// ANDSXrr
+    33U,	// ANDSXrs
+    49U,	// ANDWri
+    0U,	// ANDWrr
+    33U,	// ANDWrs
+    57U,	// ANDXri
+    0U,	// ANDXrr
+    33U,	// ANDXrs
+    1033U,	// ANDv16i8
+    1801U,	// ANDv8i8
+    1U,	// ASRVWr
+    1U,	// ASRVXr
+    0U,	// B
+    2369U,	// BFMWri
+    2369U,	// BFMXri
+    0U,	// BICSWrr
+    33U,	// BICSWrs
+    0U,	// BICSXrr
+    33U,	// BICSXrs
+    0U,	// BICWrr
+    33U,	// BICWrs
+    0U,	// BICXrr
+    33U,	// BICXrs
+    1033U,	// BICv16i8
+    0U,	// BICv2i32
+    0U,	// BICv4i16
+    0U,	// BICv4i32
+    0U,	// BICv8i16
+    1801U,	// BICv8i8
+    1033U,	// BIFv16i8
+    1801U,	// BIFv8i8
+    1041U,	// BITv16i8
+    1809U,	// BITv8i8
+    0U,	// BL
+    0U,	// BLR
+    0U,	// BR
+    0U,	// BRK
+    1041U,	// BSLv16i8
+    1809U,	// BSLv8i8
     0U,	// Bcc
-    0U,	// Bimm
-    0U,	// CBNZw
-    0U,	// CBNZx
-    0U,	// CBZw
-    0U,	// CBZx
-    233474U,	// CCMNwi
-    233474U,	// CCMNww
-    233474U,	// CCMNxi
-    233474U,	// CCMNxx
-    233474U,	// CCMPwi
-    233474U,	// CCMPww
-    233474U,	// CCMPxi
-    233474U,	// CCMPxx
-    0U,	// CLREXi
-    0U,	// CLS16b
-    0U,	// CLS2s
-    0U,	// CLS4h
-    1U,	// CLS4s
-    1U,	// CLS8b
-    1U,	// CLS8h
-    1U,	// CLSww
-    1U,	// CLSxx
-    0U,	// CLZ16b
-    0U,	// CLZ2s
-    0U,	// CLZ4h
-    1U,	// CLZ4s
-    1U,	// CLZ8b
-    1U,	// CLZ8h
-    1U,	// CLZww
-    1U,	// CLZxx
-    2U,	// CMEQddd
-    82U,	// CMEQddi
-    83U,	// CMEQvvi_16B
-    82U,	// CMEQvvi_2D
-    83U,	// CMEQvvi_2S
-    83U,	// CMEQvvi_4H
-    82U,	// CMEQvvi_4S
-    83U,	// CMEQvvi_8B
-    82U,	// CMEQvvi_8H
-    2067U,	// CMEQvvv_16B
-    1042U,	// CMEQvvv_2D
-    2579U,	// CMEQvvv_2S
-    3091U,	// CMEQvvv_4H
-    1554U,	// CMEQvvv_4S
-    3603U,	// CMEQvvv_8B
-    530U,	// CMEQvvv_8H
-    2U,	// CMGEddd
-    82U,	// CMGEddi
-    83U,	// CMGEvvi_16B
-    82U,	// CMGEvvi_2D
-    83U,	// CMGEvvi_2S
-    83U,	// CMGEvvi_4H
-    82U,	// CMGEvvi_4S
-    83U,	// CMGEvvi_8B
-    82U,	// CMGEvvi_8H
-    2067U,	// CMGEvvv_16B
-    1042U,	// CMGEvvv_2D
-    2579U,	// CMGEvvv_2S
-    3091U,	// CMGEvvv_4H
-    1554U,	// CMGEvvv_4S
-    3603U,	// CMGEvvv_8B
-    530U,	// CMGEvvv_8H
-    2U,	// CMGTddd
-    82U,	// CMGTddi
-    83U,	// CMGTvvi_16B
-    82U,	// CMGTvvi_2D
-    83U,	// CMGTvvi_2S
-    83U,	// CMGTvvi_4H
-    82U,	// CMGTvvi_4S
-    83U,	// CMGTvvi_8B
-    82U,	// CMGTvvi_8H
-    2067U,	// CMGTvvv_16B
-    1042U,	// CMGTvvv_2D
-    2579U,	// CMGTvvv_2S
-    3091U,	// CMGTvvv_4H
-    1554U,	// CMGTvvv_4S
-    3603U,	// CMGTvvv_8B
-    530U,	// CMGTvvv_8H
-    2U,	// CMHIddd
-    2067U,	// CMHIvvv_16B
-    1042U,	// CMHIvvv_2D
-    2579U,	// CMHIvvv_2S
-    3091U,	// CMHIvvv_4H
-    1554U,	// CMHIvvv_4S
-    3603U,	// CMHIvvv_8B
-    530U,	// CMHIvvv_8H
-    2U,	// CMHSddd
-    2067U,	// CMHSvvv_16B
-    1042U,	// CMHSvvv_2D
-    2579U,	// CMHSvvv_2S
-    3091U,	// CMHSvvv_4H
-    1554U,	// CMHSvvv_4S
-    3603U,	// CMHSvvv_8B
-    530U,	// CMHSvvv_8H
-    82U,	// CMLEddi
-    83U,	// CMLEvvi_16B
-    82U,	// CMLEvvi_2D
-    83U,	// CMLEvvi_2S
-    83U,	// CMLEvvi_4H
-    82U,	// CMLEvvi_4S
-    83U,	// CMLEvvi_8B
-    82U,	// CMLEvvi_8H
-    82U,	// CMLTddi
-    83U,	// CMLTvvi_16B
-    82U,	// CMLTvvi_2D
-    83U,	// CMLTvvi_2S
-    83U,	// CMLTvvi_4H
-    82U,	// CMLTvvi_4S
-    83U,	// CMLTvvi_8B
-    82U,	// CMLTvvi_8H
-    90U,	// CMNww_asr
-    98U,	// CMNww_lsl
-    106U,	// CMNww_lsr
-    114U,	// CMNww_sxtb
-    122U,	// CMNww_sxth
-    130U,	// CMNww_sxtw
-    138U,	// CMNww_sxtx
-    146U,	// CMNww_uxtb
-    154U,	// CMNww_uxth
-    162U,	// CMNww_uxtw
-    170U,	// CMNww_uxtx
-    114U,	// CMNxw_sxtb
-    122U,	// CMNxw_sxth
-    130U,	// CMNxw_sxtw
-    146U,	// CMNxw_uxtb
-    154U,	// CMNxw_uxth
-    162U,	// CMNxw_uxtw
-    90U,	// CMNxx_asr
-    98U,	// CMNxx_lsl
-    106U,	// CMNxx_lsr
-    138U,	// CMNxx_sxtx
-    170U,	// CMNxx_uxtx
-    90U,	// CMPww_asr
-    98U,	// CMPww_lsl
-    106U,	// CMPww_lsr
-    114U,	// CMPww_sxtb
-    122U,	// CMPww_sxth
-    130U,	// CMPww_sxtw
-    138U,	// CMPww_sxtx
-    146U,	// CMPww_uxtb
-    154U,	// CMPww_uxth
-    162U,	// CMPww_uxtw
-    170U,	// CMPww_uxtx
-    114U,	// CMPxw_sxtb
-    122U,	// CMPxw_sxth
-    130U,	// CMPxw_sxtw
-    146U,	// CMPxw_uxtb
-    154U,	// CMPxw_uxth
-    162U,	// CMPxw_uxtw
-    90U,	// CMPxx_asr
-    98U,	// CMPxx_lsl
-    106U,	// CMPxx_lsr
-    138U,	// CMPxx_sxtx
-    170U,	// CMPxx_uxtx
-    2U,	// CMTSTddd
-    2067U,	// CMTSTvvv_16B
-    1042U,	// CMTSTvvv_2D
-    2579U,	// CMTSTvvv_2S
-    3091U,	// CMTSTvvv_4H
-    1554U,	// CMTSTvvv_4S
-    3603U,	// CMTSTvvv_8B
-    530U,	// CMTSTvvv_8H
-    0U,	// CNT16b
-    1U,	// CNT8b
-    2U,	// CRC32B_www
-    2U,	// CRC32CB_www
-    2U,	// CRC32CH_www
-    2U,	// CRC32CW_www
-    2U,	// CRC32CX_wwx
-    2U,	// CRC32H_www
-    2U,	// CRC32W_www
-    2U,	// CRC32X_wwx
-    233474U,	// CSELwwwc
-    233474U,	// CSELxxxc
-    233474U,	// CSINCwwwc
-    233474U,	// CSINCxxxc
-    233474U,	// CSINVwwwc
-    233474U,	// CSINVxxxc
-    233474U,	// CSNEGwwwc
-    233474U,	// CSNEGxxxc
-    0U,	// DCPS1i
-    0U,	// DCPS2i
-    0U,	// DCPS3i
-    0U,	// DCix
-    0U,	// DMBi
+    0U,	// CBNZW
+    0U,	// CBNZX
+    0U,	// CBZW
+    0U,	// CBZX
+    10497U,	// CCMNWi
+    10497U,	// CCMNWr
+    10497U,	// CCMNXi
+    10497U,	// CCMNXr
+    10497U,	// CCMPWi
+    10497U,	// CCMPWr
+    10497U,	// CCMPXi
+    10497U,	// CCMPXr
+    0U,	// CLREX
+    0U,	// CLSWr
+    0U,	// CLSXr
+    0U,	// CLSv16i8
+    0U,	// CLSv2i32
+    0U,	// CLSv4i16
+    0U,	// CLSv4i32
+    0U,	// CLSv8i16
+    0U,	// CLSv8i8
+    0U,	// CLZWr
+    0U,	// CLZXr
+    0U,	// CLZv16i8
+    0U,	// CLZv2i32
+    0U,	// CLZv4i16
+    0U,	// CLZv4i32
+    0U,	// CLZv8i16
+    0U,	// CLZv8i8
+    1033U,	// CMEQv16i8
+    2U,	// CMEQv16i8rz
+    1U,	// CMEQv1i64
+    2U,	// CMEQv1i64rz
+    1289U,	// CMEQv2i32
+    2U,	// CMEQv2i32rz
+    265U,	// CMEQv2i64
+    2U,	// CMEQv2i64rz
+    1545U,	// CMEQv4i16
+    2U,	// CMEQv4i16rz
+    521U,	// CMEQv4i32
+    2U,	// CMEQv4i32rz
+    777U,	// CMEQv8i16
+    2U,	// CMEQv8i16rz
+    1801U,	// CMEQv8i8
+    2U,	// CMEQv8i8rz
+    1033U,	// CMGEv16i8
+    2U,	// CMGEv16i8rz
+    1U,	// CMGEv1i64
+    2U,	// CMGEv1i64rz
+    1289U,	// CMGEv2i32
+    2U,	// CMGEv2i32rz
+    265U,	// CMGEv2i64
+    2U,	// CMGEv2i64rz
+    1545U,	// CMGEv4i16
+    2U,	// CMGEv4i16rz
+    521U,	// CMGEv4i32
+    2U,	// CMGEv4i32rz
+    777U,	// CMGEv8i16
+    2U,	// CMGEv8i16rz
+    1801U,	// CMGEv8i8
+    2U,	// CMGEv8i8rz
+    1033U,	// CMGTv16i8
+    2U,	// CMGTv16i8rz
+    1U,	// CMGTv1i64
+    2U,	// CMGTv1i64rz
+    1289U,	// CMGTv2i32
+    2U,	// CMGTv2i32rz
+    265U,	// CMGTv2i64
+    2U,	// CMGTv2i64rz
+    1545U,	// CMGTv4i16
+    2U,	// CMGTv4i16rz
+    521U,	// CMGTv4i32
+    2U,	// CMGTv4i32rz
+    777U,	// CMGTv8i16
+    2U,	// CMGTv8i16rz
+    1801U,	// CMGTv8i8
+    2U,	// CMGTv8i8rz
+    1033U,	// CMHIv16i8
+    1U,	// CMHIv1i64
+    1289U,	// CMHIv2i32
+    265U,	// CMHIv2i64
+    1545U,	// CMHIv4i16
+    521U,	// CMHIv4i32
+    777U,	// CMHIv8i16
+    1801U,	// CMHIv8i8
+    1033U,	// CMHSv16i8
+    1U,	// CMHSv1i64
+    1289U,	// CMHSv2i32
+    265U,	// CMHSv2i64
+    1545U,	// CMHSv4i16
+    521U,	// CMHSv4i32
+    777U,	// CMHSv8i16
+    1801U,	// CMHSv8i8
+    2U,	// CMLEv16i8rz
+    2U,	// CMLEv1i64rz
+    2U,	// CMLEv2i32rz
+    2U,	// CMLEv2i64rz
+    2U,	// CMLEv4i16rz
+    2U,	// CMLEv4i32rz
+    2U,	// CMLEv8i16rz
+    2U,	// CMLEv8i8rz
+    2U,	// CMLTv16i8rz
+    2U,	// CMLTv1i64rz
+    2U,	// CMLTv2i32rz
+    2U,	// CMLTv2i64rz
+    2U,	// CMLTv4i16rz
+    2U,	// CMLTv4i32rz
+    2U,	// CMLTv8i16rz
+    2U,	// CMLTv8i8rz
+    1033U,	// CMTSTv16i8
+    1U,	// CMTSTv1i64
+    1289U,	// CMTSTv2i32
+    265U,	// CMTSTv2i64
+    1545U,	// CMTSTv4i16
+    521U,	// CMTSTv4i32
+    777U,	// CMTSTv8i16
+    1801U,	// CMTSTv8i8
+    0U,	// CNTv16i8
+    0U,	// CNTv8i8
+    75U,	// CPYi16
+    75U,	// CPYi32
+    75U,	// CPYi64
+    75U,	// CPYi8
+    1U,	// CRC32Brr
+    1U,	// CRC32CBrr
+    1U,	// CRC32CHrr
+    1U,	// CRC32CWrr
+    1U,	// CRC32CXrr
+    1U,	// CRC32Hrr
+    1U,	// CRC32Wrr
+    1U,	// CRC32Xrr
+    10497U,	// CSELWr
+    10497U,	// CSELXr
+    10497U,	// CSINCWr
+    10497U,	// CSINCXr
+    10497U,	// CSINVWr
+    10497U,	// CSINVXr
+    10497U,	// CSNEGWr
+    10497U,	// CSNEGXr
+    0U,	// DCPS1
+    0U,	// DCPS2
+    0U,	// DCPS3
+    0U,	// DMB
     0U,	// DRPS
-    0U,	// DSBi
-    1U,	// DUP16b
-    1U,	// DUP2d
-    1U,	// DUP2s
-    1U,	// DUP4h
-    1U,	// DUP4s
-    1U,	// DUP8b
-    1U,	// DUP8h
-    180U,	// DUPELT16b
-    180U,	// DUPELT2d
-    181U,	// DUPELT2s
-    181U,	// DUPELT4h
-    181U,	// DUPELT4s
-    180U,	// DUPELT8b
-    181U,	// DUPELT8h
-    180U,	// DUPbv_B
-    180U,	// DUPdv_D
-    181U,	// DUPhv_H
-    181U,	// DUPsv_S
-    4098U,	// EONwww_asr
-    20482U,	// EONwww_lsl
-    36866U,	// EONwww_lsr
-    184322U,	// EONwww_ror
-    4098U,	// EONxxx_asr
-    20482U,	// EONxxx_lsl
-    36866U,	// EONxxx_lsr
-    184322U,	// EONxxx_ror
-    2067U,	// EORvvv_16B
-    3603U,	// EORvvv_8B
-    42U,	// EORwwi
-    4098U,	// EORwww_asr
-    20482U,	// EORwww_lsl
-    36866U,	// EORwww_lsr
-    184322U,	// EORwww_ror
-    50U,	// EORxxi
-    4098U,	// EORxxx_asr
-    20482U,	// EORxxx_lsl
-    36866U,	// EORxxx_lsr
-    184322U,	// EORxxx_ror
+    0U,	// DSB
+    0U,	// DUPv16i8gpr
+    75U,	// DUPv16i8lane
+    0U,	// DUPv2i32gpr
+    75U,	// DUPv2i32lane
+    0U,	// DUPv2i64gpr
+    75U,	// DUPv2i64lane
+    0U,	// DUPv4i16gpr
+    75U,	// DUPv4i16lane
+    0U,	// DUPv4i32gpr
+    75U,	// DUPv4i32lane
+    0U,	// DUPv8i16gpr
+    75U,	// DUPv8i16lane
+    0U,	// DUPv8i8gpr
+    75U,	// DUPv8i8lane
+    0U,	// EONWrr
+    33U,	// EONWrs
+    0U,	// EONXrr
+    33U,	// EONXrs
+    49U,	// EORWri
+    0U,	// EORWrr
+    33U,	// EORWrs
+    57U,	// EORXri
+    0U,	// EORXrr
+    33U,	// EORXrs
+    1033U,	// EORv16i8
+    1801U,	// EORv8i8
     0U,	// ERET
-    249858U,	// EXTRwwwi
-    249858U,	// EXTRxxxi
-    4627U,	// EXTvvvi_16b
-    5139U,	// EXTvvvi_8b
+    18689U,	// EXTRWrri
+    18689U,	// EXTRXrri
+    2569U,	// EXTv16i8
+    2825U,	// EXTv8i8
     0U,	// F128CSEL
-    2U,	// FABDddd
-    2U,	// FABDsss
-    1042U,	// FABDvvv_2D
-    2579U,	// FABDvvv_2S
-    1554U,	// FABDvvv_4S
-    0U,	// FABS2d
-    0U,	// FABS2s
-    1U,	// FABS4s
-    1U,	// FABSdd
-    1U,	// FABSss
-    2U,	// FACGEddd
-    2U,	// FACGEsss
-    1042U,	// FACGEvvv_2D
-    2579U,	// FACGEvvv_2S
-    1554U,	// FACGEvvv_4S
-    2U,	// FACGTddd
-    2U,	// FACGTsss
-    1042U,	// FACGTvvv_2D
-    2579U,	// FACGTvvv_2S
-    1554U,	// FACGTvvv_4S
-    1042U,	// FADDP_2D
-    2579U,	// FADDP_2S
-    1554U,	// FADDP_4S
-    0U,	// FADDPvv_D_2D
-    0U,	// FADDPvv_S_2S
-    2U,	// FADDddd
-    2U,	// FADDsss
-    1042U,	// FADDvvv_2D
-    2579U,	// FADDvvv_2S
-    1554U,	// FADDvvv_4S
-    233474U,	// FCCMPEdd
-    233474U,	// FCCMPEss
-    233474U,	// FCCMPdd
-    233474U,	// FCCMPss
-    186U,	// FCMEQZddi
-    186U,	// FCMEQZssi
-    2U,	// FCMEQddd
-    2U,	// FCMEQsss
-    186U,	// FCMEQvvi_2D
-    187U,	// FCMEQvvi_2S
-    186U,	// FCMEQvvi_4S
-    1042U,	// FCMEQvvv_2D
-    2579U,	// FCMEQvvv_2S
-    1554U,	// FCMEQvvv_4S
-    186U,	// FCMGEZddi
-    186U,	// FCMGEZssi
-    2U,	// FCMGEddd
-    2U,	// FCMGEsss
-    186U,	// FCMGEvvi_2D
-    187U,	// FCMGEvvi_2S
-    186U,	// FCMGEvvi_4S
-    1042U,	// FCMGEvvv_2D
-    2579U,	// FCMGEvvv_2S
-    1554U,	// FCMGEvvv_4S
-    186U,	// FCMGTZddi
-    186U,	// FCMGTZssi
-    2U,	// FCMGTddd
-    2U,	// FCMGTsss
-    186U,	// FCMGTvvi_2D
-    187U,	// FCMGTvvi_2S
-    186U,	// FCMGTvvi_4S
-    1042U,	// FCMGTvvv_2D
-    2579U,	// FCMGTvvv_2S
-    1554U,	// FCMGTvvv_4S
-    186U,	// FCMLEZddi
-    186U,	// FCMLEZssi
-    186U,	// FCMLEvvi_2D
-    187U,	// FCMLEvvi_2S
-    186U,	// FCMLEvvi_4S
-    186U,	// FCMLTZddi
-    186U,	// FCMLTZssi
-    186U,	// FCMLTvvi_2D
-    187U,	// FCMLTvvi_2S
-    186U,	// FCMLTvvi_4S
-    1U,	// FCMPdd_quiet
-    1U,	// FCMPdd_sig
-    0U,	// FCMPdi_quiet
-    0U,	// FCMPdi_sig
-    0U,	// FCMPsi_quiet
-    0U,	// FCMPsi_sig
-    1U,	// FCMPss_quiet
-    1U,	// FCMPss_sig
-    233474U,	// FCSELdddc
-    233474U,	// FCSELsssc
-    0U,	// FCVTAS_2d
-    0U,	// FCVTAS_2s
-    1U,	// FCVTAS_4s
-    1U,	// FCVTASdd
-    1U,	// FCVTASss
-    1U,	// FCVTASwd
-    1U,	// FCVTASws
-    1U,	// FCVTASxd
-    1U,	// FCVTASxs
-    0U,	// FCVTAU_2d
-    0U,	// FCVTAU_2s
-    1U,	// FCVTAU_4s
-    1U,	// FCVTAUdd
-    1U,	// FCVTAUss
-    1U,	// FCVTAUwd
-    1U,	// FCVTAUws
-    1U,	// FCVTAUxd
-    1U,	// FCVTAUxs
-    0U,	// FCVTL2s2d
-    0U,	// FCVTL4h4s
-    1U,	// FCVTL4s2d
-    1U,	// FCVTL8h4s
-    0U,	// FCVTMS_2d
-    0U,	// FCVTMS_2s
-    1U,	// FCVTMS_4s
-    1U,	// FCVTMSdd
-    1U,	// FCVTMSss
-    1U,	// FCVTMSwd
-    1U,	// FCVTMSws
-    1U,	// FCVTMSxd
-    1U,	// FCVTMSxs
-    0U,	// FCVTMU_2d
-    0U,	// FCVTMU_2s
-    1U,	// FCVTMU_4s
-    1U,	// FCVTMUdd
-    1U,	// FCVTMUss
-    1U,	// FCVTMUwd
-    1U,	// FCVTMUws
-    1U,	// FCVTMUxd
-    1U,	// FCVTMUxs
-    0U,	// FCVTN2d2s
-    0U,	// FCVTN2d4s
-    1U,	// FCVTN4s4h
-    1U,	// FCVTN4s8h
-    0U,	// FCVTNS_2d
-    0U,	// FCVTNS_2s
-    1U,	// FCVTNS_4s
-    1U,	// FCVTNSdd
-    1U,	// FCVTNSss
-    1U,	// FCVTNSwd
-    1U,	// FCVTNSws
-    1U,	// FCVTNSxd
-    1U,	// FCVTNSxs
-    0U,	// FCVTNU_2d
-    0U,	// FCVTNU_2s
-    1U,	// FCVTNU_4s
-    1U,	// FCVTNUdd
-    1U,	// FCVTNUss
-    1U,	// FCVTNUwd
-    1U,	// FCVTNUws
-    1U,	// FCVTNUxd
-    1U,	// FCVTNUxs
-    0U,	// FCVTPS_2d
-    0U,	// FCVTPS_2s
-    1U,	// FCVTPS_4s
-    1U,	// FCVTPSdd
-    1U,	// FCVTPSss
-    1U,	// FCVTPSwd
-    1U,	// FCVTPSws
-    1U,	// FCVTPSxd
-    1U,	// FCVTPSxs
-    0U,	// FCVTPU_2d
-    0U,	// FCVTPU_2s
-    1U,	// FCVTPU_4s
-    1U,	// FCVTPUdd
-    1U,	// FCVTPUss
-    1U,	// FCVTPUwd
-    1U,	// FCVTPUws
-    1U,	// FCVTPUxd
-    1U,	// FCVTPUxs
-    1U,	// FCVTXN
-    0U,	// FCVTXN2d2s
-    0U,	// FCVTXN2d4s
-    0U,	// FCVTZS_2d
-    0U,	// FCVTZS_2s
-    1U,	// FCVTZS_4s
-    2U,	// FCVTZS_Nddi
-    2U,	// FCVTZS_Nssi
-    1U,	// FCVTZSdd
-    1U,	// FCVTZSss
-    1U,	// FCVTZSwd
-    194U,	// FCVTZSwdi
-    1U,	// FCVTZSws
-    194U,	// FCVTZSwsi
-    1U,	// FCVTZSxd
-    194U,	// FCVTZSxdi
-    1U,	// FCVTZSxs
-    194U,	// FCVTZSxsi
-    0U,	// FCVTZU_2d
-    0U,	// FCVTZU_2s
-    1U,	// FCVTZU_4s
-    2U,	// FCVTZU_Nddi
-    2U,	// FCVTZU_Nssi
-    1U,	// FCVTZUdd
-    1U,	// FCVTZUss
-    1U,	// FCVTZUwd
-    194U,	// FCVTZUwdi
-    1U,	// FCVTZUws
-    194U,	// FCVTZUwsi
-    1U,	// FCVTZUxd
-    194U,	// FCVTZUxdi
-    1U,	// FCVTZUxs
-    194U,	// FCVTZUxsi
-    1U,	// FCVTdh
-    1U,	// FCVTds
-    1U,	// FCVThd
-    1U,	// FCVThs
-    1U,	// FCVTsd
-    1U,	// FCVTsh
-    2U,	// FDIVddd
-    2U,	// FDIVsss
-    1042U,	// FDIVvvv_2D
-    2579U,	// FDIVvvv_2S
-    1554U,	// FDIVvvv_4S
-    249858U,	// FMADDdddd
-    249858U,	// FMADDssss
-    0U,	// FMAXNMPvv_D_2D
-    0U,	// FMAXNMPvv_S_2S
-    1042U,	// FMAXNMPvvv_2D
-    2579U,	// FMAXNMPvvv_2S
-    1554U,	// FMAXNMPvvv_4S
-    1U,	// FMAXNMV_1s4s
-    2U,	// FMAXNMddd
-    2U,	// FMAXNMsss
-    1042U,	// FMAXNMvvv_2D
-    2579U,	// FMAXNMvvv_2S
-    1554U,	// FMAXNMvvv_4S
-    0U,	// FMAXPvv_D_2D
-    0U,	// FMAXPvv_S_2S
-    1042U,	// FMAXPvvv_2D
-    2579U,	// FMAXPvvv_2S
-    1554U,	// FMAXPvvv_4S
-    1U,	// FMAXV_1s4s
-    2U,	// FMAXddd
-    2U,	// FMAXsss
-    1042U,	// FMAXvvv_2D
-    2579U,	// FMAXvvv_2S
-    1554U,	// FMAXvvv_4S
-    0U,	// FMINNMPvv_D_2D
-    0U,	// FMINNMPvv_S_2S
-    1042U,	// FMINNMPvvv_2D
-    2579U,	// FMINNMPvvv_2S
-    1554U,	// FMINNMPvvv_4S
-    1U,	// FMINNMV_1s4s
-    2U,	// FMINNMddd
-    2U,	// FMINNMsss
-    1042U,	// FMINNMvvv_2D
-    2579U,	// FMINNMvvv_2S
-    1554U,	// FMINNMvvv_4S
-    0U,	// FMINPvv_D_2D
-    0U,	// FMINPvv_S_2S
-    1042U,	// FMINPvvv_2D
-    2579U,	// FMINPvvv_2S
-    1554U,	// FMINPvvv_4S
-    1U,	// FMINV_1s4s
-    2U,	// FMINddd
-    2U,	// FMINsss
-    1042U,	// FMINvvv_2D
-    2579U,	// FMINvvv_2S
-    1554U,	// FMINvvv_4S
-    267786U,	// FMLAddv_2D
-    268298U,	// FMLAssv_4S
-    267786U,	// FMLAvve_2d2d
-    268299U,	// FMLAvve_2s4s
-    268298U,	// FMLAvve_4s4s
-    1034U,	// FMLAvvv_2D
-    2571U,	// FMLAvvv_2S
-    1546U,	// FMLAvvv_4S
-    267786U,	// FMLSddv_2D
-    268298U,	// FMLSssv_4S
-    267786U,	// FMLSvve_2d2d
-    268299U,	// FMLSvve_2s4s
-    268298U,	// FMLSvve_4s4s
-    1034U,	// FMLSvvv_2D
-    2571U,	// FMLSvvv_2S
-    1546U,	// FMLSvvv_4S
-    1U,	// FMOVdd
-    0U,	// FMOVdi
-    1U,	// FMOVdx
-    0U,	// FMOVsi
-    1U,	// FMOVss
-    1U,	// FMOVsw
-    0U,	// FMOVvi_2D
-    0U,	// FMOVvi_2S
-    0U,	// FMOVvi_4S
-    0U,	// FMOVvx
-    1U,	// FMOVws
-    1U,	// FMOVxd
-    204U,	// FMOVxv
-    249858U,	// FMSUBdddd
-    249858U,	// FMSUBssss
-    2U,	// FMULXddd
-    284178U,	// FMULXddv_2D
-    2U,	// FMULXsss
-    284690U,	// FMULXssv_4S
-    284178U,	// FMULXve_2d2d
-    284691U,	// FMULXve_2s4s
-    284690U,	// FMULXve_4s4s
-    1042U,	// FMULXvvv_2D
-    2579U,	// FMULXvvv_2S
-    1554U,	// FMULXvvv_4S
-    2U,	// FMULddd
-    284178U,	// FMULddv_2D
-    2U,	// FMULsss
-    284690U,	// FMULssv_4S
-    284178U,	// FMULve_2d2d
-    284691U,	// FMULve_2s4s
-    284690U,	// FMULve_4s4s
-    1042U,	// FMULvvv_2D
-    2579U,	// FMULvvv_2S
-    1554U,	// FMULvvv_4S
-    0U,	// FNEG2d
-    0U,	// FNEG2s
-    1U,	// FNEG4s
-    1U,	// FNEGdd
-    1U,	// FNEGss
-    249858U,	// FNMADDdddd
-    249858U,	// FNMADDssss
-    249858U,	// FNMSUBdddd
-    249858U,	// FNMSUBssss
-    2U,	// FNMULddd
-    2U,	// FNMULsss
-    0U,	// FRECPE_2d
-    0U,	// FRECPE_2s
-    1U,	// FRECPE_4s
-    1U,	// FRECPEdd
-    1U,	// FRECPEss
-    2U,	// FRECPSddd
-    2U,	// FRECPSsss
-    1042U,	// FRECPSvvv_2D
-    2579U,	// FRECPSvvv_2S
-    1554U,	// FRECPSvvv_4S
-    1U,	// FRECPXdd
-    1U,	// FRECPXss
-    0U,	// FRINTA_2d
-    0U,	// FRINTA_2s
-    1U,	// FRINTA_4s
-    1U,	// FRINTAdd
-    1U,	// FRINTAss
-    0U,	// FRINTI_2d
-    0U,	// FRINTI_2s
-    1U,	// FRINTI_4s
-    1U,	// FRINTIdd
-    1U,	// FRINTIss
-    0U,	// FRINTM_2d
-    0U,	// FRINTM_2s
-    1U,	// FRINTM_4s
-    1U,	// FRINTMdd
-    1U,	// FRINTMss
-    0U,	// FRINTN_2d
-    0U,	// FRINTN_2s
-    1U,	// FRINTN_4s
-    1U,	// FRINTNdd
-    1U,	// FRINTNss
-    0U,	// FRINTP_2d
-    0U,	// FRINTP_2s
-    1U,	// FRINTP_4s
-    1U,	// FRINTPdd
-    1U,	// FRINTPss
-    0U,	// FRINTX_2d
-    0U,	// FRINTX_2s
-    1U,	// FRINTX_4s
-    1U,	// FRINTXdd
-    1U,	// FRINTXss
-    0U,	// FRINTZ_2d
-    0U,	// FRINTZ_2s
-    1U,	// FRINTZ_4s
-    1U,	// FRINTZdd
-    1U,	// FRINTZss
-    0U,	// FRSQRTE_2d
-    0U,	// FRSQRTE_2s
-    1U,	// FRSQRTE_4s
-    1U,	// FRSQRTEdd
-    1U,	// FRSQRTEss
-    2U,	// FRSQRTSddd
-    2U,	// FRSQRTSsss
-    1042U,	// FRSQRTSvvv_2D
-    2579U,	// FRSQRTSvvv_2S
-    1554U,	// FRSQRTSvvv_4S
-    0U,	// FSQRT_2d
-    0U,	// FSQRT_2s
-    1U,	// FSQRT_4s
-    1U,	// FSQRTdd
-    1U,	// FSQRTss
-    2U,	// FSUBddd
-    2U,	// FSUBsss
-    1042U,	// FSUBvvv_2D
-    2579U,	// FSUBvvv_2S
-    1554U,	// FSUBvvv_4S
-    0U,	// HINTi
-    0U,	// HLTi
-    0U,	// HVCi
-    0U,	// ICi
-    1U,	// ICix
-    212U,	// INSELb
-    5U,	// INSELd
-    213U,	// INSELh
-    213U,	// INSELs
-    1U,	// INSbw
-    5U,	// INSdx
-    1U,	// INShw
-    1U,	// INSsw
-    0U,	// ISBi
-    0U,	// LD1LN_B
-    0U,	// LD1LN_D
-    0U,	// LD1LN_H
-    0U,	// LD1LN_S
-    0U,	// LD1LN_WB_B_fixed
-    0U,	// LD1LN_WB_B_register
-    0U,	// LD1LN_WB_D_fixed
-    0U,	// LD1LN_WB_D_register
-    0U,	// LD1LN_WB_H_fixed
-    0U,	// LD1LN_WB_H_register
-    0U,	// LD1LN_WB_S_fixed
-    0U,	// LD1LN_WB_S_register
-    0U,	// LD1R_16B
-    0U,	// LD1R_1D
-    0U,	// LD1R_2D
-    0U,	// LD1R_2S
-    0U,	// LD1R_4H
-    0U,	// LD1R_4S
-    0U,	// LD1R_8B
-    0U,	// LD1R_8H
-    0U,	// LD1R_WB_16B_fixed
-    0U,	// LD1R_WB_16B_register
-    0U,	// LD1R_WB_1D_fixed
-    0U,	// LD1R_WB_1D_register
-    0U,	// LD1R_WB_2D_fixed
-    0U,	// LD1R_WB_2D_register
-    0U,	// LD1R_WB_2S_fixed
-    0U,	// LD1R_WB_2S_register
-    0U,	// LD1R_WB_4H_fixed
-    0U,	// LD1R_WB_4H_register
-    0U,	// LD1R_WB_4S_fixed
-    0U,	// LD1R_WB_4S_register
-    0U,	// LD1R_WB_8B_fixed
-    0U,	// LD1R_WB_8B_register
-    0U,	// LD1R_WB_8H_fixed
-    0U,	// LD1R_WB_8H_register
-    0U,	// LD1WB_16B_fixed
-    0U,	// LD1WB_16B_register
-    0U,	// LD1WB_1D_fixed
-    0U,	// LD1WB_1D_register
-    0U,	// LD1WB_2D_fixed
-    0U,	// LD1WB_2D_register
-    0U,	// LD1WB_2S_fixed
-    0U,	// LD1WB_2S_register
-    0U,	// LD1WB_4H_fixed
-    0U,	// LD1WB_4H_register
-    0U,	// LD1WB_4S_fixed
-    0U,	// LD1WB_4S_register
-    0U,	// LD1WB_8B_fixed
-    0U,	// LD1WB_8B_register
-    0U,	// LD1WB_8H_fixed
-    0U,	// LD1WB_8H_register
-    0U,	// LD1_16B
-    0U,	// LD1_1D
-    0U,	// LD1_2D
-    0U,	// LD1_2S
-    0U,	// LD1_4H
-    0U,	// LD1_4S
-    0U,	// LD1_8B
-    0U,	// LD1_8H
-    0U,	// LD1x2WB_16B_fixed
-    0U,	// LD1x2WB_16B_register
-    0U,	// LD1x2WB_1D_fixed
-    0U,	// LD1x2WB_1D_register
-    0U,	// LD1x2WB_2D_fixed
-    0U,	// LD1x2WB_2D_register
-    0U,	// LD1x2WB_2S_fixed
-    0U,	// LD1x2WB_2S_register
-    0U,	// LD1x2WB_4H_fixed
-    0U,	// LD1x2WB_4H_register
-    0U,	// LD1x2WB_4S_fixed
-    0U,	// LD1x2WB_4S_register
-    0U,	// LD1x2WB_8B_fixed
-    0U,	// LD1x2WB_8B_register
-    0U,	// LD1x2WB_8H_fixed
-    0U,	// LD1x2WB_8H_register
-    0U,	// LD1x2_16B
-    0U,	// LD1x2_1D
-    0U,	// LD1x2_2D
-    0U,	// LD1x2_2S
-    0U,	// LD1x2_4H
-    0U,	// LD1x2_4S
-    0U,	// LD1x2_8B
-    0U,	// LD1x2_8H
-    0U,	// LD1x3WB_16B_fixed
-    0U,	// LD1x3WB_16B_register
-    0U,	// LD1x3WB_1D_fixed
-    0U,	// LD1x3WB_1D_register
-    0U,	// LD1x3WB_2D_fixed
-    0U,	// LD1x3WB_2D_register
-    0U,	// LD1x3WB_2S_fixed
-    0U,	// LD1x3WB_2S_register
-    0U,	// LD1x3WB_4H_fixed
-    0U,	// LD1x3WB_4H_register
-    0U,	// LD1x3WB_4S_fixed
-    0U,	// LD1x3WB_4S_register
-    0U,	// LD1x3WB_8B_fixed
-    0U,	// LD1x3WB_8B_register
-    0U,	// LD1x3WB_8H_fixed
-    0U,	// LD1x3WB_8H_register
-    0U,	// LD1x3_16B
-    0U,	// LD1x3_1D
-    0U,	// LD1x3_2D
-    0U,	// LD1x3_2S
-    0U,	// LD1x3_4H
-    0U,	// LD1x3_4S
-    0U,	// LD1x3_8B
-    0U,	// LD1x3_8H
-    0U,	// LD1x4WB_16B_fixed
-    0U,	// LD1x4WB_16B_register
-    0U,	// LD1x4WB_1D_fixed
-    0U,	// LD1x4WB_1D_register
-    0U,	// LD1x4WB_2D_fixed
-    0U,	// LD1x4WB_2D_register
-    0U,	// LD1x4WB_2S_fixed
-    0U,	// LD1x4WB_2S_register
-    0U,	// LD1x4WB_4H_fixed
-    0U,	// LD1x4WB_4H_register
-    0U,	// LD1x4WB_4S_fixed
-    0U,	// LD1x4WB_4S_register
-    0U,	// LD1x4WB_8B_fixed
-    0U,	// LD1x4WB_8B_register
-    0U,	// LD1x4WB_8H_fixed
-    0U,	// LD1x4WB_8H_register
-    0U,	// LD1x4_16B
-    0U,	// LD1x4_1D
-    0U,	// LD1x4_2D
-    0U,	// LD1x4_2S
-    0U,	// LD1x4_4H
-    0U,	// LD1x4_4S
-    0U,	// LD1x4_8B
-    0U,	// LD1x4_8H
-    0U,	// LD2LN_B
-    0U,	// LD2LN_D
-    0U,	// LD2LN_H
-    0U,	// LD2LN_S
-    0U,	// LD2LN_WB_B_fixed
-    0U,	// LD2LN_WB_B_register
-    0U,	// LD2LN_WB_D_fixed
-    0U,	// LD2LN_WB_D_register
-    0U,	// LD2LN_WB_H_fixed
-    0U,	// LD2LN_WB_H_register
-    0U,	// LD2LN_WB_S_fixed
-    0U,	// LD2LN_WB_S_register
-    0U,	// LD2R_16B
-    0U,	// LD2R_1D
-    0U,	// LD2R_2D
-    0U,	// LD2R_2S
-    0U,	// LD2R_4H
-    0U,	// LD2R_4S
-    0U,	// LD2R_8B
-    0U,	// LD2R_8H
-    0U,	// LD2R_WB_16B_fixed
-    0U,	// LD2R_WB_16B_register
-    0U,	// LD2R_WB_1D_fixed
-    0U,	// LD2R_WB_1D_register
-    0U,	// LD2R_WB_2D_fixed
-    0U,	// LD2R_WB_2D_register
-    0U,	// LD2R_WB_2S_fixed
-    0U,	// LD2R_WB_2S_register
-    0U,	// LD2R_WB_4H_fixed
-    0U,	// LD2R_WB_4H_register
-    0U,	// LD2R_WB_4S_fixed
-    0U,	// LD2R_WB_4S_register
-    0U,	// LD2R_WB_8B_fixed
-    0U,	// LD2R_WB_8B_register
-    0U,	// LD2R_WB_8H_fixed
-    0U,	// LD2R_WB_8H_register
-    0U,	// LD2WB_16B_fixed
-    0U,	// LD2WB_16B_register
-    0U,	// LD2WB_2D_fixed
-    0U,	// LD2WB_2D_register
-    0U,	// LD2WB_2S_fixed
-    0U,	// LD2WB_2S_register
-    0U,	// LD2WB_4H_fixed
-    0U,	// LD2WB_4H_register
-    0U,	// LD2WB_4S_fixed
-    0U,	// LD2WB_4S_register
-    0U,	// LD2WB_8B_fixed
-    0U,	// LD2WB_8B_register
-    0U,	// LD2WB_8H_fixed
-    0U,	// LD2WB_8H_register
-    0U,	// LD2_16B
-    0U,	// LD2_2D
-    0U,	// LD2_2S
-    0U,	// LD2_4H
-    0U,	// LD2_4S
-    0U,	// LD2_8B
-    0U,	// LD2_8H
-    0U,	// LD3LN_B
-    0U,	// LD3LN_D
-    0U,	// LD3LN_H
-    0U,	// LD3LN_S
-    0U,	// LD3LN_WB_B_fixed
-    0U,	// LD3LN_WB_B_register
-    0U,	// LD3LN_WB_D_fixed
-    0U,	// LD3LN_WB_D_register
-    0U,	// LD3LN_WB_H_fixed
-    0U,	// LD3LN_WB_H_register
-    0U,	// LD3LN_WB_S_fixed
-    0U,	// LD3LN_WB_S_register
-    0U,	// LD3R_16B
-    0U,	// LD3R_1D
-    0U,	// LD3R_2D
-    0U,	// LD3R_2S
-    0U,	// LD3R_4H
-    0U,	// LD3R_4S
-    0U,	// LD3R_8B
-    0U,	// LD3R_8H
-    0U,	// LD3R_WB_16B_fixed
-    0U,	// LD3R_WB_16B_register
-    0U,	// LD3R_WB_1D_fixed
-    0U,	// LD3R_WB_1D_register
-    0U,	// LD3R_WB_2D_fixed
-    0U,	// LD3R_WB_2D_register
-    0U,	// LD3R_WB_2S_fixed
-    0U,	// LD3R_WB_2S_register
-    0U,	// LD3R_WB_4H_fixed
-    0U,	// LD3R_WB_4H_register
-    0U,	// LD3R_WB_4S_fixed
-    0U,	// LD3R_WB_4S_register
-    0U,	// LD3R_WB_8B_fixed
-    0U,	// LD3R_WB_8B_register
-    0U,	// LD3R_WB_8H_fixed
-    0U,	// LD3R_WB_8H_register
-    0U,	// LD3WB_16B_fixed
-    0U,	// LD3WB_16B_register
-    0U,	// LD3WB_2D_fixed
-    0U,	// LD3WB_2D_register
-    0U,	// LD3WB_2S_fixed
-    0U,	// LD3WB_2S_register
-    0U,	// LD3WB_4H_fixed
-    0U,	// LD3WB_4H_register
-    0U,	// LD3WB_4S_fixed
-    0U,	// LD3WB_4S_register
-    0U,	// LD3WB_8B_fixed
-    0U,	// LD3WB_8B_register
-    0U,	// LD3WB_8H_fixed
-    0U,	// LD3WB_8H_register
-    0U,	// LD3_16B
-    0U,	// LD3_2D
-    0U,	// LD3_2S
-    0U,	// LD3_4H
-    0U,	// LD3_4S
-    0U,	// LD3_8B
-    0U,	// LD3_8H
-    0U,	// LD4LN_B
-    0U,	// LD4LN_D
-    0U,	// LD4LN_H
-    0U,	// LD4LN_S
-    0U,	// LD4LN_WB_B_fixed
-    0U,	// LD4LN_WB_B_register
-    0U,	// LD4LN_WB_D_fixed
-    0U,	// LD4LN_WB_D_register
-    0U,	// LD4LN_WB_H_fixed
-    0U,	// LD4LN_WB_H_register
-    0U,	// LD4LN_WB_S_fixed
-    0U,	// LD4LN_WB_S_register
-    0U,	// LD4R_16B
-    0U,	// LD4R_1D
-    0U,	// LD4R_2D
-    0U,	// LD4R_2S
-    0U,	// LD4R_4H
-    0U,	// LD4R_4S
-    0U,	// LD4R_8B
-    0U,	// LD4R_8H
-    0U,	// LD4R_WB_16B_fixed
-    0U,	// LD4R_WB_16B_register
-    0U,	// LD4R_WB_1D_fixed
-    0U,	// LD4R_WB_1D_register
-    0U,	// LD4R_WB_2D_fixed
-    0U,	// LD4R_WB_2D_register
-    0U,	// LD4R_WB_2S_fixed
-    0U,	// LD4R_WB_2S_register
-    0U,	// LD4R_WB_4H_fixed
-    0U,	// LD4R_WB_4H_register
-    0U,	// LD4R_WB_4S_fixed
-    0U,	// LD4R_WB_4S_register
-    0U,	// LD4R_WB_8B_fixed
-    0U,	// LD4R_WB_8B_register
-    0U,	// LD4R_WB_8H_fixed
-    0U,	// LD4R_WB_8H_register
-    0U,	// LD4WB_16B_fixed
-    0U,	// LD4WB_16B_register
-    0U,	// LD4WB_2D_fixed
-    0U,	// LD4WB_2D_register
-    0U,	// LD4WB_2S_fixed
-    0U,	// LD4WB_2S_register
-    0U,	// LD4WB_4H_fixed
-    0U,	// LD4WB_4H_register
-    0U,	// LD4WB_4S_fixed
-    0U,	// LD4WB_4S_register
-    0U,	// LD4WB_8B_fixed
-    0U,	// LD4WB_8B_register
-    0U,	// LD4WB_8H_fixed
-    0U,	// LD4WB_8H_register
-    0U,	// LD4_16B
-    0U,	// LD4_2D
-    0U,	// LD4_2S
-    0U,	// LD4_4H
-    0U,	// LD4_4S
-    0U,	// LD4_8B
-    0U,	// LD4_8H
-    6U,	// LDAR_byte
-    6U,	// LDAR_dword
-    6U,	// LDAR_hword
-    6U,	// LDAR_word
-    6662U,	// LDAXP_dword
-    6662U,	// LDAXP_word
-    6U,	// LDAXR_byte
-    6U,	// LDAXR_dword
-    6U,	// LDAXR_hword
-    6U,	// LDAXR_word
-    299014U,	// LDPSWx
-    318542U,	// LDPSWx_PostInd
-    1364046U,	// LDPSWx_PreInd
-    218U,	// LDRSBw
-    6U,	// LDRSBw_PostInd
-    226U,	// LDRSBw_PreInd
-    234U,	// LDRSBw_U
-    331778U,	// LDRSBw_Wm_RegOffset
-    348162U,	// LDRSBw_Xm_RegOffset
-    218U,	// LDRSBx
-    6U,	// LDRSBx_PostInd
-    226U,	// LDRSBx_PreInd
-    234U,	// LDRSBx_U
-    331778U,	// LDRSBx_Wm_RegOffset
-    348162U,	// LDRSBx_Xm_RegOffset
-    242U,	// LDRSHw
-    6U,	// LDRSHw_PostInd
-    226U,	// LDRSHw_PreInd
-    234U,	// LDRSHw_U
-    364546U,	// LDRSHw_Wm_RegOffset
-    380930U,	// LDRSHw_Xm_RegOffset
-    242U,	// LDRSHx
-    6U,	// LDRSHx_PostInd
-    226U,	// LDRSHx_PreInd
-    234U,	// LDRSHx_U
-    364546U,	// LDRSHx_Wm_RegOffset
-    380930U,	// LDRSHx_Xm_RegOffset
-    250U,	// LDRSWx
-    6U,	// LDRSWx_PostInd
-    226U,	// LDRSWx_PreInd
-    397314U,	// LDRSWx_Wm_RegOffset
-    413698U,	// LDRSWx_Xm_RegOffset
-    0U,	// LDRSWx_lit
-    0U,	// LDRd_lit
-    0U,	// LDRq_lit
-    0U,	// LDRs_lit
-    0U,	// LDRw_lit
-    0U,	// LDRx_lit
-    234U,	// LDTRSBw
-    234U,	// LDTRSBx
-    234U,	// LDTRSHw
-    234U,	// LDTRSHx
-    234U,	// LDTRSWx
-    234U,	// LDURSWx
-    6662U,	// LDXP_dword
-    6662U,	// LDXP_word
-    6U,	// LDXR_byte
-    6U,	// LDXR_dword
-    6U,	// LDXR_hword
-    6U,	// LDXR_word
-    242U,	// LS16_LDR
-    234U,	// LS16_LDUR
-    6U,	// LS16_PostInd_LDR
-    6U,	// LS16_PostInd_STR
-    226U,	// LS16_PreInd_LDR
-    226U,	// LS16_PreInd_STR
-    242U,	// LS16_STR
-    234U,	// LS16_STUR
-    234U,	// LS16_UnPriv_LDR
-    234U,	// LS16_UnPriv_STR
-    364546U,	// LS16_Wm_RegOffset_LDR
-    364546U,	// LS16_Wm_RegOffset_STR
-    380930U,	// LS16_Xm_RegOffset_LDR
-    380930U,	// LS16_Xm_RegOffset_STR
-    250U,	// LS32_LDR
-    234U,	// LS32_LDUR
-    6U,	// LS32_PostInd_LDR
-    6U,	// LS32_PostInd_STR
-    226U,	// LS32_PreInd_LDR
-    226U,	// LS32_PreInd_STR
-    250U,	// LS32_STR
-    234U,	// LS32_STUR
-    234U,	// LS32_UnPriv_LDR
-    234U,	// LS32_UnPriv_STR
-    397314U,	// LS32_Wm_RegOffset_LDR
-    397314U,	// LS32_Wm_RegOffset_STR
-    413698U,	// LS32_Xm_RegOffset_LDR
-    413698U,	// LS32_Xm_RegOffset_STR
-    258U,	// LS64_LDR
-    234U,	// LS64_LDUR
-    6U,	// LS64_PostInd_LDR
-    6U,	// LS64_PostInd_STR
-    226U,	// LS64_PreInd_LDR
-    226U,	// LS64_PreInd_STR
-    258U,	// LS64_STR
-    234U,	// LS64_STUR
-    234U,	// LS64_UnPriv_LDR
-    234U,	// LS64_UnPriv_STR
-    430082U,	// LS64_Wm_RegOffset_LDR
-    430082U,	// LS64_Wm_RegOffset_STR
-    446466U,	// LS64_Xm_RegOffset_LDR
-    446466U,	// LS64_Xm_RegOffset_STR
-    218U,	// LS8_LDR
-    234U,	// LS8_LDUR
-    6U,	// LS8_PostInd_LDR
-    6U,	// LS8_PostInd_STR
-    226U,	// LS8_PreInd_LDR
-    226U,	// LS8_PreInd_STR
-    218U,	// LS8_STR
-    234U,	// LS8_STUR
-    234U,	// LS8_UnPriv_LDR
-    234U,	// LS8_UnPriv_STR
-    331778U,	// LS8_Wm_RegOffset_LDR
-    331778U,	// LS8_Wm_RegOffset_STR
-    348162U,	// LS8_Xm_RegOffset_LDR
-    348162U,	// LS8_Xm_RegOffset_STR
-    266U,	// LSFP128_LDR
-    234U,	// LSFP128_LDUR
-    6U,	// LSFP128_PostInd_LDR
-    6U,	// LSFP128_PostInd_STR
-    226U,	// LSFP128_PreInd_LDR
-    226U,	// LSFP128_PreInd_STR
-    266U,	// LSFP128_STR
-    234U,	// LSFP128_STUR
-    462850U,	// LSFP128_Wm_RegOffset_LDR
-    462850U,	// LSFP128_Wm_RegOffset_STR
-    479234U,	// LSFP128_Xm_RegOffset_LDR
-    479234U,	// LSFP128_Xm_RegOffset_STR
-    242U,	// LSFP16_LDR
-    234U,	// LSFP16_LDUR
-    6U,	// LSFP16_PostInd_LDR
-    6U,	// LSFP16_PostInd_STR
-    226U,	// LSFP16_PreInd_LDR
-    226U,	// LSFP16_PreInd_STR
-    242U,	// LSFP16_STR
-    234U,	// LSFP16_STUR
-    364546U,	// LSFP16_Wm_RegOffset_LDR
-    364546U,	// LSFP16_Wm_RegOffset_STR
-    380930U,	// LSFP16_Xm_RegOffset_LDR
-    380930U,	// LSFP16_Xm_RegOffset_STR
-    250U,	// LSFP32_LDR
-    234U,	// LSFP32_LDUR
-    6U,	// LSFP32_PostInd_LDR
-    6U,	// LSFP32_PostInd_STR
-    226U,	// LSFP32_PreInd_LDR
-    226U,	// LSFP32_PreInd_STR
-    250U,	// LSFP32_STR
-    234U,	// LSFP32_STUR
-    397314U,	// LSFP32_Wm_RegOffset_LDR
-    397314U,	// LSFP32_Wm_RegOffset_STR
-    413698U,	// LSFP32_Xm_RegOffset_LDR
-    413698U,	// LSFP32_Xm_RegOffset_STR
-    258U,	// LSFP64_LDR
-    234U,	// LSFP64_LDUR
-    6U,	// LSFP64_PostInd_LDR
-    6U,	// LSFP64_PostInd_STR
-    226U,	// LSFP64_PreInd_LDR
-    226U,	// LSFP64_PreInd_STR
-    258U,	// LSFP64_STR
-    234U,	// LSFP64_STUR
-    430082U,	// LSFP64_Wm_RegOffset_LDR
-    430082U,	// LSFP64_Wm_RegOffset_STR
-    446466U,	// LSFP64_Xm_RegOffset_LDR
-    446466U,	// LSFP64_Xm_RegOffset_STR
-    218U,	// LSFP8_LDR
-    234U,	// LSFP8_LDUR
-    6U,	// LSFP8_PostInd_LDR
-    6U,	// LSFP8_PostInd_STR
-    226U,	// LSFP8_PreInd_LDR
-    226U,	// LSFP8_PreInd_STR
-    218U,	// LSFP8_STR
-    234U,	// LSFP8_STUR
-    331778U,	// LSFP8_Wm_RegOffset_LDR
-    331778U,	// LSFP8_Wm_RegOffset_STR
-    348162U,	// LSFP8_Xm_RegOffset_LDR
-    348162U,	// LSFP8_Xm_RegOffset_STR
-    495622U,	// LSFPPair128_LDR
-    495622U,	// LSFPPair128_NonTemp_LDR
-    495622U,	// LSFPPair128_NonTemp_STR
-    515150U,	// LSFPPair128_PostInd_LDR
-    515150U,	// LSFPPair128_PostInd_STR
-    1560654U,	// LSFPPair128_PreInd_LDR
-    1560654U,	// LSFPPair128_PreInd_STR
-    495622U,	// LSFPPair128_STR
-    299014U,	// LSFPPair32_LDR
-    299014U,	// LSFPPair32_NonTemp_LDR
-    299014U,	// LSFPPair32_NonTemp_STR
-    318542U,	// LSFPPair32_PostInd_LDR
-    318542U,	// LSFPPair32_PostInd_STR
-    1364046U,	// LSFPPair32_PreInd_LDR
-    1364046U,	// LSFPPair32_PreInd_STR
-    299014U,	// LSFPPair32_STR
-    528390U,	// LSFPPair64_LDR
-    528390U,	// LSFPPair64_NonTemp_LDR
-    528390U,	// LSFPPair64_NonTemp_STR
-    547918U,	// LSFPPair64_PostInd_LDR
-    547918U,	// LSFPPair64_PostInd_STR
-    1593422U,	// LSFPPair64_PreInd_LDR
-    1593422U,	// LSFPPair64_PreInd_STR
-    528390U,	// LSFPPair64_STR
-    2U,	// LSLVwww
-    2U,	// LSLVxxx
-    2U,	// LSLwwi
-    2U,	// LSLxxi
-    299014U,	// LSPair32_LDR
-    299014U,	// LSPair32_NonTemp_LDR
-    299014U,	// LSPair32_NonTemp_STR
-    318542U,	// LSPair32_PostInd_LDR
-    318542U,	// LSPair32_PostInd_STR
-    1364046U,	// LSPair32_PreInd_LDR
-    1364046U,	// LSPair32_PreInd_STR
-    299014U,	// LSPair32_STR
-    528390U,	// LSPair64_LDR
-    528390U,	// LSPair64_NonTemp_LDR
-    528390U,	// LSPair64_NonTemp_STR
-    547918U,	// LSPair64_PostInd_LDR
-    547918U,	// LSPair64_PostInd_STR
-    1593422U,	// LSPair64_PreInd_LDR
-    1593422U,	// LSPair64_PreInd_STR
-    528390U,	// LSPair64_STR
-    2U,	// LSRVwww
-    2U,	// LSRVxxx
-    2U,	// LSRwwi
-    2U,	// LSRxxi
-    249858U,	// MADDwwww
-    249858U,	// MADDxxxx
-    268299U,	// MLAvve_2s4s
-    269835U,	// MLAvve_4h8h
-    268298U,	// MLAvve_4s4s
-    269834U,	// MLAvve_8h8h
-    2059U,	// MLAvvv_16B
-    2571U,	// MLAvvv_2S
-    3083U,	// MLAvvv_4H
-    1546U,	// MLAvvv_4S
-    3595U,	// MLAvvv_8B
-    522U,	// MLAvvv_8H
-    268299U,	// MLSvve_2s4s
-    269835U,	// MLSvve_4h8h
-    268298U,	// MLSvve_4s4s
-    269834U,	// MLSvve_8h8h
-    2059U,	// MLSvvv_16B
-    2571U,	// MLSvvv_2S
-    3083U,	// MLSvvv_4H
-    1546U,	// MLSvvv_4S
-    3595U,	// MLSvvv_8B
-    522U,	// MLSvvv_8H
-    0U,	// MOVIdi
-    1U,	// MOVIvi_16B
-    0U,	// MOVIvi_2D
-    1U,	// MOVIvi_8B
-    6U,	// MOVIvi_lsl_2S
-    7U,	// MOVIvi_lsl_4H
-    6U,	// MOVIvi_lsl_4S
-    7U,	// MOVIvi_lsl_8H
-    7U,	// MOVIvi_msl_2S
-    7U,	// MOVIvi_msl_4S
-    0U,	// MOVKwii
-    0U,	// MOVKxii
-    0U,	// MOVNwii
-    0U,	// MOVNxii
-    0U,	// MOVZwii
-    0U,	// MOVZxii
-    0U,	// MRSxi
-    0U,	// MSRii
-    0U,	// MSRix
-    249858U,	// MSUBwwww
-    249858U,	// MSUBxxxx
-    284691U,	// MULve_2s4s
-    286227U,	// MULve_4h8h
-    284690U,	// MULve_4s4s
-    286226U,	// MULve_8h8h
-    2067U,	// MULvvv_16B
-    2579U,	// MULvvv_2S
-    3091U,	// MULvvv_4H
-    1554U,	// MULvvv_4S
-    3603U,	// MULvvv_8B
-    530U,	// MULvvv_8H
-    6U,	// MVNIvi_lsl_2S
-    7U,	// MVNIvi_lsl_4H
-    6U,	// MVNIvi_lsl_4S
-    7U,	// MVNIvi_lsl_8H
-    7U,	// MVNIvi_msl_2S
-    7U,	// MVNIvi_msl_4S
-    90U,	// MVNww_asr
-    98U,	// MVNww_lsl
-    106U,	// MVNww_lsr
-    274U,	// MVNww_ror
-    90U,	// MVNxx_asr
-    98U,	// MVNxx_lsl
-    106U,	// MVNxx_lsr
-    274U,	// MVNxx_ror
-    0U,	// NEG16b
-    0U,	// NEG2d
-    0U,	// NEG2s
-    0U,	// NEG4h
-    1U,	// NEG4s
-    1U,	// NEG8b
-    1U,	// NEG8h
-    1U,	// NEGdd
-    0U,	// NOT16b
-    1U,	// NOT8b
-    2067U,	// ORNvvv_16B
-    3603U,	// ORNvvv_8B
-    4098U,	// ORNwww_asr
-    20482U,	// ORNwww_lsl
-    36866U,	// ORNwww_lsr
-    184322U,	// ORNwww_ror
-    4098U,	// ORNxxx_asr
-    20482U,	// ORNxxx_lsl
-    36866U,	// ORNxxx_lsr
-    184322U,	// ORNxxx_ror
-    4U,	// ORRvi_lsl_2S
-    4U,	// ORRvi_lsl_4H
-    4U,	// ORRvi_lsl_4S
-    4U,	// ORRvi_lsl_8H
-    2067U,	// ORRvvv_16B
-    3603U,	// ORRvvv_8B
-    42U,	// ORRwwi
-    4098U,	// ORRwww_asr
-    20482U,	// ORRwww_lsl
-    36866U,	// ORRwww_lsr
-    184322U,	// ORRwww_ror
-    50U,	// ORRxxi
-    4098U,	// ORRxxx_asr
-    20482U,	// ORRxxx_lsl
-    36866U,	// ORRxxx_lsr
-    184322U,	// ORRxxx_ror
-    0U,	// PMULL2vvv_1q2d
-    2067U,	// PMULL2vvv_8h16b
-    0U,	// PMULLvvv_1q1d
-    3603U,	// PMULLvvv_8h8b
-    2067U,	// PMULvvv_16B
-    3603U,	// PMULvvv_8B
-    258U,	// PRFM
-    430082U,	// PRFM_Wm_RegOffset
-    446466U,	// PRFM_Xm_RegOffset
-    0U,	// PRFM_lit
-    234U,	// PRFUM
-    74U,	// QRSHRUNvvi_16B
-    2U,	// QRSHRUNvvi_2S
-    2U,	// QRSHRUNvvi_4H
-    74U,	// QRSHRUNvvi_4S
-    2U,	// QRSHRUNvvi_8B
-    74U,	// QRSHRUNvvi_8H
-    74U,	// QSHRUNvvi_16B
-    2U,	// QSHRUNvvi_2S
-    2U,	// QSHRUNvvi_4H
-    74U,	// QSHRUNvvi_4S
-    2U,	// QSHRUNvvi_8B
-    74U,	// QSHRUNvvi_8H
-    522U,	// RADDHN2vvv_16b8h
-    1034U,	// RADDHN2vvv_4s2d
-    1546U,	// RADDHN2vvv_8h4s
-    1042U,	// RADDHNvvv_2s2d
-    1554U,	// RADDHNvvv_4h4s
-    530U,	// RADDHNvvv_8b8h
-    0U,	// RBIT16b
-    1U,	// RBIT8b
-    1U,	// RBITww
-    1U,	// RBITxx
+    1U,	// FABD32
+    1U,	// FABD64
+    1289U,	// FABDv2f32
+    265U,	// FABDv2f64
+    521U,	// FABDv4f32
+    0U,	// FABSDr
+    0U,	// FABSSr
+    0U,	// FABSv2f32
+    0U,	// FABSv2f64
+    0U,	// FABSv4f32
+    1U,	// FACGE32
+    1U,	// FACGE64
+    1289U,	// FACGEv2f32
+    265U,	// FACGEv2f64
+    521U,	// FACGEv4f32
+    1U,	// FACGT32
+    1U,	// FACGT64
+    1289U,	// FACGTv2f32
+    265U,	// FACGTv2f64
+    521U,	// FACGTv4f32
+    1U,	// FADDDrr
+    1289U,	// FADDPv2f32
+    265U,	// FADDPv2f64
+    0U,	// FADDPv2i32p
+    0U,	// FADDPv2i64p
+    521U,	// FADDPv4f32
+    1U,	// FADDSrr
+    1289U,	// FADDv2f32
+    265U,	// FADDv2f64
+    521U,	// FADDv4f32
+    10497U,	// FCCMPDrr
+    10497U,	// FCCMPEDrr
+    10497U,	// FCCMPESrr
+    10497U,	// FCCMPSrr
+    1U,	// FCMEQ32
+    1U,	// FCMEQ64
+    3U,	// FCMEQv1i32rz
+    3U,	// FCMEQv1i64rz
+    1289U,	// FCMEQv2f32
+    265U,	// FCMEQv2f64
+    3U,	// FCMEQv2i32rz
+    3U,	// FCMEQv2i64rz
+    521U,	// FCMEQv4f32
+    3U,	// FCMEQv4i32rz
+    1U,	// FCMGE32
+    1U,	// FCMGE64
+    3U,	// FCMGEv1i32rz
+    3U,	// FCMGEv1i64rz
+    1289U,	// FCMGEv2f32
+    265U,	// FCMGEv2f64
+    3U,	// FCMGEv2i32rz
+    3U,	// FCMGEv2i64rz
+    521U,	// FCMGEv4f32
+    3U,	// FCMGEv4i32rz
+    1U,	// FCMGT32
+    1U,	// FCMGT64
+    3U,	// FCMGTv1i32rz
+    3U,	// FCMGTv1i64rz
+    1289U,	// FCMGTv2f32
+    265U,	// FCMGTv2f64
+    3U,	// FCMGTv2i32rz
+    3U,	// FCMGTv2i64rz
+    521U,	// FCMGTv4f32
+    3U,	// FCMGTv4i32rz
+    3U,	// FCMLEv1i32rz
+    3U,	// FCMLEv1i64rz
+    3U,	// FCMLEv2i32rz
+    3U,	// FCMLEv2i64rz
+    3U,	// FCMLEv4i32rz
+    3U,	// FCMLTv1i32rz
+    3U,	// FCMLTv1i64rz
+    3U,	// FCMLTv2i32rz
+    3U,	// FCMLTv2i64rz
+    3U,	// FCMLTv4i32rz
+    0U,	// FCMPDri
+    0U,	// FCMPDrr
+    0U,	// FCMPEDri
+    0U,	// FCMPEDrr
+    0U,	// FCMPESri
+    0U,	// FCMPESrr
+    0U,	// FCMPSri
+    0U,	// FCMPSrr
+    10497U,	// FCSELDrrr
+    10497U,	// FCSELSrrr
+    0U,	// FCVTASUWDr
+    0U,	// FCVTASUWSr
+    0U,	// FCVTASUXDr
+    0U,	// FCVTASUXSr
+    0U,	// FCVTASv1i32
+    0U,	// FCVTASv1i64
+    0U,	// FCVTASv2f32
+    0U,	// FCVTASv2f64
+    0U,	// FCVTASv4f32
+    0U,	// FCVTAUUWDr
+    0U,	// FCVTAUUWSr
+    0U,	// FCVTAUUXDr
+    0U,	// FCVTAUUXSr
+    0U,	// FCVTAUv1i32
+    0U,	// FCVTAUv1i64
+    0U,	// FCVTAUv2f32
+    0U,	// FCVTAUv2f64
+    0U,	// FCVTAUv4f32
+    0U,	// FCVTDHr
+    0U,	// FCVTDSr
+    0U,	// FCVTHDr
+    0U,	// FCVTHSr
+    0U,	// FCVTLv2i32
+    0U,	// FCVTLv4i16
+    0U,	// FCVTLv4i32
+    0U,	// FCVTLv8i16
+    0U,	// FCVTMSUWDr
+    0U,	// FCVTMSUWSr
+    0U,	// FCVTMSUXDr
+    0U,	// FCVTMSUXSr
+    0U,	// FCVTMSv1i32
+    0U,	// FCVTMSv1i64
+    0U,	// FCVTMSv2f32
+    0U,	// FCVTMSv2f64
+    0U,	// FCVTMSv4f32
+    0U,	// FCVTMUUWDr
+    0U,	// FCVTMUUWSr
+    0U,	// FCVTMUUXDr
+    0U,	// FCVTMUUXSr
+    0U,	// FCVTMUv1i32
+    0U,	// FCVTMUv1i64
+    0U,	// FCVTMUv2f32
+    0U,	// FCVTMUv2f64
+    0U,	// FCVTMUv4f32
+    0U,	// FCVTNSUWDr
+    0U,	// FCVTNSUWSr
+    0U,	// FCVTNSUXDr
+    0U,	// FCVTNSUXSr
+    0U,	// FCVTNSv1i32
+    0U,	// FCVTNSv1i64
+    0U,	// FCVTNSv2f32
+    0U,	// FCVTNSv2f64
+    0U,	// FCVTNSv4f32
+    0U,	// FCVTNUUWDr
+    0U,	// FCVTNUUWSr
+    0U,	// FCVTNUUXDr
+    0U,	// FCVTNUUXSr
+    0U,	// FCVTNUv1i32
+    0U,	// FCVTNUv1i64
+    0U,	// FCVTNUv2f32
+    0U,	// FCVTNUv2f64
+    0U,	// FCVTNUv4f32
+    0U,	// FCVTNv2i32
+    0U,	// FCVTNv4i16
+    0U,	// FCVTNv4i32
+    0U,	// FCVTNv8i16
+    0U,	// FCVTPSUWDr
+    0U,	// FCVTPSUWSr
+    0U,	// FCVTPSUXDr
+    0U,	// FCVTPSUXSr
+    0U,	// FCVTPSv1i32
+    0U,	// FCVTPSv1i64
+    0U,	// FCVTPSv2f32
+    0U,	// FCVTPSv2f64
+    0U,	// FCVTPSv4f32
+    0U,	// FCVTPUUWDr
+    0U,	// FCVTPUUWSr
+    0U,	// FCVTPUUXDr
+    0U,	// FCVTPUUXSr
+    0U,	// FCVTPUv1i32
+    0U,	// FCVTPUv1i64
+    0U,	// FCVTPUv2f32
+    0U,	// FCVTPUv2f64
+    0U,	// FCVTPUv4f32
+    0U,	// FCVTSDr
+    0U,	// FCVTSHr
+    0U,	// FCVTXNv1i64
+    0U,	// FCVTXNv2f32
+    0U,	// FCVTXNv4f32
+    1U,	// FCVTZSSWDri
+    1U,	// FCVTZSSWSri
+    1U,	// FCVTZSSXDri
+    1U,	// FCVTZSSXSri
+    0U,	// FCVTZSUWDr
+    0U,	// FCVTZSUWSr
+    0U,	// FCVTZSUXDr
+    0U,	// FCVTZSUXSr
+    1U,	// FCVTZS_IntSWDri
+    1U,	// FCVTZS_IntSWSri
+    1U,	// FCVTZS_IntSXDri
+    1U,	// FCVTZS_IntSXSri
+    0U,	// FCVTZS_IntUWDr
+    0U,	// FCVTZS_IntUWSr
+    0U,	// FCVTZS_IntUXDr
+    0U,	// FCVTZS_IntUXSr
+    0U,	// FCVTZS_Intv2f32
+    0U,	// FCVTZS_Intv2f64
+    0U,	// FCVTZS_Intv4f32
+    1U,	// FCVTZSd
+    1U,	// FCVTZSs
+    0U,	// FCVTZSv1i32
+    0U,	// FCVTZSv1i64
+    0U,	// FCVTZSv2f32
+    0U,	// FCVTZSv2f64
+    1U,	// FCVTZSv2i32_shift
+    1U,	// FCVTZSv2i64_shift
+    0U,	// FCVTZSv4f32
+    1U,	// FCVTZSv4i32_shift
+    1U,	// FCVTZUSWDri
+    1U,	// FCVTZUSWSri
+    1U,	// FCVTZUSXDri
+    1U,	// FCVTZUSXSri
+    0U,	// FCVTZUUWDr
+    0U,	// FCVTZUUWSr
+    0U,	// FCVTZUUXDr
+    0U,	// FCVTZUUXSr
+    1U,	// FCVTZU_IntSWDri
+    1U,	// FCVTZU_IntSWSri
+    1U,	// FCVTZU_IntSXDri
+    1U,	// FCVTZU_IntSXSri
+    0U,	// FCVTZU_IntUWDr
+    0U,	// FCVTZU_IntUWSr
+    0U,	// FCVTZU_IntUXDr
+    0U,	// FCVTZU_IntUXSr
+    0U,	// FCVTZU_Intv2f32
+    0U,	// FCVTZU_Intv2f64
+    0U,	// FCVTZU_Intv4f32
+    1U,	// FCVTZUd
+    1U,	// FCVTZUs
+    0U,	// FCVTZUv1i32
+    0U,	// FCVTZUv1i64
+    0U,	// FCVTZUv2f32
+    0U,	// FCVTZUv2f64
+    1U,	// FCVTZUv2i32_shift
+    1U,	// FCVTZUv2i64_shift
+    0U,	// FCVTZUv4f32
+    1U,	// FCVTZUv4i32_shift
+    1U,	// FDIVDrr
+    1U,	// FDIVSrr
+    1289U,	// FDIVv2f32
+    265U,	// FDIVv2f64
+    521U,	// FDIVv4f32
+    18689U,	// FMADDDrrr
+    18689U,	// FMADDSrrr
+    1U,	// FMAXDrr
+    1U,	// FMAXNMDrr
+    1289U,	// FMAXNMPv2f32
+    265U,	// FMAXNMPv2f64
+    0U,	// FMAXNMPv2i32p
+    0U,	// FMAXNMPv2i64p
+    521U,	// FMAXNMPv4f32
+    1U,	// FMAXNMSrr
+    0U,	// FMAXNMVv4i32v
+    1289U,	// FMAXNMv2f32
+    265U,	// FMAXNMv2f64
+    521U,	// FMAXNMv4f32
+    1289U,	// FMAXPv2f32
+    265U,	// FMAXPv2f64
+    0U,	// FMAXPv2i32p
+    0U,	// FMAXPv2i64p
+    521U,	// FMAXPv4f32
+    1U,	// FMAXSrr
+    0U,	// FMAXVv4i32v
+    1289U,	// FMAXv2f32
+    265U,	// FMAXv2f64
+    521U,	// FMAXv4f32
+    1U,	// FMINDrr
+    1U,	// FMINNMDrr
+    1289U,	// FMINNMPv2f32
+    265U,	// FMINNMPv2f64
+    0U,	// FMINNMPv2i32p
+    0U,	// FMINNMPv2i64p
+    521U,	// FMINNMPv4f32
+    1U,	// FMINNMSrr
+    0U,	// FMINNMVv4i32v
+    1289U,	// FMINNMv2f32
+    265U,	// FMINNMv2f64
+    521U,	// FMINNMv4f32
+    1289U,	// FMINPv2f32
+    265U,	// FMINPv2f64
+    0U,	// FMINPv2i32p
+    0U,	// FMINPv2i64p
+    521U,	// FMINPv4f32
+    1U,	// FMINSrr
+    0U,	// FMINVv4i32v
+    1289U,	// FMINv2f32
+    265U,	// FMINv2f64
+    521U,	// FMINv4f32
+    27665U,	// FMLAv1i32_indexed
+    27921U,	// FMLAv1i64_indexed
+    1297U,	// FMLAv2f32
+    273U,	// FMLAv2f64
+    27665U,	// FMLAv2i32_indexed
+    27921U,	// FMLAv2i64_indexed
+    529U,	// FMLAv4f32
+    27665U,	// FMLAv4i32_indexed
+    27665U,	// FMLSv1i32_indexed
+    27921U,	// FMLSv1i64_indexed
+    1297U,	// FMLSv2f32
+    273U,	// FMLSv2f64
+    27665U,	// FMLSv2i32_indexed
+    27921U,	// FMLSv2i64_indexed
+    529U,	// FMLSv4f32
+    27665U,	// FMLSv4i32_indexed
+    75U,	// FMOVDXHighr
+    0U,	// FMOVDXr
+    0U,	// FMOVDi
+    0U,	// FMOVDr
+    0U,	// FMOVSWr
+    0U,	// FMOVSi
+    0U,	// FMOVSr
+    0U,	// FMOVWSr
+    0U,	// FMOVXDHighr
+    0U,	// FMOVXDr
+    0U,	// FMOVv2f32_ns
+    0U,	// FMOVv2f64_ns
+    0U,	// FMOVv4f32_ns
+    18689U,	// FMSUBDrrr
+    18689U,	// FMSUBSrrr
+    1U,	// FMULDrr
+    1U,	// FMULSrr
+    1U,	// FMULX32
+    1U,	// FMULX64
+    35849U,	// FMULXv1i32_indexed
+    36105U,	// FMULXv1i64_indexed
+    1289U,	// FMULXv2f32
+    265U,	// FMULXv2f64
+    35849U,	// FMULXv2i32_indexed
+    36105U,	// FMULXv2i64_indexed
+    521U,	// FMULXv4f32
+    35849U,	// FMULXv4i32_indexed
+    35849U,	// FMULv1i32_indexed
+    36105U,	// FMULv1i64_indexed
+    1289U,	// FMULv2f32
+    265U,	// FMULv2f64
+    35849U,	// FMULv2i32_indexed
+    36105U,	// FMULv2i64_indexed
+    521U,	// FMULv4f32
+    35849U,	// FMULv4i32_indexed
+    0U,	// FNEGDr
+    0U,	// FNEGSr
+    0U,	// FNEGv2f32
+    0U,	// FNEGv2f64
+    0U,	// FNEGv4f32
+    18689U,	// FNMADDDrrr
+    18689U,	// FNMADDSrrr
+    18689U,	// FNMSUBDrrr
+    18689U,	// FNMSUBSrrr
+    1U,	// FNMULDrr
+    1U,	// FNMULSrr
+    0U,	// FRECPEv1i32
+    0U,	// FRECPEv1i64
+    0U,	// FRECPEv2f32
+    0U,	// FRECPEv2f64
+    0U,	// FRECPEv4f32
+    1U,	// FRECPS32
+    1U,	// FRECPS64
+    1289U,	// FRECPSv2f32
+    265U,	// FRECPSv2f64
+    521U,	// FRECPSv4f32
+    0U,	// FRECPXv1i32
+    0U,	// FRECPXv1i64
+    0U,	// FRINTADr
+    0U,	// FRINTASr
+    0U,	// FRINTAv2f32
+    0U,	// FRINTAv2f64
+    0U,	// FRINTAv4f32
+    0U,	// FRINTIDr
+    0U,	// FRINTISr
+    0U,	// FRINTIv2f32
+    0U,	// FRINTIv2f64
+    0U,	// FRINTIv4f32
+    0U,	// FRINTMDr
+    0U,	// FRINTMSr
+    0U,	// FRINTMv2f32
+    0U,	// FRINTMv2f64
+    0U,	// FRINTMv4f32
+    0U,	// FRINTNDr
+    0U,	// FRINTNSr
+    0U,	// FRINTNv2f32
+    0U,	// FRINTNv2f64
+    0U,	// FRINTNv4f32
+    0U,	// FRINTPDr
+    0U,	// FRINTPSr
+    0U,	// FRINTPv2f32
+    0U,	// FRINTPv2f64
+    0U,	// FRINTPv4f32
+    0U,	// FRINTXDr
+    0U,	// FRINTXSr
+    0U,	// FRINTXv2f32
+    0U,	// FRINTXv2f64
+    0U,	// FRINTXv4f32
+    0U,	// FRINTZDr
+    0U,	// FRINTZSr
+    0U,	// FRINTZv2f32
+    0U,	// FRINTZv2f64
+    0U,	// FRINTZv4f32
+    0U,	// FRSQRTEv1i32
+    0U,	// FRSQRTEv1i64
+    0U,	// FRSQRTEv2f32
+    0U,	// FRSQRTEv2f64
+    0U,	// FRSQRTEv4f32
+    1U,	// FRSQRTS32
+    1U,	// FRSQRTS64
+    1289U,	// FRSQRTSv2f32
+    265U,	// FRSQRTSv2f64
+    521U,	// FRSQRTSv4f32
+    0U,	// FSQRTDr
+    0U,	// FSQRTSr
+    0U,	// FSQRTv2f32
+    0U,	// FSQRTv2f64
+    0U,	// FSQRTv4f32
+    1U,	// FSUBDrr
+    1U,	// FSUBSrr
+    1289U,	// FSUBv2f32
+    265U,	// FSUBv2f64
+    521U,	// FSUBv4f32
+    0U,	// HINT
+    0U,	// HLT
+    0U,	// HVC
+    0U,	// INSvi16gpr
+    83U,	// INSvi16lane
+    0U,	// INSvi32gpr
+    83U,	// INSvi32lane
+    0U,	// INSvi64gpr
+    83U,	// INSvi64lane
+    0U,	// INSvi8gpr
+    83U,	// INSvi8lane
+    0U,	// ISB
+    0U,	// LD1Fourv16b
+    0U,	// LD1Fourv16b_POST
+    0U,	// LD1Fourv1d
+    0U,	// LD1Fourv1d_POST
+    0U,	// LD1Fourv2d
+    0U,	// LD1Fourv2d_POST
+    0U,	// LD1Fourv2s
+    0U,	// LD1Fourv2s_POST
+    0U,	// LD1Fourv4h
+    0U,	// LD1Fourv4h_POST
+    0U,	// LD1Fourv4s
+    0U,	// LD1Fourv4s_POST
+    0U,	// LD1Fourv8b
+    0U,	// LD1Fourv8b_POST
+    0U,	// LD1Fourv8h
+    0U,	// LD1Fourv8h_POST
+    0U,	// LD1Onev16b
+    0U,	// LD1Onev16b_POST
+    0U,	// LD1Onev1d
+    0U,	// LD1Onev1d_POST
+    0U,	// LD1Onev2d
+    0U,	// LD1Onev2d_POST
+    0U,	// LD1Onev2s
+    0U,	// LD1Onev2s_POST
+    0U,	// LD1Onev4h
+    0U,	// LD1Onev4h_POST
+    0U,	// LD1Onev4s
+    0U,	// LD1Onev4s_POST
+    0U,	// LD1Onev8b
+    0U,	// LD1Onev8b_POST
+    0U,	// LD1Onev8h
+    0U,	// LD1Onev8h_POST
+    0U,	// LD1Rv16b
+    0U,	// LD1Rv16b_POST
+    0U,	// LD1Rv1d
+    0U,	// LD1Rv1d_POST
+    0U,	// LD1Rv2d
+    0U,	// LD1Rv2d_POST
+    0U,	// LD1Rv2s
+    0U,	// LD1Rv2s_POST
+    0U,	// LD1Rv4h
+    0U,	// LD1Rv4h_POST
+    0U,	// LD1Rv4s
+    0U,	// LD1Rv4s_POST
+    0U,	// LD1Rv8b
+    0U,	// LD1Rv8b_POST
+    0U,	// LD1Rv8h
+    0U,	// LD1Rv8h_POST
+    0U,	// LD1Threev16b
+    0U,	// LD1Threev16b_POST
+    0U,	// LD1Threev1d
+    0U,	// LD1Threev1d_POST
+    0U,	// LD1Threev2d
+    0U,	// LD1Threev2d_POST
+    0U,	// LD1Threev2s
+    0U,	// LD1Threev2s_POST
+    0U,	// LD1Threev4h
+    0U,	// LD1Threev4h_POST
+    0U,	// LD1Threev4s
+    0U,	// LD1Threev4s_POST
+    0U,	// LD1Threev8b
+    0U,	// LD1Threev8b_POST
+    0U,	// LD1Threev8h
+    0U,	// LD1Threev8h_POST
+    0U,	// LD1Twov16b
+    0U,	// LD1Twov16b_POST
+    0U,	// LD1Twov1d
+    0U,	// LD1Twov1d_POST
+    0U,	// LD1Twov2d
+    0U,	// LD1Twov2d_POST
+    0U,	// LD1Twov2s
+    0U,	// LD1Twov2s_POST
+    0U,	// LD1Twov4h
+    0U,	// LD1Twov4h_POST
+    0U,	// LD1Twov4s
+    0U,	// LD1Twov4s_POST
+    0U,	// LD1Twov8b
+    0U,	// LD1Twov8b_POST
+    0U,	// LD1Twov8h
+    0U,	// LD1Twov8h_POST
+    0U,	// LD1i16
+    0U,	// LD1i16_POST
+    0U,	// LD1i32
+    0U,	// LD1i32_POST
+    0U,	// LD1i64
+    0U,	// LD1i64_POST
+    0U,	// LD1i8
+    0U,	// LD1i8_POST
+    0U,	// LD2Rv16b
+    0U,	// LD2Rv16b_POST
+    0U,	// LD2Rv1d
+    0U,	// LD2Rv1d_POST
+    0U,	// LD2Rv2d
+    0U,	// LD2Rv2d_POST
+    0U,	// LD2Rv2s
+    0U,	// LD2Rv2s_POST
+    0U,	// LD2Rv4h
+    0U,	// LD2Rv4h_POST
+    0U,	// LD2Rv4s
+    0U,	// LD2Rv4s_POST
+    0U,	// LD2Rv8b
+    0U,	// LD2Rv8b_POST
+    0U,	// LD2Rv8h
+    0U,	// LD2Rv8h_POST
+    0U,	// LD2Twov16b
+    0U,	// LD2Twov16b_POST
+    0U,	// LD2Twov2d
+    0U,	// LD2Twov2d_POST
+    0U,	// LD2Twov2s
+    0U,	// LD2Twov2s_POST
+    0U,	// LD2Twov4h
+    0U,	// LD2Twov4h_POST
+    0U,	// LD2Twov4s
+    0U,	// LD2Twov4s_POST
+    0U,	// LD2Twov8b
+    0U,	// LD2Twov8b_POST
+    0U,	// LD2Twov8h
+    0U,	// LD2Twov8h_POST
+    0U,	// LD2i16
+    0U,	// LD2i16_POST
+    0U,	// LD2i32
+    0U,	// LD2i32_POST
+    0U,	// LD2i64
+    0U,	// LD2i64_POST
+    0U,	// LD2i8
+    0U,	// LD2i8_POST
+    0U,	// LD3Rv16b
+    0U,	// LD3Rv16b_POST
+    0U,	// LD3Rv1d
+    0U,	// LD3Rv1d_POST
+    0U,	// LD3Rv2d
+    0U,	// LD3Rv2d_POST
+    0U,	// LD3Rv2s
+    0U,	// LD3Rv2s_POST
+    0U,	// LD3Rv4h
+    0U,	// LD3Rv4h_POST
+    0U,	// LD3Rv4s
+    0U,	// LD3Rv4s_POST
+    0U,	// LD3Rv8b
+    0U,	// LD3Rv8b_POST
+    0U,	// LD3Rv8h
+    0U,	// LD3Rv8h_POST
+    0U,	// LD3Threev16b
+    0U,	// LD3Threev16b_POST
+    0U,	// LD3Threev2d
+    0U,	// LD3Threev2d_POST
+    0U,	// LD3Threev2s
+    0U,	// LD3Threev2s_POST
+    0U,	// LD3Threev4h
+    0U,	// LD3Threev4h_POST
+    0U,	// LD3Threev4s
+    0U,	// LD3Threev4s_POST
+    0U,	// LD3Threev8b
+    0U,	// LD3Threev8b_POST
+    0U,	// LD3Threev8h
+    0U,	// LD3Threev8h_POST
+    0U,	// LD3i16
+    0U,	// LD3i16_POST
+    0U,	// LD3i32
+    0U,	// LD3i32_POST
+    0U,	// LD3i64
+    0U,	// LD3i64_POST
+    0U,	// LD3i8
+    0U,	// LD3i8_POST
+    0U,	// LD4Fourv16b
+    0U,	// LD4Fourv16b_POST
+    0U,	// LD4Fourv2d
+    0U,	// LD4Fourv2d_POST
+    0U,	// LD4Fourv2s
+    0U,	// LD4Fourv2s_POST
+    0U,	// LD4Fourv4h
+    0U,	// LD4Fourv4h_POST
+    0U,	// LD4Fourv4s
+    0U,	// LD4Fourv4s_POST
+    0U,	// LD4Fourv8b
+    0U,	// LD4Fourv8b_POST
+    0U,	// LD4Fourv8h
+    0U,	// LD4Fourv8h_POST
+    0U,	// LD4Rv16b
+    0U,	// LD4Rv16b_POST
+    0U,	// LD4Rv1d
+    0U,	// LD4Rv1d_POST
+    0U,	// LD4Rv2d
+    0U,	// LD4Rv2d_POST
+    0U,	// LD4Rv2s
+    0U,	// LD4Rv2s_POST
+    0U,	// LD4Rv4h
+    0U,	// LD4Rv4h_POST
+    0U,	// LD4Rv4s
+    0U,	// LD4Rv4s_POST
+    0U,	// LD4Rv8b
+    0U,	// LD4Rv8b_POST
+    0U,	// LD4Rv8h
+    0U,	// LD4Rv8h_POST
+    0U,	// LD4i16
+    0U,	// LD4i16_POST
+    0U,	// LD4i32
+    0U,	// LD4i32_POST
+    0U,	// LD4i64
+    0U,	// LD4i64_POST
+    0U,	// LD4i8
+    0U,	// LD4i8_POST
+    4U,	// LDARB
+    4U,	// LDARH
+    4U,	// LDARW
+    4U,	// LDARX
+    3588U,	// LDAXPW
+    3588U,	// LDAXPX
+    4U,	// LDAXRB
+    4U,	// LDAXRH
+    4U,	// LDAXRW
+    4U,	// LDAXRX
+    43268U,	// LDNPDi
+    51460U,	// LDNPQi
+    59652U,	// LDNPSi
+    59652U,	// LDNPWi
+    43268U,	// LDNPXi
+    43268U,	// LDPDi
+    69444U,	// LDPDpost
+    330052U,	// LDPDpre
+    51460U,	// LDPQi
+    77636U,	// LDPQpost
+    338244U,	// LDPQpre
+    59652U,	// LDPSWi
+    85828U,	// LDPSWpost
+    346436U,	// LDPSWpre
+    59652U,	// LDPSi
+    85828U,	// LDPSpost
+    346436U,	// LDPSpre
+    59652U,	// LDPWi
+    85828U,	// LDPWpost
+    346436U,	// LDPWpre
+    43268U,	// LDPXi
+    69444U,	// LDPXpost
+    330052U,	// LDPXpre
+    4U,	// LDRBBpost
+    4161U,	// LDRBBpre
+    92417U,	// LDRBBroW
+    100609U,	// LDRBBroX
+    89U,	// LDRBBui
+    4U,	// LDRBpost
+    4161U,	// LDRBpre
+    92417U,	// LDRBroW
+    100609U,	// LDRBroX
+    89U,	// LDRBui
+    0U,	// LDRDl
+    4U,	// LDRDpost
+    4161U,	// LDRDpre
+    108801U,	// LDRDroW
+    116993U,	// LDRDroX
+    97U,	// LDRDui
+    4U,	// LDRHHpost
+    4161U,	// LDRHHpre
+    125185U,	// LDRHHroW
+    133377U,	// LDRHHroX
+    105U,	// LDRHHui
+    4U,	// LDRHpost
+    4161U,	// LDRHpre
+    125185U,	// LDRHroW
+    133377U,	// LDRHroX
+    105U,	// LDRHui
+    0U,	// LDRQl
+    4U,	// LDRQpost
+    4161U,	// LDRQpre
+    141569U,	// LDRQroW
+    149761U,	// LDRQroX
+    113U,	// LDRQui
+    4U,	// LDRSBWpost
+    4161U,	// LDRSBWpre
+    92417U,	// LDRSBWroW
+    100609U,	// LDRSBWroX
+    89U,	// LDRSBWui
+    4U,	// LDRSBXpost
+    4161U,	// LDRSBXpre
+    92417U,	// LDRSBXroW
+    100609U,	// LDRSBXroX
+    89U,	// LDRSBXui
+    4U,	// LDRSHWpost
+    4161U,	// LDRSHWpre
+    125185U,	// LDRSHWroW
+    133377U,	// LDRSHWroX
+    105U,	// LDRSHWui
+    4U,	// LDRSHXpost
+    4161U,	// LDRSHXpre
+    125185U,	// LDRSHXroW
+    133377U,	// LDRSHXroX
+    105U,	// LDRSHXui
+    0U,	// LDRSWl
+    4U,	// LDRSWpost
+    4161U,	// LDRSWpre
+    157953U,	// LDRSWroW
+    166145U,	// LDRSWroX
+    121U,	// LDRSWui
+    0U,	// LDRSl
+    4U,	// LDRSpost
+    4161U,	// LDRSpre
+    157953U,	// LDRSroW
+    166145U,	// LDRSroX
+    121U,	// LDRSui
+    0U,	// LDRWl
+    4U,	// LDRWpost
+    4161U,	// LDRWpre
+    157953U,	// LDRWroW
+    166145U,	// LDRWroX
+    121U,	// LDRWui
+    0U,	// LDRXl
+    4U,	// LDRXpost
+    4161U,	// LDRXpre
+    108801U,	// LDRXroW
+    116993U,	// LDRXroX
+    97U,	// LDRXui
+    3585U,	// LDTRBi
+    3585U,	// LDTRHi
+    3585U,	// LDTRSBWi
+    3585U,	// LDTRSBXi
+    3585U,	// LDTRSHWi
+    3585U,	// LDTRSHXi
+    3585U,	// LDTRSWi
+    3585U,	// LDTRWi
+    3585U,	// LDTRXi
+    3585U,	// LDURBBi
+    3585U,	// LDURBi
+    3585U,	// LDURDi
+    3585U,	// LDURHHi
+    3585U,	// LDURHi
+    3585U,	// LDURQi
+    3585U,	// LDURSBWi
+    3585U,	// LDURSBXi
+    3585U,	// LDURSHWi
+    3585U,	// LDURSHXi
+    3585U,	// LDURSWi
+    3585U,	// LDURSi
+    3585U,	// LDURWi
+    3585U,	// LDURXi
+    3588U,	// LDXPW
+    3588U,	// LDXPX
+    4U,	// LDXRB
+    4U,	// LDXRH
+    4U,	// LDXRW
+    4U,	// LDXRX
+    0U,	// LOADgot
+    1U,	// LSLVWr
+    1U,	// LSLVXr
+    1U,	// LSRVWr
+    1U,	// LSRVXr
+    18689U,	// MADDWrrr
+    18689U,	// MADDXrrr
+    1041U,	// MLAv16i8
+    1297U,	// MLAv2i32
+    27665U,	// MLAv2i32_indexed
+    1553U,	// MLAv4i16
+    28945U,	// MLAv4i16_indexed
+    529U,	// MLAv4i32
+    27665U,	// MLAv4i32_indexed
+    785U,	// MLAv8i16
+    28945U,	// MLAv8i16_indexed
+    1809U,	// MLAv8i8
+    1041U,	// MLSv16i8
+    1297U,	// MLSv2i32
+    27665U,	// MLSv2i32_indexed
+    1553U,	// MLSv4i16
+    28945U,	// MLSv4i16_indexed
+    529U,	// MLSv4i32
+    27665U,	// MLSv4i32_indexed
+    785U,	// MLSv8i16
+    28945U,	// MLSv8i16_indexed
+    1809U,	// MLSv8i8
+    0U,	// MOVID
+    0U,	// MOVIv16b_ns
+    0U,	// MOVIv2d_ns
+    4U,	// MOVIv2i32
+    4U,	// MOVIv2s_msl
+    4U,	// MOVIv4i16
+    4U,	// MOVIv4i32
+    4U,	// MOVIv4s_msl
+    0U,	// MOVIv8b_ns
+    4U,	// MOVIv8i16
+    0U,	// MOVKWi
+    0U,	// MOVKXi
+    4U,	// MOVNWi
+    4U,	// MOVNXi
+    4U,	// MOVZWi
+    4U,	// MOVZXi
+    0U,	// MOVaddr
+    0U,	// MOVaddrBA
+    0U,	// MOVaddrCP
+    0U,	// MOVaddrEXT
+    0U,	// MOVaddrJT
+    0U,	// MOVaddrTLS
+    0U,	// MOVi32imm
+    0U,	// MOVi64imm
+    0U,	// MRS
+    0U,	// MSR
+    0U,	// MSRpstate
+    18689U,	// MSUBWrrr
+    18689U,	// MSUBXrrr
+    1033U,	// MULv16i8
+    1289U,	// MULv2i32
+    35849U,	// MULv2i32_indexed
+    1545U,	// MULv4i16
+    37129U,	// MULv4i16_indexed
+    521U,	// MULv4i32
+    35849U,	// MULv4i32_indexed
+    777U,	// MULv8i16
+    37129U,	// MULv8i16_indexed
+    1801U,	// MULv8i8
+    4U,	// MVNIv2i32
+    4U,	// MVNIv2s_msl
+    4U,	// MVNIv4i16
+    4U,	// MVNIv4i32
+    4U,	// MVNIv4s_msl
+    4U,	// MVNIv8i16
+    0U,	// NEGv16i8
+    0U,	// NEGv1i64
+    0U,	// NEGv2i32
+    0U,	// NEGv2i64
+    0U,	// NEGv4i16
+    0U,	// NEGv4i32
+    0U,	// NEGv8i16
+    0U,	// NEGv8i8
+    0U,	// NOTv16i8
+    0U,	// NOTv8i8
+    0U,	// ORNWrr
+    33U,	// ORNWrs
+    0U,	// ORNXrr
+    33U,	// ORNXrs
+    1033U,	// ORNv16i8
+    1801U,	// ORNv8i8
+    49U,	// ORRWri
+    0U,	// ORRWrr
+    33U,	// ORRWrs
+    57U,	// ORRXri
+    0U,	// ORRXrr
+    33U,	// ORRXrs
+    1033U,	// ORRv16i8
+    0U,	// ORRv2i32
+    0U,	// ORRv4i16
+    0U,	// ORRv4i32
+    0U,	// ORRv8i16
+    1801U,	// ORRv8i8
+    1033U,	// PMULLv16i8
+    0U,	// PMULLv1i64
+    0U,	// PMULLv2i64
+    1801U,	// PMULLv8i8
+    1033U,	// PMULv16i8
+    1801U,	// PMULv8i8
+    0U,	// PRFMl
+    108801U,	// PRFMroW
+    116993U,	// PRFMroX
+    97U,	// PRFMui
+    3585U,	// PRFUMi
+    265U,	// RADDHNv2i64_v2i32
+    273U,	// RADDHNv2i64_v4i32
+    521U,	// RADDHNv4i32_v4i16
+    529U,	// RADDHNv4i32_v8i16
+    785U,	// RADDHNv8i16_v16i8
+    777U,	// RADDHNv8i16_v8i8
+    0U,	// RBITWr
+    0U,	// RBITXr
+    0U,	// RBITv16i8
+    0U,	// RBITv8i8
     0U,	// RET
-    0U,	// RETx
-    0U,	// REV16_16b
-    1U,	// REV16_8b
-    1U,	// REV16ww
-    1U,	// REV16xx
-    0U,	// REV32_16b
-    0U,	// REV32_4h
-    1U,	// REV32_8b
-    1U,	// REV32_8h
-    1U,	// REV32xx
-    0U,	// REV64_16b
-    0U,	// REV64_2s
-    0U,	// REV64_4h
-    1U,	// REV64_4s
-    1U,	// REV64_8b
-    1U,	// REV64_8h
-    1U,	// REVww
-    1U,	// REVxx
-    2U,	// RORVwww
-    2U,	// RORVxxx
-    74U,	// RSHRNvvi_16B
-    2U,	// RSHRNvvi_2S
-    2U,	// RSHRNvvi_4H
-    74U,	// RSHRNvvi_4S
-    2U,	// RSHRNvvi_8B
-    74U,	// RSHRNvvi_8H
-    522U,	// RSUBHN2vvv_16b8h
-    1034U,	// RSUBHN2vvv_4s2d
-    1546U,	// RSUBHN2vvv_8h4s
-    1042U,	// RSUBHNvvv_2s2d
-    1554U,	// RSUBHNvvv_4h4s
-    530U,	// RSUBHNvvv_8b8h
-    1546U,	// SABAL2vvv_2d2s
-    522U,	// SABAL2vvv_4s4h
-    2059U,	// SABAL2vvv_8h8b
-    2571U,	// SABALvvv_2d2s
-    3083U,	// SABALvvv_4s4h
-    3595U,	// SABALvvv_8h8b
-    2059U,	// SABAvvv_16B
-    2571U,	// SABAvvv_2S
-    3083U,	// SABAvvv_4H
-    1546U,	// SABAvvv_4S
-    3595U,	// SABAvvv_8B
-    522U,	// SABAvvv_8H
-    1554U,	// SABDL2vvv_2d2s
-    530U,	// SABDL2vvv_4s4h
-    2067U,	// SABDL2vvv_8h8b
-    2579U,	// SABDLvvv_2d2s
-    3091U,	// SABDLvvv_4s4h
-    3603U,	// SABDLvvv_8h8b
-    2067U,	// SABDvvv_16B
-    2579U,	// SABDvvv_2S
-    3091U,	// SABDvvv_4H
-    1554U,	// SABDvvv_4S
-    3603U,	// SABDvvv_8B
-    530U,	// SABDvvv_8H
-    0U,	// SADALP16b8h
-    0U,	// SADALP2s1d
-    0U,	// SADALP4h2s
-    1U,	// SADALP4s2d
-    1U,	// SADALP8b4h
-    1U,	// SADALP8h4s
-    1554U,	// SADDL2vvv_2d4s
-    530U,	// SADDL2vvv_4s8h
-    2067U,	// SADDL2vvv_8h16b
-    0U,	// SADDLP16b8h
-    0U,	// SADDLP2s1d
-    0U,	// SADDLP4h2s
-    1U,	// SADDLP4s2d
-    1U,	// SADDLP8b4h
-    1U,	// SADDLP8h4s
-    1U,	// SADDLV_1d4s
-    0U,	// SADDLV_1h16b
-    1U,	// SADDLV_1h8b
-    0U,	// SADDLV_1s4h
-    1U,	// SADDLV_1s8h
-    2579U,	// SADDLvvv_2d2s
-    3091U,	// SADDLvvv_4s4h
-    3603U,	// SADDLvvv_8h8b
-    1554U,	// SADDW2vvv_2d4s
-    530U,	// SADDW2vvv_4s8h
-    2066U,	// SADDW2vvv_8h16b
-    2578U,	// SADDWvvv_2d2s
-    3090U,	// SADDWvvv_4s4h
-    3602U,	// SADDWvvv_8h8b
-    2U,	// SBCSwww
-    2U,	// SBCSxxx
-    2U,	// SBCwww
-    2U,	// SBCxxx
-    282U,	// SBFIZwwii
-    290U,	// SBFIZxxii
-    249858U,	// SBFMwwii
-    249858U,	// SBFMxxii
-    561154U,	// SBFXwwii
-    561154U,	// SBFXxxii
-    0U,	// SCVTF_2d
-    0U,	// SCVTF_2s
-    1U,	// SCVTF_4s
-    2U,	// SCVTF_Nddi
-    2U,	// SCVTF_Nssi
-    1U,	// SCVTFdd
-    1U,	// SCVTFdw
-    194U,	// SCVTFdwi
-    1U,	// SCVTFdx
-    194U,	// SCVTFdxi
-    1U,	// SCVTFss
-    1U,	// SCVTFsw
-    194U,	// SCVTFswi
-    1U,	// SCVTFsx
-    194U,	// SCVTFsxi
-    2U,	// SDIVwww
-    2U,	// SDIVxxx
-    1546U,	// SHA1C
-    1U,	// SHA1H
-    1546U,	// SHA1M
-    1546U,	// SHA1P
-    1546U,	// SHA1SU0
-    1U,	// SHA1SU1
-    1546U,	// SHA256H
-    1546U,	// SHA256H2
-    1U,	// SHA256SU0
-    1546U,	// SHA256SU1
-    2067U,	// SHADDvvv_16B
-    2579U,	// SHADDvvv_2S
-    3091U,	// SHADDvvv_4H
-    1554U,	// SHADDvvv_4S
-    3603U,	// SHADDvvv_8B
-    530U,	// SHADDvvv_8H
-    3U,	// SHLL16b8h
-    3U,	// SHLL2s2d
-    3U,	// SHLL4h4s
-    2U,	// SHLL4s2d
-    3U,	// SHLL8b8h
-    2U,	// SHLL8h4s
-    2U,	// SHLddi
-    3U,	// SHLvvi_16B
-    2U,	// SHLvvi_2D
-    3U,	// SHLvvi_2S
-    3U,	// SHLvvi_4H
-    2U,	// SHLvvi_4S
-    3U,	// SHLvvi_8B
-    2U,	// SHLvvi_8H
-    74U,	// SHRNvvi_16B
-    2U,	// SHRNvvi_2S
-    2U,	// SHRNvvi_4H
-    74U,	// SHRNvvi_4S
-    2U,	// SHRNvvi_8B
-    74U,	// SHRNvvi_8H
-    2067U,	// SHSUBvvv_16B
-    2579U,	// SHSUBvvv_2S
-    3091U,	// SHSUBvvv_4H
-    1554U,	// SHSUBvvv_4S
-    3603U,	// SHSUBvvv_8B
-    530U,	// SHSUBvvv_8H
-    74U,	// SLI
-    75U,	// SLIvvi_16B
-    74U,	// SLIvvi_2D
-    75U,	// SLIvvi_2S
-    75U,	// SLIvvi_4H
-    74U,	// SLIvvi_4S
-    75U,	// SLIvvi_8B
-    74U,	// SLIvvi_8H
-    249858U,	// SMADDLxwwx
-    2067U,	// SMAXPvvv_16B
-    2579U,	// SMAXPvvv_2S
-    3091U,	// SMAXPvvv_4H
-    1554U,	// SMAXPvvv_4S
-    3603U,	// SMAXPvvv_8B
-    530U,	// SMAXPvvv_8H
-    0U,	// SMAXV_1b16b
-    1U,	// SMAXV_1b8b
-    0U,	// SMAXV_1h4h
-    1U,	// SMAXV_1h8h
-    1U,	// SMAXV_1s4s
-    2067U,	// SMAXvvv_16B
-    2579U,	// SMAXvvv_2S
-    3091U,	// SMAXvvv_4H
-    1554U,	// SMAXvvv_4S
-    3603U,	// SMAXvvv_8B
-    530U,	// SMAXvvv_8H
-    0U,	// SMCi
-    2067U,	// SMINPvvv_16B
-    2579U,	// SMINPvvv_2S
-    3091U,	// SMINPvvv_4H
-    1554U,	// SMINPvvv_4S
-    3603U,	// SMINPvvv_8B
-    530U,	// SMINPvvv_8H
-    0U,	// SMINV_1b16b
-    1U,	// SMINV_1b8b
-    0U,	// SMINV_1h4h
-    1U,	// SMINV_1h8h
-    1U,	// SMINV_1s4s
-    2067U,	// SMINvvv_16B
-    2579U,	// SMINvvv_2S
-    3091U,	// SMINvvv_4H
-    1554U,	// SMINvvv_4S
-    3603U,	// SMINvvv_8B
-    530U,	// SMINvvv_8H
-    1546U,	// SMLAL2vvv_2d4s
-    522U,	// SMLAL2vvv_4s8h
-    2059U,	// SMLAL2vvv_8h16b
-    268299U,	// SMLALvve_2d2s
-    268298U,	// SMLALvve_2d4s
-    269835U,	// SMLALvve_4s4h
-    269834U,	// SMLALvve_4s8h
-    2571U,	// SMLALvvv_2d2s
-    3083U,	// SMLALvvv_4s4h
-    3595U,	// SMLALvvv_8h8b
-    1546U,	// SMLSL2vvv_2d4s
-    522U,	// SMLSL2vvv_4s8h
-    2059U,	// SMLSL2vvv_8h16b
-    268299U,	// SMLSLvve_2d2s
-    268298U,	// SMLSLvve_2d4s
-    269835U,	// SMLSLvve_4s4h
-    269834U,	// SMLSLvve_4s8h
-    2571U,	// SMLSLvvv_2d2s
-    3083U,	// SMLSLvvv_4s4h
-    3595U,	// SMLSLvvv_8h8b
-    180U,	// SMOVwb
-    181U,	// SMOVwh
-    180U,	// SMOVxb
-    181U,	// SMOVxh
-    181U,	// SMOVxs
-    249858U,	// SMSUBLxwwx
-    2U,	// SMULHxxx
-    1554U,	// SMULL2vvv_2d4s
-    530U,	// SMULL2vvv_4s8h
-    2067U,	// SMULL2vvv_8h16b
-    284691U,	// SMULLve_2d2s
-    284690U,	// SMULLve_2d4s
-    286227U,	// SMULLve_4s4h
-    286226U,	// SMULLve_4s8h
-    2579U,	// SMULLvvv_2d2s
-    3091U,	// SMULLvvv_4s4h
-    3603U,	// SMULLvvv_8h8b
-    0U,	// SQABS16b
-    0U,	// SQABS2d
-    0U,	// SQABS2s
-    0U,	// SQABS4h
-    1U,	// SQABS4s
-    1U,	// SQABS8b
-    1U,	// SQABS8h
-    1U,	// SQABSbb
-    1U,	// SQABSdd
-    1U,	// SQABShh
-    1U,	// SQABSss
-    2U,	// SQADDbbb
-    2U,	// SQADDddd
-    2U,	// SQADDhhh
-    2U,	// SQADDsss
-    2067U,	// SQADDvvv_16B
-    1042U,	// SQADDvvv_2D
-    2579U,	// SQADDvvv_2S
-    3091U,	// SQADDvvv_4H
-    1554U,	// SQADDvvv_4S
-    3603U,	// SQADDvvv_8B
-    530U,	// SQADDvvv_8H
-    1546U,	// SQDMLAL2vvv_2d4s
-    522U,	// SQDMLAL2vvv_4s8h
-    74U,	// SQDMLALdss
-    268298U,	// SQDMLALdsv_2S
-    268298U,	// SQDMLALdsv_4S
-    74U,	// SQDMLALshh
-    269834U,	// SQDMLALshv_4H
-    269834U,	// SQDMLALshv_8H
-    268299U,	// SQDMLALvve_2d2s
-    268298U,	// SQDMLALvve_2d4s
-    269835U,	// SQDMLALvve_4s4h
-    269834U,	// SQDMLALvve_4s8h
-    2571U,	// SQDMLALvvv_2d2s
-    3083U,	// SQDMLALvvv_4s4h
-    1546U,	// SQDMLSL2vvv_2d4s
-    522U,	// SQDMLSL2vvv_4s8h
-    74U,	// SQDMLSLdss
-    268298U,	// SQDMLSLdsv_2S
-    268298U,	// SQDMLSLdsv_4S
-    74U,	// SQDMLSLshh
-    269834U,	// SQDMLSLshv_4H
-    269834U,	// SQDMLSLshv_8H
-    268299U,	// SQDMLSLvve_2d2s
-    268298U,	// SQDMLSLvve_2d4s
-    269835U,	// SQDMLSLvve_4s4h
-    269834U,	// SQDMLSLvve_4s8h
-    2571U,	// SQDMLSLvvv_2d2s
-    3083U,	// SQDMLSLvvv_4s4h
-    2U,	// SQDMULHhhh
-    286226U,	// SQDMULHhhv_4H
-    286226U,	// SQDMULHhhv_8H
-    2U,	// SQDMULHsss
-    284690U,	// SQDMULHssv_2S
-    284690U,	// SQDMULHssv_4S
-    284691U,	// SQDMULHve_2s4s
-    286227U,	// SQDMULHve_4h8h
-    284690U,	// SQDMULHve_4s4s
-    286226U,	// SQDMULHve_8h8h
-    2579U,	// SQDMULHvvv_2S
-    3091U,	// SQDMULHvvv_4H
-    1554U,	// SQDMULHvvv_4S
-    530U,	// SQDMULHvvv_8H
-    1554U,	// SQDMULL2vvv_2d4s
-    530U,	// SQDMULL2vvv_4s8h
-    2U,	// SQDMULLdss
-    284690U,	// SQDMULLdsv_2S
-    284690U,	// SQDMULLdsv_4S
-    2U,	// SQDMULLshh
-    286226U,	// SQDMULLshv_4H
-    286226U,	// SQDMULLshv_8H
-    284691U,	// SQDMULLve_2d2s
-    284690U,	// SQDMULLve_2d4s
-    286227U,	// SQDMULLve_4s4h
-    286226U,	// SQDMULLve_4s8h
-    2579U,	// SQDMULLvvv_2d2s
-    3091U,	// SQDMULLvvv_4s4h
-    0U,	// SQNEG16b
-    0U,	// SQNEG2d
-    0U,	// SQNEG2s
-    0U,	// SQNEG4h
-    1U,	// SQNEG4s
-    1U,	// SQNEG8b
-    1U,	// SQNEG8h
-    1U,	// SQNEGbb
-    1U,	// SQNEGdd
-    1U,	// SQNEGhh
-    1U,	// SQNEGss
-    2U,	// SQRDMULHhhh
-    286226U,	// SQRDMULHhhv_4H
-    286226U,	// SQRDMULHhhv_8H
-    2U,	// SQRDMULHsss
-    284690U,	// SQRDMULHssv_2S
-    284690U,	// SQRDMULHssv_4S
-    284691U,	// SQRDMULHve_2s4s
-    286227U,	// SQRDMULHve_4h8h
-    284690U,	// SQRDMULHve_4s4s
-    286226U,	// SQRDMULHve_8h8h
-    2579U,	// SQRDMULHvvv_2S
-    3091U,	// SQRDMULHvvv_4H
-    1554U,	// SQRDMULHvvv_4S
-    530U,	// SQRDMULHvvv_8H
-    2U,	// SQRSHLbbb
-    2U,	// SQRSHLddd
-    2U,	// SQRSHLhhh
-    2U,	// SQRSHLsss
-    2067U,	// SQRSHLvvv_16B
-    1042U,	// SQRSHLvvv_2D
-    2579U,	// SQRSHLvvv_2S
-    3091U,	// SQRSHLvvv_4H
-    1554U,	// SQRSHLvvv_4S
-    3603U,	// SQRSHLvvv_8B
-    530U,	// SQRSHLvvv_8H
-    2U,	// SQRSHRNbhi
-    2U,	// SQRSHRNhsi
-    2U,	// SQRSHRNsdi
-    74U,	// SQRSHRNvvi_16B
-    2U,	// SQRSHRNvvi_2S
-    2U,	// SQRSHRNvvi_4H
-    74U,	// SQRSHRNvvi_4S
-    2U,	// SQRSHRNvvi_8B
-    74U,	// SQRSHRNvvi_8H
-    2U,	// SQRSHRUNbhi
-    2U,	// SQRSHRUNhsi
-    2U,	// SQRSHRUNsdi
-    2U,	// SQSHLUbbi
-    2U,	// SQSHLUddi
-    2U,	// SQSHLUhhi
-    2U,	// SQSHLUssi
-    3U,	// SQSHLUvvi_16B
-    2U,	// SQSHLUvvi_2D
-    3U,	// SQSHLUvvi_2S
-    3U,	// SQSHLUvvi_4H
-    2U,	// SQSHLUvvi_4S
-    3U,	// SQSHLUvvi_8B
-    2U,	// SQSHLUvvi_8H
-    2U,	// SQSHLbbb
-    2U,	// SQSHLbbi
-    2U,	// SQSHLddd
-    2U,	// SQSHLddi
-    2U,	// SQSHLhhh
-    2U,	// SQSHLhhi
-    2U,	// SQSHLssi
-    2U,	// SQSHLsss
-    3U,	// SQSHLvvi_16B
-    2U,	// SQSHLvvi_2D
-    3U,	// SQSHLvvi_2S
-    3U,	// SQSHLvvi_4H
-    2U,	// SQSHLvvi_4S
-    3U,	// SQSHLvvi_8B
-    2U,	// SQSHLvvi_8H
-    2067U,	// SQSHLvvv_16B
-    1042U,	// SQSHLvvv_2D
-    2579U,	// SQSHLvvv_2S
-    3091U,	// SQSHLvvv_4H
-    1554U,	// SQSHLvvv_4S
-    3603U,	// SQSHLvvv_8B
-    530U,	// SQSHLvvv_8H
-    2U,	// SQSHRNbhi
-    2U,	// SQSHRNhsi
-    2U,	// SQSHRNsdi
-    74U,	// SQSHRNvvi_16B
-    2U,	// SQSHRNvvi_2S
-    2U,	// SQSHRNvvi_4H
-    74U,	// SQSHRNvvi_4S
-    2U,	// SQSHRNvvi_8B
-    74U,	// SQSHRNvvi_8H
-    2U,	// SQSHRUNbhi
-    2U,	// SQSHRUNhsi
-    2U,	// SQSHRUNsdi
-    2U,	// SQSUBbbb
-    2U,	// SQSUBddd
-    2U,	// SQSUBhhh
-    2U,	// SQSUBsss
-    2067U,	// SQSUBvvv_16B
-    1042U,	// SQSUBvvv_2D
-    2579U,	// SQSUBvvv_2S
-    3091U,	// SQSUBvvv_4H
-    1554U,	// SQSUBvvv_4S
-    3603U,	// SQSUBvvv_8B
-    530U,	// SQSUBvvv_8H
-    0U,	// SQXTN2d2s
-    0U,	// SQXTN2d4s
-    1U,	// SQXTN4s4h
-    1U,	// SQXTN4s8h
-    1U,	// SQXTN8h16b
-    1U,	// SQXTN8h8b
-    1U,	// SQXTNbh
-    1U,	// SQXTNhs
-    1U,	// SQXTNsd
-    0U,	// SQXTUN2d2s
-    0U,	// SQXTUN2d4s
-    1U,	// SQXTUN4s4h
-    1U,	// SQXTUN4s8h
-    1U,	// SQXTUN8h16b
-    1U,	// SQXTUN8h8b
-    1U,	// SQXTUNbh
-    1U,	// SQXTUNhs
-    1U,	// SQXTUNsd
-    2067U,	// SRHADDvvv_16B
-    2579U,	// SRHADDvvv_2S
-    3091U,	// SRHADDvvv_4H
-    1554U,	// SRHADDvvv_4S
-    3603U,	// SRHADDvvv_8B
-    530U,	// SRHADDvvv_8H
-    74U,	// SRI
-    75U,	// SRIvvi_16B
-    74U,	// SRIvvi_2D
-    75U,	// SRIvvi_2S
-    75U,	// SRIvvi_4H
-    74U,	// SRIvvi_4S
-    75U,	// SRIvvi_8B
-    74U,	// SRIvvi_8H
-    2U,	// SRSHLddd
-    2067U,	// SRSHLvvv_16B
-    1042U,	// SRSHLvvv_2D
-    2579U,	// SRSHLvvv_2S
-    3091U,	// SRSHLvvv_4H
-    1554U,	// SRSHLvvv_4S
-    3603U,	// SRSHLvvv_8B
-    530U,	// SRSHLvvv_8H
-    2U,	// SRSHRddi
-    3U,	// SRSHRvvi_16B
-    2U,	// SRSHRvvi_2D
-    3U,	// SRSHRvvi_2S
-    3U,	// SRSHRvvi_4H
-    2U,	// SRSHRvvi_4S
-    3U,	// SRSHRvvi_8B
-    2U,	// SRSHRvvi_8H
-    74U,	// SRSRA
-    75U,	// SRSRAvvi_16B
-    74U,	// SRSRAvvi_2D
-    75U,	// SRSRAvvi_2S
-    75U,	// SRSRAvvi_4H
-    74U,	// SRSRAvvi_4S
-    75U,	// SRSRAvvi_8B
-    74U,	// SRSRAvvi_8H
-    3U,	// SSHLLvvi_16B
-    3U,	// SSHLLvvi_2S
-    3U,	// SSHLLvvi_4H
-    2U,	// SSHLLvvi_4S
-    3U,	// SSHLLvvi_8B
-    2U,	// SSHLLvvi_8H
-    2U,	// SSHLddd
-    2067U,	// SSHLvvv_16B
-    1042U,	// SSHLvvv_2D
-    2579U,	// SSHLvvv_2S
-    3091U,	// SSHLvvv_4H
-    1554U,	// SSHLvvv_4S
-    3603U,	// SSHLvvv_8B
-    530U,	// SSHLvvv_8H
-    2U,	// SSHRddi
-    3U,	// SSHRvvi_16B
-    2U,	// SSHRvvi_2D
-    3U,	// SSHRvvi_2S
-    3U,	// SSHRvvi_4H
-    2U,	// SSHRvvi_4S
-    3U,	// SSHRvvi_8B
-    2U,	// SSHRvvi_8H
-    74U,	// SSRA
-    75U,	// SSRAvvi_16B
-    74U,	// SSRAvvi_2D
-    75U,	// SSRAvvi_2S
-    75U,	// SSRAvvi_4H
-    74U,	// SSRAvvi_4S
-    75U,	// SSRAvvi_8B
-    74U,	// SSRAvvi_8H
-    1554U,	// SSUBL2vvv_2d4s
-    530U,	// SSUBL2vvv_4s8h
-    2067U,	// SSUBL2vvv_8h16b
-    2579U,	// SSUBLvvv_2d2s
-    3091U,	// SSUBLvvv_4s4h
-    3603U,	// SSUBLvvv_8h8b
-    1554U,	// SSUBW2vvv_2d4s
-    530U,	// SSUBW2vvv_4s8h
-    2066U,	// SSUBW2vvv_8h16b
-    2578U,	// SSUBWvvv_2d2s
-    3090U,	// SSUBWvvv_4s4h
-    3602U,	// SSUBWvvv_8h8b
-    0U,	// ST1LN_B
-    0U,	// ST1LN_D
-    0U,	// ST1LN_H
-    0U,	// ST1LN_S
-    0U,	// ST1LN_WB_B_fixed
-    0U,	// ST1LN_WB_B_register
-    0U,	// ST1LN_WB_D_fixed
-    0U,	// ST1LN_WB_D_register
-    0U,	// ST1LN_WB_H_fixed
-    0U,	// ST1LN_WB_H_register
-    0U,	// ST1LN_WB_S_fixed
-    0U,	// ST1LN_WB_S_register
-    0U,	// ST1WB_16B_fixed
-    0U,	// ST1WB_16B_register
-    0U,	// ST1WB_1D_fixed
-    0U,	// ST1WB_1D_register
-    0U,	// ST1WB_2D_fixed
-    0U,	// ST1WB_2D_register
-    0U,	// ST1WB_2S_fixed
-    0U,	// ST1WB_2S_register
-    0U,	// ST1WB_4H_fixed
-    0U,	// ST1WB_4H_register
-    0U,	// ST1WB_4S_fixed
-    0U,	// ST1WB_4S_register
-    0U,	// ST1WB_8B_fixed
-    0U,	// ST1WB_8B_register
-    0U,	// ST1WB_8H_fixed
-    0U,	// ST1WB_8H_register
-    0U,	// ST1_16B
-    0U,	// ST1_1D
-    0U,	// ST1_2D
-    0U,	// ST1_2S
-    0U,	// ST1_4H
-    0U,	// ST1_4S
-    0U,	// ST1_8B
-    0U,	// ST1_8H
-    0U,	// ST1x2WB_16B_fixed
-    0U,	// ST1x2WB_16B_register
-    0U,	// ST1x2WB_1D_fixed
-    0U,	// ST1x2WB_1D_register
-    0U,	// ST1x2WB_2D_fixed
-    0U,	// ST1x2WB_2D_register
-    0U,	// ST1x2WB_2S_fixed
-    0U,	// ST1x2WB_2S_register
-    0U,	// ST1x2WB_4H_fixed
-    0U,	// ST1x2WB_4H_register
-    0U,	// ST1x2WB_4S_fixed
-    0U,	// ST1x2WB_4S_register
-    0U,	// ST1x2WB_8B_fixed
-    0U,	// ST1x2WB_8B_register
-    0U,	// ST1x2WB_8H_fixed
-    0U,	// ST1x2WB_8H_register
-    0U,	// ST1x2_16B
-    0U,	// ST1x2_1D
-    0U,	// ST1x2_2D
-    0U,	// ST1x2_2S
-    0U,	// ST1x2_4H
-    0U,	// ST1x2_4S
-    0U,	// ST1x2_8B
-    0U,	// ST1x2_8H
-    0U,	// ST1x3WB_16B_fixed
-    0U,	// ST1x3WB_16B_register
-    0U,	// ST1x3WB_1D_fixed
-    0U,	// ST1x3WB_1D_register
-    0U,	// ST1x3WB_2D_fixed
-    0U,	// ST1x3WB_2D_register
-    0U,	// ST1x3WB_2S_fixed
-    0U,	// ST1x3WB_2S_register
-    0U,	// ST1x3WB_4H_fixed
-    0U,	// ST1x3WB_4H_register
-    0U,	// ST1x3WB_4S_fixed
-    0U,	// ST1x3WB_4S_register
-    0U,	// ST1x3WB_8B_fixed
-    0U,	// ST1x3WB_8B_register
-    0U,	// ST1x3WB_8H_fixed
-    0U,	// ST1x3WB_8H_register
-    0U,	// ST1x3_16B
-    0U,	// ST1x3_1D
-    0U,	// ST1x3_2D
-    0U,	// ST1x3_2S
-    0U,	// ST1x3_4H
-    0U,	// ST1x3_4S
-    0U,	// ST1x3_8B
-    0U,	// ST1x3_8H
-    0U,	// ST1x4WB_16B_fixed
-    0U,	// ST1x4WB_16B_register
-    0U,	// ST1x4WB_1D_fixed
-    0U,	// ST1x4WB_1D_register
-    0U,	// ST1x4WB_2D_fixed
-    0U,	// ST1x4WB_2D_register
-    0U,	// ST1x4WB_2S_fixed
-    0U,	// ST1x4WB_2S_register
-    0U,	// ST1x4WB_4H_fixed
-    0U,	// ST1x4WB_4H_register
-    0U,	// ST1x4WB_4S_fixed
-    0U,	// ST1x4WB_4S_register
-    0U,	// ST1x4WB_8B_fixed
-    0U,	// ST1x4WB_8B_register
-    0U,	// ST1x4WB_8H_fixed
-    0U,	// ST1x4WB_8H_register
-    0U,	// ST1x4_16B
-    0U,	// ST1x4_1D
-    0U,	// ST1x4_2D
-    0U,	// ST1x4_2S
-    0U,	// ST1x4_4H
-    0U,	// ST1x4_4S
-    0U,	// ST1x4_8B
-    0U,	// ST1x4_8H
-    0U,	// ST2LN_B
-    0U,	// ST2LN_D
-    0U,	// ST2LN_H
-    0U,	// ST2LN_S
-    0U,	// ST2LN_WB_B_fixed
-    0U,	// ST2LN_WB_B_register
-    0U,	// ST2LN_WB_D_fixed
-    0U,	// ST2LN_WB_D_register
-    0U,	// ST2LN_WB_H_fixed
-    0U,	// ST2LN_WB_H_register
-    0U,	// ST2LN_WB_S_fixed
-    0U,	// ST2LN_WB_S_register
-    0U,	// ST2WB_16B_fixed
-    0U,	// ST2WB_16B_register
-    0U,	// ST2WB_2D_fixed
-    0U,	// ST2WB_2D_register
-    0U,	// ST2WB_2S_fixed
-    0U,	// ST2WB_2S_register
-    0U,	// ST2WB_4H_fixed
-    0U,	// ST2WB_4H_register
-    0U,	// ST2WB_4S_fixed
-    0U,	// ST2WB_4S_register
-    0U,	// ST2WB_8B_fixed
-    0U,	// ST2WB_8B_register
-    0U,	// ST2WB_8H_fixed
-    0U,	// ST2WB_8H_register
-    0U,	// ST2_16B
-    0U,	// ST2_2D
-    0U,	// ST2_2S
-    0U,	// ST2_4H
-    0U,	// ST2_4S
-    0U,	// ST2_8B
-    0U,	// ST2_8H
-    0U,	// ST3LN_B
-    0U,	// ST3LN_D
-    0U,	// ST3LN_H
-    0U,	// ST3LN_S
-    0U,	// ST3LN_WB_B_fixed
-    0U,	// ST3LN_WB_B_register
-    0U,	// ST3LN_WB_D_fixed
-    0U,	// ST3LN_WB_D_register
-    0U,	// ST3LN_WB_H_fixed
-    0U,	// ST3LN_WB_H_register
-    0U,	// ST3LN_WB_S_fixed
-    0U,	// ST3LN_WB_S_register
-    0U,	// ST3WB_16B_fixed
-    0U,	// ST3WB_16B_register
-    0U,	// ST3WB_2D_fixed
-    0U,	// ST3WB_2D_register
-    0U,	// ST3WB_2S_fixed
-    0U,	// ST3WB_2S_register
-    0U,	// ST3WB_4H_fixed
-    0U,	// ST3WB_4H_register
-    0U,	// ST3WB_4S_fixed
-    0U,	// ST3WB_4S_register
-    0U,	// ST3WB_8B_fixed
-    0U,	// ST3WB_8B_register
-    0U,	// ST3WB_8H_fixed
-    0U,	// ST3WB_8H_register
-    0U,	// ST3_16B
-    0U,	// ST3_2D
-    0U,	// ST3_2S
-    0U,	// ST3_4H
-    0U,	// ST3_4S
-    0U,	// ST3_8B
-    0U,	// ST3_8H
-    0U,	// ST4LN_B
-    0U,	// ST4LN_D
-    0U,	// ST4LN_H
-    0U,	// ST4LN_S
-    0U,	// ST4LN_WB_B_fixed
-    0U,	// ST4LN_WB_B_register
-    0U,	// ST4LN_WB_D_fixed
-    0U,	// ST4LN_WB_D_register
-    0U,	// ST4LN_WB_H_fixed
-    0U,	// ST4LN_WB_H_register
-    0U,	// ST4LN_WB_S_fixed
-    0U,	// ST4LN_WB_S_register
-    0U,	// ST4WB_16B_fixed
-    0U,	// ST4WB_16B_register
-    0U,	// ST4WB_2D_fixed
-    0U,	// ST4WB_2D_register
-    0U,	// ST4WB_2S_fixed
-    0U,	// ST4WB_2S_register
-    0U,	// ST4WB_4H_fixed
-    0U,	// ST4WB_4H_register
-    0U,	// ST4WB_4S_fixed
-    0U,	// ST4WB_4S_register
-    0U,	// ST4WB_8B_fixed
-    0U,	// ST4WB_8B_register
-    0U,	// ST4WB_8H_fixed
-    0U,	// ST4WB_8H_register
-    0U,	// ST4_16B
-    0U,	// ST4_2D
-    0U,	// ST4_2S
-    0U,	// ST4_4H
-    0U,	// ST4_4S
-    0U,	// ST4_8B
-    0U,	// ST4_8H
-    6U,	// STLR_byte
-    6U,	// STLR_dword
-    6U,	// STLR_hword
-    6U,	// STLR_word
-    8194U,	// STLXP_dword
-    8194U,	// STLXP_word
-    6662U,	// STLXR_byte
-    6662U,	// STLXR_dword
-    6662U,	// STLXR_hword
-    6662U,	// STLXR_word
-    8194U,	// STXP_dword
-    8194U,	// STXP_word
-    6662U,	// STXR_byte
-    6662U,	// STXR_dword
-    6662U,	// STXR_hword
-    6662U,	// STXR_word
-    522U,	// SUBHN2vvv_16b8h
-    1034U,	// SUBHN2vvv_4s2d
-    1546U,	// SUBHN2vvv_8h4s
-    1042U,	// SUBHNvvv_2s2d
-    1554U,	// SUBHNvvv_4h4s
-    530U,	// SUBHNvvv_8b8h
-    4098U,	// SUBSwww_asr
-    20482U,	// SUBSwww_lsl
-    36866U,	// SUBSwww_lsr
-    53250U,	// SUBSwww_sxtb
-    69634U,	// SUBSwww_sxth
-    86018U,	// SUBSwww_sxtw
-    102402U,	// SUBSwww_sxtx
-    118786U,	// SUBSwww_uxtb
-    135170U,	// SUBSwww_uxth
-    151554U,	// SUBSwww_uxtw
-    167938U,	// SUBSwww_uxtx
-    53250U,	// SUBSxxw_sxtb
-    69634U,	// SUBSxxw_sxth
-    86018U,	// SUBSxxw_sxtw
-    118786U,	// SUBSxxw_uxtb
-    135170U,	// SUBSxxw_uxth
-    151554U,	// SUBSxxw_uxtw
-    4098U,	// SUBSxxx_asr
-    20482U,	// SUBSxxx_lsl
-    36866U,	// SUBSxxx_lsr
-    102402U,	// SUBSxxx_sxtx
-    167938U,	// SUBSxxx_uxtx
-    2U,	// SUBddd
-    2067U,	// SUBvvv_16B
-    1042U,	// SUBvvv_2D
-    2579U,	// SUBvvv_2S
-    3091U,	// SUBvvv_4H
-    1554U,	// SUBvvv_4S
-    3603U,	// SUBvvv_8B
-    530U,	// SUBvvv_8H
-    26U,	// SUBwwi_lsl0_S
-    0U,	// SUBwwi_lsl0_cmp
-    26U,	// SUBwwi_lsl0_s
-    34U,	// SUBwwi_lsl12_S
-    0U,	// SUBwwi_lsl12_cmp
-    34U,	// SUBwwi_lsl12_s
-    4098U,	// SUBwww_asr
-    20482U,	// SUBwww_lsl
-    36866U,	// SUBwww_lsr
-    53250U,	// SUBwww_sxtb
-    69634U,	// SUBwww_sxth
-    86018U,	// SUBwww_sxtw
-    102402U,	// SUBwww_sxtx
-    118786U,	// SUBwww_uxtb
-    135170U,	// SUBwww_uxth
-    151554U,	// SUBwww_uxtw
-    167938U,	// SUBwww_uxtx
-    26U,	// SUBxxi_lsl0_S
-    0U,	// SUBxxi_lsl0_cmp
-    26U,	// SUBxxi_lsl0_s
-    34U,	// SUBxxi_lsl12_S
-    0U,	// SUBxxi_lsl12_cmp
-    34U,	// SUBxxi_lsl12_s
-    53250U,	// SUBxxw_sxtb
-    69634U,	// SUBxxw_sxth
-    86018U,	// SUBxxw_sxtw
-    118786U,	// SUBxxw_uxtb
-    135170U,	// SUBxxw_uxth
-    151554U,	// SUBxxw_uxtw
-    4098U,	// SUBxxx_asr
-    20482U,	// SUBxxx_lsl
-    36866U,	// SUBxxx_lsr
-    102402U,	// SUBxxx_sxtx
-    167938U,	// SUBxxx_uxtx
-    0U,	// SUQADD16b
-    0U,	// SUQADD2d
-    0U,	// SUQADD2s
-    0U,	// SUQADD4h
-    1U,	// SUQADD4s
-    1U,	// SUQADD8b
-    1U,	// SUQADD8h
-    1U,	// SUQADDbb
-    1U,	// SUQADDdd
-    1U,	// SUQADDhh
-    1U,	// SUQADDss
-    0U,	// SVCi
-    1U,	// SXTBww
-    1U,	// SXTBxw
-    1U,	// SXTHww
-    1U,	// SXTHxw
-    1U,	// SXTWxw
-    298U,	// SYSLxicci
-    0U,	// SYSiccix
-    0U,	// TAIL_BRx
-    0U,	// TAIL_Bimm
-    0U,	// TBL1_16b
-    1U,	// TBL1_8b
-    0U,	// TBL2_16b
-    1U,	// TBL2_8b
-    0U,	// TBL3_16b
-    1U,	// TBL3_8b
-    0U,	// TBL4_16b
-    1U,	// TBL4_8b
-    306U,	// TBNZwii
-    306U,	// TBNZxii
-    0U,	// TBX1_16b
-    1U,	// TBX1_8b
-    0U,	// TBX2_16b
-    1U,	// TBX2_8b
-    0U,	// TBX3_16b
-    1U,	// TBX3_8b
-    0U,	// TBX4_16b
-    1U,	// TBX4_8b
-    306U,	// TBZwii
-    306U,	// TBZxii
-    0U,	// TC_RETURNdi
-    0U,	// TC_RETURNxi
-    0U,	// TLBIi
-    1U,	// TLBIix
+    0U,	// RET_ReallyLR
+    0U,	// REV16Wr
+    0U,	// REV16Xr
+    0U,	// REV16v16i8
+    0U,	// REV16v8i8
+    0U,	// REV32Xr
+    0U,	// REV32v16i8
+    0U,	// REV32v4i16
+    0U,	// REV32v8i16
+    0U,	// REV32v8i8
+    0U,	// REV64v16i8
+    0U,	// REV64v2i32
+    0U,	// REV64v4i16
+    0U,	// REV64v4i32
+    0U,	// REV64v8i16
+    0U,	// REV64v8i8
+    0U,	// REVWr
+    0U,	// REVXr
+    1U,	// RORVWr
+    1U,	// RORVXr
+    65U,	// RSHRNv16i8_shift
+    1U,	// RSHRNv2i32_shift
+    1U,	// RSHRNv4i16_shift
+    65U,	// RSHRNv4i32_shift
+    65U,	// RSHRNv8i16_shift
+    1U,	// RSHRNv8i8_shift
+    265U,	// RSUBHNv2i64_v2i32
+    273U,	// RSUBHNv2i64_v4i32
+    521U,	// RSUBHNv4i32_v4i16
+    529U,	// RSUBHNv4i32_v8i16
+    785U,	// RSUBHNv8i16_v16i8
+    777U,	// RSUBHNv8i16_v8i8
+    1041U,	// SABALv16i8_v8i16
+    1297U,	// SABALv2i32_v2i64
+    1553U,	// SABALv4i16_v4i32
+    529U,	// SABALv4i32_v2i64
+    785U,	// SABALv8i16_v4i32
+    1809U,	// SABALv8i8_v8i16
+    1041U,	// SABAv16i8
+    1297U,	// SABAv2i32
+    1553U,	// SABAv4i16
+    529U,	// SABAv4i32
+    785U,	// SABAv8i16
+    1809U,	// SABAv8i8
+    1033U,	// SABDLv16i8_v8i16
+    1289U,	// SABDLv2i32_v2i64
+    1545U,	// SABDLv4i16_v4i32
+    521U,	// SABDLv4i32_v2i64
+    777U,	// SABDLv8i16_v4i32
+    1801U,	// SABDLv8i8_v8i16
+    1033U,	// SABDv16i8
+    1289U,	// SABDv2i32
+    1545U,	// SABDv4i16
+    521U,	// SABDv4i32
+    777U,	// SABDv8i16
+    1801U,	// SABDv8i8
+    0U,	// SADALPv16i8_v8i16
+    0U,	// SADALPv2i32_v1i64
+    0U,	// SADALPv4i16_v2i32
+    0U,	// SADALPv4i32_v2i64
+    0U,	// SADALPv8i16_v4i32
+    0U,	// SADALPv8i8_v4i16
+    0U,	// SADDLPv16i8_v8i16
+    0U,	// SADDLPv2i32_v1i64
+    0U,	// SADDLPv4i16_v2i32
+    0U,	// SADDLPv4i32_v2i64
+    0U,	// SADDLPv8i16_v4i32
+    0U,	// SADDLPv8i8_v4i16
+    0U,	// SADDLVv16i8v
+    0U,	// SADDLVv4i16v
+    0U,	// SADDLVv4i32v
+    0U,	// SADDLVv8i16v
+    0U,	// SADDLVv8i8v
+    1033U,	// SADDLv16i8_v8i16
+    1289U,	// SADDLv2i32_v2i64
+    1545U,	// SADDLv4i16_v4i32
+    521U,	// SADDLv4i32_v2i64
+    777U,	// SADDLv8i16_v4i32
+    1801U,	// SADDLv8i8_v8i16
+    1033U,	// SADDWv16i8_v8i16
+    1289U,	// SADDWv2i32_v2i64
+    1545U,	// SADDWv4i16_v4i32
+    521U,	// SADDWv4i32_v2i64
+    777U,	// SADDWv8i16_v4i32
+    1801U,	// SADDWv8i8_v8i16
+    1U,	// SBCSWr
+    1U,	// SBCSXr
+    1U,	// SBCWr
+    1U,	// SBCXr
+    18689U,	// SBFMWri
+    18689U,	// SBFMXri
+    1U,	// SCVTFSWDri
+    1U,	// SCVTFSWSri
+    1U,	// SCVTFSXDri
+    1U,	// SCVTFSXSri
+    0U,	// SCVTFUWDri
+    0U,	// SCVTFUWSri
+    0U,	// SCVTFUXDri
+    0U,	// SCVTFUXSri
+    1U,	// SCVTFd
+    1U,	// SCVTFs
+    0U,	// SCVTFv1i32
+    0U,	// SCVTFv1i64
+    0U,	// SCVTFv2f32
+    0U,	// SCVTFv2f64
+    1U,	// SCVTFv2i32_shift
+    1U,	// SCVTFv2i64_shift
+    0U,	// SCVTFv4f32
+    1U,	// SCVTFv4i32_shift
+    1U,	// SDIVWr
+    1U,	// SDIVXr
+    1U,	// SDIV_IntWr
+    1U,	// SDIV_IntXr
+    529U,	// SHA1Crrr
+    0U,	// SHA1Hrr
+    529U,	// SHA1Mrrr
+    529U,	// SHA1Prrr
+    529U,	// SHA1SU0rrr
+    0U,	// SHA1SU1rr
+    529U,	// SHA256H2rrr
+    529U,	// SHA256Hrrr
+    0U,	// SHA256SU0rr
+    529U,	// SHA256SU1rrr
+    1033U,	// SHADDv16i8
+    1289U,	// SHADDv2i32
+    1545U,	// SHADDv4i16
+    521U,	// SHADDv4i32
+    777U,	// SHADDv8i16
+    1801U,	// SHADDv8i8
+    4U,	// SHLLv16i8
+    4U,	// SHLLv2i32
+    4U,	// SHLLv4i16
+    4U,	// SHLLv4i32
+    5U,	// SHLLv8i16
+    5U,	// SHLLv8i8
+    1U,	// SHLd
+    1U,	// SHLv16i8_shift
+    1U,	// SHLv2i32_shift
+    1U,	// SHLv2i64_shift
+    1U,	// SHLv4i16_shift
+    1U,	// SHLv4i32_shift
+    1U,	// SHLv8i16_shift
+    1U,	// SHLv8i8_shift
+    65U,	// SHRNv16i8_shift
+    1U,	// SHRNv2i32_shift
+    1U,	// SHRNv4i16_shift
+    65U,	// SHRNv4i32_shift
+    65U,	// SHRNv8i16_shift
+    1U,	// SHRNv8i8_shift
+    1033U,	// SHSUBv16i8
+    1289U,	// SHSUBv2i32
+    1545U,	// SHSUBv4i16
+    521U,	// SHSUBv4i32
+    777U,	// SHSUBv8i16
+    1801U,	// SHSUBv8i8
+    65U,	// SLId
+    65U,	// SLIv16i8_shift
+    65U,	// SLIv2i32_shift
+    65U,	// SLIv2i64_shift
+    65U,	// SLIv4i16_shift
+    65U,	// SLIv4i32_shift
+    65U,	// SLIv8i16_shift
+    65U,	// SLIv8i8_shift
+    18689U,	// SMADDLrrr
+    1033U,	// SMAXPv16i8
+    1289U,	// SMAXPv2i32
+    1545U,	// SMAXPv4i16
+    521U,	// SMAXPv4i32
+    777U,	// SMAXPv8i16
+    1801U,	// SMAXPv8i8
+    0U,	// SMAXVv16i8v
+    0U,	// SMAXVv4i16v
+    0U,	// SMAXVv4i32v
+    0U,	// SMAXVv8i16v
+    0U,	// SMAXVv8i8v
+    1033U,	// SMAXv16i8
+    1289U,	// SMAXv2i32
+    1545U,	// SMAXv4i16
+    521U,	// SMAXv4i32
+    777U,	// SMAXv8i16
+    1801U,	// SMAXv8i8
+    0U,	// SMC
+    1033U,	// SMINPv16i8
+    1289U,	// SMINPv2i32
+    1545U,	// SMINPv4i16
+    521U,	// SMINPv4i32
+    777U,	// SMINPv8i16
+    1801U,	// SMINPv8i8
+    0U,	// SMINVv16i8v
+    0U,	// SMINVv4i16v
+    0U,	// SMINVv4i32v
+    0U,	// SMINVv8i16v
+    0U,	// SMINVv8i8v
+    1033U,	// SMINv16i8
+    1289U,	// SMINv2i32
+    1545U,	// SMINv4i16
+    521U,	// SMINv4i32
+    777U,	// SMINv8i16
+    1801U,	// SMINv8i8
+    1041U,	// SMLALv16i8_v8i16
+    27665U,	// SMLALv2i32_indexed
+    1297U,	// SMLALv2i32_v2i64
+    28945U,	// SMLALv4i16_indexed
+    1553U,	// SMLALv4i16_v4i32
+    27665U,	// SMLALv4i32_indexed
+    529U,	// SMLALv4i32_v2i64
+    28945U,	// SMLALv8i16_indexed
+    785U,	// SMLALv8i16_v4i32
+    1809U,	// SMLALv8i8_v8i16
+    1041U,	// SMLSLv16i8_v8i16
+    27665U,	// SMLSLv2i32_indexed
+    1297U,	// SMLSLv2i32_v2i64
+    28945U,	// SMLSLv4i16_indexed
+    1553U,	// SMLSLv4i16_v4i32
+    27665U,	// SMLSLv4i32_indexed
+    529U,	// SMLSLv4i32_v2i64
+    28945U,	// SMLSLv8i16_indexed
+    785U,	// SMLSLv8i16_v4i32
+    1809U,	// SMLSLv8i8_v8i16
+    75U,	// SMOVvi16to32
+    75U,	// SMOVvi16to64
+    75U,	// SMOVvi32to64
+    75U,	// SMOVvi8to32
+    75U,	// SMOVvi8to64
+    18689U,	// SMSUBLrrr
+    1U,	// SMULHrr
+    1033U,	// SMULLv16i8_v8i16
+    35849U,	// SMULLv2i32_indexed
+    1289U,	// SMULLv2i32_v2i64
+    37129U,	// SMULLv4i16_indexed
+    1545U,	// SMULLv4i16_v4i32
+    35849U,	// SMULLv4i32_indexed
+    521U,	// SMULLv4i32_v2i64
+    37129U,	// SMULLv8i16_indexed
+    777U,	// SMULLv8i16_v4i32
+    1801U,	// SMULLv8i8_v8i16
+    0U,	// SQABSv16i8
+    0U,	// SQABSv1i16
+    0U,	// SQABSv1i32
+    0U,	// SQABSv1i64
+    0U,	// SQABSv1i8
+    0U,	// SQABSv2i32
+    0U,	// SQABSv2i64
+    0U,	// SQABSv4i16
+    0U,	// SQABSv4i32
+    0U,	// SQABSv8i16
+    0U,	// SQABSv8i8
+    1033U,	// SQADDv16i8
+    1U,	// SQADDv1i16
+    1U,	// SQADDv1i32
+    1U,	// SQADDv1i64
+    1U,	// SQADDv1i8
+    1289U,	// SQADDv2i32
+    265U,	// SQADDv2i64
+    1545U,	// SQADDv4i16
+    521U,	// SQADDv4i32
+    777U,	// SQADDv8i16
+    1801U,	// SQADDv8i8
+    65U,	// SQDMLALi16
+    65U,	// SQDMLALi32
+    28945U,	// SQDMLALv1i32_indexed
+    27665U,	// SQDMLALv1i64_indexed
+    27665U,	// SQDMLALv2i32_indexed
+    1297U,	// SQDMLALv2i32_v2i64
+    28945U,	// SQDMLALv4i16_indexed
+    1553U,	// SQDMLALv4i16_v4i32
+    27665U,	// SQDMLALv4i32_indexed
+    529U,	// SQDMLALv4i32_v2i64
+    28945U,	// SQDMLALv8i16_indexed
+    785U,	// SQDMLALv8i16_v4i32
+    65U,	// SQDMLSLi16
+    65U,	// SQDMLSLi32
+    28945U,	// SQDMLSLv1i32_indexed
+    27665U,	// SQDMLSLv1i64_indexed
+    27665U,	// SQDMLSLv2i32_indexed
+    1297U,	// SQDMLSLv2i32_v2i64
+    28945U,	// SQDMLSLv4i16_indexed
+    1553U,	// SQDMLSLv4i16_v4i32
+    27665U,	// SQDMLSLv4i32_indexed
+    529U,	// SQDMLSLv4i32_v2i64
+    28945U,	// SQDMLSLv8i16_indexed
+    785U,	// SQDMLSLv8i16_v4i32
+    1U,	// SQDMULHv1i16
+    37129U,	// SQDMULHv1i16_indexed
+    1U,	// SQDMULHv1i32
+    35849U,	// SQDMULHv1i32_indexed
+    1289U,	// SQDMULHv2i32
+    35849U,	// SQDMULHv2i32_indexed
+    1545U,	// SQDMULHv4i16
+    37129U,	// SQDMULHv4i16_indexed
+    521U,	// SQDMULHv4i32
+    35849U,	// SQDMULHv4i32_indexed
+    777U,	// SQDMULHv8i16
+    37129U,	// SQDMULHv8i16_indexed
+    1U,	// SQDMULLi16
+    1U,	// SQDMULLi32
+    37129U,	// SQDMULLv1i32_indexed
+    35849U,	// SQDMULLv1i64_indexed
+    35849U,	// SQDMULLv2i32_indexed
+    1289U,	// SQDMULLv2i32_v2i64
+    37129U,	// SQDMULLv4i16_indexed
+    1545U,	// SQDMULLv4i16_v4i32
+    35849U,	// SQDMULLv4i32_indexed
+    521U,	// SQDMULLv4i32_v2i64
+    37129U,	// SQDMULLv8i16_indexed
+    777U,	// SQDMULLv8i16_v4i32
+    0U,	// SQNEGv16i8
+    0U,	// SQNEGv1i16
+    0U,	// SQNEGv1i32
+    0U,	// SQNEGv1i64
+    0U,	// SQNEGv1i8
+    0U,	// SQNEGv2i32
+    0U,	// SQNEGv2i64
+    0U,	// SQNEGv4i16
+    0U,	// SQNEGv4i32
+    0U,	// SQNEGv8i16
+    0U,	// SQNEGv8i8
+    1U,	// SQRDMULHv1i16
+    37129U,	// SQRDMULHv1i16_indexed
+    1U,	// SQRDMULHv1i32
+    35849U,	// SQRDMULHv1i32_indexed
+    1289U,	// SQRDMULHv2i32
+    35849U,	// SQRDMULHv2i32_indexed
+    1545U,	// SQRDMULHv4i16
+    37129U,	// SQRDMULHv4i16_indexed
+    521U,	// SQRDMULHv4i32
+    35849U,	// SQRDMULHv4i32_indexed
+    777U,	// SQRDMULHv8i16
+    37129U,	// SQRDMULHv8i16_indexed
+    1033U,	// SQRSHLv16i8
+    1U,	// SQRSHLv1i16
+    1U,	// SQRSHLv1i32
+    1U,	// SQRSHLv1i64
+    1U,	// SQRSHLv1i8
+    1289U,	// SQRSHLv2i32
+    265U,	// SQRSHLv2i64
+    1545U,	// SQRSHLv4i16
+    521U,	// SQRSHLv4i32
+    777U,	// SQRSHLv8i16
+    1801U,	// SQRSHLv8i8
+    1U,	// SQRSHRNb
+    1U,	// SQRSHRNh
+    1U,	// SQRSHRNs
+    65U,	// SQRSHRNv16i8_shift
+    1U,	// SQRSHRNv2i32_shift
+    1U,	// SQRSHRNv4i16_shift
+    65U,	// SQRSHRNv4i32_shift
+    65U,	// SQRSHRNv8i16_shift
+    1U,	// SQRSHRNv8i8_shift
+    1U,	// SQRSHRUNb
+    1U,	// SQRSHRUNh
+    1U,	// SQRSHRUNs
+    65U,	// SQRSHRUNv16i8_shift
+    1U,	// SQRSHRUNv2i32_shift
+    1U,	// SQRSHRUNv4i16_shift
+    65U,	// SQRSHRUNv4i32_shift
+    65U,	// SQRSHRUNv8i16_shift
+    1U,	// SQRSHRUNv8i8_shift
+    1U,	// SQSHLUb
+    1U,	// SQSHLUd
+    1U,	// SQSHLUh
+    1U,	// SQSHLUs
+    1U,	// SQSHLUv16i8_shift
+    1U,	// SQSHLUv2i32_shift
+    1U,	// SQSHLUv2i64_shift
+    1U,	// SQSHLUv4i16_shift
+    1U,	// SQSHLUv4i32_shift
+    1U,	// SQSHLUv8i16_shift
+    1U,	// SQSHLUv8i8_shift
+    1U,	// SQSHLb
+    1U,	// SQSHLd
+    1U,	// SQSHLh
+    1U,	// SQSHLs
+    1033U,	// SQSHLv16i8
+    1U,	// SQSHLv16i8_shift
+    1U,	// SQSHLv1i16
+    1U,	// SQSHLv1i32
+    1U,	// SQSHLv1i64
+    1U,	// SQSHLv1i8
+    1289U,	// SQSHLv2i32
+    1U,	// SQSHLv2i32_shift
+    265U,	// SQSHLv2i64
+    1U,	// SQSHLv2i64_shift
+    1545U,	// SQSHLv4i16
+    1U,	// SQSHLv4i16_shift
+    521U,	// SQSHLv4i32
+    1U,	// SQSHLv4i32_shift
+    777U,	// SQSHLv8i16
+    1U,	// SQSHLv8i16_shift
+    1801U,	// SQSHLv8i8
+    1U,	// SQSHLv8i8_shift
+    1U,	// SQSHRNb
+    1U,	// SQSHRNh
+    1U,	// SQSHRNs
+    65U,	// SQSHRNv16i8_shift
+    1U,	// SQSHRNv2i32_shift
+    1U,	// SQSHRNv4i16_shift
+    65U,	// SQSHRNv4i32_shift
+    65U,	// SQSHRNv8i16_shift
+    1U,	// SQSHRNv8i8_shift
+    1U,	// SQSHRUNb
+    1U,	// SQSHRUNh
+    1U,	// SQSHRUNs
+    65U,	// SQSHRUNv16i8_shift
+    1U,	// SQSHRUNv2i32_shift
+    1U,	// SQSHRUNv4i16_shift
+    65U,	// SQSHRUNv4i32_shift
+    65U,	// SQSHRUNv8i16_shift
+    1U,	// SQSHRUNv8i8_shift
+    1033U,	// SQSUBv16i8
+    1U,	// SQSUBv1i16
+    1U,	// SQSUBv1i32
+    1U,	// SQSUBv1i64
+    1U,	// SQSUBv1i8
+    1289U,	// SQSUBv2i32
+    265U,	// SQSUBv2i64
+    1545U,	// SQSUBv4i16
+    521U,	// SQSUBv4i32
+    777U,	// SQSUBv8i16
+    1801U,	// SQSUBv8i8
+    0U,	// SQXTNv16i8
+    0U,	// SQXTNv1i16
+    0U,	// SQXTNv1i32
+    0U,	// SQXTNv1i8
+    0U,	// SQXTNv2i32
+    0U,	// SQXTNv4i16
+    0U,	// SQXTNv4i32
+    0U,	// SQXTNv8i16
+    0U,	// SQXTNv8i8
+    0U,	// SQXTUNv16i8
+    0U,	// SQXTUNv1i16
+    0U,	// SQXTUNv1i32
+    0U,	// SQXTUNv1i8
+    0U,	// SQXTUNv2i32
+    0U,	// SQXTUNv4i16
+    0U,	// SQXTUNv4i32
+    0U,	// SQXTUNv8i16
+    0U,	// SQXTUNv8i8
+    1033U,	// SRHADDv16i8
+    1289U,	// SRHADDv2i32
+    1545U,	// SRHADDv4i16
+    521U,	// SRHADDv4i32
+    777U,	// SRHADDv8i16
+    1801U,	// SRHADDv8i8
+    65U,	// SRId
+    65U,	// SRIv16i8_shift
+    65U,	// SRIv2i32_shift
+    65U,	// SRIv2i64_shift
+    65U,	// SRIv4i16_shift
+    65U,	// SRIv4i32_shift
+    65U,	// SRIv8i16_shift
+    65U,	// SRIv8i8_shift
+    1033U,	// SRSHLv16i8
+    1U,	// SRSHLv1i64
+    1289U,	// SRSHLv2i32
+    265U,	// SRSHLv2i64
+    1545U,	// SRSHLv4i16
+    521U,	// SRSHLv4i32
+    777U,	// SRSHLv8i16
+    1801U,	// SRSHLv8i8
+    1U,	// SRSHRd
+    1U,	// SRSHRv16i8_shift
+    1U,	// SRSHRv2i32_shift
+    1U,	// SRSHRv2i64_shift
+    1U,	// SRSHRv4i16_shift
+    1U,	// SRSHRv4i32_shift
+    1U,	// SRSHRv8i16_shift
+    1U,	// SRSHRv8i8_shift
+    65U,	// SRSRAd
+    65U,	// SRSRAv16i8_shift
+    65U,	// SRSRAv2i32_shift
+    65U,	// SRSRAv2i64_shift
+    65U,	// SRSRAv4i16_shift
+    65U,	// SRSRAv4i32_shift
+    65U,	// SRSRAv8i16_shift
+    65U,	// SRSRAv8i8_shift
+    1U,	// SSHLLv16i8_shift
+    1U,	// SSHLLv2i32_shift
+    1U,	// SSHLLv4i16_shift
+    1U,	// SSHLLv4i32_shift
+    1U,	// SSHLLv8i16_shift
+    1U,	// SSHLLv8i8_shift
+    1033U,	// SSHLv16i8
+    1U,	// SSHLv1i64
+    1289U,	// SSHLv2i32
+    265U,	// SSHLv2i64
+    1545U,	// SSHLv4i16
+    521U,	// SSHLv4i32
+    777U,	// SSHLv8i16
+    1801U,	// SSHLv8i8
+    1U,	// SSHRd
+    1U,	// SSHRv16i8_shift
+    1U,	// SSHRv2i32_shift
+    1U,	// SSHRv2i64_shift
+    1U,	// SSHRv4i16_shift
+    1U,	// SSHRv4i32_shift
+    1U,	// SSHRv8i16_shift
+    1U,	// SSHRv8i8_shift
+    65U,	// SSRAd
+    65U,	// SSRAv16i8_shift
+    65U,	// SSRAv2i32_shift
+    65U,	// SSRAv2i64_shift
+    65U,	// SSRAv4i16_shift
+    65U,	// SSRAv4i32_shift
+    65U,	// SSRAv8i16_shift
+    65U,	// SSRAv8i8_shift
+    1033U,	// SSUBLv16i8_v8i16
+    1289U,	// SSUBLv2i32_v2i64
+    1545U,	// SSUBLv4i16_v4i32
+    521U,	// SSUBLv4i32_v2i64
+    777U,	// SSUBLv8i16_v4i32
+    1801U,	// SSUBLv8i8_v8i16
+    1033U,	// SSUBWv16i8_v8i16
+    1289U,	// SSUBWv2i32_v2i64
+    1545U,	// SSUBWv4i16_v4i32
+    521U,	// SSUBWv4i32_v2i64
+    777U,	// SSUBWv8i16_v4i32
+    1801U,	// SSUBWv8i8_v8i16
+    0U,	// ST1Fourv16b
+    0U,	// ST1Fourv16b_POST
+    0U,	// ST1Fourv1d
+    0U,	// ST1Fourv1d_POST
+    0U,	// ST1Fourv2d
+    0U,	// ST1Fourv2d_POST
+    0U,	// ST1Fourv2s
+    0U,	// ST1Fourv2s_POST
+    0U,	// ST1Fourv4h
+    0U,	// ST1Fourv4h_POST
+    0U,	// ST1Fourv4s
+    0U,	// ST1Fourv4s_POST
+    0U,	// ST1Fourv8b
+    0U,	// ST1Fourv8b_POST
+    0U,	// ST1Fourv8h
+    0U,	// ST1Fourv8h_POST
+    0U,	// ST1Onev16b
+    0U,	// ST1Onev16b_POST
+    0U,	// ST1Onev1d
+    0U,	// ST1Onev1d_POST
+    0U,	// ST1Onev2d
+    0U,	// ST1Onev2d_POST
+    0U,	// ST1Onev2s
+    0U,	// ST1Onev2s_POST
+    0U,	// ST1Onev4h
+    0U,	// ST1Onev4h_POST
+    0U,	// ST1Onev4s
+    0U,	// ST1Onev4s_POST
+    0U,	// ST1Onev8b
+    0U,	// ST1Onev8b_POST
+    0U,	// ST1Onev8h
+    0U,	// ST1Onev8h_POST
+    0U,	// ST1Threev16b
+    0U,	// ST1Threev16b_POST
+    0U,	// ST1Threev1d
+    0U,	// ST1Threev1d_POST
+    0U,	// ST1Threev2d
+    0U,	// ST1Threev2d_POST
+    0U,	// ST1Threev2s
+    0U,	// ST1Threev2s_POST
+    0U,	// ST1Threev4h
+    0U,	// ST1Threev4h_POST
+    0U,	// ST1Threev4s
+    0U,	// ST1Threev4s_POST
+    0U,	// ST1Threev8b
+    0U,	// ST1Threev8b_POST
+    0U,	// ST1Threev8h
+    0U,	// ST1Threev8h_POST
+    0U,	// ST1Twov16b
+    0U,	// ST1Twov16b_POST
+    0U,	// ST1Twov1d
+    0U,	// ST1Twov1d_POST
+    0U,	// ST1Twov2d
+    0U,	// ST1Twov2d_POST
+    0U,	// ST1Twov2s
+    0U,	// ST1Twov2s_POST
+    0U,	// ST1Twov4h
+    0U,	// ST1Twov4h_POST
+    0U,	// ST1Twov4s
+    0U,	// ST1Twov4s_POST
+    0U,	// ST1Twov8b
+    0U,	// ST1Twov8b_POST
+    0U,	// ST1Twov8h
+    0U,	// ST1Twov8h_POST
+    0U,	// ST1i16
+    0U,	// ST1i16_POST
+    0U,	// ST1i32
+    0U,	// ST1i32_POST
+    0U,	// ST1i64
+    0U,	// ST1i64_POST
+    0U,	// ST1i8
+    0U,	// ST1i8_POST
+    0U,	// ST2Twov16b
+    0U,	// ST2Twov16b_POST
+    0U,	// ST2Twov2d
+    0U,	// ST2Twov2d_POST
+    0U,	// ST2Twov2s
+    0U,	// ST2Twov2s_POST
+    0U,	// ST2Twov4h
+    0U,	// ST2Twov4h_POST
+    0U,	// ST2Twov4s
+    0U,	// ST2Twov4s_POST
+    0U,	// ST2Twov8b
+    0U,	// ST2Twov8b_POST
+    0U,	// ST2Twov8h
+    0U,	// ST2Twov8h_POST
+    0U,	// ST2i16
+    0U,	// ST2i16_POST
+    0U,	// ST2i32
+    0U,	// ST2i32_POST
+    0U,	// ST2i64
+    0U,	// ST2i64_POST
+    0U,	// ST2i8
+    0U,	// ST2i8_POST
+    0U,	// ST3Threev16b
+    0U,	// ST3Threev16b_POST
+    0U,	// ST3Threev2d
+    0U,	// ST3Threev2d_POST
+    0U,	// ST3Threev2s
+    0U,	// ST3Threev2s_POST
+    0U,	// ST3Threev4h
+    0U,	// ST3Threev4h_POST
+    0U,	// ST3Threev4s
+    0U,	// ST3Threev4s_POST
+    0U,	// ST3Threev8b
+    0U,	// ST3Threev8b_POST
+    0U,	// ST3Threev8h
+    0U,	// ST3Threev8h_POST
+    0U,	// ST3i16
+    0U,	// ST3i16_POST
+    0U,	// ST3i32
+    0U,	// ST3i32_POST
+    0U,	// ST3i64
+    0U,	// ST3i64_POST
+    0U,	// ST3i8
+    0U,	// ST3i8_POST
+    0U,	// ST4Fourv16b
+    0U,	// ST4Fourv16b_POST
+    0U,	// ST4Fourv2d
+    0U,	// ST4Fourv2d_POST
+    0U,	// ST4Fourv2s
+    0U,	// ST4Fourv2s_POST
+    0U,	// ST4Fourv4h
+    0U,	// ST4Fourv4h_POST
+    0U,	// ST4Fourv4s
+    0U,	// ST4Fourv4s_POST
+    0U,	// ST4Fourv8b
+    0U,	// ST4Fourv8b_POST
+    0U,	// ST4Fourv8h
+    0U,	// ST4Fourv8h_POST
+    0U,	// ST4i16
+    0U,	// ST4i16_POST
+    0U,	// ST4i32
+    0U,	// ST4i32_POST
+    0U,	// ST4i64
+    0U,	// ST4i64_POST
+    0U,	// ST4i8
+    0U,	// ST4i8_POST
+    4U,	// STLRB
+    4U,	// STLRH
+    4U,	// STLRW
+    4U,	// STLRX
+    4609U,	// STLXPW
+    4609U,	// STLXPX
+    3588U,	// STLXRB
+    3588U,	// STLXRH
+    3588U,	// STLXRW
+    3588U,	// STLXRX
+    43268U,	// STNPDi
+    51460U,	// STNPQi
+    59652U,	// STNPSi
+    59652U,	// STNPWi
+    43268U,	// STNPXi
+    43268U,	// STPDi
+    69444U,	// STPDpost
+    330052U,	// STPDpre
+    51460U,	// STPQi
+    77636U,	// STPQpost
+    338244U,	// STPQpre
+    59652U,	// STPSi
+    85828U,	// STPSpost
+    346436U,	// STPSpre
+    59652U,	// STPWi
+    85828U,	// STPWpost
+    346436U,	// STPWpre
+    43268U,	// STPXi
+    69444U,	// STPXpost
+    330052U,	// STPXpre
+    4U,	// STRBBpost
+    4161U,	// STRBBpre
+    92417U,	// STRBBroW
+    100609U,	// STRBBroX
+    89U,	// STRBBui
+    4U,	// STRBpost
+    4161U,	// STRBpre
+    92417U,	// STRBroW
+    100609U,	// STRBroX
+    89U,	// STRBui
+    4U,	// STRDpost
+    4161U,	// STRDpre
+    108801U,	// STRDroW
+    116993U,	// STRDroX
+    97U,	// STRDui
+    4U,	// STRHHpost
+    4161U,	// STRHHpre
+    125185U,	// STRHHroW
+    133377U,	// STRHHroX
+    105U,	// STRHHui
+    4U,	// STRHpost
+    4161U,	// STRHpre
+    125185U,	// STRHroW
+    133377U,	// STRHroX
+    105U,	// STRHui
+    4U,	// STRQpost
+    4161U,	// STRQpre
+    141569U,	// STRQroW
+    149761U,	// STRQroX
+    113U,	// STRQui
+    4U,	// STRSpost
+    4161U,	// STRSpre
+    157953U,	// STRSroW
+    166145U,	// STRSroX
+    121U,	// STRSui
+    4U,	// STRWpost
+    4161U,	// STRWpre
+    157953U,	// STRWroW
+    166145U,	// STRWroX
+    121U,	// STRWui
+    4U,	// STRXpost
+    4161U,	// STRXpre
+    108801U,	// STRXroW
+    116993U,	// STRXroX
+    97U,	// STRXui
+    3585U,	// STTRBi
+    3585U,	// STTRHi
+    3585U,	// STTRWi
+    3585U,	// STTRXi
+    3585U,	// STURBBi
+    3585U,	// STURBi
+    3585U,	// STURDi
+    3585U,	// STURHHi
+    3585U,	// STURHi
+    3585U,	// STURQi
+    3585U,	// STURSi
+    3585U,	// STURWi
+    3585U,	// STURXi
+    4609U,	// STXPW
+    4609U,	// STXPX
+    3588U,	// STXRB
+    3588U,	// STXRH
+    3588U,	// STXRW
+    3588U,	// STXRX
+    265U,	// SUBHNv2i64_v2i32
+    273U,	// SUBHNv2i64_v4i32
+    521U,	// SUBHNv4i32_v4i16
+    529U,	// SUBHNv4i32_v8i16
+    785U,	// SUBHNv8i16_v16i8
+    777U,	// SUBHNv8i16_v8i8
+    25U,	// SUBSWri
+    0U,	// SUBSWrr
+    33U,	// SUBSWrs
+    41U,	// SUBSWrx
+    25U,	// SUBSXri
+    0U,	// SUBSXrr
+    33U,	// SUBSXrs
+    41U,	// SUBSXrx
+    2049U,	// SUBSXrx64
+    25U,	// SUBWri
+    0U,	// SUBWrr
+    33U,	// SUBWrs
+    41U,	// SUBWrx
+    25U,	// SUBXri
+    0U,	// SUBXrr
+    33U,	// SUBXrs
+    41U,	// SUBXrx
+    2049U,	// SUBXrx64
+    1033U,	// SUBv16i8
+    1U,	// SUBv1i64
+    1289U,	// SUBv2i32
+    265U,	// SUBv2i64
+    1545U,	// SUBv4i16
+    521U,	// SUBv4i32
+    777U,	// SUBv8i16
+    1801U,	// SUBv8i8
+    0U,	// SUQADDv16i8
+    0U,	// SUQADDv1i16
+    0U,	// SUQADDv1i32
+    0U,	// SUQADDv1i64
+    0U,	// SUQADDv1i8
+    0U,	// SUQADDv2i32
+    0U,	// SUQADDv2i64
+    0U,	// SUQADDv4i16
+    0U,	// SUQADDv4i32
+    0U,	// SUQADDv8i16
+    0U,	// SUQADDv8i8
+    0U,	// SVC
+    129U,	// SYSLxt
+    0U,	// SYSxt
+    0U,	// TBLv16i8Four
+    0U,	// TBLv16i8One
+    0U,	// TBLv16i8Three
+    0U,	// TBLv16i8Two
+    0U,	// TBLv8i8Four
+    0U,	// TBLv8i8One
+    0U,	// TBLv8i8Three
+    0U,	// TBLv8i8Two
+    137U,	// TBNZW
+    137U,	// TBNZX
+    0U,	// TBXv16i8Four
+    0U,	// TBXv16i8One
+    0U,	// TBXv16i8Three
+    0U,	// TBXv16i8Two
+    0U,	// TBXv8i8Four
+    0U,	// TBXv8i8One
+    0U,	// TBXv8i8Three
+    0U,	// TBXv8i8Two
+    137U,	// TBZW
+    137U,	// TBZX
+    0U,	// TCRETURNdi
+    0U,	// TCRETURNri
     0U,	// TLSDESCCALL
-    0U,	// TLSDESC_BLRx
-    2067U,	// TRN1vvv_16b
-    1042U,	// TRN1vvv_2d
-    2579U,	// TRN1vvv_2s
-    3091U,	// TRN1vvv_4h
-    1554U,	// TRN1vvv_4s
-    3603U,	// TRN1vvv_8b
-    530U,	// TRN1vvv_8h
-    2067U,	// TRN2vvv_16b
-    1042U,	// TRN2vvv_2d
-    2579U,	// TRN2vvv_2s
-    3091U,	// TRN2vvv_4h
-    1554U,	// TRN2vvv_4s
-    3603U,	// TRN2vvv_8b
-    530U,	// TRN2vvv_8h
-    90U,	// TSTww_asr
-    98U,	// TSTww_lsl
-    106U,	// TSTww_lsr
-    274U,	// TSTww_ror
-    90U,	// TSTxx_asr
-    98U,	// TSTxx_lsl
-    106U,	// TSTxx_lsr
-    274U,	// TSTxx_ror
-    1546U,	// UABAL2vvv_2d2s
-    522U,	// UABAL2vvv_4s4h
-    2059U,	// UABAL2vvv_8h8b
-    2571U,	// UABALvvv_2d2s
-    3083U,	// UABALvvv_4s4h
-    3595U,	// UABALvvv_8h8b
-    2059U,	// UABAvvv_16B
-    2571U,	// UABAvvv_2S
-    3083U,	// UABAvvv_4H
-    1546U,	// UABAvvv_4S
-    3595U,	// UABAvvv_8B
-    522U,	// UABAvvv_8H
-    1554U,	// UABDL2vvv_2d2s
-    530U,	// UABDL2vvv_4s4h
-    2067U,	// UABDL2vvv_8h8b
-    2579U,	// UABDLvvv_2d2s
-    3091U,	// UABDLvvv_4s4h
-    3603U,	// UABDLvvv_8h8b
-    2067U,	// UABDvvv_16B
-    2579U,	// UABDvvv_2S
-    3091U,	// UABDvvv_4H
-    1554U,	// UABDvvv_4S
-    3603U,	// UABDvvv_8B
-    530U,	// UABDvvv_8H
-    0U,	// UADALP16b8h
-    0U,	// UADALP2s1d
-    0U,	// UADALP4h2s
-    1U,	// UADALP4s2d
-    1U,	// UADALP8b4h
-    1U,	// UADALP8h4s
-    1554U,	// UADDL2vvv_2d4s
-    530U,	// UADDL2vvv_4s8h
-    2067U,	// UADDL2vvv_8h16b
-    0U,	// UADDLP16b8h
-    0U,	// UADDLP2s1d
-    0U,	// UADDLP4h2s
-    1U,	// UADDLP4s2d
-    1U,	// UADDLP8b4h
-    1U,	// UADDLP8h4s
-    1U,	// UADDLV_1d4s
-    0U,	// UADDLV_1h16b
-    1U,	// UADDLV_1h8b
-    0U,	// UADDLV_1s4h
-    1U,	// UADDLV_1s8h
-    2579U,	// UADDLvvv_2d2s
-    3091U,	// UADDLvvv_4s4h
-    3603U,	// UADDLvvv_8h8b
-    1554U,	// UADDW2vvv_2d4s
-    530U,	// UADDW2vvv_4s8h
-    2066U,	// UADDW2vvv_8h16b
-    2578U,	// UADDWvvv_2d2s
-    3090U,	// UADDWvvv_4s4h
-    3602U,	// UADDWvvv_8h8b
-    282U,	// UBFIZwwii
-    290U,	// UBFIZxxii
-    249858U,	// UBFMwwii
-    249858U,	// UBFMxxii
-    561154U,	// UBFXwwii
-    561154U,	// UBFXxxii
-    0U,	// UCVTF_2d
-    0U,	// UCVTF_2s
-    1U,	// UCVTF_4s
-    2U,	// UCVTF_Nddi
-    2U,	// UCVTF_Nssi
-    1U,	// UCVTFdd
-    1U,	// UCVTFdw
-    194U,	// UCVTFdwi
-    1U,	// UCVTFdx
-    194U,	// UCVTFdxi
-    1U,	// UCVTFss
-    1U,	// UCVTFsw
-    194U,	// UCVTFswi
-    1U,	// UCVTFsx
-    194U,	// UCVTFsxi
-    2U,	// UDIVwww
-    2U,	// UDIVxxx
-    2067U,	// UHADDvvv_16B
-    2579U,	// UHADDvvv_2S
-    3091U,	// UHADDvvv_4H
-    1554U,	// UHADDvvv_4S
-    3603U,	// UHADDvvv_8B
-    530U,	// UHADDvvv_8H
-    2067U,	// UHSUBvvv_16B
-    2579U,	// UHSUBvvv_2S
-    3091U,	// UHSUBvvv_4H
-    1554U,	// UHSUBvvv_4S
-    3603U,	// UHSUBvvv_8B
-    530U,	// UHSUBvvv_8H
-    249858U,	// UMADDLxwwx
-    2067U,	// UMAXPvvv_16B
-    2579U,	// UMAXPvvv_2S
-    3091U,	// UMAXPvvv_4H
-    1554U,	// UMAXPvvv_4S
-    3603U,	// UMAXPvvv_8B
-    530U,	// UMAXPvvv_8H
-    0U,	// UMAXV_1b16b
-    1U,	// UMAXV_1b8b
-    0U,	// UMAXV_1h4h
-    1U,	// UMAXV_1h8h
-    1U,	// UMAXV_1s4s
-    2067U,	// UMAXvvv_16B
-    2579U,	// UMAXvvv_2S
-    3091U,	// UMAXvvv_4H
-    1554U,	// UMAXvvv_4S
-    3603U,	// UMAXvvv_8B
-    530U,	// UMAXvvv_8H
-    2067U,	// UMINPvvv_16B
-    2579U,	// UMINPvvv_2S
-    3091U,	// UMINPvvv_4H
-    1554U,	// UMINPvvv_4S
-    3603U,	// UMINPvvv_8B
-    530U,	// UMINPvvv_8H
-    0U,	// UMINV_1b16b
-    1U,	// UMINV_1b8b
-    0U,	// UMINV_1h4h
-    1U,	// UMINV_1h8h
-    1U,	// UMINV_1s4s
-    2067U,	// UMINvvv_16B
-    2579U,	// UMINvvv_2S
-    3091U,	// UMINvvv_4H
-    1554U,	// UMINvvv_4S
-    3603U,	// UMINvvv_8B
-    530U,	// UMINvvv_8H
-    1546U,	// UMLAL2vvv_2d4s
-    522U,	// UMLAL2vvv_4s8h
-    2059U,	// UMLAL2vvv_8h16b
-    268299U,	// UMLALvve_2d2s
-    268298U,	// UMLALvve_2d4s
-    269835U,	// UMLALvve_4s4h
-    269834U,	// UMLALvve_4s8h
-    2571U,	// UMLALvvv_2d2s
-    3083U,	// UMLALvvv_4s4h
-    3595U,	// UMLALvvv_8h8b
-    1546U,	// UMLSL2vvv_2d4s
-    522U,	// UMLSL2vvv_4s8h
-    2059U,	// UMLSL2vvv_8h16b
-    268299U,	// UMLSLvve_2d2s
-    268298U,	// UMLSLvve_2d4s
-    269835U,	// UMLSLvve_4s4h
-    269834U,	// UMLSLvve_4s8h
-    2571U,	// UMLSLvvv_2d2s
-    3083U,	// UMLSLvvv_4s4h
-    3595U,	// UMLSLvvv_8h8b
-    180U,	// UMOVwb
-    181U,	// UMOVwh
-    181U,	// UMOVws
-    180U,	// UMOVxd
-    249858U,	// UMSUBLxwwx
-    2U,	// UMULHxxx
-    1554U,	// UMULL2vvv_2d4s
-    530U,	// UMULL2vvv_4s8h
-    2067U,	// UMULL2vvv_8h16b
-    284691U,	// UMULLve_2d2s
-    284690U,	// UMULLve_2d4s
-    286227U,	// UMULLve_4s4h
-    286226U,	// UMULLve_4s8h
-    2579U,	// UMULLvvv_2d2s
-    3091U,	// UMULLvvv_4s4h
-    3603U,	// UMULLvvv_8h8b
-    2U,	// UQADDbbb
-    2U,	// UQADDddd
-    2U,	// UQADDhhh
-    2U,	// UQADDsss
-    2067U,	// UQADDvvv_16B
-    1042U,	// UQADDvvv_2D
-    2579U,	// UQADDvvv_2S
-    3091U,	// UQADDvvv_4H
-    1554U,	// UQADDvvv_4S
-    3603U,	// UQADDvvv_8B
-    530U,	// UQADDvvv_8H
-    2U,	// UQRSHLbbb
-    2U,	// UQRSHLddd
-    2U,	// UQRSHLhhh
-    2U,	// UQRSHLsss
-    2067U,	// UQRSHLvvv_16B
-    1042U,	// UQRSHLvvv_2D
-    2579U,	// UQRSHLvvv_2S
-    3091U,	// UQRSHLvvv_4H
-    1554U,	// UQRSHLvvv_4S
-    3603U,	// UQRSHLvvv_8B
-    530U,	// UQRSHLvvv_8H
-    2U,	// UQRSHRNbhi
-    2U,	// UQRSHRNhsi
-    2U,	// UQRSHRNsdi
-    74U,	// UQRSHRNvvi_16B
-    2U,	// UQRSHRNvvi_2S
-    2U,	// UQRSHRNvvi_4H
-    74U,	// UQRSHRNvvi_4S
-    2U,	// UQRSHRNvvi_8B
-    74U,	// UQRSHRNvvi_8H
-    2U,	// UQSHLbbb
-    2U,	// UQSHLbbi
-    2U,	// UQSHLddd
-    2U,	// UQSHLddi
-    2U,	// UQSHLhhh
-    2U,	// UQSHLhhi
-    2U,	// UQSHLssi
-    2U,	// UQSHLsss
-    3U,	// UQSHLvvi_16B
-    2U,	// UQSHLvvi_2D
-    3U,	// UQSHLvvi_2S
-    3U,	// UQSHLvvi_4H
-    2U,	// UQSHLvvi_4S
-    3U,	// UQSHLvvi_8B
-    2U,	// UQSHLvvi_8H
-    2067U,	// UQSHLvvv_16B
-    1042U,	// UQSHLvvv_2D
-    2579U,	// UQSHLvvv_2S
-    3091U,	// UQSHLvvv_4H
-    1554U,	// UQSHLvvv_4S
-    3603U,	// UQSHLvvv_8B
-    530U,	// UQSHLvvv_8H
-    2U,	// UQSHRNbhi
-    2U,	// UQSHRNhsi
-    2U,	// UQSHRNsdi
-    74U,	// UQSHRNvvi_16B
-    2U,	// UQSHRNvvi_2S
-    2U,	// UQSHRNvvi_4H
-    74U,	// UQSHRNvvi_4S
-    2U,	// UQSHRNvvi_8B
-    74U,	// UQSHRNvvi_8H
-    2U,	// UQSUBbbb
-    2U,	// UQSUBddd
-    2U,	// UQSUBhhh
-    2U,	// UQSUBsss
-    2067U,	// UQSUBvvv_16B
-    1042U,	// UQSUBvvv_2D
-    2579U,	// UQSUBvvv_2S
-    3091U,	// UQSUBvvv_4H
-    1554U,	// UQSUBvvv_4S
-    3603U,	// UQSUBvvv_8B
-    530U,	// UQSUBvvv_8H
-    0U,	// UQXTN2d2s
-    0U,	// UQXTN2d4s
-    1U,	// UQXTN4s4h
-    1U,	// UQXTN4s8h
-    1U,	// UQXTN8h16b
-    1U,	// UQXTN8h8b
-    1U,	// UQXTNbh
-    1U,	// UQXTNhs
-    1U,	// UQXTNsd
-    0U,	// URECPE2s
-    1U,	// URECPE4s
-    2067U,	// URHADDvvv_16B
-    2579U,	// URHADDvvv_2S
-    3091U,	// URHADDvvv_4H
-    1554U,	// URHADDvvv_4S
-    3603U,	// URHADDvvv_8B
-    530U,	// URHADDvvv_8H
-    2U,	// URSHLddd
-    2067U,	// URSHLvvv_16B
-    1042U,	// URSHLvvv_2D
-    2579U,	// URSHLvvv_2S
-    3091U,	// URSHLvvv_4H
-    1554U,	// URSHLvvv_4S
-    3603U,	// URSHLvvv_8B
-    530U,	// URSHLvvv_8H
-    2U,	// URSHRddi
-    3U,	// URSHRvvi_16B
-    2U,	// URSHRvvi_2D
-    3U,	// URSHRvvi_2S
-    3U,	// URSHRvvi_4H
-    2U,	// URSHRvvi_4S
-    3U,	// URSHRvvi_8B
-    2U,	// URSHRvvi_8H
-    0U,	// URSQRTE2s
-    1U,	// URSQRTE4s
-    74U,	// URSRA
-    75U,	// URSRAvvi_16B
-    74U,	// URSRAvvi_2D
-    75U,	// URSRAvvi_2S
-    75U,	// URSRAvvi_4H
-    74U,	// URSRAvvi_4S
-    75U,	// URSRAvvi_8B
-    74U,	// URSRAvvi_8H
-    3U,	// USHLLvvi_16B
-    3U,	// USHLLvvi_2S
-    3U,	// USHLLvvi_4H
-    2U,	// USHLLvvi_4S
-    3U,	// USHLLvvi_8B
-    2U,	// USHLLvvi_8H
-    2U,	// USHLddd
-    2067U,	// USHLvvv_16B
-    1042U,	// USHLvvv_2D
-    2579U,	// USHLvvv_2S
-    3091U,	// USHLvvv_4H
-    1554U,	// USHLvvv_4S
-    3603U,	// USHLvvv_8B
-    530U,	// USHLvvv_8H
-    2U,	// USHRddi
-    3U,	// USHRvvi_16B
-    2U,	// USHRvvi_2D
-    3U,	// USHRvvi_2S
-    3U,	// USHRvvi_4H
-    2U,	// USHRvvi_4S
-    3U,	// USHRvvi_8B
-    2U,	// USHRvvi_8H
-    0U,	// USQADD16b
-    0U,	// USQADD2d
-    0U,	// USQADD2s
-    0U,	// USQADD4h
-    1U,	// USQADD4s
-    1U,	// USQADD8b
-    1U,	// USQADD8h
-    1U,	// USQADDbb
-    1U,	// USQADDdd
-    1U,	// USQADDhh
-    1U,	// USQADDss
-    74U,	// USRA
-    75U,	// USRAvvi_16B
-    74U,	// USRAvvi_2D
-    75U,	// USRAvvi_2S
-    75U,	// USRAvvi_4H
-    74U,	// USRAvvi_4S
-    75U,	// USRAvvi_8B
-    74U,	// USRAvvi_8H
-    1554U,	// USUBL2vvv_2d4s
-    530U,	// USUBL2vvv_4s8h
-    2067U,	// USUBL2vvv_8h16b
-    2579U,	// USUBLvvv_2d2s
-    3091U,	// USUBLvvv_4s4h
-    3603U,	// USUBLvvv_8h8b
-    1554U,	// USUBW2vvv_2d4s
-    530U,	// USUBW2vvv_4s8h
-    2066U,	// USUBW2vvv_8h16b
-    2578U,	// USUBWvvv_2d2s
-    3090U,	// USUBWvvv_4s4h
-    3602U,	// USUBWvvv_8h8b
-    1U,	// UXTBww
-    1U,	// UXTBxw
-    1U,	// UXTHww
-    1U,	// UXTHxw
-    2067U,	// UZP1vvv_16b
-    1042U,	// UZP1vvv_2d
-    2579U,	// UZP1vvv_2s
-    3091U,	// UZP1vvv_4h
-    1554U,	// UZP1vvv_4s
-    3603U,	// UZP1vvv_8b
-    530U,	// UZP1vvv_8h
-    2067U,	// UZP2vvv_16b
-    1042U,	// UZP2vvv_2d
-    2579U,	// UZP2vvv_2s
-    3091U,	// UZP2vvv_4h
-    1554U,	// UZP2vvv_4s
-    3603U,	// UZP2vvv_8b
-    530U,	// UZP2vvv_8h
-    2U,	// VCVTf2xs_2D
-    3U,	// VCVTf2xs_2S
-    2U,	// VCVTf2xs_4S
-    2U,	// VCVTf2xu_2D
-    3U,	// VCVTf2xu_2S
-    2U,	// VCVTf2xu_4S
-    2U,	// VCVTxs2f_2D
-    3U,	// VCVTxs2f_2S
-    2U,	// VCVTxs2f_4S
-    2U,	// VCVTxu2f_2D
-    3U,	// VCVTxu2f_2S
-    2U,	// VCVTxu2f_4S
-    0U,	// XTN2d2s
-    0U,	// XTN2d4s
-    1U,	// XTN4s4h
-    1U,	// XTN4s8h
-    1U,	// XTN8h16b
-    1U,	// XTN8h8b
-    2067U,	// ZIP1vvv_16b
-    1042U,	// ZIP1vvv_2d
-    2579U,	// ZIP1vvv_2s
-    3091U,	// ZIP1vvv_4h
-    1554U,	// ZIP1vvv_4s
-    3603U,	// ZIP1vvv_8b
-    530U,	// ZIP1vvv_8h
-    2067U,	// ZIP2vvv_16b
-    1042U,	// ZIP2vvv_2d
-    2579U,	// ZIP2vvv_2s
-    3091U,	// ZIP2vvv_4h
-    1554U,	// ZIP2vvv_4s
-    3603U,	// ZIP2vvv_8b
-    530U,	// ZIP2vvv_8h
+    0U,	// TLSDESC_BLR
+    1033U,	// TRN1v16i8
+    1289U,	// TRN1v2i32
+    265U,	// TRN1v2i64
+    1545U,	// TRN1v4i16
+    521U,	// TRN1v4i32
+    777U,	// TRN1v8i16
+    1801U,	// TRN1v8i8
+    1033U,	// TRN2v16i8
+    1289U,	// TRN2v2i32
+    265U,	// TRN2v2i64
+    1545U,	// TRN2v4i16
+    521U,	// TRN2v4i32
+    777U,	// TRN2v8i16
+    1801U,	// TRN2v8i8
+    1041U,	// UABALv16i8_v8i16
+    1297U,	// UABALv2i32_v2i64
+    1553U,	// UABALv4i16_v4i32
+    529U,	// UABALv4i32_v2i64
+    785U,	// UABALv8i16_v4i32
+    1809U,	// UABALv8i8_v8i16
+    1041U,	// UABAv16i8
+    1297U,	// UABAv2i32
+    1553U,	// UABAv4i16
+    529U,	// UABAv4i32
+    785U,	// UABAv8i16
+    1809U,	// UABAv8i8
+    1033U,	// UABDLv16i8_v8i16
+    1289U,	// UABDLv2i32_v2i64
+    1545U,	// UABDLv4i16_v4i32
+    521U,	// UABDLv4i32_v2i64
+    777U,	// UABDLv8i16_v4i32
+    1801U,	// UABDLv8i8_v8i16
+    1033U,	// UABDv16i8
+    1289U,	// UABDv2i32
+    1545U,	// UABDv4i16
+    521U,	// UABDv4i32
+    777U,	// UABDv8i16
+    1801U,	// UABDv8i8
+    0U,	// UADALPv16i8_v8i16
+    0U,	// UADALPv2i32_v1i64
+    0U,	// UADALPv4i16_v2i32
+    0U,	// UADALPv4i32_v2i64
+    0U,	// UADALPv8i16_v4i32
+    0U,	// UADALPv8i8_v4i16
+    0U,	// UADDLPv16i8_v8i16
+    0U,	// UADDLPv2i32_v1i64
+    0U,	// UADDLPv4i16_v2i32
+    0U,	// UADDLPv4i32_v2i64
+    0U,	// UADDLPv8i16_v4i32
+    0U,	// UADDLPv8i8_v4i16
+    0U,	// UADDLVv16i8v
+    0U,	// UADDLVv4i16v
+    0U,	// UADDLVv4i32v
+    0U,	// UADDLVv8i16v
+    0U,	// UADDLVv8i8v
+    1033U,	// UADDLv16i8_v8i16
+    1289U,	// UADDLv2i32_v2i64
+    1545U,	// UADDLv4i16_v4i32
+    521U,	// UADDLv4i32_v2i64
+    777U,	// UADDLv8i16_v4i32
+    1801U,	// UADDLv8i8_v8i16
+    1033U,	// UADDWv16i8_v8i16
+    1289U,	// UADDWv2i32_v2i64
+    1545U,	// UADDWv4i16_v4i32
+    521U,	// UADDWv4i32_v2i64
+    777U,	// UADDWv8i16_v4i32
+    1801U,	// UADDWv8i8_v8i16
+    18689U,	// UBFMWri
+    18689U,	// UBFMXri
+    1U,	// UCVTFSWDri
+    1U,	// UCVTFSWSri
+    1U,	// UCVTFSXDri
+    1U,	// UCVTFSXSri
+    0U,	// UCVTFUWDri
+    0U,	// UCVTFUWSri
+    0U,	// UCVTFUXDri
+    0U,	// UCVTFUXSri
+    1U,	// UCVTFd
+    1U,	// UCVTFs
+    0U,	// UCVTFv1i32
+    0U,	// UCVTFv1i64
+    0U,	// UCVTFv2f32
+    0U,	// UCVTFv2f64
+    1U,	// UCVTFv2i32_shift
+    1U,	// UCVTFv2i64_shift
+    0U,	// UCVTFv4f32
+    1U,	// UCVTFv4i32_shift
+    1U,	// UDIVWr
+    1U,	// UDIVXr
+    1U,	// UDIV_IntWr
+    1U,	// UDIV_IntXr
+    1033U,	// UHADDv16i8
+    1289U,	// UHADDv2i32
+    1545U,	// UHADDv4i16
+    521U,	// UHADDv4i32
+    777U,	// UHADDv8i16
+    1801U,	// UHADDv8i8
+    1033U,	// UHSUBv16i8
+    1289U,	// UHSUBv2i32
+    1545U,	// UHSUBv4i16
+    521U,	// UHSUBv4i32
+    777U,	// UHSUBv8i16
+    1801U,	// UHSUBv8i8
+    18689U,	// UMADDLrrr
+    1033U,	// UMAXPv16i8
+    1289U,	// UMAXPv2i32
+    1545U,	// UMAXPv4i16
+    521U,	// UMAXPv4i32
+    777U,	// UMAXPv8i16
+    1801U,	// UMAXPv8i8
+    0U,	// UMAXVv16i8v
+    0U,	// UMAXVv4i16v
+    0U,	// UMAXVv4i32v
+    0U,	// UMAXVv8i16v
+    0U,	// UMAXVv8i8v
+    1033U,	// UMAXv16i8
+    1289U,	// UMAXv2i32
+    1545U,	// UMAXv4i16
+    521U,	// UMAXv4i32
+    777U,	// UMAXv8i16
+    1801U,	// UMAXv8i8
+    1033U,	// UMINPv16i8
+    1289U,	// UMINPv2i32
+    1545U,	// UMINPv4i16
+    521U,	// UMINPv4i32
+    777U,	// UMINPv8i16
+    1801U,	// UMINPv8i8
+    0U,	// UMINVv16i8v
+    0U,	// UMINVv4i16v
+    0U,	// UMINVv4i32v
+    0U,	// UMINVv8i16v
+    0U,	// UMINVv8i8v
+    1033U,	// UMINv16i8
+    1289U,	// UMINv2i32
+    1545U,	// UMINv4i16
+    521U,	// UMINv4i32
+    777U,	// UMINv8i16
+    1801U,	// UMINv8i8
+    1041U,	// UMLALv16i8_v8i16
+    27665U,	// UMLALv2i32_indexed
+    1297U,	// UMLALv2i32_v2i64
+    28945U,	// UMLALv4i16_indexed
+    1553U,	// UMLALv4i16_v4i32
+    27665U,	// UMLALv4i32_indexed
+    529U,	// UMLALv4i32_v2i64
+    28945U,	// UMLALv8i16_indexed
+    785U,	// UMLALv8i16_v4i32
+    1809U,	// UMLALv8i8_v8i16
+    1041U,	// UMLSLv16i8_v8i16
+    27665U,	// UMLSLv2i32_indexed
+    1297U,	// UMLSLv2i32_v2i64
+    28945U,	// UMLSLv4i16_indexed
+    1553U,	// UMLSLv4i16_v4i32
+    27665U,	// UMLSLv4i32_indexed
+    529U,	// UMLSLv4i32_v2i64
+    28945U,	// UMLSLv8i16_indexed
+    785U,	// UMLSLv8i16_v4i32
+    1809U,	// UMLSLv8i8_v8i16
+    75U,	// UMOVvi16
+    75U,	// UMOVvi32
+    75U,	// UMOVvi64
+    75U,	// UMOVvi8
+    18689U,	// UMSUBLrrr
+    1U,	// UMULHrr
+    1033U,	// UMULLv16i8_v8i16
+    35849U,	// UMULLv2i32_indexed
+    1289U,	// UMULLv2i32_v2i64
+    37129U,	// UMULLv4i16_indexed
+    1545U,	// UMULLv4i16_v4i32
+    35849U,	// UMULLv4i32_indexed
+    521U,	// UMULLv4i32_v2i64
+    37129U,	// UMULLv8i16_indexed
+    777U,	// UMULLv8i16_v4i32
+    1801U,	// UMULLv8i8_v8i16
+    1033U,	// UQADDv16i8
+    1U,	// UQADDv1i16
+    1U,	// UQADDv1i32
+    1U,	// UQADDv1i64
+    1U,	// UQADDv1i8
+    1289U,	// UQADDv2i32
+    265U,	// UQADDv2i64
+    1545U,	// UQADDv4i16
+    521U,	// UQADDv4i32
+    777U,	// UQADDv8i16
+    1801U,	// UQADDv8i8
+    1033U,	// UQRSHLv16i8
+    1U,	// UQRSHLv1i16
+    1U,	// UQRSHLv1i32
+    1U,	// UQRSHLv1i64
+    1U,	// UQRSHLv1i8
+    1289U,	// UQRSHLv2i32
+    265U,	// UQRSHLv2i64
+    1545U,	// UQRSHLv4i16
+    521U,	// UQRSHLv4i32
+    777U,	// UQRSHLv8i16
+    1801U,	// UQRSHLv8i8
+    1U,	// UQRSHRNb
+    1U,	// UQRSHRNh
+    1U,	// UQRSHRNs
+    65U,	// UQRSHRNv16i8_shift
+    1U,	// UQRSHRNv2i32_shift
+    1U,	// UQRSHRNv4i16_shift
+    65U,	// UQRSHRNv4i32_shift
+    65U,	// UQRSHRNv8i16_shift
+    1U,	// UQRSHRNv8i8_shift
+    1U,	// UQSHLb
+    1U,	// UQSHLd
+    1U,	// UQSHLh
+    1U,	// UQSHLs
+    1033U,	// UQSHLv16i8
+    1U,	// UQSHLv16i8_shift
+    1U,	// UQSHLv1i16
+    1U,	// UQSHLv1i32
+    1U,	// UQSHLv1i64
+    1U,	// UQSHLv1i8
+    1289U,	// UQSHLv2i32
+    1U,	// UQSHLv2i32_shift
+    265U,	// UQSHLv2i64
+    1U,	// UQSHLv2i64_shift
+    1545U,	// UQSHLv4i16
+    1U,	// UQSHLv4i16_shift
+    521U,	// UQSHLv4i32
+    1U,	// UQSHLv4i32_shift
+    777U,	// UQSHLv8i16
+    1U,	// UQSHLv8i16_shift
+    1801U,	// UQSHLv8i8
+    1U,	// UQSHLv8i8_shift
+    1U,	// UQSHRNb
+    1U,	// UQSHRNh
+    1U,	// UQSHRNs
+    65U,	// UQSHRNv16i8_shift
+    1U,	// UQSHRNv2i32_shift
+    1U,	// UQSHRNv4i16_shift
+    65U,	// UQSHRNv4i32_shift
+    65U,	// UQSHRNv8i16_shift
+    1U,	// UQSHRNv8i8_shift
+    1033U,	// UQSUBv16i8
+    1U,	// UQSUBv1i16
+    1U,	// UQSUBv1i32
+    1U,	// UQSUBv1i64
+    1U,	// UQSUBv1i8
+    1289U,	// UQSUBv2i32
+    265U,	// UQSUBv2i64
+    1545U,	// UQSUBv4i16
+    521U,	// UQSUBv4i32
+    777U,	// UQSUBv8i16
+    1801U,	// UQSUBv8i8
+    0U,	// UQXTNv16i8
+    0U,	// UQXTNv1i16
+    0U,	// UQXTNv1i32
+    0U,	// UQXTNv1i8
+    0U,	// UQXTNv2i32
+    0U,	// UQXTNv4i16
+    0U,	// UQXTNv4i32
+    0U,	// UQXTNv8i16
+    0U,	// UQXTNv8i8
+    0U,	// URECPEv2i32
+    0U,	// URECPEv4i32
+    1033U,	// URHADDv16i8
+    1289U,	// URHADDv2i32
+    1545U,	// URHADDv4i16
+    521U,	// URHADDv4i32
+    777U,	// URHADDv8i16
+    1801U,	// URHADDv8i8
+    1033U,	// URSHLv16i8
+    1U,	// URSHLv1i64
+    1289U,	// URSHLv2i32
+    265U,	// URSHLv2i64
+    1545U,	// URSHLv4i16
+    521U,	// URSHLv4i32
+    777U,	// URSHLv8i16
+    1801U,	// URSHLv8i8
+    1U,	// URSHRd
+    1U,	// URSHRv16i8_shift
+    1U,	// URSHRv2i32_shift
+    1U,	// URSHRv2i64_shift
+    1U,	// URSHRv4i16_shift
+    1U,	// URSHRv4i32_shift
+    1U,	// URSHRv8i16_shift
+    1U,	// URSHRv8i8_shift
+    0U,	// URSQRTEv2i32
+    0U,	// URSQRTEv4i32
+    65U,	// URSRAd
+    65U,	// URSRAv16i8_shift
+    65U,	// URSRAv2i32_shift
+    65U,	// URSRAv2i64_shift
+    65U,	// URSRAv4i16_shift
+    65U,	// URSRAv4i32_shift
+    65U,	// URSRAv8i16_shift
+    65U,	// URSRAv8i8_shift
+    1U,	// USHLLv16i8_shift
+    1U,	// USHLLv2i32_shift
+    1U,	// USHLLv4i16_shift
+    1U,	// USHLLv4i32_shift
+    1U,	// USHLLv8i16_shift
+    1U,	// USHLLv8i8_shift
+    1033U,	// USHLv16i8
+    1U,	// USHLv1i64
+    1289U,	// USHLv2i32
+    265U,	// USHLv2i64
+    1545U,	// USHLv4i16
+    521U,	// USHLv4i32
+    777U,	// USHLv8i16
+    1801U,	// USHLv8i8
+    1U,	// USHRd
+    1U,	// USHRv16i8_shift
+    1U,	// USHRv2i32_shift
+    1U,	// USHRv2i64_shift
+    1U,	// USHRv4i16_shift
+    1U,	// USHRv4i32_shift
+    1U,	// USHRv8i16_shift
+    1U,	// USHRv8i8_shift
+    0U,	// USQADDv16i8
+    0U,	// USQADDv1i16
+    0U,	// USQADDv1i32
+    0U,	// USQADDv1i64
+    0U,	// USQADDv1i8
+    0U,	// USQADDv2i32
+    0U,	// USQADDv2i64
+    0U,	// USQADDv4i16
+    0U,	// USQADDv4i32
+    0U,	// USQADDv8i16
+    0U,	// USQADDv8i8
+    65U,	// USRAd
+    65U,	// USRAv16i8_shift
+    65U,	// USRAv2i32_shift
+    65U,	// USRAv2i64_shift
+    65U,	// USRAv4i16_shift
+    65U,	// USRAv4i32_shift
+    65U,	// USRAv8i16_shift
+    65U,	// USRAv8i8_shift
+    1033U,	// USUBLv16i8_v8i16
+    1289U,	// USUBLv2i32_v2i64
+    1545U,	// USUBLv4i16_v4i32
+    521U,	// USUBLv4i32_v2i64
+    777U,	// USUBLv8i16_v4i32
+    1801U,	// USUBLv8i8_v8i16
+    1033U,	// USUBWv16i8_v8i16
+    1289U,	// USUBWv2i32_v2i64
+    1545U,	// USUBWv4i16_v4i32
+    521U,	// USUBWv4i32_v2i64
+    777U,	// USUBWv8i16_v4i32
+    1801U,	// USUBWv8i8_v8i16
+    1033U,	// UZP1v16i8
+    1289U,	// UZP1v2i32
+    265U,	// UZP1v2i64
+    1545U,	// UZP1v4i16
+    521U,	// UZP1v4i32
+    777U,	// UZP1v8i16
+    1801U,	// UZP1v8i8
+    1033U,	// UZP2v16i8
+    1289U,	// UZP2v2i32
+    265U,	// UZP2v2i64
+    1545U,	// UZP2v4i16
+    521U,	// UZP2v4i32
+    777U,	// UZP2v8i16
+    1801U,	// UZP2v8i8
+    0U,	// XTNv16i8
+    0U,	// XTNv2i32
+    0U,	// XTNv4i16
+    0U,	// XTNv4i32
+    0U,	// XTNv8i16
+    0U,	// XTNv8i8
+    1033U,	// ZIP1v16i8
+    1289U,	// ZIP1v2i32
+    265U,	// ZIP1v2i64
+    1545U,	// ZIP1v4i16
+    521U,	// ZIP1v4i32
+    777U,	// ZIP1v8i16
+    1801U,	// ZIP1v8i8
+    1033U,	// ZIP2v16i8
+    1289U,	// ZIP2v2i32
+    265U,	// ZIP2v2i64
+    1545U,	// ZIP2v4i16
+    521U,	// ZIP2v4i32
+    777U,	// ZIP2v8i16
+    1801U,	// ZIP2v8i8
     0U
   };
 
@@ -5680,320 +4890,304 @@
   /* 661 */ 'l', 'd', 'r', 's', 'b', 9, 0,
   /* 668 */ 'l', 'd', 't', 'r', 's', 'b', 9, 0,
   /* 676 */ 'l', 'd', 'u', 'r', 's', 'b', 9, 0,
-  /* 684 */ 's', 'x', 't', 'b', 9, 0,
-  /* 690 */ 'u', 'x', 't', 'b', 9, 0,
-  /* 696 */ 'f', 's', 'u', 'b', 9, 0,
-  /* 702 */ 's', 'h', 's', 'u', 'b', 9, 0,
-  /* 709 */ 'u', 'h', 's', 'u', 'b', 9, 0,
-  /* 716 */ 'f', 'm', 's', 'u', 'b', 9, 0,
-  /* 723 */ 'f', 'n', 'm', 's', 'u', 'b', 9, 0,
-  /* 731 */ 's', 'q', 's', 'u', 'b', 9, 0,
-  /* 738 */ 'u', 'q', 's', 'u', 'b', 9, 0,
-  /* 745 */ 's', 'h', 'a', '1', 'c', 9, 0,
-  /* 752 */ 's', 'b', 'c', 9, 0,
-  /* 757 */ 'a', 'd', 'c', 9, 0,
-  /* 762 */ 'b', 'i', 'c', 9, 0,
-  /* 767 */ 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 775 */ 'a', 'e', 's', 'm', 'c', 9, 0,
-  /* 782 */ 'c', 's', 'i', 'n', 'c', 9, 0,
-  /* 789 */ 'h', 'v', 'c', 9, 0,
-  /* 794 */ 's', 'v', 'c', 9, 0,
-  /* 799 */ 'f', 'a', 'b', 'd', 9, 0,
-  /* 805 */ 's', 'a', 'b', 'd', 9, 0,
-  /* 811 */ 'u', 'a', 'b', 'd', 9, 0,
-  /* 817 */ 'f', 'a', 'd', 'd', 9, 0,
-  /* 823 */ 's', 'r', 'h', 'a', 'd', 'd', 9, 0,
-  /* 831 */ 'u', 'r', 'h', 'a', 'd', 'd', 9, 0,
-  /* 839 */ 's', 'h', 'a', 'd', 'd', 9, 0,
-  /* 846 */ 'u', 'h', 'a', 'd', 'd', 9, 0,
-  /* 853 */ 'f', 'm', 'a', 'd', 'd', 9, 0,
-  /* 860 */ 'f', 'n', 'm', 'a', 'd', 'd', 9, 0,
-  /* 868 */ 'u', 's', 'q', 'a', 'd', 'd', 9, 0,
-  /* 876 */ 's', 'u', 'q', 'a', 'd', 'd', 9, 0,
-  /* 884 */ 'a', 'n', 'd', 9, 0,
-  /* 889 */ 'a', 'e', 's', 'd', 9, 0,
-  /* 895 */ 'f', 'a', 'c', 'g', 'e', 9, 0,
-  /* 902 */ 'f', 'c', 'm', 'g', 'e', 9, 0,
-  /* 909 */ 'f', 'c', 'm', 'l', 'e', 9, 0,
-  /* 916 */ 'f', 'r', 'e', 'c', 'p', 'e', 9, 0,
-  /* 924 */ 'u', 'r', 'e', 'c', 'p', 'e', 9, 0,
-  /* 932 */ 'f', 'c', 'c', 'm', 'p', 'e', 9, 0,
-  /* 940 */ 'f', 'c', 'm', 'p', 'e', 9, 0,
-  /* 947 */ 'a', 'e', 's', 'e', 9, 0,
-  /* 953 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 9, 0,
-  /* 962 */ 'u', 'r', 's', 'q', 'r', 't', 'e', 9, 0,
-  /* 971 */ 'b', 'i', 'f', 9, 0,
-  /* 976 */ 's', 'c', 'v', 't', 'f', 9, 0,
-  /* 983 */ 'u', 'c', 'v', 't', 'f', 9, 0,
-  /* 990 */ 'f', 'n', 'e', 'g', 9, 0,
-  /* 996 */ 's', 'q', 'n', 'e', 'g', 9, 0,
-  /* 1003 */ 'c', 's', 'n', 'e', 'g', 9, 0,
-  /* 1010 */ 's', 'h', 'a', '1', 'h', 9, 0,
-  /* 1017 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
-  /* 1025 */ 's', 'h', 'a', '2', '5', '6', 'h', 9, 0,
-  /* 1034 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
-  /* 1043 */ 's', 'q', 'd', 'm', 'u', 'l', 'h', 9, 0,
-  /* 1052 */ 's', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 9, 0,
-  /* 1062 */ 's', 'm', 'u', 'l', 'h', 9, 0,
-  /* 1069 */ 'u', 'm', 'u', 'l', 'h', 9, 0,
-  /* 1076 */ 'l', 'd', 'a', 'r', 'h', 9, 0,
-  /* 1083 */ 'l', 'd', 'r', 'h', 9, 0,
-  /* 1089 */ 's', 't', 'l', 'r', 'h', 9, 0,
-  /* 1096 */ 'l', 'd', 't', 'r', 'h', 9, 0,
-  /* 1103 */ 's', 't', 'r', 'h', 9, 0,
-  /* 1109 */ 's', 't', 't', 'r', 'h', 9, 0,
-  /* 1116 */ 'l', 'd', 'u', 'r', 'h', 9, 0,
-  /* 1123 */ 's', 't', 'u', 'r', 'h', 9, 0,
-  /* 1130 */ 'l', 'd', 'a', 'x', 'r', 'h', 9, 0,
-  /* 1138 */ 'l', 'd', 'x', 'r', 'h', 9, 0,
-  /* 1145 */ 's', 't', 'l', 'x', 'r', 'h', 9, 0,
-  /* 1153 */ 's', 't', 'x', 'r', 'h', 9, 0,
-  /* 1160 */ 'l', 'd', 'r', 's', 'h', 9, 0,
-  /* 1167 */ 'l', 'd', 't', 'r', 's', 'h', 9, 0,
-  /* 1175 */ 'l', 'd', 'u', 'r', 's', 'h', 9, 0,
-  /* 1183 */ 's', 'x', 't', 'h', 9, 0,
-  /* 1189 */ 'u', 'x', 't', 'h', 9, 0,
-  /* 1195 */ 't', 'l', 'b', 'i', 9, 0,
-  /* 1201 */ 'b', 'f', 'i', 9, 0,
-  /* 1206 */ 'c', 'm', 'h', 'i', 9, 0,
-  /* 1212 */ 's', 'l', 'i', 9, 0,
-  /* 1217 */ 'm', 'v', 'n', 'i', 9, 0,
-  /* 1223 */ 's', 'r', 'i', 9, 0,
-  /* 1228 */ 'f', 'r', 'i', 'n', 't', 'i', 9, 0,
-  /* 1236 */ 'm', 'o', 'v', 'i', 9, 0,
-  /* 1242 */ 'b', 'r', 'k', 9, 0,
-  /* 1247 */ 'm', 'o', 'v', 'k', 9, 0,
-  /* 1253 */ 's', 'a', 'b', 'a', 'l', 9, 0,
-  /* 1260 */ 'u', 'a', 'b', 'a', 'l', 9, 0,
-  /* 1267 */ 's', 'q', 'd', 'm', 'l', 'a', 'l', 9, 0,
-  /* 1276 */ 's', 'm', 'l', 'a', 'l', 9, 0,
-  /* 1283 */ 'u', 'm', 'l', 'a', 'l', 9, 0,
-  /* 1290 */ 't', 'b', 'l', 9, 0,
-  /* 1295 */ 's', 'm', 's', 'u', 'b', 'l', 9, 0,
-  /* 1303 */ 'u', 'm', 's', 'u', 'b', 'l', 9, 0,
-  /* 1311 */ 's', 's', 'u', 'b', 'l', 9, 0,
-  /* 1318 */ 'u', 's', 'u', 'b', 'l', 9, 0,
-  /* 1325 */ 's', 'a', 'b', 'd', 'l', 9, 0,
-  /* 1332 */ 'u', 'a', 'b', 'd', 'l', 9, 0,
-  /* 1339 */ 's', 'm', 'a', 'd', 'd', 'l', 9, 0,
-  /* 1347 */ 'u', 'm', 'a', 'd', 'd', 'l', 9, 0,
-  /* 1355 */ 's', 'a', 'd', 'd', 'l', 9, 0,
-  /* 1362 */ 'u', 'a', 'd', 'd', 'l', 9, 0,
-  /* 1369 */ 'f', 'c', 's', 'e', 'l', 9, 0,
-  /* 1376 */ 's', 'q', 's', 'h', 'l', 9, 0,
-  /* 1383 */ 'u', 'q', 's', 'h', 'l', 9, 0,
-  /* 1390 */ 's', 'q', 'r', 's', 'h', 'l', 9, 0,
-  /* 1398 */ 'u', 'q', 'r', 's', 'h', 'l', 9, 0,
-  /* 1406 */ 's', 'r', 's', 'h', 'l', 9, 0,
-  /* 1413 */ 'u', 'r', 's', 'h', 'l', 9, 0,
-  /* 1420 */ 's', 's', 'h', 'l', 9, 0,
-  /* 1426 */ 'u', 's', 'h', 'l', 9, 0,
-  /* 1432 */ 'b', 'f', 'x', 'i', 'l', 9, 0,
-  /* 1439 */ 's', 's', 'h', 'l', 'l', 9, 0,
-  /* 1446 */ 'u', 's', 'h', 'l', 'l', 9, 0,
-  /* 1453 */ 's', 'q', 'd', 'm', 'u', 'l', 'l', 9, 0,
-  /* 1462 */ 'p', 'm', 'u', 'l', 'l', 9, 0,
-  /* 1469 */ 's', 'm', 'u', 'l', 'l', 9, 0,
-  /* 1476 */ 'u', 'm', 'u', 'l', 'l', 9, 0,
-  /* 1483 */ 'b', 's', 'l', 9, 0,
-  /* 1488 */ 's', 'q', 'd', 'm', 'l', 's', 'l', 9, 0,
-  /* 1497 */ 's', 'm', 'l', 's', 'l', 9, 0,
-  /* 1504 */ 'u', 'm', 'l', 's', 'l', 9, 0,
-  /* 1511 */ 's', 'y', 's', 'l', 9, 0,
-  /* 1517 */ 'f', 'c', 'v', 't', 'l', 9, 0,
-  /* 1524 */ 'f', 'm', 'u', 'l', 9, 0,
-  /* 1530 */ 'f', 'n', 'm', 'u', 'l', 9, 0,
-  /* 1537 */ 'p', 'm', 'u', 'l', 9, 0,
-  /* 1543 */ 's', 'h', 'a', '1', 'm', 9, 0,
-  /* 1550 */ 's', 'b', 'f', 'm', 9, 0,
-  /* 1556 */ 'u', 'b', 'f', 'm', 9, 0,
-  /* 1562 */ 'p', 'r', 'f', 'm', 9, 0,
-  /* 1568 */ 'f', 'm', 'i', 'n', 'n', 'm', 9, 0,
-  /* 1576 */ 'f', 'm', 'a', 'x', 'n', 'm', 9, 0,
-  /* 1584 */ 'f', 'r', 'i', 'n', 't', 'm', 9, 0,
-  /* 1592 */ 'p', 'r', 'f', 'u', 'm', 9, 0,
-  /* 1599 */ 'r', 's', 'u', 'b', 'h', 'n', 9, 0,
-  /* 1607 */ 'r', 'a', 'd', 'd', 'h', 'n', 9, 0,
-  /* 1615 */ 'f', 'm', 'i', 'n', 9, 0,
-  /* 1621 */ 's', 'm', 'i', 'n', 9, 0,
-  /* 1627 */ 'u', 'm', 'i', 'n', 9, 0,
-  /* 1633 */ 'c', 'c', 'm', 'n', 9, 0,
-  /* 1639 */ 'e', 'o', 'n', 9, 0,
-  /* 1644 */ 's', 'q', 's', 'h', 'r', 'n', 9, 0,
-  /* 1652 */ 'u', 'q', 's', 'h', 'r', 'n', 9, 0,
-  /* 1660 */ 's', 'q', 'r', 's', 'h', 'r', 'n', 9, 0,
-  /* 1669 */ 'u', 'q', 'r', 's', 'h', 'r', 'n', 9, 0,
-  /* 1678 */ 'o', 'r', 'n', 9, 0,
-  /* 1683 */ 'f', 'r', 'i', 'n', 't', 'n', 9, 0,
-  /* 1691 */ 'f', 'c', 'v', 't', 'n', 9, 0,
-  /* 1698 */ 's', 'q', 'x', 't', 'n', 9, 0,
-  /* 1705 */ 'u', 'q', 'x', 't', 'n', 9, 0,
-  /* 1712 */ 's', 'q', 's', 'h', 'r', 'u', 'n', 9, 0,
-  /* 1721 */ 's', 'q', 'r', 's', 'h', 'r', 'u', 'n', 9, 0,
-  /* 1731 */ 's', 'q', 'x', 't', 'u', 'n', 9, 0,
-  /* 1739 */ 'm', 'v', 'n', 9, 0,
-  /* 1744 */ 'm', 'o', 'v', 'n', 9, 0,
-  /* 1750 */ 'f', 'c', 'v', 't', 'x', 'n', 9, 0,
-  /* 1758 */ 's', 'h', 'a', '1', 'p', 9, 0,
-  /* 1765 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 1772 */ 'l', 'd', 'p', 9, 0,
-  /* 1777 */ 's', 'a', 'd', 'a', 'l', 'p', 9, 0,
-  /* 1785 */ 'u', 'a', 'd', 'a', 'l', 'p', 9, 0,
-  /* 1793 */ 's', 'a', 'd', 'd', 'l', 'p', 9, 0,
-  /* 1801 */ 'u', 'a', 'd', 'd', 'l', 'p', 9, 0,
-  /* 1809 */ 'f', 'c', 'c', 'm', 'p', 9, 0,
-  /* 1816 */ 'f', 'c', 'm', 'p', 9, 0,
-  /* 1822 */ 'f', 'm', 'i', 'n', 'n', 'm', 'p', 9, 0,
-  /* 1831 */ 'f', 'm', 'a', 'x', 'n', 'm', 'p', 9, 0,
-  /* 1840 */ 'l', 'd', 'n', 'p', 9, 0,
-  /* 1846 */ 'f', 'm', 'i', 'n', 'p', 9, 0,
-  /* 1853 */ 's', 'm', 'i', 'n', 'p', 9, 0,
-  /* 1860 */ 'u', 'm', 'i', 'n', 'p', 9, 0,
-  /* 1867 */ 's', 't', 'n', 'p', 9, 0,
-  /* 1873 */ 'a', 'd', 'r', 'p', 9, 0,
-  /* 1879 */ 'f', 'r', 'i', 'n', 't', 'p', 9, 0,
-  /* 1887 */ 's', 't', 'p', 9, 0,
-  /* 1892 */ 'd', 'u', 'p', 9, 0,
-  /* 1897 */ 'l', 'd', 'a', 'x', 'p', 9, 0,
-  /* 1904 */ 'f', 'm', 'a', 'x', 'p', 9, 0,
-  /* 1911 */ 's', 'm', 'a', 'x', 'p', 9, 0,
-  /* 1918 */ 'u', 'm', 'a', 'x', 'p', 9, 0,
-  /* 1925 */ 'l', 'd', 'x', 'p', 9, 0,
-  /* 1931 */ 's', 't', 'l', 'x', 'p', 9, 0,
-  /* 1938 */ 's', 't', 'x', 'p', 9, 0,
-  /* 1944 */ 'f', 'c', 'm', 'e', 'q', 9, 0,
-  /* 1951 */ 'l', 'd', '1', 'r', 9, 0,
-  /* 1957 */ 'l', 'd', '2', 'r', 9, 0,
-  /* 1963 */ 'l', 'd', '3', 'r', 9, 0,
-  /* 1969 */ 'l', 'd', '4', 'r', 9, 0,
-  /* 1975 */ 'l', 'd', 'a', 'r', 9, 0,
-  /* 1981 */ 'b', 'r', 9, 0,
-  /* 1985 */ 'a', 'd', 'r', 9, 0,
-  /* 1990 */ 'l', 'd', 'r', 9, 0,
-  /* 1995 */ 's', 'r', 's', 'h', 'r', 9, 0,
-  /* 2002 */ 'u', 'r', 's', 'h', 'r', 9, 0,
-  /* 2009 */ 's', 's', 'h', 'r', 9, 0,
-  /* 2015 */ 'u', 's', 'h', 'r', 9, 0,
-  /* 2021 */ 'b', 'l', 'r', 9, 0,
-  /* 2026 */ 's', 't', 'l', 'r', 9, 0,
-  /* 2032 */ 'e', 'o', 'r', 9, 0,
-  /* 2037 */ 'r', 'o', 'r', 9, 0,
-  /* 2042 */ 'o', 'r', 'r', 9, 0,
-  /* 2047 */ 'a', 's', 'r', 9, 0,
-  /* 2052 */ 'l', 's', 'r', 9, 0,
-  /* 2057 */ 'm', 's', 'r', 9, 0,
-  /* 2062 */ 'l', 'd', 't', 'r', 9, 0,
-  /* 2068 */ 's', 't', 'r', 9, 0,
-  /* 2073 */ 's', 't', 't', 'r', 9, 0,
-  /* 2079 */ 'e', 'x', 't', 'r', 9, 0,
-  /* 2085 */ 'l', 'd', 'u', 'r', 9, 0,
-  /* 2091 */ 's', 't', 'u', 'r', 9, 0,
-  /* 2097 */ 'l', 'd', 'a', 'x', 'r', 9, 0,
-  /* 2104 */ 'l', 'd', 'x', 'r', 9, 0,
-  /* 2110 */ 's', 't', 'l', 'x', 'r', 9, 0,
-  /* 2117 */ 's', 't', 'x', 'r', 9, 0,
-  /* 2123 */ 'f', 'c', 'v', 't', 'a', 's', 9, 0,
-  /* 2131 */ 'f', 'a', 'b', 's', 9, 0,
-  /* 2137 */ 's', 'q', 'a', 'b', 's', 9, 0,
-  /* 2144 */ 's', 'u', 'b', 's', 9, 0,
-  /* 2150 */ 's', 'b', 'c', 's', 9, 0,
-  /* 2156 */ 'a', 'd', 'c', 's', 9, 0,
-  /* 2162 */ 'b', 'i', 'c', 's', 9, 0,
-  /* 2168 */ 'a', 'd', 'd', 's', 9, 0,
-  /* 2174 */ 'a', 'n', 'd', 's', 9, 0,
-  /* 2180 */ 'c', 'm', 'h', 's', 9, 0,
-  /* 2186 */ 'c', 'l', 's', 9, 0,
-  /* 2191 */ 'f', 'm', 'l', 's', 9, 0,
-  /* 2197 */ 'f', 'c', 'v', 't', 'm', 's', 9, 0,
-  /* 2205 */ 'i', 'n', 's', 9, 0,
-  /* 2210 */ 'f', 'c', 'v', 't', 'n', 's', 9, 0,
-  /* 2218 */ 'f', 'r', 'e', 'c', 'p', 's', 9, 0,
-  /* 2226 */ 'f', 'c', 'v', 't', 'p', 's', 9, 0,
-  /* 2234 */ 'm', 'r', 's', 9, 0,
-  /* 2239 */ 'f', 'r', 's', 'q', 'r', 't', 's', 9, 0,
-  /* 2248 */ 's', 'y', 's', 9, 0,
-  /* 2253 */ 'f', 'c', 'v', 't', 'z', 's', 9, 0,
-  /* 2261 */ 'a', 't', 9, 0,
-  /* 2265 */ 'r', 'e', 't', 9, 0,
-  /* 2270 */ 'f', 'a', 'c', 'g', 't', 9, 0,
-  /* 2277 */ 'f', 'c', 'm', 'g', 't', 9, 0,
-  /* 2284 */ 'r', 'b', 'i', 't', 9, 0,
-  /* 2290 */ 'h', 'l', 't', 9, 0,
-  /* 2295 */ 'f', 'c', 'm', 'l', 't', 9, 0,
-  /* 2302 */ 'c', 'n', 't', 9, 0,
-  /* 2307 */ 'h', 'i', 'n', 't', 9, 0,
-  /* 2313 */ 'n', 'o', 't', 9, 0,
-  /* 2318 */ 'f', 's', 'q', 'r', 't', 9, 0,
-  /* 2325 */ 'c', 'm', 't', 's', 't', 9, 0,
-  /* 2332 */ 'f', 'c', 'v', 't', 9, 0,
-  /* 2338 */ 'e', 'x', 't', 9, 0,
-  /* 2343 */ 'f', 'c', 'v', 't', 'a', 'u', 9, 0,
-  /* 2351 */ 's', 'q', 's', 'h', 'l', 'u', 9, 0,
-  /* 2359 */ 'f', 'c', 'v', 't', 'm', 'u', 9, 0,
-  /* 2367 */ 'f', 'c', 'v', 't', 'n', 'u', 9, 0,
-  /* 2375 */ 'f', 'c', 'v', 't', 'p', 'u', 9, 0,
-  /* 2383 */ 'f', 'c', 'v', 't', 'z', 'u', 9, 0,
-  /* 2391 */ 'a', 'd', 'd', 'v', 9, 0,
-  /* 2397 */ 'r', 'e', 'v', 9, 0,
-  /* 2402 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 2408 */ 's', 'd', 'i', 'v', 9, 0,
-  /* 2414 */ 'u', 'd', 'i', 'v', 9, 0,
-  /* 2420 */ 's', 'a', 'd', 'd', 'l', 'v', 9, 0,
-  /* 2428 */ 'u', 'a', 'd', 'd', 'l', 'v', 9, 0,
-  /* 2436 */ 'f', 'm', 'i', 'n', 'n', 'm', 'v', 9, 0,
-  /* 2445 */ 'f', 'm', 'a', 'x', 'n', 'm', 'v', 9, 0,
-  /* 2454 */ 'f', 'm', 'i', 'n', 'v', 9, 0,
-  /* 2461 */ 's', 'm', 'i', 'n', 'v', 9, 0,
-  /* 2468 */ 'u', 'm', 'i', 'n', 'v', 9, 0,
-  /* 2475 */ 'c', 's', 'i', 'n', 'v', 9, 0,
-  /* 2482 */ 'f', 'm', 'o', 'v', 9, 0,
-  /* 2488 */ 's', 'm', 'o', 'v', 9, 0,
-  /* 2494 */ 'u', 'm', 'o', 'v', 9, 0,
-  /* 2500 */ 'f', 'm', 'a', 'x', 'v', 9, 0,
-  /* 2507 */ 's', 'm', 'a', 'x', 'v', 9, 0,
-  /* 2514 */ 'u', 'm', 'a', 'x', 'v', 9, 0,
-  /* 2521 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
-  /* 2529 */ 's', 's', 'u', 'b', 'w', 9, 0,
-  /* 2536 */ 'u', 's', 'u', 'b', 'w', 9, 0,
-  /* 2543 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
-  /* 2552 */ 's', 'a', 'd', 'd', 'w', 9, 0,
-  /* 2559 */ 'u', 'a', 'd', 'd', 'w', 9, 0,
-  /* 2566 */ 'l', 'd', 'p', 's', 'w', 9, 0,
-  /* 2573 */ 'l', 'd', 'r', 's', 'w', 9, 0,
-  /* 2580 */ 'l', 'd', 't', 'r', 's', 'w', 9, 0,
-  /* 2588 */ 'l', 'd', 'u', 'r', 's', 'w', 9, 0,
-  /* 2596 */ 's', 'x', 't', 'w', 9, 0,
-  /* 2602 */ 'c', 'r', 'c', '3', '2', 'x', 9, 0,
-  /* 2610 */ 'f', 'm', 'a', 'x', 9, 0,
-  /* 2616 */ 's', 'm', 'a', 'x', 9, 0,
-  /* 2622 */ 'u', 'm', 'a', 'x', 9, 0,
-  /* 2628 */ 't', 'b', 'x', 9, 0,
-  /* 2633 */ 'c', 'r', 'c', '3', '2', 'c', 'x', 9, 0,
-  /* 2642 */ 'c', 'l', 'r', 'e', 'x', 9, 0,
-  /* 2649 */ 's', 'b', 'f', 'x', 9, 0,
-  /* 2655 */ 'u', 'b', 'f', 'x', 9, 0,
-  /* 2661 */ 'f', 'm', 'u', 'l', 'x', 9, 0,
-  /* 2668 */ 'f', 'r', 'e', 'c', 'p', 'x', 9, 0,
-  /* 2676 */ 'f', 'r', 'i', 'n', 't', 'x', 9, 0,
-  /* 2684 */ 'c', 'b', 'z', 9, 0,
-  /* 2689 */ 't', 'b', 'z', 9, 0,
-  /* 2694 */ 's', 'b', 'f', 'i', 'z', 9, 0,
-  /* 2701 */ 'u', 'b', 'f', 'i', 'z', 9, 0,
-  /* 2708 */ 'c', 'l', 'z', 9, 0,
-  /* 2713 */ 'c', 'b', 'n', 'z', 9, 0,
-  /* 2719 */ 't', 'b', 'n', 'z', 9, 0,
-  /* 2725 */ 'f', 'r', 'i', 'n', 't', 'z', 9, 0,
-  /* 2733 */ 'm', 'o', 'v', 'z', 9, 0,
-  /* 2739 */ 'm', 'o', 'v', 'i', 9, 32, 0,
-  /* 2746 */ 'c', 'm', 'n', 32, 0,
-  /* 2751 */ 'c', 'm', 'p', 32, 0,
-  /* 2756 */ 'b', '.', 0,
-  /* 2759 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 2772 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 2779 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 2789 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 2804 */ 'd', 'r', 'p', 's', 0,
-  /* 2809 */ 'e', 'r', 'e', 't', 0,
+  /* 684 */ 'f', 's', 'u', 'b', 9, 0,
+  /* 690 */ 's', 'h', 's', 'u', 'b', 9, 0,
+  /* 697 */ 'u', 'h', 's', 'u', 'b', 9, 0,
+  /* 704 */ 'f', 'm', 's', 'u', 'b', 9, 0,
+  /* 711 */ 'f', 'n', 'm', 's', 'u', 'b', 9, 0,
+  /* 719 */ 's', 'q', 's', 'u', 'b', 9, 0,
+  /* 726 */ 'u', 'q', 's', 'u', 'b', 9, 0,
+  /* 733 */ 's', 'h', 'a', '1', 'c', 9, 0,
+  /* 740 */ 's', 'b', 'c', 9, 0,
+  /* 745 */ 'a', 'd', 'c', 9, 0,
+  /* 750 */ 'b', 'i', 'c', 9, 0,
+  /* 755 */ 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 763 */ 'a', 'e', 's', 'm', 'c', 9, 0,
+  /* 770 */ 'c', 's', 'i', 'n', 'c', 9, 0,
+  /* 777 */ 'h', 'v', 'c', 9, 0,
+  /* 782 */ 's', 'v', 'c', 9, 0,
+  /* 787 */ 'f', 'a', 'b', 'd', 9, 0,
+  /* 793 */ 's', 'a', 'b', 'd', 9, 0,
+  /* 799 */ 'u', 'a', 'b', 'd', 9, 0,
+  /* 805 */ 'f', 'a', 'd', 'd', 9, 0,
+  /* 811 */ 's', 'r', 'h', 'a', 'd', 'd', 9, 0,
+  /* 819 */ 'u', 'r', 'h', 'a', 'd', 'd', 9, 0,
+  /* 827 */ 's', 'h', 'a', 'd', 'd', 9, 0,
+  /* 834 */ 'u', 'h', 'a', 'd', 'd', 9, 0,
+  /* 841 */ 'f', 'm', 'a', 'd', 'd', 9, 0,
+  /* 848 */ 'f', 'n', 'm', 'a', 'd', 'd', 9, 0,
+  /* 856 */ 'u', 's', 'q', 'a', 'd', 'd', 9, 0,
+  /* 864 */ 's', 'u', 'q', 'a', 'd', 'd', 9, 0,
+  /* 872 */ 'a', 'n', 'd', 9, 0,
+  /* 877 */ 'a', 'e', 's', 'd', 9, 0,
+  /* 883 */ 'f', 'a', 'c', 'g', 'e', 9, 0,
+  /* 890 */ 'f', 'c', 'm', 'g', 'e', 9, 0,
+  /* 897 */ 'f', 'c', 'm', 'l', 'e', 9, 0,
+  /* 904 */ 'f', 'r', 'e', 'c', 'p', 'e', 9, 0,
+  /* 912 */ 'u', 'r', 'e', 'c', 'p', 'e', 9, 0,
+  /* 920 */ 'f', 'c', 'c', 'm', 'p', 'e', 9, 0,
+  /* 928 */ 'f', 'c', 'm', 'p', 'e', 9, 0,
+  /* 935 */ 'a', 'e', 's', 'e', 9, 0,
+  /* 941 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 9, 0,
+  /* 950 */ 'u', 'r', 's', 'q', 'r', 't', 'e', 9, 0,
+  /* 959 */ 'b', 'i', 'f', 9, 0,
+  /* 964 */ 's', 'c', 'v', 't', 'f', 9, 0,
+  /* 971 */ 'u', 'c', 'v', 't', 'f', 9, 0,
+  /* 978 */ 'f', 'n', 'e', 'g', 9, 0,
+  /* 984 */ 's', 'q', 'n', 'e', 'g', 9, 0,
+  /* 991 */ 'c', 's', 'n', 'e', 'g', 9, 0,
+  /* 998 */ 's', 'h', 'a', '1', 'h', 9, 0,
+  /* 1005 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
+  /* 1013 */ 's', 'h', 'a', '2', '5', '6', 'h', 9, 0,
+  /* 1022 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
+  /* 1031 */ 's', 'q', 'd', 'm', 'u', 'l', 'h', 9, 0,
+  /* 1040 */ 's', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 9, 0,
+  /* 1050 */ 's', 'm', 'u', 'l', 'h', 9, 0,
+  /* 1057 */ 'u', 'm', 'u', 'l', 'h', 9, 0,
+  /* 1064 */ 'l', 'd', 'a', 'r', 'h', 9, 0,
+  /* 1071 */ 'l', 'd', 'r', 'h', 9, 0,
+  /* 1077 */ 's', 't', 'l', 'r', 'h', 9, 0,
+  /* 1084 */ 'l', 'd', 't', 'r', 'h', 9, 0,
+  /* 1091 */ 's', 't', 'r', 'h', 9, 0,
+  /* 1097 */ 's', 't', 't', 'r', 'h', 9, 0,
+  /* 1104 */ 'l', 'd', 'u', 'r', 'h', 9, 0,
+  /* 1111 */ 's', 't', 'u', 'r', 'h', 9, 0,
+  /* 1118 */ 'l', 'd', 'a', 'x', 'r', 'h', 9, 0,
+  /* 1126 */ 'l', 'd', 'x', 'r', 'h', 9, 0,
+  /* 1133 */ 's', 't', 'l', 'x', 'r', 'h', 9, 0,
+  /* 1141 */ 's', 't', 'x', 'r', 'h', 9, 0,
+  /* 1148 */ 'l', 'd', 'r', 's', 'h', 9, 0,
+  /* 1155 */ 'l', 'd', 't', 'r', 's', 'h', 9, 0,
+  /* 1163 */ 'l', 'd', 'u', 'r', 's', 'h', 9, 0,
+  /* 1171 */ 'c', 'm', 'h', 'i', 9, 0,
+  /* 1177 */ 's', 'l', 'i', 9, 0,
+  /* 1182 */ 'm', 'v', 'n', 'i', 9, 0,
+  /* 1188 */ 's', 'r', 'i', 9, 0,
+  /* 1193 */ 'f', 'r', 'i', 'n', 't', 'i', 9, 0,
+  /* 1201 */ 'm', 'o', 'v', 'i', 9, 0,
+  /* 1207 */ 'b', 'r', 'k', 9, 0,
+  /* 1212 */ 'm', 'o', 'v', 'k', 9, 0,
+  /* 1218 */ 's', 'a', 'b', 'a', 'l', 9, 0,
+  /* 1225 */ 'u', 'a', 'b', 'a', 'l', 9, 0,
+  /* 1232 */ 's', 'q', 'd', 'm', 'l', 'a', 'l', 9, 0,
+  /* 1241 */ 's', 'm', 'l', 'a', 'l', 9, 0,
+  /* 1248 */ 'u', 'm', 'l', 'a', 'l', 9, 0,
+  /* 1255 */ 't', 'b', 'l', 9, 0,
+  /* 1260 */ 's', 'm', 's', 'u', 'b', 'l', 9, 0,
+  /* 1268 */ 'u', 'm', 's', 'u', 'b', 'l', 9, 0,
+  /* 1276 */ 's', 's', 'u', 'b', 'l', 9, 0,
+  /* 1283 */ 'u', 's', 'u', 'b', 'l', 9, 0,
+  /* 1290 */ 's', 'a', 'b', 'd', 'l', 9, 0,
+  /* 1297 */ 'u', 'a', 'b', 'd', 'l', 9, 0,
+  /* 1304 */ 's', 'm', 'a', 'd', 'd', 'l', 9, 0,
+  /* 1312 */ 'u', 'm', 'a', 'd', 'd', 'l', 9, 0,
+  /* 1320 */ 's', 'a', 'd', 'd', 'l', 9, 0,
+  /* 1327 */ 'u', 'a', 'd', 'd', 'l', 9, 0,
+  /* 1334 */ 'f', 'c', 's', 'e', 'l', 9, 0,
+  /* 1341 */ 's', 'q', 's', 'h', 'l', 9, 0,
+  /* 1348 */ 'u', 'q', 's', 'h', 'l', 9, 0,
+  /* 1355 */ 's', 'q', 'r', 's', 'h', 'l', 9, 0,
+  /* 1363 */ 'u', 'q', 'r', 's', 'h', 'l', 9, 0,
+  /* 1371 */ 's', 'r', 's', 'h', 'l', 9, 0,
+  /* 1378 */ 'u', 'r', 's', 'h', 'l', 9, 0,
+  /* 1385 */ 's', 's', 'h', 'l', 9, 0,
+  /* 1391 */ 'u', 's', 'h', 'l', 9, 0,
+  /* 1397 */ 's', 's', 'h', 'l', 'l', 9, 0,
+  /* 1404 */ 'u', 's', 'h', 'l', 'l', 9, 0,
+  /* 1411 */ 's', 'q', 'd', 'm', 'u', 'l', 'l', 9, 0,
+  /* 1420 */ 'p', 'm', 'u', 'l', 'l', 9, 0,
+  /* 1427 */ 's', 'm', 'u', 'l', 'l', 9, 0,
+  /* 1434 */ 'u', 'm', 'u', 'l', 'l', 9, 0,
+  /* 1441 */ 'b', 's', 'l', 9, 0,
+  /* 1446 */ 's', 'q', 'd', 'm', 'l', 's', 'l', 9, 0,
+  /* 1455 */ 's', 'm', 'l', 's', 'l', 9, 0,
+  /* 1462 */ 'u', 'm', 'l', 's', 'l', 9, 0,
+  /* 1469 */ 's', 'y', 's', 'l', 9, 0,
+  /* 1475 */ 'f', 'c', 'v', 't', 'l', 9, 0,
+  /* 1482 */ 'f', 'm', 'u', 'l', 9, 0,
+  /* 1488 */ 'f', 'n', 'm', 'u', 'l', 9, 0,
+  /* 1495 */ 'p', 'm', 'u', 'l', 9, 0,
+  /* 1501 */ 's', 'h', 'a', '1', 'm', 9, 0,
+  /* 1508 */ 's', 'b', 'f', 'm', 9, 0,
+  /* 1514 */ 'u', 'b', 'f', 'm', 9, 0,
+  /* 1520 */ 'p', 'r', 'f', 'm', 9, 0,
+  /* 1526 */ 'f', 'm', 'i', 'n', 'n', 'm', 9, 0,
+  /* 1534 */ 'f', 'm', 'a', 'x', 'n', 'm', 9, 0,
+  /* 1542 */ 'f', 'r', 'i', 'n', 't', 'm', 9, 0,
+  /* 1550 */ 'p', 'r', 'f', 'u', 'm', 9, 0,
+  /* 1557 */ 'r', 's', 'u', 'b', 'h', 'n', 9, 0,
+  /* 1565 */ 'r', 'a', 'd', 'd', 'h', 'n', 9, 0,
+  /* 1573 */ 'f', 'm', 'i', 'n', 9, 0,
+  /* 1579 */ 's', 'm', 'i', 'n', 9, 0,
+  /* 1585 */ 'u', 'm', 'i', 'n', 9, 0,
+  /* 1591 */ 'c', 'c', 'm', 'n', 9, 0,
+  /* 1597 */ 'e', 'o', 'n', 9, 0,
+  /* 1602 */ 's', 'q', 's', 'h', 'r', 'n', 9, 0,
+  /* 1610 */ 'u', 'q', 's', 'h', 'r', 'n', 9, 0,
+  /* 1618 */ 's', 'q', 'r', 's', 'h', 'r', 'n', 9, 0,
+  /* 1627 */ 'u', 'q', 'r', 's', 'h', 'r', 'n', 9, 0,
+  /* 1636 */ 'o', 'r', 'n', 9, 0,
+  /* 1641 */ 'f', 'r', 'i', 'n', 't', 'n', 9, 0,
+  /* 1649 */ 'f', 'c', 'v', 't', 'n', 9, 0,
+  /* 1656 */ 's', 'q', 'x', 't', 'n', 9, 0,
+  /* 1663 */ 'u', 'q', 'x', 't', 'n', 9, 0,
+  /* 1670 */ 's', 'q', 's', 'h', 'r', 'u', 'n', 9, 0,
+  /* 1679 */ 's', 'q', 'r', 's', 'h', 'r', 'u', 'n', 9, 0,
+  /* 1689 */ 's', 'q', 'x', 't', 'u', 'n', 9, 0,
+  /* 1697 */ 'm', 'o', 'v', 'n', 9, 0,
+  /* 1703 */ 'f', 'c', 'v', 't', 'x', 'n', 9, 0,
+  /* 1711 */ 's', 'h', 'a', '1', 'p', 9, 0,
+  /* 1718 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 1725 */ 'l', 'd', 'p', 9, 0,
+  /* 1730 */ 's', 'a', 'd', 'a', 'l', 'p', 9, 0,
+  /* 1738 */ 'u', 'a', 'd', 'a', 'l', 'p', 9, 0,
+  /* 1746 */ 's', 'a', 'd', 'd', 'l', 'p', 9, 0,
+  /* 1754 */ 'u', 'a', 'd', 'd', 'l', 'p', 9, 0,
+  /* 1762 */ 'f', 'c', 'c', 'm', 'p', 9, 0,
+  /* 1769 */ 'f', 'c', 'm', 'p', 9, 0,
+  /* 1775 */ 'f', 'm', 'i', 'n', 'n', 'm', 'p', 9, 0,
+  /* 1784 */ 'f', 'm', 'a', 'x', 'n', 'm', 'p', 9, 0,
+  /* 1793 */ 'l', 'd', 'n', 'p', 9, 0,
+  /* 1799 */ 'f', 'm', 'i', 'n', 'p', 9, 0,
+  /* 1806 */ 's', 'm', 'i', 'n', 'p', 9, 0,
+  /* 1813 */ 'u', 'm', 'i', 'n', 'p', 9, 0,
+  /* 1820 */ 's', 't', 'n', 'p', 9, 0,
+  /* 1826 */ 'a', 'd', 'r', 'p', 9, 0,
+  /* 1832 */ 'f', 'r', 'i', 'n', 't', 'p', 9, 0,
+  /* 1840 */ 's', 't', 'p', 9, 0,
+  /* 1845 */ 'd', 'u', 'p', 9, 0,
+  /* 1850 */ 'l', 'd', 'a', 'x', 'p', 9, 0,
+  /* 1857 */ 'f', 'm', 'a', 'x', 'p', 9, 0,
+  /* 1864 */ 's', 'm', 'a', 'x', 'p', 9, 0,
+  /* 1871 */ 'u', 'm', 'a', 'x', 'p', 9, 0,
+  /* 1878 */ 'l', 'd', 'x', 'p', 9, 0,
+  /* 1884 */ 's', 't', 'l', 'x', 'p', 9, 0,
+  /* 1891 */ 's', 't', 'x', 'p', 9, 0,
+  /* 1897 */ 'f', 'c', 'm', 'e', 'q', 9, 0,
+  /* 1904 */ 'l', 'd', '1', 'r', 9, 0,
+  /* 1910 */ 'l', 'd', '2', 'r', 9, 0,
+  /* 1916 */ 'l', 'd', '3', 'r', 9, 0,
+  /* 1922 */ 'l', 'd', '4', 'r', 9, 0,
+  /* 1928 */ 'l', 'd', 'a', 'r', 9, 0,
+  /* 1934 */ 'b', 'r', 9, 0,
+  /* 1938 */ 'a', 'd', 'r', 9, 0,
+  /* 1943 */ 'l', 'd', 'r', 9, 0,
+  /* 1948 */ 's', 'r', 's', 'h', 'r', 9, 0,
+  /* 1955 */ 'u', 'r', 's', 'h', 'r', 9, 0,
+  /* 1962 */ 's', 's', 'h', 'r', 9, 0,
+  /* 1968 */ 'u', 's', 'h', 'r', 9, 0,
+  /* 1974 */ 'b', 'l', 'r', 9, 0,
+  /* 1979 */ 's', 't', 'l', 'r', 9, 0,
+  /* 1985 */ 'e', 'o', 'r', 9, 0,
+  /* 1990 */ 'r', 'o', 'r', 9, 0,
+  /* 1995 */ 'o', 'r', 'r', 9, 0,
+  /* 2000 */ 'a', 's', 'r', 9, 0,
+  /* 2005 */ 'l', 's', 'r', 9, 0,
+  /* 2010 */ 'm', 's', 'r', 9, 0,
+  /* 2015 */ 'l', 'd', 't', 'r', 9, 0,
+  /* 2021 */ 's', 't', 'r', 9, 0,
+  /* 2026 */ 's', 't', 't', 'r', 9, 0,
+  /* 2032 */ 'e', 'x', 't', 'r', 9, 0,
+  /* 2038 */ 'l', 'd', 'u', 'r', 9, 0,
+  /* 2044 */ 's', 't', 'u', 'r', 9, 0,
+  /* 2050 */ 'l', 'd', 'a', 'x', 'r', 9, 0,
+  /* 2057 */ 'l', 'd', 'x', 'r', 9, 0,
+  /* 2063 */ 's', 't', 'l', 'x', 'r', 9, 0,
+  /* 2070 */ 's', 't', 'x', 'r', 9, 0,
+  /* 2076 */ 'f', 'c', 'v', 't', 'a', 's', 9, 0,
+  /* 2084 */ 'f', 'a', 'b', 's', 9, 0,
+  /* 2090 */ 's', 'q', 'a', 'b', 's', 9, 0,
+  /* 2097 */ 's', 'u', 'b', 's', 9, 0,
+  /* 2103 */ 's', 'b', 'c', 's', 9, 0,
+  /* 2109 */ 'a', 'd', 'c', 's', 9, 0,
+  /* 2115 */ 'b', 'i', 'c', 's', 9, 0,
+  /* 2121 */ 'a', 'd', 'd', 's', 9, 0,
+  /* 2127 */ 'a', 'n', 'd', 's', 9, 0,
+  /* 2133 */ 'c', 'm', 'h', 's', 9, 0,
+  /* 2139 */ 'c', 'l', 's', 9, 0,
+  /* 2144 */ 'f', 'm', 'l', 's', 9, 0,
+  /* 2150 */ 'f', 'c', 'v', 't', 'm', 's', 9, 0,
+  /* 2158 */ 'i', 'n', 's', 9, 0,
+  /* 2163 */ 'f', 'c', 'v', 't', 'n', 's', 9, 0,
+  /* 2171 */ 'f', 'r', 'e', 'c', 'p', 's', 9, 0,
+  /* 2179 */ 'f', 'c', 'v', 't', 'p', 's', 9, 0,
+  /* 2187 */ 'm', 'r', 's', 9, 0,
+  /* 2192 */ 'f', 'r', 's', 'q', 'r', 't', 's', 9, 0,
+  /* 2201 */ 's', 'y', 's', 9, 0,
+  /* 2206 */ 'f', 'c', 'v', 't', 'z', 's', 9, 0,
+  /* 2214 */ 'r', 'e', 't', 9, 0,
+  /* 2219 */ 'f', 'a', 'c', 'g', 't', 9, 0,
+  /* 2226 */ 'f', 'c', 'm', 'g', 't', 9, 0,
+  /* 2233 */ 'r', 'b', 'i', 't', 9, 0,
+  /* 2239 */ 'h', 'l', 't', 9, 0,
+  /* 2244 */ 'f', 'c', 'm', 'l', 't', 9, 0,
+  /* 2251 */ 'c', 'n', 't', 9, 0,
+  /* 2256 */ 'n', 'o', 't', 9, 0,
+  /* 2261 */ 'f', 's', 'q', 'r', 't', 9, 0,
+  /* 2268 */ 'c', 'm', 't', 's', 't', 9, 0,
+  /* 2275 */ 'f', 'c', 'v', 't', 9, 0,
+  /* 2281 */ 'e', 'x', 't', 9, 0,
+  /* 2286 */ 'f', 'c', 'v', 't', 'a', 'u', 9, 0,
+  /* 2294 */ 's', 'q', 's', 'h', 'l', 'u', 9, 0,
+  /* 2302 */ 'f', 'c', 'v', 't', 'm', 'u', 9, 0,
+  /* 2310 */ 'f', 'c', 'v', 't', 'n', 'u', 9, 0,
+  /* 2318 */ 'f', 'c', 'v', 't', 'p', 'u', 9, 0,
+  /* 2326 */ 'f', 'c', 'v', 't', 'z', 'u', 9, 0,
+  /* 2334 */ 'a', 'd', 'd', 'v', 9, 0,
+  /* 2340 */ 'r', 'e', 'v', 9, 0,
+  /* 2345 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 2351 */ 's', 'd', 'i', 'v', 9, 0,
+  /* 2357 */ 'u', 'd', 'i', 'v', 9, 0,
+  /* 2363 */ 's', 'a', 'd', 'd', 'l', 'v', 9, 0,
+  /* 2371 */ 'u', 'a', 'd', 'd', 'l', 'v', 9, 0,
+  /* 2379 */ 'f', 'm', 'i', 'n', 'n', 'm', 'v', 9, 0,
+  /* 2388 */ 'f', 'm', 'a', 'x', 'n', 'm', 'v', 9, 0,
+  /* 2397 */ 'f', 'm', 'i', 'n', 'v', 9, 0,
+  /* 2404 */ 's', 'm', 'i', 'n', 'v', 9, 0,
+  /* 2411 */ 'u', 'm', 'i', 'n', 'v', 9, 0,
+  /* 2418 */ 'c', 's', 'i', 'n', 'v', 9, 0,
+  /* 2425 */ 'f', 'm', 'o', 'v', 9, 0,
+  /* 2431 */ 's', 'm', 'o', 'v', 9, 0,
+  /* 2437 */ 'u', 'm', 'o', 'v', 9, 0,
+  /* 2443 */ 'f', 'm', 'a', 'x', 'v', 9, 0,
+  /* 2450 */ 's', 'm', 'a', 'x', 'v', 9, 0,
+  /* 2457 */ 'u', 'm', 'a', 'x', 'v', 9, 0,
+  /* 2464 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
+  /* 2472 */ 's', 's', 'u', 'b', 'w', 9, 0,
+  /* 2479 */ 'u', 's', 'u', 'b', 'w', 9, 0,
+  /* 2486 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
+  /* 2495 */ 's', 'a', 'd', 'd', 'w', 9, 0,
+  /* 2502 */ 'u', 'a', 'd', 'd', 'w', 9, 0,
+  /* 2509 */ 'l', 'd', 'p', 's', 'w', 9, 0,
+  /* 2516 */ 'l', 'd', 'r', 's', 'w', 9, 0,
+  /* 2523 */ 'l', 'd', 't', 'r', 's', 'w', 9, 0,
+  /* 2531 */ 'l', 'd', 'u', 'r', 's', 'w', 9, 0,
+  /* 2539 */ 'c', 'r', 'c', '3', '2', 'x', 9, 0,
+  /* 2547 */ 'f', 'm', 'a', 'x', 9, 0,
+  /* 2553 */ 's', 'm', 'a', 'x', 9, 0,
+  /* 2559 */ 'u', 'm', 'a', 'x', 9, 0,
+  /* 2565 */ 't', 'b', 'x', 9, 0,
+  /* 2570 */ 'c', 'r', 'c', '3', '2', 'c', 'x', 9, 0,
+  /* 2579 */ 'c', 'l', 'r', 'e', 'x', 9, 0,
+  /* 2586 */ 'f', 'm', 'u', 'l', 'x', 9, 0,
+  /* 2593 */ 'f', 'r', 'e', 'c', 'p', 'x', 9, 0,
+  /* 2601 */ 'f', 'r', 'i', 'n', 't', 'x', 9, 0,
+  /* 2609 */ 'c', 'b', 'z', 9, 0,
+  /* 2614 */ 't', 'b', 'z', 9, 0,
+  /* 2619 */ 'c', 'l', 'z', 9, 0,
+  /* 2624 */ 'c', 'b', 'n', 'z', 9, 0,
+  /* 2630 */ 't', 'b', 'n', 'z', 9, 0,
+  /* 2636 */ 'f', 'r', 'i', 'n', 't', 'z', 9, 0,
+  /* 2644 */ 'm', 'o', 'v', 'z', 9, 0,
+  /* 2650 */ '.', 't', 'l', 's', 'd', 'e', 's', 'c', 'c', 'a', 'l', 'l', 32, 0,
+  /* 2664 */ 'h', 'i', 'n', 't', 32, 0,
+  /* 2670 */ 'b', '.', 0,
+  /* 2673 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 2686 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 2693 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 2703 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 2718 */ 'd', 'r', 'p', 's', 0,
+  /* 2723 */ 'e', 'r', 'e', 't', 0,
   };
 #endif
 
@@ -6007,2285 +5201,1193 @@
 #endif
 
 
-  // Fragment 0 encoded into 8 bits for 159 unique commands.
-  //printf("Frag-0: %"PRIu64"\n", (Bits >> 12) & 255);
-  switch ((Bits >> 12) & 255) {
+  // Fragment 0 encoded into 6 bits for 40 unique commands.
+  //printf("Frag-0: %"PRIu64"\n", (Bits >> 12) & 63);
+  switch ((Bits >> 12) & 63) {
   default:   // unreachable.
   case 0:
     // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, DRPS, ERET
     return;
     break;
   case 1:
-    // ABS16b, ABS2d, ABS2s, ABS4h, ABS4s, ABS8b, ABS8h, ADDHN2vvv_16b8h, ADD...
-    printVPRRegister(MI, 0, O); 
+    // ABSv16i8, ABSv2i32, ABSv2i64, ABSv4i16, ABSv4i32, ABSv8i16, ABSv8i8, A...
+    printVRegOperand(MI, 0, O); 
     break;
   case 2:
-    // ABSdd, ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDPvv_D_2D, ADDSwww_asr, ADD...
+    // ABSv1i64, ADCSWr, ADCSXr, ADCWr, ADCXr, ADDPv2i64p, ADDSWri, ADDSWrs, ...
     printOperand(MI, 0, O); 
     break;
   case 3:
-    // ATix
-    printNamedImmOperand(MI, 0, O, &A64AT_ATMapper); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    return;
+    // ADDHNv2i64_v4i32, ADDHNv4i32_v8i16, ADDHNv8i16_v16i8, AESDrr, AESErr, ...
+    printVRegOperand(MI, 1, O); 
     break;
   case 4:
-    // BLimm, Bimm
-    printLabelOperand(MI, 0, O, 26, 4); 
+    // B, BL
+    printAlignedLabel(MI, 0, O); 
     return;
     break;
   case 5:
-    // Bcc
-    printCondCodeOperand(MI, 0, O); 
-    SStream_concat0(O, " "); 
-    printLabelOperand(MI, 1, O, 19, 4); 
+    // BRK, DCPS1, DCPS2, DCPS3, HINT, HLT, HVC, SMC, SVC
+    printHexImm(MI, 0, O); 
     return;
     break;
   case 6:
-    // DCix
-    printNamedImmOperand(MI, 0, O, &A64DC_DCMapper); 
+    // Bcc
+    printCondCode(MI, 0, O); 
+    SStream_concat0(O, "\t"); 
+    printAlignedLabel(MI, 1, O); 
+    return;
+    break;
+  case 7:
+    // DMB, DSB, ISB
+    printBarrierOption(MI, 0, O); 
+    return;
+    break;
+  case 8:
+    // FMLAv1i32_indexed, FMLAv1i64_indexed, FMLSv1i32_indexed, FMLSv1i64_ind...
+    printOperand(MI, 1, O); 
+    break;
+  case 9:
+    // LD1Fourv16b, LD1Onev16b, LD1Rv16b, LD1Threev16b, LD1Twov16b, LD2Rv16b,...
+    printTypedVectorList(MI, 0, O, 16, 'b', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 10:
+    // LD1Fourv16b_POST, LD1Onev16b_POST, LD1Rv16b_POST, LD1Threev16b_POST, L...
+    printTypedVectorList(MI, 1, O, 16, 'b', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 11:
+    // LD1Fourv1d, LD1Onev1d, LD1Rv1d, LD1Threev1d, LD1Twov1d, LD2Rv1d, LD3Rv...
+    printTypedVectorList(MI, 0, O, 1, 'd', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 12:
+    // LD1Fourv1d_POST, LD1Onev1d_POST, LD1Rv1d_POST, LD1Threev1d_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 1, 'd', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 13:
+    // LD1Fourv2d, LD1Onev2d, LD1Rv2d, LD1Threev2d, LD1Twov2d, LD2Rv2d, LD2Tw...
+    printTypedVectorList(MI, 0, O, 2, 'd', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 14:
+    // LD1Fourv2d_POST, LD1Onev2d_POST, LD1Rv2d_POST, LD1Threev2d_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 2, 'd', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 15:
+    // LD1Fourv2s, LD1Onev2s, LD1Rv2s, LD1Threev2s, LD1Twov2s, LD2Rv2s, LD2Tw...
+    printTypedVectorList(MI, 0, O, 2, 's', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 16:
+    // LD1Fourv2s_POST, LD1Onev2s_POST, LD1Rv2s_POST, LD1Threev2s_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 2, 's', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 17:
+    // LD1Fourv4h, LD1Onev4h, LD1Rv4h, LD1Threev4h, LD1Twov4h, LD2Rv4h, LD2Tw...
+    printTypedVectorList(MI, 0, O, 4, 'h', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 18:
+    // LD1Fourv4h_POST, LD1Onev4h_POST, LD1Rv4h_POST, LD1Threev4h_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 4, 'h', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 19:
+    // LD1Fourv4s, LD1Onev4s, LD1Rv4s, LD1Threev4s, LD1Twov4s, LD2Rv4s, LD2Tw...
+    printTypedVectorList(MI, 0, O, 4, 's', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 20:
+    // LD1Fourv4s_POST, LD1Onev4s_POST, LD1Rv4s_POST, LD1Threev4s_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 4, 's', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 21:
+    // LD1Fourv8b, LD1Onev8b, LD1Rv8b, LD1Threev8b, LD1Twov8b, LD2Rv8b, LD2Tw...
+    printTypedVectorList(MI, 0, O, 8, 'b', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 22:
+    // LD1Fourv8b_POST, LD1Onev8b_POST, LD1Rv8b_POST, LD1Threev8b_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 8, 'b', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 23:
+    // LD1Fourv8h, LD1Onev8h, LD1Rv8h, LD1Threev8h, LD1Twov8h, LD2Rv8h, LD2Tw...
+    printTypedVectorList(MI, 0, O, 8, 'h', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 24:
+    // LD1Fourv8h_POST, LD1Onev8h_POST, LD1Rv8h_POST, LD1Threev8h_POST, LD1Tw...
+    printTypedVectorList(MI, 1, O, 8, 'h', MRI); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 25:
+    // LD1i16, LD2i16, LD3i16, LD4i16, ST1i16_POST, ST2i16_POST, ST3i16_POST,...
+    printTypedVectorList(MI, 1, O, 0, 'h', MRI); 
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 3, O); 
+    break;
+  case 26:
+    // LD1i16_POST, LD2i16_POST, LD3i16_POST, LD4i16_POST
+    printTypedVectorList(MI, 2, O, 0, 'h', MRI); 
+    printVectorIndex(MI, 3, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 4, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 27:
+    // LD1i32, LD2i32, LD3i32, LD4i32, ST1i32_POST, ST2i32_POST, ST3i32_POST,...
+    printTypedVectorList(MI, 1, O, 0, 's', MRI); 
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 3, O); 
+    break;
+  case 28:
+    // LD1i32_POST, LD2i32_POST, LD3i32_POST, LD4i32_POST
+    printTypedVectorList(MI, 2, O, 0, 's', MRI); 
+    printVectorIndex(MI, 3, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 4, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 29:
+    // LD1i64, LD2i64, LD3i64, LD4i64, ST1i64_POST, ST2i64_POST, ST3i64_POST,...
+    printTypedVectorList(MI, 1, O, 0, 'd', MRI); 
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 3, O); 
+    break;
+  case 30:
+    // LD1i64_POST, LD2i64_POST, LD3i64_POST, LD4i64_POST
+    printTypedVectorList(MI, 2, O, 0, 'd', MRI); 
+    printVectorIndex(MI, 3, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 4, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 31:
+    // LD1i8, LD2i8, LD3i8, LD4i8, ST1i8_POST, ST2i8_POST, ST3i8_POST, ST4i8_...
+    printTypedVectorList(MI, 1, O, 0, 'b', MRI); 
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 3, O); 
+    break;
+  case 32:
+    // LD1i8_POST, LD2i8_POST, LD3i8_POST, LD4i8_POST
+    printTypedVectorList(MI, 2, O, 0, 'b', MRI); 
+    printVectorIndex(MI, 3, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 4, O); 
+    SStream_concat0(O, "], "); 
+    set_mem_access(MI, false);
+    break;
+  case 33:
+    // MSR
+    printMSRSystemRegister(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     return;
     break;
-  case 7:
-    // DMBi, DSBi
-    printNamedImmOperand(MI, 0, O, &A64DB_DBarrierMapper); 
+  case 34:
+    // MSRpstate
+    printSystemPStateField(MI, 0, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 1, O); 
     return;
     break;
+  case 35:
+    // PRFMl, PRFMroW, PRFMroX, PRFMui, PRFUMi
+    printPrefetchOp(MI, 0, O); 
+    break;
+  case 36:
+    // ST1i16, ST2i16, ST3i16, ST4i16
+    printTypedVectorList(MI, 0, O, 0, 'h', MRI); 
+    printVectorIndex(MI, 1, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 37:
+    // ST1i32, ST2i32, ST3i32, ST4i32
+    printTypedVectorList(MI, 0, O, 0, 's', MRI); 
+    printVectorIndex(MI, 1, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 38:
+    // ST1i64, ST2i64, ST3i64, ST4i64
+    printTypedVectorList(MI, 0, O, 0, 'd', MRI); 
+    printVectorIndex(MI, 1, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 39:
+    // ST1i8, ST2i8, ST3i8, ST4i8
+    printTypedVectorList(MI, 0, O, 0, 'b', MRI); 
+    printVectorIndex(MI, 1, O); 
+    SStream_concat0(O, ", ["); 
+    set_mem_access(MI, true);
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  }
+
+
+  // Fragment 1 encoded into 6 bits for 41 unique commands.
+  //printf("Frag-1: %"PRIu64"\n", (Bits >> 18) & 63);
+  switch ((Bits >> 18) & 63) {
+  default:   // unreachable.
+  case 0:
+    // ABSv16i8, ADDHNv8i16_v16i8, ADDPv16i8, ADDv16i8, AESDrr, AESErr, AESIM...
+    SStream_concat0(O, ".16b, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
+    break;
+  case 1:
+    // ABSv1i64, ADCSWr, ADCSXr, ADCWr, ADCXr, ADDPv2i64p, ADDSWri, ADDSWrs, ...
+    SStream_concat0(O, ", "); 
+    break;
+  case 2:
+    // ABSv2i32, ADDHNv2i64_v2i32, ADDPv2i32, ADDv2i32, BICv2i32, CLSv2i32, C...
+    SStream_concat0(O, ".2s, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
+    break;
+  case 3:
+    // ABSv2i64, ADDPv2i64, ADDv2i64, CMEQv2i64, CMEQv2i64rz, CMGEv2i64, CMGE...
+    SStream_concat0(O, ".2d, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
+    break;
+  case 4:
+    // ABSv4i16, ADDHNv4i32_v4i16, ADDPv4i16, ADDv4i16, BICv4i16, CLSv4i16, C...
+    SStream_concat0(O, ".4h, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4H);
+    break;
+  case 5:
+    // ABSv4i32, ADDHNv2i64_v4i32, ADDPv4i32, ADDv4i32, BICv4i32, CLSv4i32, C...
+    SStream_concat0(O, ".4s, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
+    break;
+  case 6:
+    // ABSv8i16, ADDHNv4i32_v8i16, ADDPv8i16, ADDv8i16, BICv8i16, CLSv8i16, C...
+    SStream_concat0(O, ".8h, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8H);
+    break;
+  case 7:
+    // ABSv8i8, ADDHNv8i16_v8i8, ADDPv8i8, ADDv8i8, ANDv8i8, BICv8i8, BIFv8i8...
+    SStream_concat0(O, ".8b, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
+    break;
   case 8:
-    // ICi, ICix
-    printNamedImmOperand(MI, 0, O, &A64IC_ICMapper); 
+    // BLR, BR, CLREX, RET, TLSDESCCALL
+    return;
     break;
   case 9:
-    // ISBi
-    printNamedImmOperand(MI, 0, O, &A64ISB_ISBMapper); 
+    // FCMPDri, FCMPEDri, FCMPESri, FCMPSri
+    SStream_concat0(O, ", #0.0");
+	arm64_op_addFP(MI, 0.0);
     return;
     break;
   case 10:
-    // LD1LN_B, LD1LN_WB_B_fixed, LD1LN_WB_B_register
-    printVectorList(MI, 0, O, A64Layout_VL_B, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+    // FMOVXDHighr, INSvi64gpr, INSvi64lane
+    SStream_concat0(O, ".d");
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_D);
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", "); 
     break;
   case 11:
-    // LD1LN_D, LD1LN_WB_D_fixed, LD1LN_WB_D_register
-    printVectorList(MI, 0, O, A64Layout_VL_D, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+    // INSvi16gpr, INSvi16lane
+    SStream_concat0(O, ".h");
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_H);
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", "); 
     break;
   case 12:
-    // LD1LN_H, LD1LN_WB_H_fixed, LD1LN_WB_H_register
-    printVectorList(MI, 0, O, A64Layout_VL_H, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+    // INSvi32gpr, INSvi32lane
+    SStream_concat0(O, ".s");
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_S);
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", "); 
     break;
   case 13:
-    // LD1LN_S, LD1LN_WB_S_fixed, LD1LN_WB_S_register
-    printVectorList(MI, 0, O, A64Layout_VL_S, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+    // INSvi8gpr, INSvi8lane
+    SStream_concat0(O, ".b");
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_B);
+    printVectorIndex(MI, 2, O); 
+    SStream_concat0(O, ", "); 
     break;
   case 14:
-    // LD1R_16B, LD1R_WB_16B_fixed, LD1R_WB_16B_register, LD1WB_16B_fixed, LD...
-    printVectorList(MI, 0, O, A64Layout_VL_16B, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Fourv16b_POST, LD1Fourv2d_POST, LD1Fourv4s_POST, LD1Fourv8h_POST, L...
+    printPostIncOperand2(MI, 3, O, 64); 
+    return;
     break;
   case 15:
-    // LD1R_1D, LD1R_WB_1D_fixed, LD1R_WB_1D_register, LD1WB_1D_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_1D, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Fourv1d_POST, LD1Fourv2s_POST, LD1Fourv4h_POST, LD1Fourv8b_POST, LD...
+    printPostIncOperand2(MI, 3, O, 32); 
+    return;
     break;
   case 16:
-    // LD1R_2D, LD1R_WB_2D_fixed, LD1R_WB_2D_register, LD1WB_2D_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_2D, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Onev16b_POST, LD1Onev2d_POST, LD1Onev4s_POST, LD1Onev8h_POST, LD1Tw...
+    printPostIncOperand2(MI, 3, O, 16); 
+    return;
     break;
   case 17:
-    // LD1R_2S, LD1R_WB_2S_fixed, LD1R_WB_2S_register, LD1WB_2S_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_2S, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Onev1d_POST, LD1Onev2s_POST, LD1Onev4h_POST, LD1Onev8b_POST, LD1Rv1...
+    printPostIncOperand2(MI, 3, O, 8); 
+    return;
     break;
   case 18:
-    // LD1R_4H, LD1R_WB_4H_fixed, LD1R_WB_4H_register, LD1WB_4H_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_4H, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Rv16b_POST, LD1Rv8b_POST
+    printPostIncOperand2(MI, 3, O, 1); 
+    return;
     break;
   case 19:
-    // LD1R_4S, LD1R_WB_4S_fixed, LD1R_WB_4S_register, LD1WB_4S_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_4S, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Rv2s_POST, LD1Rv4s_POST, LD2Rv4h_POST, LD2Rv8h_POST, LD4Rv16b_POST,...
+    printPostIncOperand2(MI, 3, O, 4); 
+    return;
     break;
   case 20:
-    // LD1R_8B, LD1R_WB_8B_fixed, LD1R_WB_8B_register, LD1WB_8B_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_8B, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Rv4h_POST, LD1Rv8h_POST, LD2Rv16b_POST, LD2Rv8b_POST
+    printPostIncOperand2(MI, 3, O, 2); 
+    return;
     break;
   case 21:
-    // LD1R_8H, LD1R_WB_8H_fixed, LD1R_WB_8H_register, LD1WB_8H_fixed, LD1WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_8H, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Threev16b_POST, LD1Threev2d_POST, LD1Threev4s_POST, LD1Threev8h_POS...
+    printPostIncOperand2(MI, 3, O, 48); 
+    return;
     break;
   case 22:
-    // LD1x2WB_16B_fixed, LD1x2WB_16B_register, LD1x2_16B, LD2R_16B, LD2R_WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_16B, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1Threev1d_POST, LD1Threev2s_POST, LD1Threev4h_POST, LD1Threev8b_POST...
+    printPostIncOperand2(MI, 3, O, 24); 
+    return;
     break;
   case 23:
-    // LD1x2WB_1D_fixed, LD1x2WB_1D_register, LD1x2_1D, LD2R_1D, LD2R_WB_1D_f...
-    printVectorList(MI, 0, O, A64Layout_VL_1D, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1i16, LD1i32, LD1i64, LD1i8, LD2i16, LD2i32, LD2i64, LD2i8, LD3i16, ...
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
     break;
   case 24:
-    // LD1x2WB_2D_fixed, LD1x2WB_2D_register, LD1x2_2D, LD2R_2D, LD2R_WB_2D_f...
-    printVectorList(MI, 0, O, A64Layout_VL_2D, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1i16_POST, LD2i8_POST
+    printPostIncOperand2(MI, 5, O, 2); 
+    return;
     break;
   case 25:
-    // LD1x2WB_2S_fixed, LD1x2WB_2S_register, LD1x2_2S, LD2R_2S, LD2R_WB_2S_f...
-    printVectorList(MI, 0, O, A64Layout_VL_2S, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1i32_POST, LD2i16_POST, LD4i8_POST
+    printPostIncOperand2(MI, 5, O, 4); 
+    return;
     break;
   case 26:
-    // LD1x2WB_4H_fixed, LD1x2WB_4H_register, LD1x2_4H, LD2R_4H, LD2R_WB_4H_f...
-    printVectorList(MI, 0, O, A64Layout_VL_4H, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1i64_POST, LD2i32_POST, LD4i16_POST
+    printPostIncOperand2(MI, 5, O, 8); 
+    return;
     break;
   case 27:
-    // LD1x2WB_4S_fixed, LD1x2WB_4S_register, LD1x2_4S, LD2R_4S, LD2R_WB_4S_f...
-    printVectorList(MI, 0, O, A64Layout_VL_4S, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD1i8_POST
+    printPostIncOperand2(MI, 5, O, 1); 
+    return;
     break;
   case 28:
-    // LD1x2WB_8B_fixed, LD1x2WB_8B_register, LD1x2_8B, LD2R_8B, LD2R_WB_8B_f...
-    printVectorList(MI, 0, O, A64Layout_VL_8B, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD2i64_POST, LD4i32_POST
+    printPostIncOperand2(MI, 5, O, 16); 
+    return;
     break;
   case 29:
-    // LD1x2WB_8H_fixed, LD1x2WB_8H_register, LD1x2_8H, LD2R_8H, LD2R_WB_8H_f...
-    printVectorList(MI, 0, O, A64Layout_VL_8H, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3Rv16b_POST, LD3Rv8b_POST
+    printPostIncOperand2(MI, 3, O, 3); 
+    return;
     break;
   case 30:
-    // LD1x3WB_16B_fixed, LD1x3WB_16B_register, LD1x3_16B, LD3R_16B, LD3R_WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_16B, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3Rv2s_POST, LD3Rv4s_POST
+    printPostIncOperand2(MI, 3, O, 12); 
+    return;
     break;
   case 31:
-    // LD1x3WB_1D_fixed, LD1x3WB_1D_register, LD1x3_1D, LD3R_1D, LD3R_WB_1D_f...
-    printVectorList(MI, 0, O, A64Layout_VL_1D, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3Rv4h_POST, LD3Rv8h_POST
+    printPostIncOperand2(MI, 3, O, 6); 
+    return;
     break;
   case 32:
-    // LD1x3WB_2D_fixed, LD1x3WB_2D_register, LD1x3_2D, LD3R_2D, LD3R_WB_2D_f...
-    printVectorList(MI, 0, O, A64Layout_VL_2D, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3i16_POST
+    printPostIncOperand2(MI, 5, O, 6); 
+    return;
     break;
   case 33:
-    // LD1x3WB_2S_fixed, LD1x3WB_2S_register, LD1x3_2S, LD3R_2S, LD3R_WB_2S_f...
-    printVectorList(MI, 0, O, A64Layout_VL_2S, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3i32_POST
+    printPostIncOperand2(MI, 5, O, 12); 
+    return;
     break;
   case 34:
-    // LD1x3WB_4H_fixed, LD1x3WB_4H_register, LD1x3_4H, LD3R_4H, LD3R_WB_4H_f...
-    printVectorList(MI, 0, O, A64Layout_VL_4H, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3i64_POST
+    printPostIncOperand2(MI, 5, O, 24); 
+    return;
     break;
   case 35:
-    // LD1x3WB_4S_fixed, LD1x3WB_4S_register, LD1x3_4S, LD3R_4S, LD3R_WB_4S_f...
-    printVectorList(MI, 0, O, A64Layout_VL_4S, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD3i8_POST
+    printPostIncOperand2(MI, 5, O, 3); 
+    return;
     break;
   case 36:
-    // LD1x3WB_8B_fixed, LD1x3WB_8B_register, LD1x3_8B, LD3R_8B, LD3R_WB_8B_f...
-    printVectorList(MI, 0, O, A64Layout_VL_8B, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // LD4i64_POST
+    printPostIncOperand2(MI, 5, O, 32); 
+    return;
     break;
   case 37:
-    // LD1x3WB_8H_fixed, LD1x3WB_8H_register, LD1x3_8H, LD3R_8H, LD3R_WB_8H_f...
-    printVectorList(MI, 0, O, A64Layout_VL_8H, 3, MRI); 
+    // LDARB, LDARH, LDARW, LDARX, LDAXRB, LDAXRH, LDAXRW, LDAXRX, LDRBBpost,...
     SStream_concat0(O, ", ["); 
     set_mem_access(MI, true);
     break;
   case 38:
-    // LD1x4WB_16B_fixed, LD1x4WB_16B_register, LD1x4_16B, LD4R_16B, LD4R_WB_...
-    printVectorList(MI, 0, O, A64Layout_VL_16B, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // PMULLv1i64, PMULLv2i64
+    SStream_concat0(O, ".1q, ");
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_1Q);
+    printVRegOperand(MI, 1, O); 
     break;
   case 39:
-    // LD1x4WB_1D_fixed, LD1x4WB_1D_register, LD1x4_1D, LD4R_1D, LD4R_WB_1D_f...
-    printVectorList(MI, 0, O, A64Layout_VL_1D, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
+    // SADALPv2i32_v1i64, SADDLPv2i32_v1i64, UADALPv2i32_v1i64, UADDLPv2i32_v...
+    SStream_concat0(O, ".1d, ");
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_1D);
     break;
   case 40:
-    // LD1x4WB_2D_fixed, LD1x4WB_2D_register, LD1x4_2D, LD4R_2D, LD4R_WB_2D_f...
-    printVectorList(MI, 0, O, A64Layout_VL_2D, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 41:
-    // LD1x4WB_2S_fixed, LD1x4WB_2S_register, LD1x4_2S, LD4R_2S, LD4R_WB_2S_f...
-    printVectorList(MI, 0, O, A64Layout_VL_2S, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 42:
-    // LD1x4WB_4H_fixed, LD1x4WB_4H_register, LD1x4_4H, LD4R_4H, LD4R_WB_4H_f...
-    printVectorList(MI, 0, O, A64Layout_VL_4H, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 43:
-    // LD1x4WB_4S_fixed, LD1x4WB_4S_register, LD1x4_4S, LD4R_4S, LD4R_WB_4S_f...
-    printVectorList(MI, 0, O, A64Layout_VL_4S, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 44:
-    // LD1x4WB_8B_fixed, LD1x4WB_8B_register, LD1x4_8B, LD4R_8B, LD4R_WB_8B_f...
-    printVectorList(MI, 0, O, A64Layout_VL_8B, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 45:
-    // LD1x4WB_8H_fixed, LD1x4WB_8H_register, LD1x4_8H, LD4R_8H, LD4R_WB_8H_f...
-    printVectorList(MI, 0, O, A64Layout_VL_8H, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 46:
-    // LD2LN_B, LD2LN_WB_B_fixed, LD2LN_WB_B_register
-    printVectorList(MI, 0, O, A64Layout_VL_B, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 47:
-    // LD2LN_D, LD2LN_WB_D_fixed, LD2LN_WB_D_register
-    printVectorList(MI, 0, O, A64Layout_VL_D, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 48:
-    // LD2LN_H, LD2LN_WB_H_fixed, LD2LN_WB_H_register
-    printVectorList(MI, 0, O, A64Layout_VL_H, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 49:
-    // LD2LN_S, LD2LN_WB_S_fixed, LD2LN_WB_S_register
-    printVectorList(MI, 0, O, A64Layout_VL_S, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 50:
-    // LD3LN_B, LD3LN_WB_B_fixed, LD3LN_WB_B_register
-    printVectorList(MI, 0, O, A64Layout_VL_B, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 51:
-    // LD3LN_D, LD3LN_WB_D_fixed, LD3LN_WB_D_register
-    printVectorList(MI, 0, O, A64Layout_VL_D, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 52:
-    // LD3LN_H, LD3LN_WB_H_fixed, LD3LN_WB_H_register
-    printVectorList(MI, 0, O, A64Layout_VL_H, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 53:
-    // LD3LN_S, LD3LN_WB_S_fixed, LD3LN_WB_S_register
-    printVectorList(MI, 0, O, A64Layout_VL_S, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 54:
-    // LD4LN_B, LD4LN_WB_B_fixed, LD4LN_WB_B_register
-    printVectorList(MI, 0, O, A64Layout_VL_B, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 55:
-    // LD4LN_D, LD4LN_WB_D_fixed, LD4LN_WB_D_register
-    printVectorList(MI, 0, O, A64Layout_VL_D, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 56:
-    // LD4LN_H, LD4LN_WB_H_fixed, LD4LN_WB_H_register
-    printVectorList(MI, 0, O, A64Layout_VL_H, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 57:
-    // LD4LN_S, LD4LN_WB_S_fixed, LD4LN_WB_S_register
-    printVectorList(MI, 0, O, A64Layout_VL_S, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    break;
-  case 58:
-    // LS16_PostInd_STR, LS16_PreInd_STR, LS32_PostInd_STR, LS32_PreInd_STR, ...
-    printOperand(MI, 1, O); 
-    break;
-  case 59:
-    // MSRii
-    printNamedImmOperand(MI, 0, O, &A64PState_PStateMapper); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    return;
-    break;
-  case 60:
-    // MSRix
-    printMSROperand(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    return;
-    break;
-  case 61:
-    // PRFM, PRFM_Wm_RegOffset, PRFM_Xm_RegOffset, PRFM_lit, PRFUM
-    printNamedImmOperand(MI, 0, O, &A64PRFM_PRFMMapper); 
-    break;
-  case 62:
-    // ST1LN_B
-    printVectorList(MI, 1, O, A64Layout_VL_B, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 63:
-    // ST1LN_D
-    printVectorList(MI, 1, O, A64Layout_VL_D, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 64:
-    // ST1LN_H
-    printVectorList(MI, 1, O, A64Layout_VL_H, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 65:
-    // ST1LN_S
-    printVectorList(MI, 1, O, A64Layout_VL_S, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 66:
-    // ST1LN_WB_B_fixed, ST1LN_WB_B_register
-    printVectorList(MI, 3, O, A64Layout_VL_B, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
+    // ST1i16_POST, ST1i32_POST, ST1i64_POST, ST1i8_POST, ST2i16_POST, ST2i32...
     SStream_concat0(O, "], "); 
     set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 67:
-    // ST1LN_WB_D_fixed, ST1LN_WB_D_register
-    printVectorList(MI, 3, O, A64Layout_VL_D, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 68:
-    // ST1LN_WB_H_fixed, ST1LN_WB_H_register
-    printVectorList(MI, 3, O, A64Layout_VL_H, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 69:
-    // ST1LN_WB_S_fixed, ST1LN_WB_S_register
-    printVectorList(MI, 3, O, A64Layout_VL_S, 1, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 70:
-    // ST1WB_16B_fixed, ST1WB_16B_register
-    printVectorList(MI, 3, O, A64Layout_VL_16B, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 71:
-    // ST1WB_1D_fixed, ST1WB_1D_register
-    printVectorList(MI, 3, O, A64Layout_VL_1D, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 72:
-    // ST1WB_2D_fixed, ST1WB_2D_register
-    printVectorList(MI, 3, O, A64Layout_VL_2D, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 73:
-    // ST1WB_2S_fixed, ST1WB_2S_register
-    printVectorList(MI, 3, O, A64Layout_VL_2S, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 74:
-    // ST1WB_4H_fixed, ST1WB_4H_register
-    printVectorList(MI, 3, O, A64Layout_VL_4H, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 75:
-    // ST1WB_4S_fixed, ST1WB_4S_register
-    printVectorList(MI, 3, O, A64Layout_VL_4S, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 76:
-    // ST1WB_8B_fixed, ST1WB_8B_register
-    printVectorList(MI, 3, O, A64Layout_VL_8B, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 77:
-    // ST1WB_8H_fixed, ST1WB_8H_register
-    printVectorList(MI, 3, O, A64Layout_VL_8H, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 78:
-    // ST1_16B
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 79:
-    // ST1_1D
-    printVectorList(MI, 1, O, A64Layout_VL_1D, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 80:
-    // ST1_2D
-    printVectorList(MI, 1, O, A64Layout_VL_2D, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 81:
-    // ST1_2S
-    printVectorList(MI, 1, O, A64Layout_VL_2S, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 82:
-    // ST1_4H
-    printVectorList(MI, 1, O, A64Layout_VL_4H, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 83:
-    // ST1_4S
-    printVectorList(MI, 1, O, A64Layout_VL_4S, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 84:
-    // ST1_8B
-    printVectorList(MI, 1, O, A64Layout_VL_8B, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 85:
-    // ST1_8H
-    printVectorList(MI, 1, O, A64Layout_VL_8H, 1, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 86:
-    // ST1x2WB_16B_fixed, ST1x2WB_16B_register, ST2WB_16B_fixed, ST2WB_16B_re...
-    printVectorList(MI, 3, O, A64Layout_VL_16B, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 87:
-    // ST1x2WB_1D_fixed, ST1x2WB_1D_register
-    printVectorList(MI, 3, O, A64Layout_VL_1D, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 88:
-    // ST1x2WB_2D_fixed, ST1x2WB_2D_register, ST2WB_2D_fixed, ST2WB_2D_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_2D, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 89:
-    // ST1x2WB_2S_fixed, ST1x2WB_2S_register, ST2WB_2S_fixed, ST2WB_2S_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_2S, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 90:
-    // ST1x2WB_4H_fixed, ST1x2WB_4H_register, ST2WB_4H_fixed, ST2WB_4H_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_4H, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 91:
-    // ST1x2WB_4S_fixed, ST1x2WB_4S_register, ST2WB_4S_fixed, ST2WB_4S_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_4S, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 92:
-    // ST1x2WB_8B_fixed, ST1x2WB_8B_register, ST2WB_8B_fixed, ST2WB_8B_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_8B, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 93:
-    // ST1x2WB_8H_fixed, ST1x2WB_8H_register, ST2WB_8H_fixed, ST2WB_8H_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_8H, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 94:
-    // ST1x2_16B, ST2_16B
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 95:
-    // ST1x2_1D
-    printVectorList(MI, 1, O, A64Layout_VL_1D, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 96:
-    // ST1x2_2D, ST2_2D
-    printVectorList(MI, 1, O, A64Layout_VL_2D, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 97:
-    // ST1x2_2S, ST2_2S
-    printVectorList(MI, 1, O, A64Layout_VL_2S, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 98:
-    // ST1x2_4H, ST2_4H
-    printVectorList(MI, 1, O, A64Layout_VL_4H, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 99:
-    // ST1x2_4S, ST2_4S
-    printVectorList(MI, 1, O, A64Layout_VL_4S, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 100:
-    // ST1x2_8B, ST2_8B
-    printVectorList(MI, 1, O, A64Layout_VL_8B, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 101:
-    // ST1x2_8H, ST2_8H
-    printVectorList(MI, 1, O, A64Layout_VL_8H, 2, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 102:
-    // ST1x3WB_16B_fixed, ST1x3WB_16B_register, ST3WB_16B_fixed, ST3WB_16B_re...
-    printVectorList(MI, 3, O, A64Layout_VL_16B, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 103:
-    // ST1x3WB_1D_fixed, ST1x3WB_1D_register
-    printVectorList(MI, 3, O, A64Layout_VL_1D, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 104:
-    // ST1x3WB_2D_fixed, ST1x3WB_2D_register, ST3WB_2D_fixed, ST3WB_2D_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_2D, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 105:
-    // ST1x3WB_2S_fixed, ST1x3WB_2S_register, ST3WB_2S_fixed, ST3WB_2S_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_2S, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 106:
-    // ST1x3WB_4H_fixed, ST1x3WB_4H_register, ST3WB_4H_fixed, ST3WB_4H_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_4H, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 107:
-    // ST1x3WB_4S_fixed, ST1x3WB_4S_register, ST3WB_4S_fixed, ST3WB_4S_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_4S, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 108:
-    // ST1x3WB_8B_fixed, ST1x3WB_8B_register, ST3WB_8B_fixed, ST3WB_8B_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_8B, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 109:
-    // ST1x3WB_8H_fixed, ST1x3WB_8H_register, ST3WB_8H_fixed, ST3WB_8H_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_8H, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 110:
-    // ST1x3_16B, ST3_16B
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 111:
-    // ST1x3_1D
-    printVectorList(MI, 1, O, A64Layout_VL_1D, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 112:
-    // ST1x3_2D, ST3_2D
-    printVectorList(MI, 1, O, A64Layout_VL_2D, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 113:
-    // ST1x3_2S, ST3_2S
-    printVectorList(MI, 1, O, A64Layout_VL_2S, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 114:
-    // ST1x3_4H, ST3_4H
-    printVectorList(MI, 1, O, A64Layout_VL_4H, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 115:
-    // ST1x3_4S, ST3_4S
-    printVectorList(MI, 1, O, A64Layout_VL_4S, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 116:
-    // ST1x3_8B, ST3_8B
-    printVectorList(MI, 1, O, A64Layout_VL_8B, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 117:
-    // ST1x3_8H, ST3_8H
-    printVectorList(MI, 1, O, A64Layout_VL_8H, 3, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 118:
-    // ST1x4WB_16B_fixed, ST1x4WB_16B_register, ST4WB_16B_fixed, ST4WB_16B_re...
-    printVectorList(MI, 3, O, A64Layout_VL_16B, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 119:
-    // ST1x4WB_1D_fixed, ST1x4WB_1D_register
-    printVectorList(MI, 3, O, A64Layout_VL_1D, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 120:
-    // ST1x4WB_2D_fixed, ST1x4WB_2D_register, ST4WB_2D_fixed, ST4WB_2D_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_2D, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 121:
-    // ST1x4WB_2S_fixed, ST1x4WB_2S_register, ST4WB_2S_fixed, ST4WB_2S_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_2S, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 122:
-    // ST1x4WB_4H_fixed, ST1x4WB_4H_register, ST4WB_4H_fixed, ST4WB_4H_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_4H, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 123:
-    // ST1x4WB_4S_fixed, ST1x4WB_4S_register, ST4WB_4S_fixed, ST4WB_4S_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_4S, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 124:
-    // ST1x4WB_8B_fixed, ST1x4WB_8B_register, ST4WB_8B_fixed, ST4WB_8B_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_8B, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 125:
-    // ST1x4WB_8H_fixed, ST1x4WB_8H_register, ST4WB_8H_fixed, ST4WB_8H_regist...
-    printVectorList(MI, 3, O, A64Layout_VL_8H, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 126:
-    // ST1x4_16B, ST4_16B
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 127:
-    // ST1x4_1D
-    printVectorList(MI, 1, O, A64Layout_VL_1D, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 128:
-    // ST1x4_2D, ST4_2D
-    printVectorList(MI, 1, O, A64Layout_VL_2D, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 129:
-    // ST1x4_2S, ST4_2S
-    printVectorList(MI, 1, O, A64Layout_VL_2S, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 130:
-    // ST1x4_4H, ST4_4H
-    printVectorList(MI, 1, O, A64Layout_VL_4H, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 131:
-    // ST1x4_4S, ST4_4S
-    printVectorList(MI, 1, O, A64Layout_VL_4S, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 132:
-    // ST1x4_8B, ST4_8B
-    printVectorList(MI, 1, O, A64Layout_VL_8B, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 133:
-    // ST1x4_8H, ST4_8H
-    printVectorList(MI, 1, O, A64Layout_VL_8H, 4, MRI); 
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 134:
-    // ST2LN_B
-    printVectorList(MI, 1, O, A64Layout_VL_B, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 135:
-    // ST2LN_D
-    printVectorList(MI, 1, O, A64Layout_VL_D, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 136:
-    // ST2LN_H
-    printVectorList(MI, 1, O, A64Layout_VL_H, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 137:
-    // ST2LN_S
-    printVectorList(MI, 1, O, A64Layout_VL_S, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 138:
-    // ST2LN_WB_B_fixed, ST2LN_WB_B_register
-    printVectorList(MI, 3, O, A64Layout_VL_B, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 139:
-    // ST2LN_WB_D_fixed, ST2LN_WB_D_register
-    printVectorList(MI, 3, O, A64Layout_VL_D, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 140:
-    // ST2LN_WB_H_fixed, ST2LN_WB_H_register
-    printVectorList(MI, 3, O, A64Layout_VL_H, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 141:
-    // ST2LN_WB_S_fixed, ST2LN_WB_S_register
-    printVectorList(MI, 3, O, A64Layout_VL_S, 2, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 142:
-    // ST3LN_B
-    printVectorList(MI, 1, O, A64Layout_VL_B, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 143:
-    // ST3LN_D
-    printVectorList(MI, 1, O, A64Layout_VL_D, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 144:
-    // ST3LN_H
-    printVectorList(MI, 1, O, A64Layout_VL_H, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 145:
-    // ST3LN_S
-    printVectorList(MI, 1, O, A64Layout_VL_S, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 146:
-    // ST3LN_WB_B_fixed, ST3LN_WB_B_register
-    printVectorList(MI, 3, O, A64Layout_VL_B, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 147:
-    // ST3LN_WB_D_fixed, ST3LN_WB_D_register
-    printVectorList(MI, 3, O, A64Layout_VL_D, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 148:
-    // ST3LN_WB_H_fixed, ST3LN_WB_H_register
-    printVectorList(MI, 3, O, A64Layout_VL_H, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 149:
-    // ST3LN_WB_S_fixed, ST3LN_WB_S_register
-    printVectorList(MI, 3, O, A64Layout_VL_S, 3, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 150:
-    // ST4LN_B
-    printVectorList(MI, 1, O, A64Layout_VL_B, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 151:
-    // ST4LN_D
-    printVectorList(MI, 1, O, A64Layout_VL_D, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 152:
-    // ST4LN_H
-    printVectorList(MI, 1, O, A64Layout_VL_H, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 153:
-    // ST4LN_S
-    printVectorList(MI, 1, O, A64Layout_VL_S, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 2, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 154:
-    // ST4LN_WB_B_fixed, ST4LN_WB_B_register
-    printVectorList(MI, 3, O, A64Layout_VL_B, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 155:
-    // ST4LN_WB_D_fixed, ST4LN_WB_D_register
-    printVectorList(MI, 3, O, A64Layout_VL_D, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 156:
-    // ST4LN_WB_H_fixed, ST4LN_WB_H_register
-    printVectorList(MI, 3, O, A64Layout_VL_H, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 157:
-    // ST4LN_WB_S_fixed, ST4LN_WB_S_register
-    printVectorList(MI, 3, O, A64Layout_VL_S, 4, MRI); 
-    SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 158:
-    // TLBIi, TLBIix
-    printNamedImmOperand(MI, 0, O, &A64TLBI_TLBIMapper); 
     break;
   }
 
 
-  // Fragment 1 encoded into 5 bits for 20 unique commands.
-  //printf("Frag-1: %"PRIu64"\n", (Bits >> 20) & 31);
-  switch ((Bits >> 20) & 31) {
+  // Fragment 2 encoded into 5 bits for 28 unique commands.
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 24) & 31);
+  switch ((Bits >> 24) & 31) {
   default:   // unreachable.
   case 0:
-    // ABS16b, ADDHN2vvv_16b8h, ADDP_16B, ADDvvv_16B, AESD, AESE, AESIMC, AES...
-    SStream_concat0(O, ".16b, "); 
+    // ABSv16i8, ABSv2i32, ABSv2i64, ABSv4i16, ABSv4i32, ABSv8i16, ABSv8i8, A...
+    printVRegOperand(MI, 1, O); 
     break;
   case 1:
-    // ABS2d, ADDP_2D, ADDvvv_2D, CMEQvvi_2D, CMEQvvv_2D, CMGEvvi_2D, CMGEvvv...
-    SStream_concat0(O, ".2d, "); 
-    break;
-  case 2:
-    // ABS2s, ADDHNvvv_2s2d, ADDP_2S, ADDvvv_2S, BICvi_lsl_2S, CLS2s, CLZ2s, ...
-    SStream_concat0(O, ".2s, "); 
-    break;
-  case 3:
-    // ABS4h, ADDHNvvv_4h4s, ADDP_4H, ADDvvv_4H, BICvi_lsl_4H, CLS4h, CLZ4h, ...
-    SStream_concat0(O, ".4h, "); 
-    break;
-  case 4:
-    // ABS4s, ADDHN2vvv_4s2d, ADDP_4S, ADDvvv_4S, BICvi_lsl_4S, CLS4s, CLZ4s,...
-    SStream_concat0(O, ".4s, "); 
-    break;
-  case 5:
-    // ABS8b, ADDHNvvv_8b8h, ADDP_8B, ADDvvv_8B, ANDvvv_8B, BICvvv_8B, BIFvvv...
-    SStream_concat0(O, ".8b, "); 
-    break;
-  case 6:
-    // ABS8h, ADDHN2vvv_8h4s, ADDP_8H, ADDvvv_8H, BICvi_lsl_8H, CLS8h, CLZ8h,...
-    SStream_concat0(O, ".8h, "); 
-    break;
-  case 7:
-    // ABSdd, ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDPvv_D_2D, ADDSwww_asr, ADD...
-    SStream_concat0(O, ", "); 
-    break;
-  case 8:
-    // BLRx, BRKi, BRx, CLREXi, DCPS1i, DCPS2i, DCPS3i, HINTi, HLTi, HVCi, IC...
-    return;
-    break;
-  case 9:
-    // FMOVvx, INSELd, INSdx
-    SStream_concat0(O, ".d["); 
-    set_mem_access(MI, true);
-    break;
-  case 10:
-    // INSELb, INSbw
-    SStream_concat0(O, ".b["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 3, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    break;
-  case 11:
-    // INSELh, INShw
-    SStream_concat0(O, ".h["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 3, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    break;
-  case 12:
-    // INSELs, INSsw
-    SStream_concat0(O, ".s["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 3, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    break;
-  case 13:
-    // LD1LN_B, LD1LN_D, LD1LN_H, LD1LN_S, LD2LN_B, LD2LN_D, LD2LN_H, LD2LN_S...
-    printUImmBareOperand(MI, 3, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 14:
-    // LD1LN_WB_B_fixed, LD1LN_WB_B_register, LD1LN_WB_D_fixed, LD1LN_WB_D_re...
-    printUImmBareOperand(MI, 5, O); 
-    set_mem_access(MI, false);
-    SStream_concat0(O, "], ["); 
-    set_mem_access(MI, true);
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 3, O); 
-    return;
-    break;
-  case 15:
-    // LD1R_16B, LD1R_1D, LD1R_2D, LD1R_2S, LD1R_4H, LD1R_4S, LD1R_8B, LD1R_8...
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 16:
-    // LD1R_WB_16B_fixed, LD1R_WB_16B_register, LD1R_WB_1D_fixed, LD1R_WB_1D_...
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 3, O); 
-    return;
-    break;
-  case 17:
-    // LDAR_byte, LDAR_dword, LDAR_hword, LDAR_word, LDAXR_byte, LDAXR_dword,...
-    SStream_concat0(O, ", ["); 
-    set_mem_access(MI, true);
-    break;
-  case 18:
-    // PMULL2vvv_1q2d, PMULLvvv_1q1d
-    SStream_concat0(O, ".1q, "); 
-    printVPRRegister(MI, 1, O); 
-    break;
-  case 19:
-    // SADALP2s1d, SADDLP2s1d, UADALP2s1d, UADDLP2s1d
-    SStream_concat0(O, ".1d, "); 
-    break;
-  }
-
-
-  // Fragment 2 encoded into 5 bits for 30 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 31);
-  switch ((Bits >> 25) & 31) {
-  default:   // unreachable.
-  case 0:
-    // ABS16b, ABS2d, ABS2s, ABS4h, ABS4s, ABS8b, ABS8h, ADDHNvvv_2s2d, ADDHN...
-    printVPRRegister(MI, 1, O); 
-    break;
-  case 1:
-    // ABSdd, ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDSwww_asr, ADDSwww_lsl, ADD...
+    // ABSv1i64, ADCSWr, ADCSXr, ADCWr, ADCXr, ADDSWri, ADDSWrs, ADDSWrx, ADD...
     printOperand(MI, 1, O); 
     break;
   case 2:
-    // ADDHN2vvv_16b8h, ADDHN2vvv_4s2d, ADDHN2vvv_8h4s, AESD, AESE, BIFvvv_16...
-    printVPRRegister(MI, 2, O); 
+    // ADDHNv2i64_v4i32, ADDHNv4i32_v8i16, ADDHNv8i16_v16i8, AESDrr, AESErr, ...
+    printVRegOperand(MI, 2, O); 
     break;
   case 3:
-    // ADDwwi_lsl0_cmp, ADDxxi_lsl0_cmp, SUBwwi_lsl0_cmp, SUBxxi_lsl0_cmp
-    printAddSubImmLSL0Operand(MI, 1, O); 
+    // ADRP
+    printAdrpLabel(MI, 1, O); 
     return;
     break;
   case 4:
-    // ADDwwi_lsl12_cmp, ADDxxi_lsl12_cmp, SUBwwi_lsl12_cmp, SUBxxi_lsl12_cmp
-    printAddSubImmLSL12Operand(MI, 1, O); 
-    return;
+    // BFMWri, BFMXri, FMLAv1i32_indexed, FMLAv1i64_indexed, FMLSv1i32_indexe...
+    printOperand(MI, 2, O); 
     break;
   case 5:
-    // ADRPxi
-    printLabelOperand(MI, 1, O, 21, 4096); 
+    // BICv2i32, BICv4i16, BICv4i32, BICv8i16, MOVKWi, MOVKXi, ORRv2i32, ORRv...
+    printHexImm(MI, 2, O); 
+    printShifter(MI, 3, O); 
     return;
     break;
   case 6:
-    // ADRxi
-    printLabelOperand(MI, 1, O, 21, 1); 
+    // CBNZW, CBNZX, CBZW, CBZX, LDRDl, LDRQl, LDRSWl, LDRSl, LDRWl, LDRXl, P...
+    printAlignedLabel(MI, 1, O); 
     return;
     break;
   case 7:
-    // BFIwwii, BFIxxii, BFMwwii, BFMxxii, BFXILwwii, BFXILxxii, FMLAddv_2D, ...
-    printOperand(MI, 2, O); 
-    break;
-  case 8:
-    // BICvi_lsl_2S, BICvi_lsl_4H, BICvi_lsl_4S, BICvi_lsl_8H, ORRvi_lsl_2S, ...
-    printUImmHexOperand(MI, 2, O); 
-    break;
-  case 9:
-    // CBNZw, CBNZx, CBZw, CBZx, LDRSWx_lit, LDRd_lit, LDRq_lit, LDRs_lit, LD...
-    printLabelOperand(MI, 1, O, 19, 4); 
-    return;
-    break;
-  case 10:
-    // FCMPdi_quiet, FCMPdi_sig, FCMPsi_quiet, FCMPsi_sig
-    printFPZeroOperand(MI, 1, O); 
-    return;
-    break;
-  case 11:
-    // FMOVdi, FMOVsi, FMOVvi_2D, FMOVvi_2S, FMOVvi_4S
+    // FMOVDi, FMOVSi, FMOVv2f32_ns, FMOVv2f64_ns, FMOVv4f32_ns
     printFPImmOperand(MI, 1, O); 
     return;
     break;
+  case 8:
+    // INSvi16gpr, INSvi32gpr, INSvi64gpr, INSvi8gpr
+    printOperand(MI, 3, O); 
+    return;
+    break;
+  case 9:
+    // INSvi16lane, INSvi32lane, INSvi64lane, INSvi8lane
+    printVRegOperand(MI, 3, O); 
+    break;
+  case 10:
+    // MOVID, MOVIv2d_ns
+    printSIMDType10Operand(MI, 1, O); 
+    return;
+    break;
+  case 11:
+    // MOVIv16b_ns, MOVIv2i32, MOVIv2s_msl, MOVIv4i16, MOVIv4i32, MOVIv4s_msl...
+    printHexImm(MI, 1, O); 
+    break;
   case 12:
-    // FMOVvx
-    printBareImmOperand(MI, 2, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    printOperand(MI, 1, O); 
+    // MRS
+    printMRSSystemRegister(MI, 1, O); 
     return;
     break;
   case 13:
-    // INSELd, INSdx
-    printUImmBareOperand(MI, 3, O); 
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+    // PMULLv1i64
+    SStream_concat0(O, ".1d, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_1D);
+    printVRegOperand(MI, 2, O); 
+    SStream_concat0(O, ".1d"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_1D);
+    return;
     break;
   case 14:
-    // MOVIdi, MOVIvi_2D
-    printNeonUImm64MaskOperand(MI, 1, O); 
+    // PMULLv2i64
+    SStream_concat0(O, ".2d, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
+    printVRegOperand(MI, 2, O); 
+    SStream_concat0(O, ".2d"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
     return;
     break;
   case 15:
-    // MOVIvi_16B, MOVIvi_8B, MOVIvi_lsl_2S, MOVIvi_lsl_4H, MOVIvi_lsl_4S, MO...
-    printUImmHexOperand(MI, 1, O); 
+    // ST1i16_POST, ST2i8_POST
+    printPostIncOperand2(MI, 4, O, 2); 
+    return;
     break;
   case 16:
-    // MOVKwii, MOVKxii
-    printMoveWideImmOperand(MI, 2, O); 
+    // ST1i32_POST, ST2i16_POST, ST4i8_POST
+    printPostIncOperand2(MI, 4, O, 4); 
     return;
     break;
   case 17:
-    // MOVNwii, MOVNxii, MOVZwii, MOVZxii
-    printMoveWideImmOperand(MI, 1, O); 
+    // ST1i64_POST, ST2i32_POST, ST4i16_POST
+    printPostIncOperand2(MI, 4, O, 8); 
     return;
     break;
   case 18:
-    // MRSxi
-    printMRSOperand(MI, 1, O); 
+    // ST1i8_POST
+    printPostIncOperand2(MI, 4, O, 1); 
     return;
     break;
   case 19:
-    // PMULL2vvv_1q2d
-    SStream_concat0(O, ".2d, "); 
-    printVPRRegister(MI, 2, O); 
-    SStream_concat0(O, ".2d"); 
+    // ST2i64_POST, ST4i32_POST
+    printPostIncOperand2(MI, 4, O, 16); 
     return;
     break;
   case 20:
-    // PMULLvvv_1q1d
-    SStream_concat0(O, ".1d, "); 
-    printVPRRegister(MI, 2, O); 
-    SStream_concat0(O, ".1d"); 
+    // ST3i16_POST
+    printPostIncOperand2(MI, 4, O, 6); 
     return;
     break;
   case 21:
-    // SYSiccix
-    printCRxOperand(MI, 1, O); 
+    // ST3i32_POST
+    printPostIncOperand2(MI, 4, O, 12); 
+    return;
+    break;
+  case 22:
+    // ST3i64_POST
+    printPostIncOperand2(MI, 4, O, 24); 
+    return;
+    break;
+  case 23:
+    // ST3i8_POST
+    printPostIncOperand2(MI, 4, O, 3); 
+    return;
+    break;
+  case 24:
+    // ST4i64_POST
+    printPostIncOperand2(MI, 4, O, 32); 
+    return;
+    break;
+  case 25:
+    // SYSxt
+    printSysCROperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printCRxOperand(MI, 2, O); 
+    printSysCROperand(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 4, O); 
     return;
     break;
-  case 22:
-    // TBL1_16b, TBL1_8b
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 1, MRI); 
-    SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 2, O); 
-    break;
-  case 23:
-    // TBL2_16b, TBL2_8b
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 2, MRI); 
-    SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 2, O); 
-    break;
-  case 24:
-    // TBL3_16b, TBL3_8b
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 3, MRI); 
-    SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 2, O); 
-    break;
-  case 25:
-    // TBL4_16b, TBL4_8b
-    printVectorList(MI, 1, O, A64Layout_VL_16B, 4, MRI); 
-    SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 2, O); 
-    break;
   case 26:
-    // TBX1_16b, TBX1_8b
-    printVectorList(MI, 2, O, A64Layout_VL_16B, 1, MRI); 
+    // TBLv16i8Four, TBLv16i8One, TBLv16i8Three, TBLv16i8Two, TBLv8i8Four, TB...
+    printTypedVectorList(MI, 1, O, 16, 'b', MRI); 
     SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 3, O); 
+    printVRegOperand(MI, 2, O); 
     break;
   case 27:
-    // TBX2_16b, TBX2_8b
-    printVectorList(MI, 2, O, A64Layout_VL_16B, 2, MRI); 
+    // TBXv16i8Four, TBXv16i8One, TBXv16i8Three, TBXv16i8Two, TBXv8i8Four, TB...
+    printTypedVectorList(MI, 2, O, 16, 'b', MRI); 
     SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 3, O); 
-    break;
-  case 28:
-    // TBX3_16b, TBX3_8b
-    printVectorList(MI, 2, O, A64Layout_VL_16B, 3, MRI); 
-    SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 3, O); 
-    break;
-  case 29:
-    // TBX4_16b, TBX4_8b
-    printVectorList(MI, 2, O, A64Layout_VL_16B, 4, MRI); 
-    SStream_concat0(O, ", "); 
-    printVPRRegister(MI, 3, O); 
+    printVRegOperand(MI, 3, O); 
     break;
   }
 
 
-  // Fragment 3 encoded into 5 bits for 30 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 30) & 31);
-  switch ((Bits >> 30) & 31) {
+  // Fragment 3 encoded into 6 bits for 42 unique commands.
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 29) & 63);
+  switch ((Bits >> 29) & 63) {
   default:   // unreachable.
   case 0:
-    // ABS16b, ADDV_1b16b, AESD, AESE, AESIMC, AESMC, CLS16b, CLZ16b, CNT16b,...
+    // ABSv16i8, ADDVv16i8v, AESDrr, AESErr, AESIMCrr, AESMCrr, CLSv16i8, CLZ...
     SStream_concat0(O, ".16b"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
     return;
     break;
   case 1:
-    // ABS2d, ADDPvv_D_2D, FABS2d, FADDPvv_D_2D, FCVTAS_2d, FCVTAU_2d, FCVTMS...
-    SStream_concat0(O, ".2d"); 
+    // ABSv1i64, ADR, CLSWr, CLSXr, CLZWr, CLZXr, DUPv16i8gpr, DUPv2i32gpr, D...
     return;
     break;
   case 2:
-    // ABS2s, CLS2s, CLZ2s, FABS2s, FADDPvv_S_2S, FCVTAS_2s, FCVTAU_2s, FCVTL...
+    // ABSv2i32, CLSv2i32, CLZv2i32, FABSv2f32, FADDPv2i32p, FCVTASv2f32, FCV...
     SStream_concat0(O, ".2s"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
     return;
     break;
   case 3:
-    // ABS4h, ADDV_1h4h, CLS4h, CLZ4h, FCVTL4h4s, NEG4h, REV32_4h, REV64_4h, ...
-    SStream_concat0(O, ".4h"); 
+    // ABSv2i64, ADDPv2i64p, FABSv2f64, FADDPv2i64p, FCVTASv2f64, FCVTAUv2f64...
+    SStream_concat0(O, ".2d"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
     return;
     break;
   case 4:
-    // ABS4s, ADDV_1s4s, CLS4s, CLZ4s, FABS4s, FCVTAS_4s, FCVTAU_4s, FCVTL4s2...
-    SStream_concat0(O, ".4s"); 
+    // ABSv4i16, ADDVv4i16v, CLSv4i16, CLZv4i16, FCVTLv4i16, NEGv4i16, REV32v...
+    SStream_concat0(O, ".4h"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4H);
     return;
     break;
   case 5:
-    // ABS8b, ADDV_1b8b, CLS8b, CLZ8b, CNT8b, NEG8b, NOT8b, RBIT8b, REV16_8b,...
-    SStream_concat0(O, ".8b"); 
+    // ABSv4i32, ADDVv4i32v, CLSv4i32, CLZv4i32, FABSv4f32, FCVTASv4f32, FCVT...
+    SStream_concat0(O, ".4s"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
     return;
     break;
   case 6:
-    // ABS8h, ADDV_1h8h, CLS8h, CLZ8h, FCVTL8h4s, NEG8h, REV32_8h, REV64_8h, ...
+    // ABSv8i16, ADDVv8i16v, CLSv8i16, CLZv8i16, FCVTLv8i16, NEGv8i16, REV32v...
     SStream_concat0(O, ".8h"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8H);
     return;
     break;
   case 7:
-    // ABSdd, CLSww, CLSxx, CLZww, CLZxx, DUP16b, DUP2d, DUP2s, DUP4h, DUP4s,...
+    // ABSv8i8, ADDVv8i8v, CLSv8i8, CLZv8i8, CNTv8i8, NEGv8i8, NOTv8i8, RBITv...
+    SStream_concat0(O, ".8b"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
     return;
     break;
   case 8:
-    // ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDSwww_asr, ADDSwww_lsl, ADDSwww_ls...
+    // ADCSWr, ADCSXr, ADCWr, ADCXr, ADDSWri, ADDSWrs, ADDSWrx, ADDSXri, ADDS...
     SStream_concat0(O, ", "); 
     break;
   case 9:
-    // ADDHN2vvv_16b8h, ADDHNvvv_8b8h, ADDP_8H, ADDvvv_8H, CMEQvvi_8H, CMEQvv...
-    SStream_concat0(O, ".8h, "); 
+    // ADDHNv2i64_v2i32, ADDHNv2i64_v4i32, ADDPv2i64, ADDv2i64, CMEQv2i64, CM...
+    SStream_concat0(O, ".2d, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
     break;
   case 10:
-    // ADDHN2vvv_4s2d, ADDHNvvv_2s2d, ADDP_2D, ADDvvv_2D, CMEQvvi_2D, CMEQvvv...
-    SStream_concat0(O, ".2d, "); 
+    // ADDHNv4i32_v4i16, ADDHNv4i32_v8i16, ADDPv4i32, ADDv4i32, CMEQv4i32, CM...
+    SStream_concat0(O, ".4s, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
     break;
   case 11:
-    // ADDHN2vvv_8h4s, ADDHNvvv_4h4s, ADDP_4S, ADDvvv_4S, CMEQvvi_4S, CMEQvvv...
-    SStream_concat0(O, ".4s, "); 
+    // ADDHNv8i16_v16i8, ADDHNv8i16_v8i8, ADDPv8i16, ADDv8i16, CMEQv8i16, CMG...
+    SStream_concat0(O, ".8h, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8H);
     break;
   case 12:
-    // ADDP_16B, ADDvvv_16B, ANDvvv_16B, BICvvv_16B, BIFvvv_16B, BITvvv_16B, ...
+    // ADDPv16i8, ADDv16i8, ANDv16i8, BICv16i8, BIFv16i8, BITv16i8, BSLv16i8,...
     SStream_concat0(O, ".16b, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
     break;
   case 13:
-    // ADDP_2S, ADDvvv_2S, CMEQvvi_2S, CMEQvvv_2S, CMGEvvi_2S, CMGEvvv_2S, CM...
+    // ADDPv2i32, ADDv2i32, CMEQv2i32, CMGEv2i32, CMGTv2i32, CMHIv2i32, CMHSv...
     SStream_concat0(O, ".2s, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
     break;
   case 14:
-    // ADDP_4H, ADDvvv_4H, CMEQvvi_4H, CMEQvvv_4H, CMGEvvi_4H, CMGEvvv_4H, CM...
+    // ADDPv4i16, ADDv4i16, CMEQv4i16, CMGEv4i16, CMGTv4i16, CMHIv4i16, CMHSv...
     SStream_concat0(O, ".4h, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4H);
     break;
   case 15:
-    // ADDP_8B, ADDvvv_8B, ANDvvv_8B, BICvvv_8B, BIFvvv_8B, BITvvv_8B, BSLvvv...
+    // ADDPv8i8, ADDv8i8, ANDv8i8, BICv8i8, BIFv8i8, BITv8i8, BSLv8i8, CMEQv8...
     SStream_concat0(O, ".8b, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
     break;
   case 16:
-    // BICvi_lsl_2S, BICvi_lsl_4S, ORRvi_lsl_2S, ORRvi_lsl_4S
-    printNeonMovImmShiftOperand(MI, 3, O, A64SE_LSL, false); 
+    // CMEQv16i8rz, CMGEv16i8rz, CMGTv16i8rz, CMLEv16i8rz, CMLTv16i8rz
+    SStream_concat0(O, ".16b, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
+	arm64_op_addFP(MI, 0.0);
     return;
     break;
   case 17:
-    // BICvi_lsl_4H, BICvi_lsl_8H, ORRvi_lsl_4H, ORRvi_lsl_8H
-    printNeonMovImmShiftOperand(MI, 3, O, A64SE_LSL, true); 
+    // CMEQv1i64rz, CMGEv1i64rz, CMGTv1i64rz, CMLEv1i64rz, CMLTv1i64rz
+    SStream_concat0(O, ", #0"); 
+	arm64_op_addImm(MI, 0);
     return;
     break;
   case 18:
-    // DUPELT16b, DUPELT8b, DUPbv_B, INSELb, SMOVwb, SMOVxb, UMOVwb
-    SStream_concat0(O, ".b["); 
-    set_mem_access(MI, true);
+    // CMEQv2i32rz, CMGEv2i32rz, CMGTv2i32rz, CMLEv2i32rz, CMLTv2i32rz
+    SStream_concat0(O, ".2s, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
+	arm64_op_addImm(MI, 0);
+    return;
     break;
   case 19:
-    // DUPELT2d, DUPdv_D, FMOVxv, UMOVxd
-    SStream_concat0(O, ".d["); 
-    set_mem_access(MI, true);
+    // CMEQv2i64rz, CMGEv2i64rz, CMGTv2i64rz, CMLEv2i64rz, CMLTv2i64rz
+    SStream_concat0(O, ".2d, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
+	arm64_op_addImm(MI, 0);
+    return;
     break;
   case 20:
-    // DUPELT2s, DUPELT4s, DUPsv_S, INSELs, SMOVxs, UMOVws
-    SStream_concat0(O, ".s["); 
-    set_mem_access(MI, true);
+    // CMEQv4i16rz, CMGEv4i16rz, CMGTv4i16rz, CMLEv4i16rz, CMLTv4i16rz
+    SStream_concat0(O, ".4h, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4H);
+	arm64_op_addImm(MI, 0);
+    return;
     break;
   case 21:
-    // DUPELT4h, DUPELT8h, DUPhv_H, INSELh, SMOVwh, SMOVxh, UMOVwh
-    SStream_concat0(O, ".h["); 
-    set_mem_access(MI, true);
+    // CMEQv4i32rz, CMGEv4i32rz, CMGTv4i32rz, CMLEv4i32rz, CMLTv4i32rz
+    SStream_concat0(O, ".4s, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
+	arm64_op_addImm(MI, 0);
+    return;
     break;
   case 22:
-    // INSELd
-    printVPRRegister(MI, 2, O); 
-    SStream_concat0(O, ".d["); 
-    set_mem_access(MI, true);
-    printUImmBareOperand(MI, 4, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
+    // CMEQv8i16rz, CMGEv8i16rz, CMGTv8i16rz, CMLEv8i16rz, CMLTv8i16rz
+    SStream_concat0(O, ".8h, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8H);
+	arm64_op_addImm(MI, 0);
     return;
     break;
   case 23:
-    // INSdx
-    printOperand(MI, 2, O); 
+    // CMEQv8i8rz, CMGEv8i8rz, CMGTv8i8rz, CMLEv8i8rz, CMLTv8i8rz
+    SStream_concat0(O, ".8b, #0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
+	arm64_op_addImm(MI, 0);
     return;
     break;
   case 24:
-    // LDAR_byte, LDAR_dword, LDAR_hword, LDAR_word, LDAXR_byte, LDAXR_dword,...
+    // CPYi16, DUPv4i16lane, DUPv8i16lane, INSvi16lane, SMOVvi16to32, SMOVvi1...
+    SStream_concat0(O, ".h"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_H);
+    break;
+  case 25:
+    // CPYi32, DUPv2i32lane, DUPv4i32lane, INSvi32lane, SMOVvi32to64, UMOVvi3...
+    SStream_concat0(O, ".s"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_S);
+    break;
+  case 26:
+    // CPYi64, DUPv2i64lane, FMOVDXHighr, INSvi64lane, UMOVvi64
+    SStream_concat0(O, ".d"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_D);
+    break;
+  case 27:
+    // CPYi8, DUPv16i8lane, DUPv8i8lane, INSvi8lane, SMOVvi8to32, SMOVvi8to64...
+    SStream_concat0(O, ".b"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_B);
+    break;
+  case 28:
+    // FCMEQv1i32rz, FCMEQv1i64rz, FCMGEv1i32rz, FCMGEv1i64rz, FCMGTv1i32rz, ...
+    SStream_concat0(O, ", #0.0"); 
+	arm64_op_addFP(MI, 0.0);
+    return;
+    break;
+  case 29:
+    // FCMEQv2i32rz, FCMGEv2i32rz, FCMGTv2i32rz, FCMLEv2i32rz, FCMLTv2i32rz
+    SStream_concat0(O, ".2s, #0.0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
+	arm64_op_addFP(MI, 0.0);
+    return;
+    break;
+  case 30:
+    // FCMEQv2i64rz, FCMGEv2i64rz, FCMGTv2i64rz, FCMLEv2i64rz, FCMLTv2i64rz
+    SStream_concat0(O, ".2d, #0.0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
+	arm64_op_addFP(MI, 0.0);
+    return;
+    break;
+  case 31:
+    // FCMEQv4i32rz, FCMGEv4i32rz, FCMGTv4i32rz, FCMLEv4i32rz, FCMLTv4i32rz
+    SStream_concat0(O, ".4s, #0.0"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
+	arm64_op_addFP(MI, 0.0);
+    return;
+    break;
+  case 32:
+    // LDARB, LDARH, LDARW, LDARX, LDAXRB, LDAXRH, LDAXRW, LDAXRX, LDXRB, LDX...
     SStream_concat0(O, "]"); 
     set_mem_access(MI, false);
     return;
     break;
-  case 25:
-    // LDAXP_dword, LDAXP_word, LDPSWx, LDPSWx_PostInd, LDPSWx_PreInd, LDXP_d...
+  case 33:
+    // LDAXPW, LDAXPX, LDNPDi, LDNPQi, LDNPSi, LDNPWi, LDNPXi, LDPDi, LDPDpos...
     SStream_concat0(O, ", ["); 
     set_mem_access(MI, true);
     break;
-  case 26:
-    // LDRSBw_PostInd, LDRSBx_PostInd, LDRSHw_PostInd, LDRSHx_PostInd, LDRSWx...
+  case 34:
+    // LDRBBpost, LDRBpost, LDRDpost, LDRHHpost, LDRHpost, LDRQpost, LDRSBWpo...
     SStream_concat0(O, "], "); 
     set_mem_access(MI, false);
-    printOffsetSImm9Operand(MI, 3, O); 
+    printOperand(MI, 3, O); 
     return;
     break;
-  case 27:
-    // MOVIvi_lsl_2S, MOVIvi_lsl_4S, MVNIvi_lsl_2S, MVNIvi_lsl_4S
-    printNeonMovImmShiftOperand(MI, 2, O, A64SE_LSL, false); 
+  case 35:
+    // MOVIv2i32, MOVIv2s_msl, MOVIv4i16, MOVIv4i32, MOVIv4s_msl, MOVIv8i16, ...
+    printShifter(MI, 2, O); 
     return;
     break;
-  case 28:
-    // MOVIvi_lsl_4H, MOVIvi_lsl_8H, MVNIvi_lsl_4H, MVNIvi_lsl_8H
-    printNeonMovImmShiftOperand(MI, 2, O, A64SE_LSL, true); 
+  case 36:
+    // SHLLv16i8
+    SStream_concat0(O, ".16b, #8"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
+	arm64_op_addImm(MI, 8);
     return;
     break;
-  case 29:
-    // MOVIvi_msl_2S, MOVIvi_msl_4S, MVNIvi_msl_2S, MVNIvi_msl_4S
-    printNeonMovImmShiftOperand(MI, 2, O, A64SE_MSL, false); 
+  case 37:
+    // SHLLv2i32
+    SStream_concat0(O, ".2s, #32"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
+	arm64_op_addImm(MI, 32);
+    return;
+    break;
+  case 38:
+    // SHLLv4i16
+    SStream_concat0(O, ".4h, #16"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4H);
+	arm64_op_addImm(MI, 16);
+    return;
+    break;
+  case 39:
+    // SHLLv4i32
+    SStream_concat0(O, ".4s, #32"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
+	arm64_op_addImm(MI, 32);
+    return;
+    break;
+  case 40:
+    // SHLLv8i16
+    SStream_concat0(O, ".8h, #16"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8H);
+	arm64_op_addImm(MI, 16);
+    return;
+    break;
+  case 41:
+    // SHLLv8i8
+    SStream_concat0(O, ".8b, #8"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
+	arm64_op_addImm(MI, 8);
     return;
     break;
   }
 
 
-  // Fragment 4 encoded into 6 bits for 39 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 63);
-  switch ((Bits >> 35) & 63) {
+  // Fragment 4 encoded into 5 bits for 18 unique commands.
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 31);
+  switch ((Bits >> 35) & 31) {
   default:   // unreachable.
   case 0:
-    // ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDSwww_asr, ADDSwww_lsl, ADDSwww_ls...
+    // ADCSWr, ADCSXr, ADCWr, ADCXr, ADDSXrx64, ADDXrx64, ADDv1i64, ASRVWr, A...
     printOperand(MI, 2, O); 
     break;
   case 1:
-    // ADDHN2vvv_16b8h, ADDHN2vvv_4s2d, ADDHN2vvv_8h4s, BIFvvv_16B, BIFvvv_8B...
-    printVPRRegister(MI, 3, O); 
+    // ADDHNv2i64_v2i32, ADDHNv4i32_v4i16, ADDHNv8i16_v8i8, ADDPv16i8, ADDPv2...
+    printVRegOperand(MI, 2, O); 
     break;
   case 2:
-    // ADDHNvvv_2s2d, ADDHNvvv_4h4s, ADDHNvvv_8b8h, ADDP_16B, ADDP_2D, ADDP_2...
-    printVPRRegister(MI, 2, O); 
+    // ADDHNv2i64_v4i32, ADDHNv4i32_v8i16, ADDHNv8i16_v16i8, BITv16i8, BITv8i...
+    printVRegOperand(MI, 3, O); 
     break;
   case 3:
-    // ADDwwi_lsl0_S, ADDwwi_lsl0_s, ADDxxi_lsl0_S, ADDxxi_lsl0_s, SUBwwi_lsl...
-    printAddSubImmLSL0Operand(MI, 2, O); 
+    // ADDSWri, ADDSXri, ADDWri, ADDXri, SUBSWri, SUBSXri, SUBWri, SUBXri
+    printAddSubImm(MI, 2, O); 
     return;
     break;
   case 4:
-    // ADDwwi_lsl12_S, ADDwwi_lsl12_s, ADDxxi_lsl12_S, ADDxxi_lsl12_s, SUBwwi...
-    printAddSubImmLSL12Operand(MI, 2, O); 
+    // ADDSWrs, ADDSXrs, ADDWrs, ADDXrs, ANDSWrs, ANDSXrs, ANDWrs, ANDXrs, BI...
+    printShiftedRegister(MI, 2, O); 
     return;
     break;
   case 5:
-    // ANDSwwi, ANDwwi, EORwwi, ORRwwi
-    printLogicalImmOperand(MI, 2, O, 32); 
+    // ADDSWrx, ADDSXrx, ADDWrx, ADDXrx, SUBSWrx, SUBSXrx, SUBWrx, SUBXrx
+    printExtendedRegister(MI, 2, O); 
     return;
     break;
   case 6:
-    // ANDSxxi, ANDxxi, EORxxi, ORRxxi
-    printLogicalImmOperand(MI, 2, O, 64); 
+    // ANDSWri, ANDWri, EORWri, ORRWri
+    printLogicalImm32(MI, 2, O); 
     return;
     break;
   case 7:
-    // BFIwwii
-    printBFILSBOperand(MI, 3, O, 32); 
-    SStream_concat0(O, ", "); 
-    printBFIWidthOperand(MI, 4, O); 
+    // ANDSXri, ANDXri, EORXri, ORRXri
+    printLogicalImm64(MI, 2, O); 
     return;
     break;
   case 8:
-    // BFIxxii
-    printBFILSBOperand(MI, 3, O, 64); 
-    SStream_concat0(O, ", "); 
-    printBFIWidthOperand(MI, 4, O); 
-    return;
-    break;
-  case 9:
-    // BFMwwii, BFMxxii, BFXILwwii, BFXILxxii, LDPSWx_PostInd, LDPSWx_PreInd,...
+    // BFMWri, BFMXri, LDPDpost, LDPDpre, LDPQpost, LDPQpre, LDPSWpost, LDPSW...
     printOperand(MI, 3, O); 
     break;
+  case 9:
+    // CPYi16, CPYi32, CPYi64, CPYi8, DUPv16i8lane, DUPv2i32lane, DUPv2i64lan...
+    printVectorIndex(MI, 2, O); 
+    return;
+    break;
   case 10:
-    // CMEQddi, CMEQvvi_16B, CMEQvvi_2D, CMEQvvi_2S, CMEQvvi_4H, CMEQvvi_4S, ...
-    printNeonUImm0Operand(MI, 2, O); 
+    // INSvi16lane, INSvi32lane, INSvi64lane, INSvi8lane
+    printVectorIndex(MI, 4, O); 
     return;
     break;
   case 11:
-    // CMNww_asr, CMNxx_asr, CMPww_asr, CMPxx_asr, MVNww_asr, MVNxx_asr, TSTw...
-    printShiftOperand(MI, 2, O, A64SE_ASR); 
+    // LDRBBui, LDRBui, LDRSBWui, LDRSBXui, STRBBui, STRBui
+    printUImm12Offset2(MI, 2, O, 1); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
     return;
     break;
   case 12:
-    // CMNww_lsl, CMNxx_lsl, CMPww_lsl, CMPxx_lsl, MVNww_lsl, MVNxx_lsl, TSTw...
-    printShiftOperand(MI, 2, O, A64SE_LSL); 
+    // LDRDui, LDRXui, PRFMui, STRDui, STRXui
+    printUImm12Offset2(MI, 2, O, 8); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
     return;
     break;
   case 13:
-    // CMNww_lsr, CMNxx_lsr, CMPww_lsr, CMPxx_lsr, MVNww_lsr, MVNxx_lsr, TSTw...
-    printShiftOperand(MI, 2, O, A64SE_LSR); 
+    // LDRHHui, LDRHui, LDRSHWui, LDRSHXui, STRHHui, STRHui
+    printUImm12Offset2(MI, 2, O, 2); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
     return;
     break;
   case 14:
-    // CMNww_sxtb, CMNxw_sxtb, CMPww_sxtb, CMPxw_sxtb
-    printRegExtendOperand(MI, 2, O, A64SE_SXTB); 
+    // LDRQui, STRQui
+    printUImm12Offset2(MI, 2, O, 16); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
     return;
     break;
   case 15:
-    // CMNww_sxth, CMNxw_sxth, CMPww_sxth, CMPxw_sxth
-    printRegExtendOperand(MI, 2, O, A64SE_SXTH); 
+    // LDRSWui, LDRSui, LDRWui, STRSui, STRWui
+    printUImm12Offset2(MI, 2, O, 4); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
     return;
     break;
   case 16:
-    // CMNww_sxtw, CMNxw_sxtw, CMPww_sxtw, CMPxw_sxtw
-    printRegExtendOperand(MI, 2, O, A64SE_SXTW); 
+    // SYSLxt
+    printSysCROperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printSysCROperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 4, O); 
     return;
     break;
   case 17:
-    // CMNww_sxtx, CMNxx_sxtx, CMPww_sxtx, CMPxx_sxtx
-    printRegExtendOperand(MI, 2, O, A64SE_SXTX); 
+    // TBNZW, TBNZX, TBZW, TBZX
+    printAlignedLabel(MI, 2, O); 
     return;
     break;
-  case 18:
-    // CMNww_uxtb, CMNxw_uxtb, CMPww_uxtb, CMPxw_uxtb
-    printRegExtendOperand(MI, 2, O, A64SE_UXTB); 
+  }
+
+
+  // Fragment 5 encoded into 5 bits for 19 unique commands.
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 31);
+  switch ((Bits >> 40) & 31) {
+  default:   // unreachable.
+  case 0:
+    // ADCSWr, ADCSXr, ADCWr, ADCXr, ADDv1i64, ASRVWr, ASRVXr, CMEQv1i64, CMG...
     return;
     break;
-  case 19:
-    // CMNww_uxth, CMNxw_uxth, CMPww_uxth, CMPxw_uxth
-    printRegExtendOperand(MI, 2, O, A64SE_UXTH); 
+  case 1:
+    // ADDHNv2i64_v2i32, ADDHNv2i64_v4i32, ADDPv2i64, ADDv2i64, CMEQv2i64, CM...
+    SStream_concat0(O, ".2d"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2D);
     return;
     break;
-  case 20:
-    // CMNww_uxtw, CMNxw_uxtw, CMPww_uxtw, CMPxw_uxtw
-    printRegExtendOperand(MI, 2, O, A64SE_UXTW); 
+  case 2:
+    // ADDHNv4i32_v4i16, ADDHNv4i32_v8i16, ADDPv4i32, ADDv4i32, CMEQv4i32, CM...
+    SStream_concat0(O, ".4s"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4S);
     return;
     break;
-  case 21:
-    // CMNww_uxtx, CMNxx_uxtx, CMPww_uxtx, CMPxx_uxtx
-    printRegExtendOperand(MI, 2, O, A64SE_UXTX); 
+  case 3:
+    // ADDHNv8i16_v16i8, ADDHNv8i16_v8i8, ADDPv8i16, ADDv8i16, CMEQv8i16, CMG...
+    SStream_concat0(O, ".8h"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8H);
     return;
     break;
-  case 22:
-    // DUPELT16b, DUPELT2d, DUPELT2s, DUPELT4h, DUPELT4s, DUPELT8b, DUPELT8h,...
-    printUImmBareOperand(MI, 2, O); 
+  case 4:
+    // ADDPv16i8, ADDv16i8, ANDv16i8, BICv16i8, BIFv16i8, BITv16i8, BSLv16i8,...
+    SStream_concat0(O, ".16b"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
+    return;
+    break;
+  case 5:
+    // ADDPv2i32, ADDv2i32, CMEQv2i32, CMGEv2i32, CMGTv2i32, CMHIv2i32, CMHSv...
+    SStream_concat0(O, ".2s"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_2S);
+    return;
+    break;
+  case 6:
+    // ADDPv4i16, ADDv4i16, CMEQv4i16, CMGEv4i16, CMGTv4i16, CMHIv4i16, CMHSv...
+    SStream_concat0(O, ".4h"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_4H);
+    return;
+    break;
+  case 7:
+    // ADDPv8i8, ADDv8i8, ANDv8i8, BICv8i8, BIFv8i8, BITv8i8, BSLv8i8, CMEQv8...
+    SStream_concat0(O, ".8b"); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
+    return;
+    break;
+  case 8:
+    // ADDSXrx64, ADDXrx64, SUBSXrx64, SUBXrx64
+    printArithExtend(MI, 3, O); 
+    return;
+    break;
+  case 9:
+    // BFMWri, BFMXri, CCMNWi, CCMNWr, CCMNXi, CCMNXr, CCMPWi, CCMPWr, CCMPXi...
+    SStream_concat0(O, ", "); 
+    break;
+  case 10:
+    // EXTv16i8
+    SStream_concat0(O, ".16b, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_16B);
+    printOperand(MI, 3, O); 
+    return;
+    break;
+  case 11:
+    // EXTv8i8
+    SStream_concat0(O, ".8b, "); 
+	arm64_op_addVectorArrSpecifier(MI, ARM64_VAS_8B);
+    printOperand(MI, 3, O); 
+    return;
+    break;
+  case 12:
+    // FMLAv1i32_indexed, FMLAv2i32_indexed, FMLAv4i32_indexed, FMLSv1i32_ind...
+    SStream_concat0(O, ".s"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_S);
+    break;
+  case 13:
+    // FMLAv1i64_indexed, FMLAv2i64_indexed, FMLSv1i64_indexed, FMLSv2i64_ind...
+    SStream_concat0(O, ".d"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_D);
+    break;
+  case 14:
+    // LDAXPW, LDAXPX, LDTRBi, LDTRHi, LDTRSBWi, LDTRSBXi, LDTRSHWi, LDTRSHXi...
     SStream_concat0(O, "]"); 
     set_mem_access(MI, false);
     return;
     break;
-  case 23:
-    // FCMEQZddi, FCMEQZssi, FCMEQvvi_2D, FCMEQvvi_2S, FCMEQvvi_4S, FCMGEZddi...
-    printFPZeroOperand(MI, 2, O); 
-    return;
-    break;
-  case 24:
-    // FCVTZSwdi, FCVTZSwsi, FCVTZSxdi, FCVTZSxsi, FCVTZUwdi, FCVTZUwsi, FCVT...
-    printCVTFixedPosOperand(MI, 2, O); 
-    return;
-    break;
-  case 25:
-    // FMOVxv
-    printBareImmOperand(MI, 2, O); 
-    SStream_concat0(O, "]"); 
+  case 15:
+    // LDPDpost, LDPQpost, LDPSWpost, LDPSpost, LDPWpost, LDPXpost, STPDpost,...
+    SStream_concat0(O, "], "); 
     set_mem_access(MI, false);
-    return;
     break;
-  case 26:
-    // INSELb, INSELh, INSELs
-    printUImmBareOperand(MI, 4, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 27:
-    // LDRSBw, LDRSBx, LS8_LDR, LS8_STR, LSFP8_LDR, LSFP8_STR
-    printOffsetUImm12Operand(MI, 2, O, 1); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 28:
-    // LDRSBw_PreInd, LDRSBx_PreInd, LDRSHw_PreInd, LDRSHx_PreInd, LDRSWx_Pre...
-    printOffsetSImm9Operand(MI, 3, O); 
+  case 16:
+    // LDRBBpre, LDRBpre, LDRDpre, LDRHHpre, LDRHpre, LDRQpre, LDRSBWpre, LDR...
     SStream_concat0(O, "]!"); 
     set_mem_access(MI, false);
     return;
     break;
-  case 29:
-    // LDRSBw_U, LDRSBx_U, LDRSHw_U, LDRSHx_U, LDTRSBw, LDTRSBx, LDTRSHw, LDT...
-    printOffsetSImm9Operand(MI, 2, O); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
+  case 17:
+    // MLAv4i16_indexed, MLAv8i16_indexed, MLSv4i16_indexed, MLSv8i16_indexed...
+    SStream_concat0(O, ".h"); 
+	arm64_op_addVectorElementSizeSpecifier(MI, ARM64_VESS_H);
     break;
-  case 30:
-    // LDRSHw, LDRSHx, LS16_LDR, LS16_STR, LSFP16_LDR, LSFP16_STR
-    printOffsetUImm12Operand(MI, 2, O, 2); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 31:
-    // LDRSWx, LS32_LDR, LS32_STR, LSFP32_LDR, LSFP32_STR
-    printOffsetUImm12Operand(MI, 2, O, 4); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 32:
-    // LS64_LDR, LS64_STR, LSFP64_LDR, LSFP64_STR, PRFM
-    printOffsetUImm12Operand(MI, 2, O, 8); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 33:
-    // LSFP128_LDR, LSFP128_STR
-    printOffsetUImm12Operand(MI, 2, O, 16); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 34:
-    // MVNww_ror, MVNxx_ror, TSTww_ror, TSTxx_ror
-    printShiftOperand(MI, 2, O, A64SE_ROR); 
-    return;
-    break;
-  case 35:
-    // SBFIZwwii, UBFIZwwii
-    printBFILSBOperand(MI, 2, O, 32); 
-    SStream_concat0(O, ", "); 
-    printBFIWidthOperand(MI, 3, O); 
-    return;
-    break;
-  case 36:
-    // SBFIZxxii, UBFIZxxii
-    printBFILSBOperand(MI, 2, O, 64); 
-    SStream_concat0(O, ", "); 
-    printBFIWidthOperand(MI, 3, O); 
-    return;
-    break;
-  case 37:
-    // SYSLxicci
-    printCRxOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
-    printCRxOperand(MI, 3, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 4, O); 
-    return;
-    break;
-  case 38:
-    // TBNZwii, TBNZxii, TBZwii, TBZxii
-    printLabelOperand(MI, 2, O, 14, 4); 
-    return;
-    break;
-  }
-
-
-  // Fragment 5 encoded into 5 bits for 17 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 41) & 31);
-  switch ((Bits >> 41) & 31) {
-  default:   // unreachable.
-  case 0:
-    // ADCSwww, ADCSxxx, ADCwww, ADCxxx, ADDddd, ASRVwww, ASRVxxx, ASRwwi, AS...
-    return;
-    break;
-  case 1:
-    // ADDHN2vvv_16b8h, ADDHNvvv_8b8h, ADDP_8H, ADDvvv_8H, CMEQvvv_8H, CMGEvv...
-    SStream_concat0(O, ".8h"); 
-    return;
-    break;
-  case 2:
-    // ADDHN2vvv_4s2d, ADDHNvvv_2s2d, ADDP_2D, ADDvvv_2D, CMEQvvv_2D, CMGEvvv...
-    SStream_concat0(O, ".2d"); 
-    return;
-    break;
-  case 3:
-    // ADDHN2vvv_8h4s, ADDHNvvv_4h4s, ADDP_4S, ADDvvv_4S, CMEQvvv_4S, CMGEvvv...
-    SStream_concat0(O, ".4s"); 
-    return;
-    break;
-  case 4:
-    // ADDP_16B, ADDvvv_16B, ANDvvv_16B, BICvvv_16B, BIFvvv_16B, BITvvv_16B, ...
-    SStream_concat0(O, ".16b"); 
-    return;
-    break;
-  case 5:
-    // ADDP_2S, ADDvvv_2S, CMEQvvv_2S, CMGEvvv_2S, CMGTvvv_2S, CMHIvvv_2S, CM...
-    SStream_concat0(O, ".2s"); 
-    return;
-    break;
-  case 6:
-    // ADDP_4H, ADDvvv_4H, CMEQvvv_4H, CMGEvvv_4H, CMGTvvv_4H, CMHIvvv_4H, CM...
-    SStream_concat0(O, ".4h"); 
-    return;
-    break;
-  case 7:
-    // ADDP_8B, ADDvvv_8B, ANDvvv_8B, BICvvv_8B, BIFvvv_8B, BITvvv_8B, BSLvvv...
-    SStream_concat0(O, ".8b"); 
-    return;
-    break;
-  case 8:
-    // ADDSwww_asr, ADDSwww_lsl, ADDSwww_lsr, ADDSwww_sxtb, ADDSwww_sxth, ADD...
-    SStream_concat0(O, ", "); 
-    break;
-  case 9:
-    // EXTvvvi_16b
-    SStream_concat0(O, ".16b, "); 
-    printUImmHexOperand(MI, 3, O); 
-    return;
-    break;
-  case 10:
-    // EXTvvvi_8b
-    SStream_concat0(O, ".8b, "); 
-    printUImmHexOperand(MI, 3, O); 
-    return;
-    break;
-  case 11:
-    // FMLAddv_2D, FMLAvve_2d2d, FMLSddv_2D, FMLSvve_2d2d, FMULXddv_2D, FMULX...
-    SStream_concat0(O, ".d["); 
-    set_mem_access(MI, true);
-    break;
-  case 12:
-    // FMLAssv_4S, FMLAvve_2s4s, FMLAvve_4s4s, FMLSssv_4S, FMLSvve_2s4s, FMLS...
-    SStream_concat0(O, ".s["); 
-    set_mem_access(MI, true);
-    break;
-  case 13:
-    // LDAXP_dword, LDAXP_word, LDXP_dword, LDXP_word, STLXR_byte, STLXR_dwor...
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 14:
-    // LDPSWx_PostInd, LSFPPair128_PostInd_LDR, LSFPPair128_PostInd_STR, LSFP...
-    SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
-    break;
-  case 15:
-    // MLAvve_4h8h, MLAvve_8h8h, MLSvve_4h8h, MLSvve_8h8h, MULve_4h8h, MULve_...
-    SStream_concat0(O, ".h["); 
-    set_mem_access(MI, true);
-    break;
-  case 16:
-    // STLXP_dword, STLXP_word, STXP_dword, STXP_word
+  case 18:
+    // STLXPW, STLXPX, STXPW, STXPX
     SStream_concat0(O, ", ["); 
     set_mem_access(MI, true);
     printOperand(MI, 3, O); 
@@ -8296,239 +6398,164 @@
   }
 
 
-  // Fragment 6 encoded into 6 bits for 35 unique commands.
-  //printf("Frag-6: %"PRIu64"\n", (Bits >> 46) & 63);
-  switch ((Bits >> 46) & 63) {
+  // Fragment 6 encoded into 5 bits for 21 unique commands.
+  //printf("Frag-6: %"PRIu64"\n", (Bits >> 45) & 31);
+  switch ((Bits >> 45) & 31) {
   default:   // unreachable.
   case 0:
-    // ADDSwww_asr, ADDSxxx_asr, ADDwww_asr, ADDxxx_asr, ANDSwww_asr, ANDSxxx...
-    printShiftOperand(MI, 3, O, A64SE_ASR); 
-    return;
-    break;
-  case 1:
-    // ADDSwww_lsl, ADDSxxx_lsl, ADDwww_lsl, ADDxxx_lsl, ANDSwww_lsl, ANDSxxx...
-    printShiftOperand(MI, 3, O, A64SE_LSL); 
-    return;
-    break;
-  case 2:
-    // ADDSwww_lsr, ADDSxxx_lsr, ADDwww_lsr, ADDxxx_lsr, ANDSwww_lsr, ANDSxxx...
-    printShiftOperand(MI, 3, O, A64SE_LSR); 
-    return;
-    break;
-  case 3:
-    // ADDSwww_sxtb, ADDSxxw_sxtb, ADDwww_sxtb, ADDxxw_sxtb, SUBSwww_sxtb, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_SXTB); 
-    return;
-    break;
-  case 4:
-    // ADDSwww_sxth, ADDSxxw_sxth, ADDwww_sxth, ADDxxw_sxth, SUBSwww_sxth, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_SXTH); 
-    return;
-    break;
-  case 5:
-    // ADDSwww_sxtw, ADDSxxw_sxtw, ADDwww_sxtw, ADDxxw_sxtw, SUBSwww_sxtw, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_SXTW); 
-    return;
-    break;
-  case 6:
-    // ADDSwww_sxtx, ADDSxxx_sxtx, ADDwww_sxtx, ADDxxx_sxtx, SUBSwww_sxtx, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_SXTX); 
-    return;
-    break;
-  case 7:
-    // ADDSwww_uxtb, ADDSxxw_uxtb, ADDwww_uxtb, ADDxxw_uxtb, SUBSwww_uxtb, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_UXTB); 
-    return;
-    break;
-  case 8:
-    // ADDSwww_uxth, ADDSxxw_uxth, ADDwww_uxth, ADDxxw_uxth, SUBSwww_uxth, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_UXTH); 
-    return;
-    break;
-  case 9:
-    // ADDSwww_uxtw, ADDSxxw_uxtw, ADDwww_uxtw, ADDxxw_uxtw, SUBSwww_uxtw, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_UXTW); 
-    return;
-    break;
-  case 10:
-    // ADDSwww_uxtx, ADDSxxx_uxtx, ADDwww_uxtx, ADDxxx_uxtx, SUBSwww_uxtx, SU...
-    printRegExtendOperand(MI, 3, O, A64SE_UXTX); 
-    return;
-    break;
-  case 11:
-    // ANDSwww_ror, ANDSxxx_ror, ANDwww_ror, ANDxxx_ror, BICSwww_ror, BICSxxx...
-    printShiftOperand(MI, 3, O, A64SE_ROR); 
-    return;
-    break;
-  case 12:
-    // BFMwwii, BFMxxii
+    // BFMWri, BFMXri
     printOperand(MI, 4, O); 
     return;
     break;
-  case 13:
-    // BFXILwwii, BFXILxxii
-    printBFXWidthOperand(MI, 4, O); 
+  case 1:
+    // CCMNWi, CCMNWr, CCMNXi, CCMNXr, CCMPWi, CCMPWr, CCMPXi, CCMPXr, CSELWr...
+    printCondCode(MI, 3, O); 
     return;
     break;
-  case 14:
-    // CCMNwi, CCMNww, CCMNxi, CCMNxx, CCMPwi, CCMPww, CCMPxi, CCMPxx, CSELww...
-    printCondCodeOperand(MI, 3, O); 
-    return;
-    break;
-  case 15:
-    // EXTRwwwi, EXTRxxxi, FMADDdddd, FMADDssss, FMSUBdddd, FMSUBssss, FNMADD...
+  case 2:
+    // EXTRWrri, EXTRXrri, FMADDDrrr, FMADDSrrr, FMSUBDrrr, FMSUBSrrr, FNMADD...
     printOperand(MI, 3, O); 
     return;
     break;
+  case 3:
+    // FMLAv1i32_indexed, FMLAv1i64_indexed, FMLAv2i32_indexed, FMLAv2i64_ind...
+    printVectorIndex(MI, 4, O); 
+    return;
+    break;
+  case 4:
+    // FMULXv1i32_indexed, FMULXv1i64_indexed, FMULXv2i32_indexed, FMULXv2i64...
+    printVectorIndex(MI, 3, O); 
+    return;
+    break;
+  case 5:
+    // LDNPDi, LDNPXi, LDPDi, LDPXi, STNPDi, STNPXi, STPDi, STPXi
+    printImmScale(MI, 3, O, 8); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 6:
+    // LDNPQi, LDPQi, STNPQi, STPQi
+    printImmScale(MI, 3, O, 16); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 7:
+    // LDNPSi, LDNPWi, LDPSWi, LDPSi, LDPWi, STNPSi, STNPWi, STPSi, STPWi
+    printImmScale(MI, 3, O, 4); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 8:
+    // LDPDpost, LDPDpre, LDPXpost, LDPXpre, STPDpost, STPDpre, STPXpost, STP...
+    printImmScale(MI, 4, O, 8); 
+    break;
+  case 9:
+    // LDPQpost, LDPQpre, STPQpost, STPQpre
+    printImmScale(MI, 4, O, 16); 
+    break;
+  case 10:
+    // LDPSWpost, LDPSWpre, LDPSpost, LDPSpre, LDPWpost, LDPWpre, STPSpost, S...
+    printImmScale(MI, 4, O, 4); 
+    break;
+  case 11:
+    // LDRBBroW, LDRBroW, LDRSBWroW, LDRSBXroW, STRBBroW, STRBroW
+    printMemExtend(MI, 3, O, 'w', 8); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 12:
+    // LDRBBroX, LDRBroX, LDRSBWroX, LDRSBXroX, STRBBroX, STRBroX
+    printMemExtend(MI, 3, O, 'x', 8); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 13:
+    // LDRDroW, LDRXroW, PRFMroW, STRDroW, STRXroW
+    printMemExtend(MI, 3, O, 'w', 64); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 14:
+    // LDRDroX, LDRXroX, PRFMroX, STRDroX, STRXroX
+    printMemExtend(MI, 3, O, 'x', 64); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
+  case 15:
+    // LDRHHroW, LDRHroW, LDRSHWroW, LDRSHXroW, STRHHroW, STRHroW
+    printMemExtend(MI, 3, O, 'w', 16); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
+    break;
   case 16:
-    // FMLAddv_2D, FMLAssv_4S, FMLAvve_2d2d, FMLAvve_2s4s, FMLAvve_4s4s, FMLS...
-    printUImmBareOperand(MI, 4, O); 
+    // LDRHHroX, LDRHroX, LDRSHWroX, LDRSHXroX, STRHHroX, STRHroX
+    printMemExtend(MI, 3, O, 'x', 16); 
     SStream_concat0(O, "]"); 
     set_mem_access(MI, false);
     return;
     break;
   case 17:
-    // FMULXddv_2D, FMULXssv_4S, FMULXve_2d2d, FMULXve_2s4s, FMULXve_4s4s, FM...
-    printUImmBareOperand(MI, 3, O); 
+    // LDRQroW, STRQroW
+    printMemExtend(MI, 3, O, 'w', 128); 
     SStream_concat0(O, "]"); 
     set_mem_access(MI, false);
     return;
     break;
   case 18:
-    // LDPSWx, LSFPPair32_LDR, LSFPPair32_NonTemp_LDR, LSFPPair32_NonTemp_STR...
-    printSImm7ScaledOperand(MI, 3, O, 4); 
+    // LDRQroX, STRQroX
+    printMemExtend(MI, 3, O, 'x', 128); 
     SStream_concat0(O, "]"); 
     set_mem_access(MI, false);
     return;
     break;
   case 19:
-    // LDPSWx_PostInd, LDPSWx_PreInd, LSFPPair32_PostInd_LDR, LSFPPair32_Post...
-    printSImm7ScaledOperand(MI, 4, O, 4); 
+    // LDRSWroW, LDRSroW, LDRWroW, STRSroW, STRWroW
+    printMemExtend(MI, 3, O, 'w', 32); 
+    SStream_concat0(O, "]"); 
+    set_mem_access(MI, false);
+    return;
     break;
   case 20:
-    // LDRSBw_Wm_RegOffset, LDRSBx_Wm_RegOffset, LS8_Wm_RegOffset_LDR, LS8_Wm...
-    printAddrRegExtendOperand(MI, 3, O, 1, 32); 
+    // LDRSWroX, LDRSroX, LDRWroX, STRSroX, STRWroX
+    printMemExtend(MI, 3, O, 'x', 32); 
     SStream_concat0(O, "]"); 
     set_mem_access(MI, false);
     return;
     break;
-  case 21:
-    // LDRSBw_Xm_RegOffset, LDRSBx_Xm_RegOffset, LS8_Xm_RegOffset_LDR, LS8_Xm...
-    printAddrRegExtendOperand(MI, 3, O, 1, 64); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 22:
-    // LDRSHw_Wm_RegOffset, LDRSHx_Wm_RegOffset, LS16_Wm_RegOffset_LDR, LS16_...
-    printAddrRegExtendOperand(MI, 3, O, 2, 32); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 23:
-    // LDRSHw_Xm_RegOffset, LDRSHx_Xm_RegOffset, LS16_Xm_RegOffset_LDR, LS16_...
-    printAddrRegExtendOperand(MI, 3, O, 2, 64); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 24:
-    // LDRSWx_Wm_RegOffset, LS32_Wm_RegOffset_LDR, LS32_Wm_RegOffset_STR, LSF...
-    printAddrRegExtendOperand(MI, 3, O, 4, 32); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 25:
-    // LDRSWx_Xm_RegOffset, LS32_Xm_RegOffset_LDR, LS32_Xm_RegOffset_STR, LSF...
-    printAddrRegExtendOperand(MI, 3, O, 4, 64); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 26:
-    // LS64_Wm_RegOffset_LDR, LS64_Wm_RegOffset_STR, LSFP64_Wm_RegOffset_LDR,...
-    printAddrRegExtendOperand(MI, 3, O, 8, 32); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 27:
-    // LS64_Xm_RegOffset_LDR, LS64_Xm_RegOffset_STR, LSFP64_Xm_RegOffset_LDR,...
-    printAddrRegExtendOperand(MI, 3, O, 8, 64); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 28:
-    // LSFP128_Wm_RegOffset_LDR, LSFP128_Wm_RegOffset_STR
-    printAddrRegExtendOperand(MI, 3, O, 16, 32); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 29:
-    // LSFP128_Xm_RegOffset_LDR, LSFP128_Xm_RegOffset_STR
-    printAddrRegExtendOperand(MI, 3, O, 16, 64); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 30:
-    // LSFPPair128_LDR, LSFPPair128_NonTemp_LDR, LSFPPair128_NonTemp_STR, LSF...
-    printSImm7ScaledOperand(MI, 3, O, 16); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 31:
-    // LSFPPair128_PostInd_LDR, LSFPPair128_PostInd_STR, LSFPPair128_PreInd_L...
-    printSImm7ScaledOperand(MI, 4, O, 16); 
-    break;
-  case 32:
-    // LSFPPair64_LDR, LSFPPair64_NonTemp_LDR, LSFPPair64_NonTemp_STR, LSFPPa...
-    printSImm7ScaledOperand(MI, 3, O, 8); 
-    SStream_concat0(O, "]"); 
-    set_mem_access(MI, false);
-    return;
-    break;
-  case 33:
-    // LSFPPair64_PostInd_LDR, LSFPPair64_PostInd_STR, LSFPPair64_PreInd_LDR,...
-    printSImm7ScaledOperand(MI, 4, O, 8); 
-    break;
-  case 34:
-    // SBFXwwii, SBFXxxii, UBFXwwii, UBFXxxii
-    printBFXWidthOperand(MI, 3, O); 
-    return;
-    break;
   }
 
 
   // Fragment 7 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-7: %"PRIu64"\n", (Bits >> 52) & 1);
-  if ((Bits >> 52) & 1) {
-    // LDPSWx_PreInd, LSFPPair128_PreInd_LDR, LSFPPair128_PreInd_STR, LSFPPai...
+  //printf("Frag-7: %"PRIu64"\n", (Bits >> 50) & 1);
+  if ((Bits >> 50) & 1) {
+    // LDPDpre, LDPQpre, LDPSWpre, LDPSpre, LDPWpre, LDPXpre, STPDpre, STPQpr...
     SStream_concat0(O, "]!"); 
     set_mem_access(MI, false);
     return;
   } else {
-    // LDPSWx_PostInd, LSFPPair128_PostInd_LDR, LSFPPair128_PostInd_STR, LSFP...
+    // LDPDpost, LDPQpost, LDPSWpost, LDPSpost, LDPWpost, LDPXpost, STPDpost,...
     return;
   }
-
 }
 
 
 /// getRegisterName - This method is automatically generated by tblgen
 /// from the register set description.  This returns the assembler name
 /// for the specified register.
-static char *getRegisterName(unsigned RegNo)
+static char *getRegisterName(unsigned RegNo, int AltIdx)
 {
   // assert(RegNo && RegNo < 420 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
-  static char AsmStrs[] = {
+  static char AsmStrsNoRegAltName[] = {
   /* 0 */ 'D', '7', '_', 'D', '8', '_', 'D', '9', '_', 'D', '1', '0', 0,
   /* 13 */ 'Q', '7', '_', 'Q', '8', '_', 'Q', '9', '_', 'Q', '1', '0', 0,
   /* 26 */ 'b', '1', '0', 0,
@@ -8821,43 +6848,128 @@
   /* 1774 */ 'n', 'z', 'c', 'v', 0,
   };
 
-  static const uint32_t RegAsmOffset[] = {
-    1774, 1762, 1766, 1763, 1770, 204, 421, 586, 751, 916, 1081, 1246, 1411, 1576, 
-    1741, 26, 253, 472, 639, 804, 969, 1134, 1299, 1464, 1629, 86, 313, 532, 
-    699, 864, 1029, 1194, 1359, 1524, 1689, 146, 373, 207, 424, 589, 754, 919, 
-    1084, 1249, 1414, 1579, 1744, 30, 257, 476, 643, 808, 973, 1138, 1303, 1468, 
-    1633, 90, 317, 536, 703, 868, 1033, 1198, 1363, 1528, 1693, 150, 377, 210, 
-    427, 592, 757, 922, 1087, 1252, 1417, 1582, 1747, 34, 261, 480, 647, 812, 
-    977, 1142, 1307, 1472, 1637, 94, 321, 540, 707, 872, 1037, 1202, 1367, 1532, 
-    1697, 154, 381, 213, 430, 595, 760, 925, 1090, 1255, 1420, 1585, 1750, 38, 
-    265, 484, 651, 816, 981, 1146, 1311, 1476, 1641, 98, 325, 544, 711, 876, 
-    1041, 1206, 1371, 1536, 1701, 158, 385, 216, 433, 598, 763, 928, 1093, 1258, 
-    1423, 1588, 1753, 42, 269, 488, 655, 820, 985, 1150, 1315, 1480, 1645, 102, 
-    329, 548, 715, 880, 1045, 1210, 1375, 1540, 1705, 162, 389, 219, 436, 601, 
-    766, 931, 1096, 1261, 1426, 1591, 1756, 46, 273, 492, 659, 824, 989, 1154, 
-    1319, 1484, 1649, 106, 333, 552, 719, 884, 1049, 1214, 1379, 1544, 1709, 166, 
-    222, 439, 604, 769, 934, 1099, 1264, 1429, 1594, 1759, 50, 277, 496, 663, 
-    828, 993, 1158, 1323, 1488, 1653, 110, 337, 556, 723, 888, 1053, 1218, 1383, 
-    1548, 1713, 170, 401, 567, 733, 898, 1063, 1228, 1393, 1558, 1723, 6, 231, 
+  static const uint32_t RegAsmOffsetNoRegAltName[] = {
+    1713, 170, 1774, 1763, 1762, 1766, 1770, 204, 421, 586, 751, 916, 1081, 1246, 
+    1411, 1576, 1741, 26, 253, 472, 639, 804, 969, 1134, 1299, 1464, 1629, 86, 
+    313, 532, 699, 864, 1029, 1194, 1359, 1524, 1689, 146, 373, 207, 424, 589, 
+    754, 919, 1084, 1249, 1414, 1579, 1744, 30, 257, 476, 643, 808, 973, 1138, 
+    1303, 1468, 1633, 90, 317, 536, 703, 868, 1033, 1198, 1363, 1528, 1693, 150, 
+    377, 210, 427, 592, 757, 922, 1087, 1252, 1417, 1582, 1747, 34, 261, 480, 
+    647, 812, 977, 1142, 1307, 1472, 1637, 94, 321, 540, 707, 872, 1037, 1202, 
+    1367, 1532, 1697, 154, 381, 213, 430, 595, 760, 925, 1090, 1255, 1420, 1585, 
+    1750, 38, 265, 484, 651, 816, 981, 1146, 1311, 1476, 1641, 98, 325, 544, 
+    711, 876, 1041, 1206, 1371, 1536, 1701, 158, 385, 216, 433, 598, 763, 928, 
+    1093, 1258, 1423, 1588, 1753, 42, 269, 488, 655, 820, 985, 1150, 1315, 1480, 
+    1645, 102, 329, 548, 715, 880, 1045, 1210, 1375, 1540, 1705, 162, 389, 219, 
+    436, 601, 766, 931, 1096, 1261, 1426, 1591, 1756, 46, 273, 492, 659, 824, 
+    989, 1154, 1319, 1484, 1649, 106, 333, 552, 719, 884, 1049, 1214, 1379, 1544, 
+    1709, 166, 222, 439, 604, 769, 934, 1099, 1264, 1429, 1594, 1759, 50, 277, 
+    496, 663, 828, 993, 1158, 1323, 1488, 1653, 110, 337, 556, 723, 888, 1053, 
+    1218, 1383, 1548, 401, 567, 733, 898, 1063, 1228, 1393, 1558, 1723, 6, 231, 
     449, 615, 780, 945, 1110, 1275, 1440, 1605, 62, 289, 508, 675, 840, 1005, 
-    1170, 1335, 1500, 1665, 122, 349, 182, 415, 580, 745, 910, 1075, 1240, 1405, 
-    1570, 1735, 19, 245, 464, 631, 796, 961, 1126, 1291, 1456, 1621, 78, 305, 
-    524, 691, 856, 1021, 1186, 1351, 1516, 1681, 138, 365, 197, 564, 730, 895, 
+    1170, 1335, 1500, 1665, 122, 349, 182, 727, 892, 1057, 1222, 1387, 1552, 1717, 
+    0, 225, 442, 607, 772, 937, 1102, 1267, 1432, 1597, 54, 281, 500, 667, 
+    832, 997, 1162, 1327, 1492, 1657, 114, 341, 174, 393, 560, 564, 730, 895, 
     1060, 1225, 1390, 1555, 1720, 3, 228, 445, 611, 776, 941, 1106, 1271, 1436, 
     1601, 58, 285, 504, 671, 836, 1001, 1166, 1331, 1496, 1661, 118, 345, 178, 
-    397, 577, 742, 907, 1072, 1237, 1402, 1567, 1732, 16, 242, 460, 627, 792, 
-    957, 1122, 1287, 1452, 1617, 74, 301, 520, 687, 852, 1017, 1182, 1347, 1512, 
-    1677, 134, 361, 193, 411, 727, 892, 1057, 1222, 1387, 1552, 1717, 0, 225, 
-    442, 607, 772, 937, 1102, 1267, 1432, 1597, 54, 281, 500, 667, 832, 997, 
-    1162, 1327, 1492, 1657, 114, 341, 174, 393, 560, 739, 904, 1069, 1234, 1399, 
-    1564, 1729, 13, 239, 457, 623, 788, 953, 1118, 1283, 1448, 1613, 70, 297, 
-    516, 683, 848, 1013, 1178, 1343, 1508, 1673, 130, 357, 189, 407, 573, 
+    397, 415, 580, 745, 910, 1075, 1240, 1405, 1570, 1735, 19, 245, 464, 631, 
+    796, 961, 1126, 1291, 1456, 1621, 78, 305, 524, 691, 856, 1021, 1186, 1351, 
+    1516, 1681, 138, 365, 197, 739, 904, 1069, 1234, 1399, 1564, 1729, 13, 239, 
+    457, 623, 788, 953, 1118, 1283, 1448, 1613, 70, 297, 516, 683, 848, 1013, 
+    1178, 1343, 1508, 1673, 130, 357, 189, 407, 573, 577, 742, 907, 1072, 1237, 
+    1402, 1567, 1732, 16, 242, 460, 627, 792, 957, 1122, 1287, 1452, 1617, 74, 
+    301, 520, 687, 852, 1017, 1182, 1347, 1512, 1677, 134, 361, 193, 411, 
   };
 
+  static char AsmStrsvreg[] = {
+  /* 0 */ 'v', '1', '0', 0,
+  /* 4 */ 'v', '2', '0', 0,
+  /* 8 */ 'v', '3', '0', 0,
+  /* 12 */ 'v', '0', 0,
+  /* 15 */ 'v', '1', '1', 0,
+  /* 19 */ 'v', '2', '1', 0,
+  /* 23 */ 'v', '3', '1', 0,
+  /* 27 */ 'v', '1', 0,
+  /* 30 */ 'v', '1', '2', 0,
+  /* 34 */ 'v', '2', '2', 0,
+  /* 38 */ 'v', '2', 0,
+  /* 41 */ 'v', '1', '3', 0,
+  /* 45 */ 'v', '2', '3', 0,
+  /* 49 */ 'v', '3', 0,
+  /* 52 */ 'v', '1', '4', 0,
+  /* 56 */ 'v', '2', '4', 0,
+  /* 60 */ 'v', '4', 0,
+  /* 63 */ 'v', '1', '5', 0,
+  /* 67 */ 'v', '2', '5', 0,
+  /* 71 */ 'v', '5', 0,
+  /* 74 */ 'v', '1', '6', 0,
+  /* 78 */ 'v', '2', '6', 0,
+  /* 82 */ 'v', '6', 0,
+  /* 85 */ 'v', '1', '7', 0,
+  /* 89 */ 'v', '2', '7', 0,
+  /* 93 */ 'v', '7', 0,
+  /* 96 */ 'v', '1', '8', 0,
+  /* 100 */ 'v', '2', '8', 0,
+  /* 104 */ 'v', '8', 0,
+  /* 107 */ 'v', '1', '9', 0,
+  /* 111 */ 'v', '2', '9', 0,
+  /* 115 */ 'v', '9', 0,
+  };
+
+  static const uint32_t RegAsmOffsetvreg[] = {
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 12, 27, 38, 
+    49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 63, 74, 
+    85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 111, 8, 
+    23, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 12, 27, 38, 49, 60, 71, 82, 93, 104, 
+    115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 
+    45, 56, 67, 78, 89, 100, 111, 8, 23, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 3, 
+    3, 3, 3, 12, 27, 38, 49, 60, 71, 82, 93, 104, 115, 0, 
+    15, 30, 41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 45, 56, 
+    67, 78, 89, 100, 111, 8, 23, 12, 27, 38, 49, 60, 71, 82, 
+    93, 104, 115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 107, 4, 
+    19, 34, 45, 56, 67, 78, 89, 100, 111, 8, 23, 12, 27, 38, 
+    49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 63, 74, 
+    85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 111, 8, 
+    23, 12, 27, 38, 49, 60, 71, 82, 93, 104, 115, 0, 15, 30, 
+    41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 45, 56, 67, 78, 
+    89, 100, 111, 8, 23, 12, 27, 38, 49, 60, 71, 82, 93, 104, 
+    115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 107, 4, 19, 34, 
+    45, 56, 67, 78, 89, 100, 111, 8, 23, 12, 27, 38, 49, 60, 
+    71, 82, 93, 104, 115, 0, 15, 30, 41, 52, 63, 74, 85, 96, 
+    107, 4, 19, 34, 45, 56, 67, 78, 89, 100, 111, 8, 23, 
+  };
+
+  const uint32_t *RegAsmOffset;
+  char *AsmStrs;
+
+  switch(AltIdx) {
+  default: // llvm_unreachable("Invalid register alt name index!");
+  case AArch64_NoRegAltName:
+    AsmStrs = AsmStrsNoRegAltName;
+    RegAsmOffset = RegAsmOffsetNoRegAltName;
+    break;
+  case AArch64_vreg:
+    AsmStrs = AsmStrsvreg;
+    RegAsmOffset = RegAsmOffsetvreg;
+    break;
+  }
   //int i;
-  //for (i = 0; i < sizeof(RegAsmOffset)/4; i++)
-  //     printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
+  //for (i = 0; i < sizeof(RegAsmOffsetNoRegAltName)/4; i++)
+  //     printf("%s = %u\n", AsmStrsNoRegAltName+RegAsmOffsetNoRegAltName[i], i + 1);
   //printf("*************************\n");
+  //for (i = 0; i < sizeof(RegAsmOffsetvreg)/4; i++)
+  //     printf("%s = %u\n", AsmStrsvreg+RegAsmOffsetvreg[i], i + 1);
+  //printf("-------------------------\n");
   return AsmStrs+RegAsmOffset[RegNo-1];
 #else
   return NULL;
@@ -8867,15 +6979,142 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS, MCRegisterInfo *MRI)
+{
+  // printf(">>>> Method: %u, opIdx: %x\n", PrintMethodIdx, OpIdx);
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printAddSubImm(MI, OpIdx, OS);
+    break;
+  case 1:
+    printShifter(MI, OpIdx, OS);
+    break;
+  case 2:
+    printArithExtend(MI, OpIdx, OS);
+    break;
+  case 3:
+    printLogicalImm32(MI, OpIdx, OS);
+    break;
+  case 4:
+    printLogicalImm64(MI, OpIdx, OS);
+    break;
+  case 5:
+    printVRegOperand(MI, OpIdx, OS);
+    break;
+  case 6:
+    printHexImm(MI, OpIdx, OS);
+    break;
+  case 7:
+    printInverseCondCode(MI, OpIdx, OS);
+    break;
+  case 8:
+    printVectorIndex(MI, OpIdx, OS);
+    break;
+  case 9:
+    printTypedVectorList(MI, OpIdx, OS, 16, 'b', MRI);
+    break;
+  case 10:
+    printTypedVectorList(MI, OpIdx, OS, 1, 'd', MRI);
+    break;
+  case 11:
+    printTypedVectorList(MI, OpIdx, OS, 2, 'd', MRI);
+    break;
+  case 12:
+    printTypedVectorList(MI, OpIdx, OS, 2, 's', MRI);
+    break;
+  case 13:
+    printTypedVectorList(MI, OpIdx, OS, 4, 'h', MRI);
+    break;
+  case 14:
+    printTypedVectorList(MI, OpIdx, OS, 4, 's', MRI);
+    break;
+  case 15:
+    printTypedVectorList(MI, OpIdx, OS, 8, 'b', MRI);
+    break;
+  case 16:
+    printTypedVectorList(MI, OpIdx, OS, 8, 'h', MRI);
+    break;
+  case 17:
+    printTypedVectorList(MI, OpIdx, OS, 0, 'h', MRI);
+    break;
+  case 18:
+    printTypedVectorList(MI, OpIdx, OS, 0, 's', MRI);
+    break;
+  case 19:
+    printTypedVectorList(MI, OpIdx, OS, 0, 'd', MRI);
+    break;
+  case 20:
+    printTypedVectorList(MI, OpIdx, OS, 0, 'b', MRI);
+    break;
+  case 21:
+    printPrefetchOp(MI, OpIdx, OS);
+    break;
+  case 22:
+    printSysCROperand(MI, OpIdx, OS);
+    break;
+  }
+}
+
+static bool AArch64InstPrinterValidateMCOperand(
+       MCOperand *MCOp, unsigned PredicateIndex)
+{
+  switch (PredicateIndex) {
+  default:
+    // llvm_unreachable("Unknown MCOperandPredicate kind");
+  case 1: {
+    return (MCOperand_isImm(MCOp) &&
+           MCOperand_getImm(MCOp) != ARM64_CC_AL &&
+           MCOperand_getImm(MCOp) != ARM64_CC_NV);
+    }
+  }
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
+  int OpIdx, PrintMethodIdx;
   MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
   default: return NULL;
-  case AArch64_ADDSwww_lsl:
+  case AArch64_ADDSWri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1)) {
+      // (ADDSWri WZR, GPR32sp:$src, addsub_shifted_imm32:$imm)
+      AsmString = "cmn $\x02, $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case AArch64_ADDSWrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ADDSWrs WZR, GPR32:$src1, GPR32:$src2, 0)
+      AsmString = "cmn $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (ADDSWrs WZR, GPR32:$src1, GPR32:$src2, arith_shift32:$sh)
+      AsmString = "cmn $\x02, $\x03$\xFF\x04\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -8885,27 +7124,81 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (ADDSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "adds $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDSwww_uxtw:
+  case AArch64_ADDSWrx:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (ADDSWrx WZR, GPR32sponly:$src1, GPR32:$src2, 16)
+      AsmString = "cmn $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (ADDSWrx WZR, GPR32sp:$src1, GPR32:$src2, arith_extend:$sh)
+      AsmString = "cmn $\x02, $\x03$\xFF\x04\x03";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDSwww_uxtw GPR32:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (ADDSWrx GPR32:$dst, GPR32sponly:$src1, GPR32:$src2, 16)
       AsmString = "adds $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDSxxx_lsl:
+  case AArch64_ADDSXri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1)) {
+      // (ADDSXri XZR, GPR64sp:$src, addsub_shifted_imm64:$imm)
+      AsmString = "cmn $\x02, $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case AArch64_ADDSXrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ADDSXrs XZR, GPR64:$src1, GPR64:$src2, 0)
+      AsmString = "cmn $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (ADDSXrs XZR, GPR64:$src1, GPR64:$src2, arith_shift64:$sh)
+      AsmString = "cmn $\x02, $\x03$\xFF\x04\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -8915,51 +7208,89 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (ADDSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "adds $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDSxxx_uxtx:
+  case AArch64_ADDSXrx:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (ADDSXrx XZR, GPR64sp:$src1, GPR32:$src2, arith_extend:$sh)
+      AsmString = "cmn $\x02, $\x03$\xFF\x04\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_ADDSXrx64:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (ADDSXrx64 XZR, GPR64sponly:$src1, GPR64:$src2, 24)
+      AsmString = "cmn $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (ADDSXrx64 XZR, GPR64sp:$src1, GPR64:$src2, arith_extendlsl64:$sh)
+      AsmString = "cmn $\x02, $\x03$\xFF\x04\x03";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDSxxx_uxtx GPR64:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (ADDSXrx64 GPR64:$dst, GPR64sponly:$src1, GPR64:$src2, 24)
       AsmString = "adds $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDwwi_lsl0_s:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_ADDWri:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (ADDwwi_lsl0_s GPR32wsp:$Rd, Rwsp:$Rn, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ADDWri GPR32sponly:$dst, GPR32sp:$src, 0, 0)
       AsmString = "mov $\x01, $\x02";
       break;
     }
-    if (MCInst_getNumOperands(MI) == 3 &&
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (ADDwwi_lsl0_s Rwsp:$Rd, GPR32wsp:$Rn, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ADDWri GPR32sp:$dst, GPR32sponly:$src, 0, 0)
       AsmString = "mov $\x01, $\x02";
       break;
     }
     return NULL;
-  case AArch64_ADDwww_lsl:
+  case AArch64_ADDWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -8969,64 +7300,68 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (ADDWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "add $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDwww_uxtw:
+  case AArch64_ADDWrx:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDwww_uxtw Rwsp:$Rd, GPR32wsp:$Rn, GPR32:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (ADDWrx GPR32sponly:$dst, GPR32sp:$src1, GPR32:$src2, 16)
       AsmString = "add $\x01, $\x02, $\x03";
       break;
     }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDwww_uxtw GPR32wsp:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (ADDWrx GPR32sp:$dst, GPR32sponly:$src1, GPR32:$src2, 16)
       AsmString = "add $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDxxi_lsl0_s:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_ADDXri:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (ADDxxi_lsl0_s GPR64xsp:$Rd, Rxsp:$Rn, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ADDXri GPR64sponly:$dst, GPR64sp:$src, 0, 0)
       AsmString = "mov $\x01, $\x02";
       break;
     }
-    if (MCInst_getNumOperands(MI) == 3 &&
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (ADDxxi_lsl0_s Rxsp:$Rd, GPR64xsp:$Rn, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ADDXri GPR64sp:$dst, GPR64sponly:$src, 0, 0)
       AsmString = "mov $\x01, $\x02";
       break;
     }
     return NULL;
-  case AArch64_ADDxxx_lsl:
+  case AArch64_ADDXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9036,40 +7371,72 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (ADDXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "add $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ADDxxx_uxtx:
+  case AArch64_ADDXrx64:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDxxx_uxtx Rxsp:$Rd, GPR64xsp:$Rn, GPR64:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (ADDXrx64 GPR64sponly:$dst, GPR64sp:$src1, GPR64:$src2, 24)
       AsmString = "add $\x01, $\x02, $\x03";
       break;
     }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ADDxxx_uxtx GPR64xsp:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (ADDXrx64 GPR64sp:$dst, GPR64sponly:$src1, GPR64:$src2, 24)
       AsmString = "add $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ANDSwww_lsl:
+  case AArch64_ANDSWri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1)) {
+      // (ANDSWri WZR, GPR32:$src1, logical_imm32:$src2)
+      AsmString = "tst $\x02, $\xFF\x03\x04";
+      break;
+    }
+    return NULL;
+  case AArch64_ANDSWrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ANDSWrs WZR, GPR32:$src1, GPR32:$src2, 0)
+      AsmString = "tst $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (ANDSWrs WZR, GPR32:$src1, GPR32:$src2, logical_shift32:$sh)
+      AsmString = "tst $\x02, $\x03$\xFF\x04\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -9079,12 +7446,44 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ANDSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (ANDSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "ands $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ANDSxxx_lsl:
+  case AArch64_ANDSXri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1)) {
+      // (ANDSXri XZR, GPR64:$src1, logical_imm64:$src2)
+      AsmString = "tst $\x02, $\xFF\x03\x05";
+      break;
+    }
+    return NULL;
+  case AArch64_ANDSXrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ANDSXrs XZR, GPR64:$src1, GPR64:$src2, 0)
+      AsmString = "tst $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (ANDSXrs XZR, GPR64:$src1, GPR64:$src2, logical_shift64:$sh)
+      AsmString = "tst $\x02, $\x03$\xFF\x04\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9094,12 +7493,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ANDSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (ANDSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "ands $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ANDwww_lsl:
+  case AArch64_ANDWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -9109,12 +7508,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ANDwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (ANDWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "and $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ANDxxx_lsl:
+  case AArch64_ANDXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9124,12 +7523,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ANDxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (ANDXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "and $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_BICSwww_lsl:
+  case AArch64_BICSWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -9139,12 +7538,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (BICSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (BICSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "bics $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_BICSxxx_lsl:
+  case AArch64_BICSXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9154,12 +7553,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (BICSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (BICSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "bics $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_BICwww_lsl:
+  case AArch64_BICWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -9169,12 +7568,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (BICwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (BICWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "bic $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_BICxxx_lsl:
+  case AArch64_BICXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9184,152 +7583,216 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (BICxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (BICXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "bic $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_CLREXi:
+  case AArch64_BICv2i32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (BICv2i32 V64:$Vd, imm0_255:$imm, 0)
+      AsmString = "bic $\xFF\x01\x06.2s, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_BICv4i16:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (BICv4i16 V64:$Vd, imm0_255:$imm, 0)
+      AsmString = "bic $\xFF\x01\x06.4h, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_BICv4i32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (BICv4i32 V128:$Vd, imm0_255:$imm, 0)
+      AsmString = "bic $\xFF\x01\x06.4s, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_BICv8i16:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (BICv8i16 V128:$Vd, imm0_255:$imm, 0)
+      AsmString = "bic $\xFF\x01\x06.8h, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_CLREX:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
-      // (CLREXi 15)
+      // (CLREX 15)
       AsmString = "clrex";
       break;
     }
     return NULL;
-  case AArch64_CMNww_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_CSINCWr:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_WZR &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINCWr GPR32:$dst, WZR, WZR, inv_ccode:$cc)
+      AsmString = "cset $\x01, $\xFF\x04\x08";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMNww_lsl GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "cmn $\x01, $\x02";
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINCWr GPR32:$dst, GPR32:$src, GPR32:$src, inv_ccode:$cc)
+      AsmString = "cinc $\x01, $\x02, $\xFF\x04\x08";
       break;
     }
     return NULL;
-  case AArch64_CMNww_uxtw:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_CSINCXr:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMNww_uxtw Rwsp:$Rn, GPR32:$Rm, 0)
-      AsmString = "cmn $\x01, $\x02";
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINCXr GPR64:$dst, XZR, XZR, inv_ccode:$cc)
+      AsmString = "cset $\x01, $\xFF\x04\x08";
       break;
     }
-    return NULL;
-  case AArch64_CMNxx_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMNxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
-      AsmString = "cmn $\x01, $\x02";
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINCXr GPR64:$dst, GPR64:$src, GPR64:$src, inv_ccode:$cc)
+      AsmString = "cinc $\x01, $\x02, $\xFF\x04\x08";
       break;
     }
     return NULL;
-  case AArch64_CMNxx_uxtx:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_CSINVWr:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMNxx_uxtx Rxsp:$Rn, GPR64:$Rm, 0)
-      AsmString = "cmn $\x01, $\x02";
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_WZR &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINVWr GPR32:$dst, WZR, WZR, inv_ccode:$cc)
+      AsmString = "csetm $\x01, $\xFF\x04\x08";
       break;
     }
-    return NULL;
-  case AArch64_CMPww_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMPww_lsl GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "cmp $\x01, $\x02";
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINVWr GPR32:$dst, GPR32:$src, GPR32:$src, inv_ccode:$cc)
+      AsmString = "cinv $\x01, $\x02, $\xFF\x04\x08";
       break;
     }
     return NULL;
-  case AArch64_CMPww_uxtw:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_CSINVXr:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMPww_uxtw Rwsp:$Rn, GPR32:$Rm, 0)
-      AsmString = "cmp $\x01, $\x02";
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINVXr GPR64:$dst, XZR, XZR, inv_ccode:$cc)
+      AsmString = "csetm $\x01, $\xFF\x04\x08";
       break;
     }
-    return NULL;
-  case AArch64_CMPxx_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMPxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
-      AsmString = "cmp $\x01, $\x02";
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSINVXr GPR64:$dst, GPR64:$src, GPR64:$src, inv_ccode:$cc)
+      AsmString = "cinv $\x01, $\x02, $\xFF\x04\x08";
       break;
     }
     return NULL;
-  case AArch64_CMPxx_uxtx:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_CSNEGWr:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSNEGWr GPR32:$dst, GPR32:$src, GPR32:$src, inv_ccode:$cc)
+      AsmString = "cneg $\x01, $\x02, $\xFF\x04\x08";
+      break;
+    }
+    return NULL;
+  case AArch64_CSNEGXr:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (CMPxx_uxtx Rxsp:$Rn, GPR64:$Rm, 0)
-      AsmString = "cmp $\x01, $\x02";
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        AArch64InstPrinterValidateMCOperand(MCInst_getOperand(MI, 3), 1)) {
+      // (CSNEGXr GPR64:$dst, GPR64:$src, GPR64:$src, inv_ccode:$cc)
+      AsmString = "cneg $\x01, $\x02, $\xFF\x04\x08";
       break;
     }
     return NULL;
-  case AArch64_DCPS1i:
+  case AArch64_DCPS1:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
-      // (DCPS1i 0)
+      // (DCPS1 0)
       AsmString = "dcps1";
       break;
     }
     return NULL;
-  case AArch64_DCPS2i:
+  case AArch64_DCPS2:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
-      // (DCPS2i 0)
+      // (DCPS2 0)
       AsmString = "dcps2";
       break;
     }
     return NULL;
-  case AArch64_DCPS3i:
+  case AArch64_DCPS3:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
-      // (DCPS3i 0)
+      // (DCPS3 0)
       AsmString = "dcps3";
       break;
     }
     return NULL;
-  case AArch64_EONwww_lsl:
+  case AArch64_EONWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -9339,12 +7802,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (EONwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (EONWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "eon $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_EONxxx_lsl:
+  case AArch64_EONXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9354,12 +7817,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (EONxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (EONXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "eon $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_EORwww_lsl:
+  case AArch64_EORWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -9369,12 +7832,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (EORwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (EORWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "eor $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_EORxxx_lsl:
+  case AArch64_EORXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -9384,1440 +7847,2275 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (EORxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (EORXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "eor $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_HINTi:
+  case AArch64_EXTRWrri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (EXTRWrri GPR32:$dst, GPR32:$src, GPR32:$src, imm0_31:$shift)
+      AsmString = "ror $\x01, $\x02, $\x04";
+      break;
+    }
+    return NULL;
+  case AArch64_EXTRXrri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (EXTRXrri GPR64:$dst, GPR64:$src, GPR64:$src, imm0_63:$shift)
+      AsmString = "ror $\x01, $\x02, $\x04";
+      break;
+    }
+    return NULL;
+  case AArch64_HINT:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
-      // (HINTi 0)
+      // (HINT { 0, 0, 0 })
       AsmString = "nop";
       break;
     }
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1) {
-      // (HINTi 1)
+      // (HINT { 0, 0, 1 })
       AsmString = "yield";
       break;
     }
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2) {
-      // (HINTi 2)
+      // (HINT { 0, 1, 0 })
       AsmString = "wfe";
       break;
     }
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 3) {
-      // (HINTi 3)
+      // (HINT { 0, 1, 1 })
       AsmString = "wfi";
       break;
     }
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4) {
-      // (HINTi 4)
+      // (HINT { 1, 0, 0 })
       AsmString = "sev";
       break;
     }
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 5) {
-      // (HINTi 5)
+      // (HINT { 1, 0, 1 })
       AsmString = "sevl";
       break;
     }
     return NULL;
-  case AArch64_ISBi:
+  case AArch64_INSvi16gpr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (INSvi16gpr V128:$dst, VectorIndexH:$idx, GPR32:$src)
+      AsmString = "mov	$\xFF\x01\x06.h$\xFF\x02\x09, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi16lane:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 2)) {
+      // (INSvi16lane V128:$dst, VectorIndexH:$idx, V128:$src, VectorIndexH:$idx2)
+      AsmString = "mov	$\xFF\x01\x06.h$\xFF\x02\x09, $\xFF\x03\x06.h$\xFF\x04\x09";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi32gpr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (INSvi32gpr V128:$dst, VectorIndexS:$idx, GPR32:$src)
+      AsmString = "mov	$\xFF\x01\x06.s$\xFF\x02\x09, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi32lane:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 2)) {
+      // (INSvi32lane V128:$dst, VectorIndexS:$idx, V128:$src, VectorIndexS:$idx2)
+      AsmString = "mov	$\xFF\x01\x06.s$\xFF\x02\x09, $\xFF\x03\x06.s$\xFF\x04\x09";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi64gpr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (INSvi64gpr V128:$dst, VectorIndexD:$idx, GPR64:$src)
+      AsmString = "mov	$\xFF\x01\x06.d$\xFF\x02\x09, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi64lane:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 2)) {
+      // (INSvi64lane V128:$dst, VectorIndexD:$idx, V128:$src, VectorIndexD:$idx2)
+      AsmString = "mov	$\xFF\x01\x06.d$\xFF\x02\x09, $\xFF\x03\x06.d$\xFF\x04\x09";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi8gpr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (INSvi8gpr V128:$dst, VectorIndexB:$idx, GPR32:$src)
+      AsmString = "mov	$\xFF\x01\x06.b$\xFF\x02\x09, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_INSvi8lane:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 2)) {
+      // (INSvi8lane V128:$dst, VectorIndexB:$idx, V128:$src, VectorIndexB:$idx2)
+      AsmString = "mov	$\xFF\x01\x06.b$\xFF\x02\x09, $\xFF\x03\x06.b$\xFF\x04\x09";
+      break;
+    }
+    return NULL;
+  case AArch64_ISB:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
-      // (ISBi 15)
+      // (ISB 15)
       AsmString = "isb";
       break;
     }
     return NULL;
-  case AArch64_LDPSWx:
+  case AArch64_LD1Fourv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0A, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv1d_POST GPR64sp:$Rn, VecListFour1d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0B, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0C, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0D, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0E, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0F, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x10, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Fourv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x11, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev16b_POST GPR64sp:$Rn, VecListOne16b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0A, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev1d_POST GPR64sp:$Rn, VecListOne1d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0B, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev2d_POST GPR64sp:$Rn, VecListOne2d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0C, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev2s_POST GPR64sp:$Rn, VecListOne2s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0D, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev4h_POST GPR64sp:$Rn, VecListOne4h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0E, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev4s_POST GPR64sp:$Rn, VecListOne4s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0F, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev8b_POST GPR64sp:$Rn, VecListOne8b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x10, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Onev8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Onev8h_POST GPR64sp:$Rn, VecListOne8h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x11, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv16b_POST GPR64sp:$Rn, VecListOne16b:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x0A, [$\x01], #1";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv1d_POST GPR64sp:$Rn, VecListOne1d:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x0B, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv2d_POST GPR64sp:$Rn, VecListOne2d:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x0C, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv2s_POST GPR64sp:$Rn, VecListOne2s:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x0D, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv4h_POST GPR64sp:$Rn, VecListOne4h:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x0E, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv4s_POST GPR64sp:$Rn, VecListOne4s:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x0F, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv8b_POST GPR64sp:$Rn, VecListOne8b:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x10, [$\x01], #1";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Rv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Rv8h_POST GPR64sp:$Rn, VecListOne8h:$Vt, XZR)
+      AsmString = "ld1r	$\xFF\x02\x11, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0A, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev1d_POST GPR64sp:$Rn, VecListThree1d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0B, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0C, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0D, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0E, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0F, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x10, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Threev8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x11, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0A, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov1d_POST GPR64sp:$Rn, VecListTwo1d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0B, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0C, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0D, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0E, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x0F, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x10, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1Twov8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD1Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR)
+      AsmString = "ld1	$\xFF\x02\x11, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD1i16_POST GPR64sp:$Rn, VecListOneh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "ld1	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD1i32_POST GPR64sp:$Rn, VecListOnes:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "ld1	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD1i64_POST GPR64sp:$Rn, VecListOned:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "ld1	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD1i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD1i8_POST GPR64sp:$Rn, VecListOneb:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "ld1	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #1";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x0A, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv1d_POST GPR64sp:$Rn, VecListTwo1d:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x0B, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x0C, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x0D, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x0E, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x0F, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x10, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Rv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Rv8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR)
+      AsmString = "ld2r	$\xFF\x02\x11, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x0A, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x0C, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x0D, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x0E, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x0F, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x10, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2Twov8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD2Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR)
+      AsmString = "ld2	$\xFF\x02\x11, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD2i16_POST GPR64sp:$Rn, VecListTwoh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "ld2	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD2i32_POST GPR64sp:$Rn, VecListTwos:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "ld2	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD2i64_POST GPR64sp:$Rn, VecListTwod:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "ld2	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD2i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD2i8_POST GPR64sp:$Rn, VecListTwob:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "ld2	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x0A, [$\x01], #3";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv1d_POST GPR64sp:$Rn, VecListThree1d:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x0B, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x0C, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x0D, [$\x01], #12";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x0E, [$\x01], #6";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x0F, [$\x01], #12";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x10, [$\x01], #3";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Rv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Rv8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR)
+      AsmString = "ld3r	$\xFF\x02\x11, [$\x01], #6";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x0A, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x0C, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x0D, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x0E, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x0F, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x10, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3Threev8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD3Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR)
+      AsmString = "ld3	$\xFF\x02\x11, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD3i16_POST GPR64sp:$Rn, VecListThreeh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "ld3	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #6";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD3i32_POST GPR64sp:$Rn, VecListThrees:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "ld3	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #12";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD3i64_POST GPR64sp:$Rn, VecListThreed:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "ld3	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_LD3i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD3i8_POST GPR64sp:$Rn, VecListThreeb:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "ld3	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #3";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x0A, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x0C, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x0D, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x0E, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x0F, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x10, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Fourv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR)
+      AsmString = "ld4	$\xFF\x02\x11, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x0A, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv1d_POST GPR64sp:$Rn, VecListFour1d:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x0B, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x0C, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x0D, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x0E, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x0F, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x10, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4Rv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (LD4Rv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR)
+      AsmString = "ld4r	$\xFF\x02\x11, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD4i16_POST GPR64sp:$Rn, VecListFourh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "ld4	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD4i32_POST GPR64sp:$Rn, VecListFours:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "ld4	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD4i64_POST GPR64sp:$Rn, VecListFourd:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "ld4	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_LD4i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (LD4i8_POST GPR64sp:$Rn, VecListFourb:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "ld4	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_LDNPDi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDNPDi FPR64:$Rt, FPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDNPQi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDNPQi FPR128:$Rt, FPR128:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDNPSi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDNPSi FPR32:$Rt, FPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDNPWi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDNPWi GPR32:$Rt, GPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDNPXi:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LDPSWx GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
+      // (LDNPXi GPR64:$Rt, GPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDPDi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDPDi FPR64:$Rt, FPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDPQi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDPQi FPR128:$Rt, FPR128:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDPSWi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDPSWi GPR64:$Rt, GPR64:$Rt2, GPR64sp:$Rn, 0)
       AsmString = "ldpsw $\x01, $\x02, [$\x03]";
       break;
     }
     return NULL;
-  case AArch64_LDRSBw:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_LDPSi:
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDRSBw GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrsb $\x01, [$\x02]";
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDPSi FPR32:$Rt, FPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldp $\x01, $\x02, [$\x03]";
       break;
     }
     return NULL;
-  case AArch64_LDRSBw_Xm_RegOffset:
+  case AArch64_LDPWi:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LDRSBw_Xm_RegOffset GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldrsb $\x01, [$\x02, $\x03]";
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDPWi GPR32:$Rt, GPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldp $\x01, $\x02, [$\x03]";
       break;
     }
     return NULL;
-  case AArch64_LDRSBx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDRSBx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrsb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSBx_Xm_RegOffset:
+  case AArch64_LDPXi:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (LDPXi GPR64:$Rt, GPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "ldp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRBBroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LDRSBx_Xm_RegOffset GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldrsb $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSHw:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDRSHw GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrsh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSHw_Xm_RegOffset:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LDRSHw_Xm_RegOffset GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldrsh $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSHx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDRSHx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrsh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSHx_Xm_RegOffset:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LDRSHx_Xm_RegOffset GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldrsh $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSWx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDRSWx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrsw $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDRSWx_Xm_RegOffset:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LDRSWx_Xm_RegOffset GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldrsw $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDTRSBw:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDTRSBw GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrsb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDTRSBx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDTRSBx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrsb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDTRSHw:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDTRSHw GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrsh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDTRSHx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDTRSHx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrsh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDTRSWx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDTRSWx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrsw $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LDURSWx:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LDURSWx GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldursw $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS16_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS16_LDUR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldurh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS16_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "strh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS16_STUR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "sturh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_UnPriv_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS16_UnPriv_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_UnPriv_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS16_UnPriv_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "sttrh $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS16_Xm_RegOffset_LDR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldrh $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS16_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS16_Xm_RegOffset_STR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "strh $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS32_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS32_LDUR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS32_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS32_STUR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_UnPriv_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS32_UnPriv_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_UnPriv_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS32_UnPriv_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "sttr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS32_Xm_RegOffset_LDR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldr $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS32_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS32_Xm_RegOffset_STR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS64_LDR GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS64_LDUR GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS64_STR GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS64_STUR GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_UnPriv_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS64_UnPriv_LDR GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_UnPriv_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS64_UnPriv_STR GPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "sttr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS64_Xm_RegOffset_LDR GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldr $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS64_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS64_Xm_RegOffset_STR GPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS8_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldrb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS8_LDUR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldurb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS8_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "strb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS8_STUR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "sturb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_UnPriv_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS8_UnPriv_LDR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldtrb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_UnPriv_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LS8_UnPriv_STR GPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "sttrb $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LS8_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS8_Xm_RegOffset_LDR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRBBroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
       AsmString = "ldrb $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LS8_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
+  case AArch64_LDRBBui:
+    if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRBBui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRBroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LS8_Xm_RegOffset_STR GPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "strb $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP128_LDR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP128_LDR FPR128:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldr $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP128_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP128_LDUR FPR128:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP128_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP128_STR FPR128:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP128_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP128_STUR FPR128:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP128_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP128_Xm_RegOffset_LDR FPR128:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRBroX FPR8:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
       AsmString = "ldr $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSFP128_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP128_Xm_RegOffset_STR FPR128:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP16_LDR:
+  case AArch64_LDRBui:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP16_LDR FPR16:$Rt, GPR64xsp:$Rn, 0)
+      // (LDRBui FPR8:$Rt, GPR64sp:$Rn, 0)
       AsmString = "ldr $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_LSFP16_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_LDRDroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP16_LDUR FPR16:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP16_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP16_STR FPR16:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP16_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP16_STUR FPR16:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP16_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP16_Xm_RegOffset_LDR FPR16:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRDroX FPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
       AsmString = "ldr $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSFP16_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP16_Xm_RegOffset_STR FPR16:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP32_LDR:
+  case AArch64_LDRDui:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP32_LDR FPR32:$Rt, GPR64xsp:$Rn, 0)
+      // (LDRDui FPR64:$Rt, GPR64sp:$Rn, 0)
       AsmString = "ldr $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_LSFP32_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_LDRHHroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP32_LDUR FPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP32_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP32_STR FPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP32_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP32_STUR FPR32:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP32_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP32_Xm_RegOffset_LDR FPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRHHroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldrh $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRHHui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRHHui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRHroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRHroX FPR16:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
       AsmString = "ldr $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSFP32_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP32_Xm_RegOffset_STR FPR32:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP64_LDR:
+  case AArch64_LDRHui:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP64_LDR FPR64:$Rt, GPR64xsp:$Rn, 0)
+      // (LDRHui FPR16:$Rt, GPR64sp:$Rn, 0)
       AsmString = "ldr $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_LSFP64_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_LDRQroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP64_LDUR FPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP64_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP64_STR FPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP64_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP64_STUR FPR64:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP64_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP64_Xm_RegOffset_LDR FPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRQroX FPR128:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
       AsmString = "ldr $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSFP64_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP64_Xm_RegOffset_STR FPR64:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP8_LDR:
+  case AArch64_LDRQui:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP8_LDR FPR8:$Rt, GPR64xsp:$Rn, 0)
+      // (LDRQui FPR128:$Rt, GPR64sp:$Rn, 0)
       AsmString = "ldr $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_LSFP8_LDUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_LDRSBWroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP8_LDUR FPR8:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "ldur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP8_STR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP8_STR FPR8:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "str $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP8_STUR:
-    if (MCInst_getNumOperands(MI) == 3 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (LSFP8_STUR FPR8:$Rt, GPR64xsp:$Rn, 0)
-      AsmString = "stur $\x01, [$\x02]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFP8_Xm_RegOffset_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP8_Xm_RegOffset_LDR FPR8:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "ldr $\x01, [$\x02, $\x03]";
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRSBWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldrsb $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSFP8_Xm_RegOffset_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
-      // (LSFP8_Xm_RegOffset_STR FPR8:$Rt, GPR64xsp:$Rn, GPR64:$Rm, 2)
-      AsmString = "str $\x01, [$\x02, $\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair128_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair128_LDR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair128_NonTemp_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair128_NonTemp_LDR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair128_NonTemp_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair128_NonTemp_STR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair128_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair128_STR FPR128:$Rt, FPR128:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair32_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair32_LDR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair32_NonTemp_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair32_NonTemp_LDR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair32_NonTemp_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair32_NonTemp_STR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair32_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair32_STR FPR32:$Rt, FPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair64_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair64_LDR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair64_NonTemp_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair64_NonTemp_LDR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair64_NonTemp_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair64_NonTemp_STR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSFPPair64_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSFPPair64_STR FPR64:$Rt, FPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSPair32_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
+  case AArch64_LDRSBWui:
+    if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair32_LDR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldp $\x01, $\x02, [$\x03]";
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRSBWui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrsb $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_LSPair32_NonTemp_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair32_NonTemp_LDR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSPair32_NonTemp_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair32_NonTemp_STR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stnp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSPair32_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair32_STR GPR32:$Rt, GPR32:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stp $\x01, $\x02, [$\x03]";
-      break;
-    }
-    return NULL;
-  case AArch64_LSPair64_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
+  case AArch64_LDRSBXroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair64_LDR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldp $\x01, $\x02, [$\x03]";
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRSBXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldrsb $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSPair64_NonTemp_LDR:
-    if (MCInst_getNumOperands(MI) == 4 &&
+  case AArch64_LDRSBXui:
+    if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair64_NonTemp_LDR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "ldnp $\x01, $\x02, [$\x03]";
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRSBXui GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrsb $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_LSPair64_NonTemp_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
+  case AArch64_LDRSHWroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRSHWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldrsh $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRSHWui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRSHWui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrsh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRSHXroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair64_NonTemp_STR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stnp $\x01, $\x02, [$\x03]";
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRSHXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldrsh $\x01, [$\x02, $\x03]";
       break;
     }
     return NULL;
-  case AArch64_LSPair64_STR:
-    if (MCInst_getNumOperands(MI) == 4 &&
+  case AArch64_LDRSHXui:
+    if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (LSPair64_STR GPR64:$Rt, GPR64:$Rt2, GPR64xsp:$Rn, 0)
-      AsmString = "stp $\x01, $\x02, [$\x03]";
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRSHXui GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrsh $\x01, [$\x02]";
       break;
     }
     return NULL;
-  case AArch64_MADDwwww:
+  case AArch64_LDRSWroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRSWroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldrsw $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRSWui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRSWui GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldrsw $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRSroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRSroX FPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldr $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRSui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRSui FPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRWroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldr $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRWui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRWui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRXroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (LDRXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "ldr $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDRXui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDRXui GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRBi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRBi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRHi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRHi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRSBWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRSBWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrsb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRSBXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRSBXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrsb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRSHWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRSHWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrsh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRSHXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRSHXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrsh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRSWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRSWi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtrsw $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDTRXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDTRXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldtr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURBBi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURBBi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldurb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURBi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURBi FPR8:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURDi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURDi FPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURHHi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURHHi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldurh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURHi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURHi FPR16:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURQi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURQi FPR128:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURSBWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURSBWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldursb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURSBXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURSBXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldursb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURSHWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURSHWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldursh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURSHXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURSHXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldursh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURSWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURSWi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldursw $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURSi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURSi FPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_LDURXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (LDURXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "ldur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_MADDWrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -10826,12 +10124,12 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_WZR) {
-      // (MADDwwww GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, WZR)
+      // (MADDWrrr GPR32:$dst, GPR32:$src1, GPR32:$src2, WZR)
       AsmString = "mul $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_MADDxxxx:
+  case AArch64_MADDXrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -10840,12 +10138,52 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
-      // (MADDxxxx GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, XZR)
+      // (MADDXrrr GPR64:$dst, GPR64:$src1, GPR64:$src2, XZR)
       AsmString = "mul $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_MSUBwwww:
+  case AArch64_MOVKWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 16) {
+      // (MOVKWi GPR32:$Rd, movk_symbol_g1:$sym, 16)
+      AsmString = "movk $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case AArch64_MOVKXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 48) {
+      // (MOVKXi GPR64:$Rd, movk_symbol_g3:$sym, 48)
+      AsmString = "movk $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 32) {
+      // (MOVKXi GPR64:$Rd, movk_symbol_g2:$sym, 32)
+      AsmString = "movk $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 16) {
+      // (MOVKXi GPR64:$Rd, movk_symbol_g1:$sym, 16)
+      AsmString = "movk $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case AArch64_MSUBWrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -10854,12 +10192,12 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_WZR) {
-      // (MSUBwwww GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, WZR)
+      // (MSUBWrrr GPR32:$dst, GPR32:$src1, GPR32:$src2, WZR)
       AsmString = "mneg $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_MSUBxxxx:
+  case AArch64_MSUBXrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -10868,68 +10206,34 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
-      // (MSUBxxxx GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, XZR)
+      // (MSUBXrrr GPR64:$dst, GPR64:$src1, GPR64:$src2, XZR)
       AsmString = "mneg $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_MVNww_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_NOTv16i8:
+    if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (MVNww_lsl GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "mvn $\x01, $\x02";
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1)) {
+      // (NOTv16i8 V128:$Vd, V128:$Vn)
+      AsmString = "mvn $\xFF\x01\x06.16b, $\xFF\x02\x06.16b";
       break;
     }
     return NULL;
-  case AArch64_MVNxx_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_NOTv8i8:
+    if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (MVNxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
-      AsmString = "mvn $\x01, $\x02";
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1)) {
+      // (NOTv8i8 V64:$Vd, V64:$Vn)
+      AsmString = "mvn $\xFF\x01\x06.8b, $\xFF\x02\x06.8b";
       break;
     }
     return NULL;
-  case AArch64_ORNwww_lsl:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ORNwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "orn $\x01, $\x02, $\x03";
-      break;
-    }
-    return NULL;
-  case AArch64_ORNxxx_lsl:
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ORNxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
-      AsmString = "orn $\x01, $\x02, $\x03";
-      break;
-    }
-    return NULL;
-  case AArch64_ORRwww_lsl:
+  case AArch64_ORNWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -10938,7 +10242,81 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ORRwww_lsl GPR32:$Rd, WZR, GPR32:$Rm, 0)
+      // (ORNWrs GPR32:$Wd, WZR, GPR32:$Wm, 0)
+      AsmString = "mvn $\x01, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (ORNWrs GPR32:$Wd, WZR, GPR32:$Wm, logical_shift32:$sh)
+      AsmString = "mvn $\x01, $\x03$\xFF\x04\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ORNWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
+      AsmString = "orn $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_ORNXrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ORNXrs GPR64:$Xd, XZR, GPR64:$Xm, 0)
+      AsmString = "mvn $\x01, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (ORNXrs GPR64:$Xd, XZR, GPR64:$Xm, logical_shift64:$sh)
+      AsmString = "mvn $\x01, $\x03$\xFF\x04\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ORNXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
+      AsmString = "orn $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_ORRWrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (ORRWrs GPR32:$dst, WZR, GPR32:$src, 0)
       AsmString = "mov $\x01, $\x03";
       break;
     }
@@ -10951,12 +10329,12 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ORRwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
+      // (ORRWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "orr $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_ORRxxx_lsl:
+  case AArch64_ORRXrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -10965,7 +10343,7 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ORRxxx_lsl GPR64:$Rd, XZR, GPR64:$Rm, 0)
+      // (ORRXrs GPR64:$dst, XZR, GPR64:$src, 0)
       AsmString = "mov $\x01, $\x03";
       break;
     }
@@ -10978,68 +10356,266 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (ORRxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+      // (ORRXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "orr $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_RETx:
+  case AArch64_ORRv16i8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (ORRv16i8 V128:$dst, V128:$src, V128:$src)
+      AsmString = "mov	$\xFF\x01\x06.16b, $\xFF\x02\x06.16b";
+      break;
+    }
+    return NULL;
+  case AArch64_ORRv2i32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (ORRv2i32 V64:$Vd, imm0_255:$imm, 0)
+      AsmString = "orr $\xFF\x01\x06.2s, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_ORRv4i16:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (ORRv4i16 V64:$Vd, imm0_255:$imm, 0)
+      AsmString = "orr $\xFF\x01\x06.4h, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_ORRv4i32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (ORRv4i32 V128:$Vd, imm0_255:$imm, 0)
+      AsmString = "orr $\xFF\x01\x06.4s, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_ORRv8i16:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (ORRv8i16 V128:$Vd, imm0_255:$imm, 0)
+      AsmString = "orr $\xFF\x01\x06.8h, $\xFF\x02\x07";
+      break;
+    }
+    return NULL;
+  case AArch64_ORRv8i8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (ORRv8i8 V64:$dst, V64:$src, V64:$src)
+      AsmString = "mov	$\xFF\x01\x06.8b, $\xFF\x02\x06.8b";
+      break;
+    }
+    return NULL;
+  case AArch64_PRFMroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (PRFMroX prfop:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "prfm $\xFF\x01\x16, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_PRFMui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (PRFMui prfop:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "prfm $\xFF\x01\x16, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_PRFUMi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (PRFUMi prfop:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "prfum $\xFF\x01\x16, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_RET:
     if (MCInst_getNumOperands(MI) == 1 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_X30) {
-      // (RETx X30)
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_LR) {
+      // (RET LR)
       AsmString = "ret";
       break;
     }
     return NULL;
-  case AArch64_SBCSwww:
+  case AArch64_SBCSWr:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
-      // (SBCSwww GPR32:$Rd, WZR, GPR32:$Rm)
+      // (SBCSWr GPR32:$dst, WZR, GPR32:$src)
       AsmString = "ngcs $\x01, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SBCSxxx:
+  case AArch64_SBCSXr:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
-      // (SBCSxxx GPR64:$Rd, XZR, GPR64:$Rm)
+      // (SBCSXr GPR64:$dst, XZR, GPR64:$src)
       AsmString = "ngcs $\x01, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SBCwww:
+  case AArch64_SBCWr:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
-      // (SBCwww GPR32:$Rd, WZR, GPR32:$Rm)
+      // (SBCWr GPR32:$dst, WZR, GPR32:$src)
       AsmString = "ngc $\x01, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SBCxxx:
+  case AArch64_SBCXr:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
-      // (SBCxxx GPR64:$Rd, XZR, GPR64:$Rm)
+      // (SBCXr GPR64:$dst, XZR, GPR64:$src)
       AsmString = "ngc $\x01, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SMADDLxwwx:
+  case AArch64_SBFMWri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 31) {
+      // (SBFMWri GPR32:$dst, GPR32:$src, imm0_31:$shift, 31)
+      AsmString = "asr $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (SBFMWri GPR32:$dst, GPR32:$src, 0, 7)
+      AsmString = "sxtb $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (SBFMWri GPR32:$dst, GPR32:$src, 0, 15)
+      AsmString = "sxth $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case AArch64_SBFMXri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 63) {
+      // (SBFMXri GPR64:$dst, GPR64:$src, imm0_63:$shift, 63)
+      AsmString = "asr $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (SBFMXri GPR64:$dst, GPR64:$src, 0, 7)
+      AsmString = "sxtb $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (SBFMXri GPR64:$dst, GPR64:$src, 0, 15)
+      AsmString = "sxth $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 31) {
+      // (SBFMXri GPR64:$dst, GPR64:$src, 0, 31)
+      AsmString = "sxtw $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case AArch64_SMADDLrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -11048,12 +10624,12 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
-      // (SMADDLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
+      // (SMADDLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR)
       AsmString = "smull $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SMSUBLxwwx:
+  case AArch64_SMSUBLrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -11062,23 +10638,1459 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
-      // (SMSUBLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
+      // (SMSUBLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR)
       AsmString = "smnegl $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SUBSwww_lsl:
+  case AArch64_ST1Fourv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0A, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv1d_POST GPR64sp:$Rn, VecListFour1d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0B, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0C, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0D, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0E, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0F, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x10, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Fourv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x11, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev16b_POST GPR64sp:$Rn, VecListOne16b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0A, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev1d_POST GPR64sp:$Rn, VecListOne1d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0B, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev2d_POST GPR64sp:$Rn, VecListOne2d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0C, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev2s_POST GPR64sp:$Rn, VecListOne2s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0D, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev4h_POST GPR64sp:$Rn, VecListOne4h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0E, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev4s_POST GPR64sp:$Rn, VecListOne4s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0F, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev8b_POST GPR64sp:$Rn, VecListOne8b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x10, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Onev8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Onev8h_POST GPR64sp:$Rn, VecListOne8h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x11, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0A, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev1d_POST GPR64sp:$Rn, VecListThree1d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0B, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0C, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0D, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0E, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0F, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x10, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Threev8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x11, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0A, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov1d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov1d_POST GPR64sp:$Rn, VecListTwo1d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0B, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0C, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0D, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0E, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x0F, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x10, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1Twov8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST1Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR)
+      AsmString = "st1	$\xFF\x02\x11, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST1i16_POST GPR64sp:$Rn, VecListOneh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "st1	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST1i32_POST GPR64sp:$Rn, VecListOnes:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "st1	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST1i64_POST GPR64sp:$Rn, VecListOned:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "st1	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST1i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST1i8_POST GPR64sp:$Rn, VecListOneb:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "st1	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #1";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov16b_POST GPR64sp:$Rn, VecListTwo16b:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x0A, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov2d_POST GPR64sp:$Rn, VecListTwo2d:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x0C, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov2s_POST GPR64sp:$Rn, VecListTwo2s:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x0D, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov4h_POST GPR64sp:$Rn, VecListTwo4h:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x0E, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov4s_POST GPR64sp:$Rn, VecListTwo4s:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x0F, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov8b_POST GPR64sp:$Rn, VecListTwo8b:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x10, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2Twov8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST2Twov8h_POST GPR64sp:$Rn, VecListTwo8h:$Vt, XZR)
+      AsmString = "st2	$\xFF\x02\x11, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST2i16_POST GPR64sp:$Rn, VecListTwoh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "st2	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST2i32_POST GPR64sp:$Rn, VecListTwos:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "st2	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST2i64_POST GPR64sp:$Rn, VecListTwod:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "st2	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST2i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST2i8_POST GPR64sp:$Rn, VecListTwob:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "st2	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #2";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev16b_POST GPR64sp:$Rn, VecListThree16b:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x0A, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev2d_POST GPR64sp:$Rn, VecListThree2d:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x0C, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev2s_POST GPR64sp:$Rn, VecListThree2s:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x0D, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev4h_POST GPR64sp:$Rn, VecListThree4h:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x0E, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev4s_POST GPR64sp:$Rn, VecListThree4s:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x0F, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev8b_POST GPR64sp:$Rn, VecListThree8b:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x10, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3Threev8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST3Threev8h_POST GPR64sp:$Rn, VecListThree8h:$Vt, XZR)
+      AsmString = "st3	$\xFF\x02\x11, [$\x01], #48";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST3i16_POST GPR64sp:$Rn, VecListThreeh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "st3	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #6";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST3i32_POST GPR64sp:$Rn, VecListThrees:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "st3	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #12";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST3i64_POST GPR64sp:$Rn, VecListThreed:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "st3	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #24";
+      break;
+    }
+    return NULL;
+  case AArch64_ST3i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST3i8_POST GPR64sp:$Rn, VecListThreeb:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "st3	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #3";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv16b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv16b_POST GPR64sp:$Rn, VecListFour16b:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x0A, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv2d_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv2d_POST GPR64sp:$Rn, VecListFour2d:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x0C, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv2s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv2s_POST GPR64sp:$Rn, VecListFour2s:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x0D, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv4h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv4h_POST GPR64sp:$Rn, VecListFour4h:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x0E, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv4s_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv4s_POST GPR64sp:$Rn, VecListFour4s:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x0F, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv8b_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_DDDDRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv8b_POST GPR64sp:$Rn, VecListFour8b:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x10, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4Fourv8h_POST:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == AArch64_XZR) {
+      // (ST4Fourv8h_POST GPR64sp:$Rn, VecListFour8h:$Vt, XZR)
+      AsmString = "st4	$\xFF\x02\x11, [$\x01], #64";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4i16_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST4i16_POST GPR64sp:$Rn, VecListFourh:$Vt, VectorIndexH:$idx, XZR)
+      AsmString = "st4	$\xFF\x02\x12$\xFF\x03\x09, [$\x01], #8";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4i32_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST4i32_POST GPR64sp:$Rn, VecListFours:$Vt, VectorIndexS:$idx, XZR)
+      AsmString = "st4	$\xFF\x02\x13$\xFF\x03\x09, [$\x01], #16";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4i64_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST4i64_POST GPR64sp:$Rn, VecListFourd:$Vt, VectorIndexD:$idx, XZR)
+      AsmString = "st4	$\xFF\x02\x14$\xFF\x03\x09, [$\x01], #32";
+      break;
+    }
+    return NULL;
+  case AArch64_ST4i8_POST:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_QQQQRegClassID, 1) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
+      // (ST4i8_POST GPR64sp:$Rn, VecListFourb:$Vt, VectorIndexB:$idx, XZR)
+      AsmString = "st4	$\xFF\x02\x15$\xFF\x03\x09, [$\x01], #4";
+      break;
+    }
+    return NULL;
+  case AArch64_STNPDi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STNPDi FPR64:$Rt, FPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STNPQi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STNPQi FPR128:$Rt, FPR128:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STNPSi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STNPSi FPR32:$Rt, FPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STNPWi:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STNPWi GPR32:$Rt, GPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STNPXi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STNPXi GPR64:$Rt, GPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stnp	$\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STPDi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STPDi FPR64:$Rt, FPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STPQi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STPQi FPR128:$Rt, FPR128:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STPSi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STPSi FPR32:$Rt, FPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STPWi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STPWi GPR32:$Rt, GPR32:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STPXi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (STPXi GPR64:$Rt, GPR64:$Rt2, GPR64sp:$Rn, 0)
+      AsmString = "stp $\x01, $\x02, [$\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRBBroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRBBroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "strb $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRBBui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRBBui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "strb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRBroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRBroX FPR8:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRBui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRBui FPR8:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRDroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRDroX FPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRDui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRDui FPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRHHroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRHHroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "strh $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRHHui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRHHui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "strh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRHroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRHroX FPR16:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRHui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRHui FPR16:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRQroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRQroX FPR128:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRQui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRQui FPR128:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRSroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRSroX FPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRSui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRSui FPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRWroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRWroX GPR32:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRWui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRWui GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRXroX:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (STRXroX GPR64:$Rt, GPR64sp:$Rn, GPR64:$Rm, 0, 0)
+      AsmString = "str $\x01, [$\x02, $\x03]";
+      break;
+    }
+    return NULL;
+  case AArch64_STRXui:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STRXui GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "str $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STTRBi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STTRBi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "sttrb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STTRHi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STTRHi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "sttrh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STTRWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STTRWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "sttr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STTRXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STTRXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "sttr $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURBBi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURBBi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "sturb $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURBi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR8RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURBi FPR8:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURDi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURDi FPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURHHi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURHHi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "sturh $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURHi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURHi FPR16:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURQi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURQi FPR128:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURSi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURSi FPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURWi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURWi GPR32:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_STURXi:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (STURXi GPR64:$Rt, GPR64sp:$Rn, 0)
+      AsmString = "stur $\x01, [$\x02]";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBSWri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1)) {
+      // (SUBSWri WZR, GPR32sp:$src, addsub_shifted_imm32:$imm)
+      AsmString = "cmp $\x02, $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBSWrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBSwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "subs $\x01, $\x02, $\x03";
+      // (SUBSWrs WZR, GPR32:$src1, GPR32:$src2, 0)
+      AsmString = "cmp $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (SUBSWrs WZR, GPR32:$src1, GPR32:$src2, arith_shift32:$sh)
+      AsmString = "cmp $\x02, $\x03$\xFF\x04\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 4 &&
@@ -11089,38 +12101,102 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBSwww_lsl GPR32:$Rd, WZR, GPR32:$Rm, 0)
+      // (SUBSWrs GPR32:$dst, WZR, GPR32:$src, 0)
       AsmString = "negs $\x01, $\x03";
       break;
     }
-    return NULL;
-  case AArch64_SUBSwww_uxtw:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (SUBSWrs GPR32:$dst, WZR, GPR32:$src, arith_shift32:$shift)
+      AsmString = "negs $\x01, $\x03$\xFF\x04\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBSwww_uxtw GPR32:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
+      // (SUBSWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "subs $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SUBSxxx_lsl:
+  case AArch64_SUBSWrx:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (SUBSWrx WZR, GPR32sponly:$src1, GPR32:$src2, 16)
+      AsmString = "cmp $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (SUBSWrx WZR, GPR32sp:$src1, GPR32:$src2, arith_extend:$sh)
+      AsmString = "cmp $\x02, $\x03$\xFF\x04\x03";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (SUBSWrx GPR32:$dst, GPR32sponly:$src1, GPR32:$src2, 16)
+      AsmString = "subs $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBSXri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1)) {
+      // (SUBSXri XZR, GPR64sp:$src, addsub_shifted_imm64:$imm)
+      AsmString = "cmp $\x02, $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBSXrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBSxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
-      AsmString = "subs $\x01, $\x02, $\x03";
+      // (SUBSXrs XZR, GPR64:$src1, GPR64:$src2, 0)
+      AsmString = "cmp $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (SUBSXrs XZR, GPR64:$src1, GPR64:$src2, arith_shift64:$sh)
+      AsmString = "cmp $\x02, $\x03$\xFF\x04\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 4 &&
@@ -11131,40 +12207,84 @@
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBSxxx_lsl GPR64:$Rd, XZR, GPR64:$Rm, 0)
+      // (SUBSXrs GPR64:$dst, XZR, GPR64:$src, 0)
       AsmString = "negs $\x01, $\x03";
       break;
     }
-    return NULL;
-  case AArch64_SUBSxxx_uxtx:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (SUBSXrs GPR64:$dst, XZR, GPR64:$src, arith_shift64:$shift)
+      AsmString = "negs $\x01, $\x03$\xFF\x04\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBSxxx_uxtx GPR64:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
+      // (SUBSXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
       AsmString = "subs $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SUBwww_lsl:
+  case AArch64_SUBSXrx:
     if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBwww_lsl GPR32:$Rd, GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "sub $\x01, $\x02, $\x03";
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (SUBSXrx XZR, GPR64sp:$src1, GPR32:$src2, arith_extend:$sh)
+      AsmString = "cmp $\x02, $\x03$\xFF\x04\x03";
       break;
     }
+    return NULL;
+  case AArch64_SUBSXrx64:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (SUBSXrx64 XZR, GPR64sponly:$src1, GPR64:$src2, 24)
+      AsmString = "cmp $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (SUBSXrx64 XZR, GPR64sp:$src1, GPR64:$src2, arith_extendlsl64:$sh)
+      AsmString = "cmp $\x02, $\x03$\xFF\x04\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (SUBSXrx64 GPR64:$dst, GPR64sponly:$src1, GPR64:$src2, 24)
+      AsmString = "subs $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBWrs:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
@@ -11173,121 +12293,227 @@
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBwww_lsl GPR32:$Rd, WZR, GPR32:$Rm, 0)
+      // (SUBWrs GPR32:$dst, WZR, GPR32:$src, 0)
       AsmString = "neg $\x01, $\x03";
       break;
     }
-    return NULL;
-  case AArch64_SUBwww_uxtw:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_WZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2)) {
+      // (SUBWrs GPR32:$dst, WZR, GPR32:$src, arith_shift32:$shift)
+      AsmString = "neg $\x01, $\x03$\xFF\x04\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBwww_uxtw Rwsp:$Rd, GPR32wsp:$Rn, GPR32:$Rm, 0)
-      AsmString = "sub $\x01, $\x02, $\x03";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 4 &&
-        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32wspRegClassID, 0) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RwspRegClassID, 1) &&
-        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
-        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBwww_uxtw GPR32wsp:$Rd, Rwsp:$Rn, GPR32:$Rm, 0)
+      // (SUBWrs GPR32:$dst, GPR32:$src1, GPR32:$src2, 0)
       AsmString = "sub $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_SUBxxx_lsl:
+  case AArch64_SUBWrx:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBxxx_lsl GPR64:$Rd, GPR64:$Rn, GPR64:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (SUBWrx GPR32sponly:$dst, GPR32sp:$src1, GPR32:$src2, 16)
       AsmString = "sub $\x01, $\x02, $\x03";
       break;
     }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32spRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32sponlyRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 16) {
+      // (SUBWrx GPR32sp:$dst, GPR32sponly:$src1, GPR32:$src2, 16)
+      AsmString = "sub $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBXrs:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBxxx_lsl GPR64:$Rd, XZR, GPR64:$Rm, 0)
+      // (SUBXrs GPR64:$dst, XZR, GPR64:$src, 0)
       AsmString = "neg $\x01, $\x03";
       break;
     }
-    return NULL;
-  case AArch64_SUBxxx_uxtx:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == AArch64_XZR &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2)) {
+      // (SUBXrs GPR64:$dst, XZR, GPR64:$src, arith_shift64:$shift)
+      AsmString = "neg $\x01, $\x03$\xFF\x04\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBxxx_uxtx Rxsp:$Rd, GPR64xsp:$Rn, GPR64:$Rm, 0)
+      // (SUBXrs GPR64:$dst, GPR64:$src1, GPR64:$src2, 0)
+      AsmString = "sub $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case AArch64_SUBXrx64:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (SUBXrx64 GPR64sponly:$dst, GPR64sp:$src1, GPR64:$src2, 24)
       AsmString = "sub $\x01, $\x02, $\x03";
       break;
     }
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
-        GETREGCLASS_CONTAIN(AArch64_GPR64xspRegClassID, 0) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64spRegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
-        GETREGCLASS_CONTAIN(AArch64_RxspRegClassID, 1) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64sponlyRegClassID, 1) &&
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 2) &&
         MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
-      // (SUBxxx_uxtx GPR64xsp:$Rd, Rxsp:$Rn, GPR64:$Rm, 0)
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 24) {
+      // (SUBXrx64 GPR64sp:$dst, GPR64sponly:$src1, GPR64:$src2, 24)
       AsmString = "sub $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_TSTww_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_SYSxt:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 4)) == AArch64_XZR) {
+      // (SYSxt imm0_7:$op1, sys_cr_op:$Cn, sys_cr_op:$Cm, imm0_7:$op2, XZR)
+      AsmString = "sys $\x01, $\xFF\x02\x17, $\xFF\x03\x17, $\x04";
+      break;
+    }
+    return NULL;
+  case AArch64_UBFMWri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 31) {
+      // (UBFMWri GPR32:$dst, GPR32:$src, imm0_31:$shift, 31)
+      AsmString = "lsr $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (TSTww_lsl GPR32:$Rn, GPR32:$Rm, 0)
-      AsmString = "tst $\x01, $\x02";
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (UBFMWri GPR32:$dst, GPR32:$src, 0, 7)
+      AsmString = "uxtb $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (UBFMWri GPR32:$dst, GPR32:$src, 0, 15)
+      AsmString = "uxth $\x01, $\x02";
       break;
     }
     return NULL;
-  case AArch64_TSTxx_lsl:
-    if (MCInst_getNumOperands(MI) == 3 &&
+  case AArch64_UBFMXri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 63) {
+      // (UBFMXri GPR64:$dst, GPR64:$src, imm0_63:$shift, 63)
+      AsmString = "lsr $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
         MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
-      // (TSTxx_lsl GPR64:$Rn, GPR64:$Rm, 0)
-      AsmString = "tst $\x01, $\x02";
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (UBFMXri GPR64:$dst, GPR64:$src, 0, 7)
+      AsmString = "uxtb $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (UBFMXri GPR64:$dst, GPR64:$src, 0, 15)
+      AsmString = "uxth $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 31) {
+      // (UBFMXri GPR64:$dst, GPR64:$src, 0, 31)
+      AsmString = "uxtw $\x01, $\x02";
       break;
     }
     return NULL;
-  case AArch64_UMADDLxwwx:
+  case AArch64_UMADDLrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -11296,12 +12522,34 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
-      // (UMADDLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
+      // (UMADDLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR)
       AsmString = "umull $\x01, $\x02, $\x03";
       break;
     }
     return NULL;
-  case AArch64_UMSUBLxwwx:
+  case AArch64_UMOVvi32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1)) {
+      // (UMOVvi32 GPR32:$dst, V128:$src, VectorIndexS:$idx)
+      AsmString = "mov	$\x01, $\xFF\x02\x06.s$\xFF\x03\x09";
+      break;
+    }
+    return NULL;
+  case AArch64_UMOVvi64:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(AArch64_FPR128RegClassID, 1)) {
+      // (UMOVvi64 GPR64:$dst, V128:$src, VectorIndexD:$idx)
+      AsmString = "mov	$\x01, $\xFF\x02\x06.d$\xFF\x03\x09";
+      break;
+    }
+    return NULL;
+  case AArch64_UMSUBLrrr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR64RegClassID, 0) &&
@@ -11310,7 +12558,7 @@
         MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
         GETREGCLASS_CONTAIN(AArch64_GPR32RegClassID, 2) &&
         MCOperand_getReg(MCInst_getOperand(MI, 3)) == AArch64_XZR) {
-      // (UMSUBLxwwx GPR64:$Rd, GPR32:$Rn, GPR32:$Rm, XZR)
+      // (UMSUBLrrr GPR64:$dst, GPR32:$src1, GPR32:$src2, XZR)
       AsmString = "umnegl $\x01, $\x02, $\x03";
       break;
     }
@@ -11319,18 +12567,27 @@
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS, MRI);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/AArch64/AArch64GenDisassemblerTables.inc b/arch/AArch64/AArch64GenDisassemblerTables.inc
index b25c6e4..87b8af5 100644
--- a/arch/AArch64/AArch64GenDisassemblerTables.inc
+++ b/arch/AArch64/AArch64GenDisassemblerTables.inc
@@ -24,10874 +24,9567 @@
   return (insn & fieldMask) >> startBit; \
 }
 
-static uint8_t DecoderTableA6432[] = {
+static uint8_t DecoderTable32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 3,  // Inst{28-26} ...
-/* 3 */       MCD_OPC_FilterValue, 2, 164, 11, // Skip to: 2987
-/* 7 */       MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 10 */      MCD_OPC_FilterValue, 0, 195, 0, // Skip to: 209
-/* 14 */      MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17 */      MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 52
-/* 21 */      MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 24 */      MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 38
-/* 28 */      MCD_OPC_CheckField, 21, 1, 0, 20, 179, // Skip to: 45878
-/* 34 */      MCD_OPC_Decode, 214, 17, 0, // Opcode: STXR_byte
-/* 38 */      MCD_OPC_FilterValue, 1, 12, 179, // Skip to: 45878
-/* 42 */      MCD_OPC_CheckField, 21, 1, 0, 6, 179, // Skip to: 45878
-/* 48 */      MCD_OPC_Decode, 208, 17, 0, // Opcode: STLXR_byte
-/* 52 */      MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 60
-/* 56 */      MCD_OPC_Decode, 221, 10, 1, // Opcode: LSPair32_NonTemp_STR
-/* 60 */      MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 95
-/* 64 */      MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 67 */      MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 81
-/* 71 */      MCD_OPC_CheckField, 21, 1, 0, 233, 178, // Skip to: 45878
-/* 77 */      MCD_OPC_Decode, 216, 17, 0, // Opcode: STXR_hword
-/* 81 */      MCD_OPC_FilterValue, 1, 225, 178, // Skip to: 45878
-/* 85 */      MCD_OPC_CheckField, 21, 1, 0, 219, 178, // Skip to: 45878
-/* 91 */      MCD_OPC_Decode, 210, 17, 0, // Opcode: STLXR_hword
-/* 95 */      MCD_OPC_FilterValue, 4, 49, 0, // Skip to: 148
-/* 99 */      MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 102 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 125
-/* 106 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 109 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 117
-/* 113 */     MCD_OPC_Decode, 217, 17, 0, // Opcode: STXR_word
-/* 117 */     MCD_OPC_FilterValue, 1, 189, 178, // Skip to: 45878
-/* 121 */     MCD_OPC_Decode, 213, 17, 2, // Opcode: STXP_word
-/* 125 */     MCD_OPC_FilterValue, 1, 181, 178, // Skip to: 45878
-/* 129 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 132 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 140
-/* 136 */     MCD_OPC_Decode, 211, 17, 0, // Opcode: STLXR_word
-/* 140 */     MCD_OPC_FilterValue, 1, 166, 178, // Skip to: 45878
-/* 144 */     MCD_OPC_Decode, 207, 17, 2, // Opcode: STLXP_word
-/* 148 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 156
-/* 152 */     MCD_OPC_Decode, 229, 10, 1, // Opcode: LSPair64_NonTemp_STR
-/* 156 */     MCD_OPC_FilterValue, 6, 150, 178, // Skip to: 45878
-/* 160 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 163 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 186
-/* 167 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 170 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 178
-/* 174 */     MCD_OPC_Decode, 215, 17, 3, // Opcode: STXR_dword
-/* 178 */     MCD_OPC_FilterValue, 1, 128, 178, // Skip to: 45878
-/* 182 */     MCD_OPC_Decode, 212, 17, 4, // Opcode: STXP_dword
-/* 186 */     MCD_OPC_FilterValue, 1, 120, 178, // Skip to: 45878
-/* 190 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 193 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 201
-/* 197 */     MCD_OPC_Decode, 209, 17, 3, // Opcode: STLXR_dword
-/* 201 */     MCD_OPC_FilterValue, 1, 105, 178, // Skip to: 45878
-/* 205 */     MCD_OPC_Decode, 206, 17, 4, // Opcode: STLXP_dword
-/* 209 */     MCD_OPC_FilterValue, 1, 195, 0, // Skip to: 408
-/* 213 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 216 */     MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 251
-/* 220 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 223 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 237
-/* 227 */     MCD_OPC_CheckField, 21, 1, 0, 77, 178, // Skip to: 45878
-/* 233 */     MCD_OPC_Decode, 199, 9, 5, // Opcode: LDXR_byte
-/* 237 */     MCD_OPC_FilterValue, 1, 69, 178, // Skip to: 45878
-/* 241 */     MCD_OPC_CheckField, 21, 1, 0, 63, 178, // Skip to: 45878
-/* 247 */     MCD_OPC_Decode, 149, 9, 5, // Opcode: LDAXR_byte
-/* 251 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 259
-/* 255 */     MCD_OPC_Decode, 220, 10, 1, // Opcode: LSPair32_NonTemp_LDR
-/* 259 */     MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 294
-/* 263 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 266 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 280
-/* 270 */     MCD_OPC_CheckField, 21, 1, 0, 34, 178, // Skip to: 45878
-/* 276 */     MCD_OPC_Decode, 201, 9, 5, // Opcode: LDXR_hword
-/* 280 */     MCD_OPC_FilterValue, 1, 26, 178, // Skip to: 45878
-/* 284 */     MCD_OPC_CheckField, 21, 1, 0, 20, 178, // Skip to: 45878
-/* 290 */     MCD_OPC_Decode, 151, 9, 5, // Opcode: LDAXR_hword
-/* 294 */     MCD_OPC_FilterValue, 4, 49, 0, // Skip to: 347
-/* 298 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 301 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 324
-/* 305 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 308 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 316
-/* 312 */     MCD_OPC_Decode, 202, 9, 5, // Opcode: LDXR_word
-/* 316 */     MCD_OPC_FilterValue, 1, 246, 177, // Skip to: 45878
-/* 320 */     MCD_OPC_Decode, 198, 9, 6, // Opcode: LDXP_word
-/* 324 */     MCD_OPC_FilterValue, 1, 238, 177, // Skip to: 45878
-/* 328 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 331 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 339
-/* 335 */     MCD_OPC_Decode, 152, 9, 5, // Opcode: LDAXR_word
-/* 339 */     MCD_OPC_FilterValue, 1, 223, 177, // Skip to: 45878
-/* 343 */     MCD_OPC_Decode, 148, 9, 6, // Opcode: LDAXP_word
-/* 347 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 355
-/* 351 */     MCD_OPC_Decode, 228, 10, 1, // Opcode: LSPair64_NonTemp_LDR
-/* 355 */     MCD_OPC_FilterValue, 6, 207, 177, // Skip to: 45878
-/* 359 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 362 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 385
-/* 366 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 369 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 377
-/* 373 */     MCD_OPC_Decode, 200, 9, 7, // Opcode: LDXR_dword
-/* 377 */     MCD_OPC_FilterValue, 1, 185, 177, // Skip to: 45878
-/* 381 */     MCD_OPC_Decode, 197, 9, 6, // Opcode: LDXP_dword
-/* 385 */     MCD_OPC_FilterValue, 1, 177, 177, // Skip to: 45878
-/* 389 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 392 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 400
-/* 396 */     MCD_OPC_Decode, 150, 9, 7, // Opcode: LDAXR_dword
-/* 400 */     MCD_OPC_FilterValue, 1, 162, 177, // Skip to: 45878
-/* 404 */     MCD_OPC_Decode, 147, 9, 6, // Opcode: LDAXP_dword
-/* 408 */     MCD_OPC_FilterValue, 2, 99, 0, // Skip to: 511
-/* 412 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 415 */     MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 435
-/* 419 */     MCD_OPC_CheckField, 21, 1, 0, 141, 177, // Skip to: 45878
-/* 425 */     MCD_OPC_CheckField, 15, 1, 1, 135, 177, // Skip to: 45878
-/* 431 */     MCD_OPC_Decode, 202, 17, 5, // Opcode: STLR_byte
-/* 435 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 443
-/* 439 */     MCD_OPC_Decode, 223, 10, 1, // Opcode: LSPair32_PostInd_STR
-/* 443 */     MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 463
-/* 447 */     MCD_OPC_CheckField, 21, 1, 0, 113, 177, // Skip to: 45878
-/* 453 */     MCD_OPC_CheckField, 15, 1, 1, 107, 177, // Skip to: 45878
-/* 459 */     MCD_OPC_Decode, 204, 17, 5, // Opcode: STLR_hword
-/* 463 */     MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 483
-/* 467 */     MCD_OPC_CheckField, 21, 1, 0, 93, 177, // Skip to: 45878
-/* 473 */     MCD_OPC_CheckField, 15, 1, 1, 87, 177, // Skip to: 45878
-/* 479 */     MCD_OPC_Decode, 205, 17, 5, // Opcode: STLR_word
-/* 483 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 491
-/* 487 */     MCD_OPC_Decode, 231, 10, 1, // Opcode: LSPair64_PostInd_STR
-/* 491 */     MCD_OPC_FilterValue, 6, 71, 177, // Skip to: 45878
-/* 495 */     MCD_OPC_CheckField, 21, 1, 0, 65, 177, // Skip to: 45878
-/* 501 */     MCD_OPC_CheckField, 15, 1, 1, 59, 177, // Skip to: 45878
-/* 507 */     MCD_OPC_Decode, 203, 17, 7, // Opcode: STLR_dword
-/* 511 */     MCD_OPC_FilterValue, 3, 107, 0, // Skip to: 622
-/* 515 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 518 */     MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 538
-/* 522 */     MCD_OPC_CheckField, 21, 1, 0, 38, 177, // Skip to: 45878
-/* 528 */     MCD_OPC_CheckField, 15, 1, 1, 32, 177, // Skip to: 45878
-/* 534 */     MCD_OPC_Decode, 143, 9, 5, // Opcode: LDAR_byte
-/* 538 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 546
-/* 542 */     MCD_OPC_Decode, 222, 10, 1, // Opcode: LSPair32_PostInd_LDR
-/* 546 */     MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 566
-/* 550 */     MCD_OPC_CheckField, 21, 1, 0, 10, 177, // Skip to: 45878
-/* 556 */     MCD_OPC_CheckField, 15, 1, 1, 4, 177, // Skip to: 45878
-/* 562 */     MCD_OPC_Decode, 145, 9, 5, // Opcode: LDAR_hword
-/* 566 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 574
-/* 570 */     MCD_OPC_Decode, 154, 9, 1, // Opcode: LDPSWx_PostInd
-/* 574 */     MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 594
-/* 578 */     MCD_OPC_CheckField, 21, 1, 0, 238, 176, // Skip to: 45878
-/* 584 */     MCD_OPC_CheckField, 15, 1, 1, 232, 176, // Skip to: 45878
-/* 590 */     MCD_OPC_Decode, 146, 9, 5, // Opcode: LDAR_word
-/* 594 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 602
-/* 598 */     MCD_OPC_Decode, 230, 10, 1, // Opcode: LSPair64_PostInd_LDR
-/* 602 */     MCD_OPC_FilterValue, 6, 216, 176, // Skip to: 45878
-/* 606 */     MCD_OPC_CheckField, 21, 1, 0, 210, 176, // Skip to: 45878
-/* 612 */     MCD_OPC_CheckField, 15, 1, 1, 204, 176, // Skip to: 45878
-/* 618 */     MCD_OPC_Decode, 144, 9, 7, // Opcode: LDAR_dword
-/* 622 */     MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 645
-/* 626 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 629 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 637
-/* 633 */     MCD_OPC_Decode, 226, 10, 1, // Opcode: LSPair32_STR
-/* 637 */     MCD_OPC_FilterValue, 5, 181, 176, // Skip to: 45878
-/* 641 */     MCD_OPC_Decode, 234, 10, 1, // Opcode: LSPair64_STR
-/* 645 */     MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 676
-/* 649 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 652 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 660
-/* 656 */     MCD_OPC_Decode, 219, 10, 1, // Opcode: LSPair32_LDR
-/* 660 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 668
-/* 664 */     MCD_OPC_Decode, 153, 9, 1, // Opcode: LDPSWx
-/* 668 */     MCD_OPC_FilterValue, 5, 150, 176, // Skip to: 45878
-/* 672 */     MCD_OPC_Decode, 227, 10, 1, // Opcode: LSPair64_LDR
-/* 676 */     MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 699
-/* 680 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 683 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 691
-/* 687 */     MCD_OPC_Decode, 225, 10, 1, // Opcode: LSPair32_PreInd_STR
-/* 691 */     MCD_OPC_FilterValue, 5, 127, 176, // Skip to: 45878
-/* 695 */     MCD_OPC_Decode, 233, 10, 1, // Opcode: LSPair64_PreInd_STR
-/* 699 */     MCD_OPC_FilterValue, 7, 27, 0, // Skip to: 730
-/* 703 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 706 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 714
-/* 710 */     MCD_OPC_Decode, 224, 10, 1, // Opcode: LSPair32_PreInd_LDR
-/* 714 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 722
-/* 718 */     MCD_OPC_Decode, 155, 9, 1, // Opcode: LDPSWx_PreInd
-/* 722 */     MCD_OPC_FilterValue, 5, 96, 176, // Skip to: 45878
-/* 726 */     MCD_OPC_Decode, 232, 10, 1, // Opcode: LSPair64_PreInd_LDR
-/* 730 */     MCD_OPC_FilterValue, 8, 226, 0, // Skip to: 960
-/* 734 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 737 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 760
-/* 741 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 744 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 752
-/* 748 */     MCD_OPC_Decode, 136, 1, 8, // Opcode: ANDwww_lsl
-/* 752 */     MCD_OPC_FilterValue, 1, 66, 176, // Skip to: 45878
-/* 756 */     MCD_OPC_Decode, 218, 1, 8, // Opcode: BICwww_lsl
-/* 760 */     MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 793
-/* 764 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 767 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 775
-/* 771 */     MCD_OPC_Decode, 206, 11, 8, // Opcode: ORRwww_lsl
-/* 775 */     MCD_OPC_FilterValue, 1, 43, 176, // Skip to: 45878
-/* 779 */     MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 789
-/* 785 */     MCD_OPC_Decode, 171, 11, 9, // Opcode: MVNww_lsl
-/* 789 */     MCD_OPC_Decode, 191, 11, 8, // Opcode: ORNwww_lsl
-/* 793 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 816
-/* 797 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 800 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 808
-/* 804 */     MCD_OPC_Decode, 197, 3, 8, // Opcode: EORwww_lsl
-/* 808 */     MCD_OPC_FilterValue, 1, 10, 176, // Skip to: 45878
-/* 812 */     MCD_OPC_Decode, 186, 3, 8, // Opcode: EONwww_lsl
-/* 816 */     MCD_OPC_FilterValue, 3, 28, 0, // Skip to: 848
-/* 820 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 823 */     MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 840
-/* 827 */     MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 837
-/* 833 */     MCD_OPC_Decode, 222, 18, 10, // Opcode: TSTww_lsl
-/* 837 */     MCD_OPC_Decode, 124, 8, // Opcode: ANDSwww_lsl
-/* 840 */     MCD_OPC_FilterValue, 1, 234, 175, // Skip to: 45878
-/* 844 */     MCD_OPC_Decode, 204, 1, 8, // Opcode: BICSwww_lsl
-/* 848 */     MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 871
-/* 852 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 855 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 863
-/* 859 */     MCD_OPC_Decode, 141, 1, 11, // Opcode: ANDxxx_lsl
-/* 863 */     MCD_OPC_FilterValue, 1, 211, 175, // Skip to: 45878
-/* 867 */     MCD_OPC_Decode, 222, 1, 11, // Opcode: BICxxx_lsl
-/* 871 */     MCD_OPC_FilterValue, 5, 29, 0, // Skip to: 904
-/* 875 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 878 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 886
-/* 882 */     MCD_OPC_Decode, 211, 11, 11, // Opcode: ORRxxx_lsl
-/* 886 */     MCD_OPC_FilterValue, 1, 188, 175, // Skip to: 45878
-/* 890 */     MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 900
-/* 896 */     MCD_OPC_Decode, 175, 11, 12, // Opcode: MVNxx_lsl
-/* 900 */     MCD_OPC_Decode, 195, 11, 11, // Opcode: ORNxxx_lsl
-/* 904 */     MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 927
-/* 908 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 911 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 919
-/* 915 */     MCD_OPC_Decode, 202, 3, 11, // Opcode: EORxxx_lsl
-/* 919 */     MCD_OPC_FilterValue, 1, 155, 175, // Skip to: 45878
-/* 923 */     MCD_OPC_Decode, 190, 3, 11, // Opcode: EONxxx_lsl
-/* 927 */     MCD_OPC_FilterValue, 7, 147, 175, // Skip to: 45878
-/* 931 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 934 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 952
-/* 938 */     MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 948
-/* 944 */     MCD_OPC_Decode, 226, 18, 13, // Opcode: TSTxx_lsl
-/* 948 */     MCD_OPC_Decode, 129, 1, 11, // Opcode: ANDSxxx_lsl
-/* 952 */     MCD_OPC_FilterValue, 1, 122, 175, // Skip to: 45878
-/* 956 */     MCD_OPC_Decode, 208, 1, 11, // Opcode: BICSxxx_lsl
-/* 960 */     MCD_OPC_FilterValue, 9, 226, 0, // Skip to: 1190
-/* 964 */     MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 967 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 990
-/* 971 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 974 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 982
-/* 978 */     MCD_OPC_Decode, 137, 1, 8, // Opcode: ANDwww_lsr
-/* 982 */     MCD_OPC_FilterValue, 1, 92, 175, // Skip to: 45878
-/* 986 */     MCD_OPC_Decode, 219, 1, 8, // Opcode: BICwww_lsr
-/* 990 */     MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 1023
-/* 994 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 997 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1005
-/* 1001 */    MCD_OPC_Decode, 207, 11, 8, // Opcode: ORRwww_lsr
-/* 1005 */    MCD_OPC_FilterValue, 1, 69, 175, // Skip to: 45878
-/* 1009 */    MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 1019
-/* 1015 */    MCD_OPC_Decode, 172, 11, 9, // Opcode: MVNww_lsr
-/* 1019 */    MCD_OPC_Decode, 192, 11, 8, // Opcode: ORNwww_lsr
-/* 1023 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 1046
-/* 1027 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1030 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1038
-/* 1034 */    MCD_OPC_Decode, 198, 3, 8, // Opcode: EORwww_lsr
-/* 1038 */    MCD_OPC_FilterValue, 1, 36, 175, // Skip to: 45878
-/* 1042 */    MCD_OPC_Decode, 187, 3, 8, // Opcode: EONwww_lsr
-/* 1046 */    MCD_OPC_FilterValue, 3, 28, 0, // Skip to: 1078
-/* 1050 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1053 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 1070
-/* 1057 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1067
-/* 1063 */    MCD_OPC_Decode, 223, 18, 10, // Opcode: TSTww_lsr
-/* 1067 */    MCD_OPC_Decode, 125, 8, // Opcode: ANDSwww_lsr
-/* 1070 */    MCD_OPC_FilterValue, 1, 4, 175, // Skip to: 45878
-/* 1074 */    MCD_OPC_Decode, 205, 1, 8, // Opcode: BICSwww_lsr
-/* 1078 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 1101
-/* 1082 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1085 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1093
-/* 1089 */    MCD_OPC_Decode, 142, 1, 11, // Opcode: ANDxxx_lsr
-/* 1093 */    MCD_OPC_FilterValue, 1, 237, 174, // Skip to: 45878
-/* 1097 */    MCD_OPC_Decode, 223, 1, 11, // Opcode: BICxxx_lsr
-/* 1101 */    MCD_OPC_FilterValue, 5, 29, 0, // Skip to: 1134
-/* 1105 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1108 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1116
-/* 1112 */    MCD_OPC_Decode, 212, 11, 11, // Opcode: ORRxxx_lsr
-/* 1116 */    MCD_OPC_FilterValue, 1, 214, 174, // Skip to: 45878
-/* 1120 */    MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 1130
-/* 1126 */    MCD_OPC_Decode, 176, 11, 12, // Opcode: MVNxx_lsr
-/* 1130 */    MCD_OPC_Decode, 196, 11, 11, // Opcode: ORNxxx_lsr
-/* 1134 */    MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 1157
-/* 1138 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1141 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1149
-/* 1145 */    MCD_OPC_Decode, 203, 3, 11, // Opcode: EORxxx_lsr
-/* 1149 */    MCD_OPC_FilterValue, 1, 181, 174, // Skip to: 45878
-/* 1153 */    MCD_OPC_Decode, 191, 3, 11, // Opcode: EONxxx_lsr
-/* 1157 */    MCD_OPC_FilterValue, 7, 173, 174, // Skip to: 45878
-/* 1161 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1164 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1182
-/* 1168 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1178
-/* 1174 */    MCD_OPC_Decode, 227, 18, 13, // Opcode: TSTxx_lsr
-/* 1178 */    MCD_OPC_Decode, 130, 1, 11, // Opcode: ANDSxxx_lsr
-/* 1182 */    MCD_OPC_FilterValue, 1, 148, 174, // Skip to: 45878
-/* 1186 */    MCD_OPC_Decode, 209, 1, 11, // Opcode: BICSxxx_lsr
-/* 1190 */    MCD_OPC_FilterValue, 10, 226, 0, // Skip to: 1420
-/* 1194 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 1197 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 1220
-/* 1201 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1204 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1212
-/* 1208 */    MCD_OPC_Decode, 135, 1, 8, // Opcode: ANDwww_asr
-/* 1212 */    MCD_OPC_FilterValue, 1, 118, 174, // Skip to: 45878
-/* 1216 */    MCD_OPC_Decode, 217, 1, 8, // Opcode: BICwww_asr
-/* 1220 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 1253
-/* 1224 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1227 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1235
-/* 1231 */    MCD_OPC_Decode, 205, 11, 8, // Opcode: ORRwww_asr
-/* 1235 */    MCD_OPC_FilterValue, 1, 95, 174, // Skip to: 45878
-/* 1239 */    MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 1249
-/* 1245 */    MCD_OPC_Decode, 170, 11, 9, // Opcode: MVNww_asr
-/* 1249 */    MCD_OPC_Decode, 190, 11, 8, // Opcode: ORNwww_asr
-/* 1253 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 1276
-/* 1257 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1260 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1268
-/* 1264 */    MCD_OPC_Decode, 196, 3, 8, // Opcode: EORwww_asr
-/* 1268 */    MCD_OPC_FilterValue, 1, 62, 174, // Skip to: 45878
-/* 1272 */    MCD_OPC_Decode, 185, 3, 8, // Opcode: EONwww_asr
-/* 1276 */    MCD_OPC_FilterValue, 3, 28, 0, // Skip to: 1308
-/* 1280 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1283 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 1300
-/* 1287 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1297
-/* 1293 */    MCD_OPC_Decode, 221, 18, 10, // Opcode: TSTww_asr
-/* 1297 */    MCD_OPC_Decode, 123, 8, // Opcode: ANDSwww_asr
-/* 1300 */    MCD_OPC_FilterValue, 1, 30, 174, // Skip to: 45878
-/* 1304 */    MCD_OPC_Decode, 203, 1, 8, // Opcode: BICSwww_asr
-/* 1308 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 1331
-/* 1312 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1315 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1323
-/* 1319 */    MCD_OPC_Decode, 140, 1, 11, // Opcode: ANDxxx_asr
-/* 1323 */    MCD_OPC_FilterValue, 1, 7, 174, // Skip to: 45878
-/* 1327 */    MCD_OPC_Decode, 221, 1, 11, // Opcode: BICxxx_asr
-/* 1331 */    MCD_OPC_FilterValue, 5, 29, 0, // Skip to: 1364
-/* 1335 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1338 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1346
-/* 1342 */    MCD_OPC_Decode, 210, 11, 11, // Opcode: ORRxxx_asr
-/* 1346 */    MCD_OPC_FilterValue, 1, 240, 173, // Skip to: 45878
-/* 1350 */    MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 1360
-/* 1356 */    MCD_OPC_Decode, 174, 11, 12, // Opcode: MVNxx_asr
-/* 1360 */    MCD_OPC_Decode, 194, 11, 11, // Opcode: ORNxxx_asr
-/* 1364 */    MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 1387
-/* 1368 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1371 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1379
-/* 1375 */    MCD_OPC_Decode, 201, 3, 11, // Opcode: EORxxx_asr
-/* 1379 */    MCD_OPC_FilterValue, 1, 207, 173, // Skip to: 45878
-/* 1383 */    MCD_OPC_Decode, 189, 3, 11, // Opcode: EONxxx_asr
-/* 1387 */    MCD_OPC_FilterValue, 7, 199, 173, // Skip to: 45878
-/* 1391 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1394 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1412
-/* 1398 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1408
-/* 1404 */    MCD_OPC_Decode, 225, 18, 13, // Opcode: TSTxx_asr
-/* 1408 */    MCD_OPC_Decode, 128, 1, 11, // Opcode: ANDSxxx_asr
-/* 1412 */    MCD_OPC_FilterValue, 1, 174, 173, // Skip to: 45878
-/* 1416 */    MCD_OPC_Decode, 207, 1, 11, // Opcode: BICSxxx_asr
-/* 1420 */    MCD_OPC_FilterValue, 11, 226, 0, // Skip to: 1650
-/* 1424 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 1427 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 1450
-/* 1431 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1434 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1442
-/* 1438 */    MCD_OPC_Decode, 138, 1, 8, // Opcode: ANDwww_ror
-/* 1442 */    MCD_OPC_FilterValue, 1, 144, 173, // Skip to: 45878
-/* 1446 */    MCD_OPC_Decode, 220, 1, 8, // Opcode: BICwww_ror
-/* 1450 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 1483
-/* 1454 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1457 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1465
-/* 1461 */    MCD_OPC_Decode, 208, 11, 8, // Opcode: ORRwww_ror
-/* 1465 */    MCD_OPC_FilterValue, 1, 121, 173, // Skip to: 45878
-/* 1469 */    MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 1479
-/* 1475 */    MCD_OPC_Decode, 173, 11, 9, // Opcode: MVNww_ror
-/* 1479 */    MCD_OPC_Decode, 193, 11, 8, // Opcode: ORNwww_ror
-/* 1483 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 1506
-/* 1487 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1490 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1498
-/* 1494 */    MCD_OPC_Decode, 199, 3, 8, // Opcode: EORwww_ror
-/* 1498 */    MCD_OPC_FilterValue, 1, 88, 173, // Skip to: 45878
-/* 1502 */    MCD_OPC_Decode, 188, 3, 8, // Opcode: EONwww_ror
-/* 1506 */    MCD_OPC_FilterValue, 3, 28, 0, // Skip to: 1538
-/* 1510 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1513 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 1530
-/* 1517 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1527
-/* 1523 */    MCD_OPC_Decode, 224, 18, 10, // Opcode: TSTww_ror
-/* 1527 */    MCD_OPC_Decode, 126, 8, // Opcode: ANDSwww_ror
-/* 1530 */    MCD_OPC_FilterValue, 1, 56, 173, // Skip to: 45878
-/* 1534 */    MCD_OPC_Decode, 206, 1, 8, // Opcode: BICSwww_ror
-/* 1538 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 1561
-/* 1542 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1545 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1553
-/* 1549 */    MCD_OPC_Decode, 143, 1, 11, // Opcode: ANDxxx_ror
-/* 1553 */    MCD_OPC_FilterValue, 1, 33, 173, // Skip to: 45878
-/* 1557 */    MCD_OPC_Decode, 224, 1, 11, // Opcode: BICxxx_ror
-/* 1561 */    MCD_OPC_FilterValue, 5, 29, 0, // Skip to: 1594
-/* 1565 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1568 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1576
-/* 1572 */    MCD_OPC_Decode, 213, 11, 11, // Opcode: ORRxxx_ror
-/* 1576 */    MCD_OPC_FilterValue, 1, 10, 173, // Skip to: 45878
-/* 1580 */    MCD_OPC_CheckField, 5, 5, 31, 4, 0, // Skip to: 1590
-/* 1586 */    MCD_OPC_Decode, 177, 11, 12, // Opcode: MVNxx_ror
-/* 1590 */    MCD_OPC_Decode, 197, 11, 11, // Opcode: ORNxxx_ror
-/* 1594 */    MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 1617
-/* 1598 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1601 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1609
-/* 1605 */    MCD_OPC_Decode, 204, 3, 11, // Opcode: EORxxx_ror
-/* 1609 */    MCD_OPC_FilterValue, 1, 233, 172, // Skip to: 45878
-/* 1613 */    MCD_OPC_Decode, 192, 3, 11, // Opcode: EONxxx_ror
-/* 1617 */    MCD_OPC_FilterValue, 7, 225, 172, // Skip to: 45878
-/* 1621 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1624 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1642
-/* 1628 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1638
-/* 1634 */    MCD_OPC_Decode, 228, 18, 13, // Opcode: TSTxx_ror
-/* 1638 */    MCD_OPC_Decode, 131, 1, 11, // Opcode: ANDSxxx_ror
-/* 1642 */    MCD_OPC_FilterValue, 1, 200, 172, // Skip to: 45878
-/* 1646 */    MCD_OPC_Decode, 210, 1, 11, // Opcode: BICSxxx_ror
-/* 1650 */    MCD_OPC_FilterValue, 12, 247, 3, // Skip to: 2669
-/* 1654 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 1657 */    MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 1734
-/* 1661 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1664 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1671
-/* 1668 */    MCD_OPC_Decode, 87, 8, // Opcode: ADDwww_lsl
-/* 1671 */    MCD_OPC_FilterValue, 1, 171, 172, // Skip to: 45878
-/* 1675 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 1678 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1685
-/* 1682 */    MCD_OPC_Decode, 93, 14, // Opcode: ADDwww_uxtb
-/* 1685 */    MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 1692
-/* 1689 */    MCD_OPC_Decode, 94, 14, // Opcode: ADDwww_uxth
-/* 1692 */    MCD_OPC_FilterValue, 2, 3, 0, // Skip to: 1699
-/* 1696 */    MCD_OPC_Decode, 95, 14, // Opcode: ADDwww_uxtw
-/* 1699 */    MCD_OPC_FilterValue, 3, 3, 0, // Skip to: 1706
-/* 1703 */    MCD_OPC_Decode, 96, 14, // Opcode: ADDwww_uxtx
-/* 1706 */    MCD_OPC_FilterValue, 4, 3, 0, // Skip to: 1713
-/* 1710 */    MCD_OPC_Decode, 89, 14, // Opcode: ADDwww_sxtb
-/* 1713 */    MCD_OPC_FilterValue, 5, 3, 0, // Skip to: 1720
-/* 1717 */    MCD_OPC_Decode, 90, 14, // Opcode: ADDwww_sxth
-/* 1720 */    MCD_OPC_FilterValue, 6, 3, 0, // Skip to: 1727
-/* 1724 */    MCD_OPC_Decode, 91, 14, // Opcode: ADDwww_sxtw
-/* 1727 */    MCD_OPC_FilterValue, 7, 115, 172, // Skip to: 45878
-/* 1731 */    MCD_OPC_Decode, 92, 14, // Opcode: ADDwww_sxtx
-/* 1734 */    MCD_OPC_FilterValue, 1, 163, 0, // Skip to: 1901
-/* 1738 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1741 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 1758
-/* 1745 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1755
-/* 1751 */    MCD_OPC_Decode, 219, 2, 10, // Opcode: CMNww_lsl
-/* 1755 */    MCD_OPC_Decode, 46, 8, // Opcode: ADDSwww_lsl
-/* 1758 */    MCD_OPC_FilterValue, 1, 84, 172, // Skip to: 45878
-/* 1762 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 1765 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 1782
-/* 1769 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1779
-/* 1775 */    MCD_OPC_Decode, 225, 2, 15, // Opcode: CMNww_uxtb
-/* 1779 */    MCD_OPC_Decode, 52, 16, // Opcode: ADDSwww_uxtb
-/* 1782 */    MCD_OPC_FilterValue, 1, 13, 0, // Skip to: 1799
-/* 1786 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1796
-/* 1792 */    MCD_OPC_Decode, 226, 2, 15, // Opcode: CMNww_uxth
-/* 1796 */    MCD_OPC_Decode, 53, 16, // Opcode: ADDSwww_uxth
-/* 1799 */    MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 1816
-/* 1803 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1813
-/* 1809 */    MCD_OPC_Decode, 227, 2, 15, // Opcode: CMNww_uxtw
-/* 1813 */    MCD_OPC_Decode, 54, 16, // Opcode: ADDSwww_uxtw
-/* 1816 */    MCD_OPC_FilterValue, 3, 13, 0, // Skip to: 1833
-/* 1820 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1830
-/* 1826 */    MCD_OPC_Decode, 228, 2, 15, // Opcode: CMNww_uxtx
-/* 1830 */    MCD_OPC_Decode, 55, 16, // Opcode: ADDSwww_uxtx
-/* 1833 */    MCD_OPC_FilterValue, 4, 13, 0, // Skip to: 1850
-/* 1837 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1847
-/* 1843 */    MCD_OPC_Decode, 221, 2, 15, // Opcode: CMNww_sxtb
-/* 1847 */    MCD_OPC_Decode, 48, 16, // Opcode: ADDSwww_sxtb
-/* 1850 */    MCD_OPC_FilterValue, 5, 13, 0, // Skip to: 1867
-/* 1854 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1864
-/* 1860 */    MCD_OPC_Decode, 222, 2, 15, // Opcode: CMNww_sxth
-/* 1864 */    MCD_OPC_Decode, 49, 16, // Opcode: ADDSwww_sxth
-/* 1867 */    MCD_OPC_FilterValue, 6, 13, 0, // Skip to: 1884
-/* 1871 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1881
-/* 1877 */    MCD_OPC_Decode, 223, 2, 15, // Opcode: CMNww_sxtw
-/* 1881 */    MCD_OPC_Decode, 50, 16, // Opcode: ADDSwww_sxtw
-/* 1884 */    MCD_OPC_FilterValue, 7, 214, 171, // Skip to: 45878
-/* 1888 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 1898
-/* 1894 */    MCD_OPC_Decode, 224, 2, 15, // Opcode: CMNww_sxtx
-/* 1898 */    MCD_OPC_Decode, 51, 16, // Opcode: ADDSwww_sxtx
-/* 1901 */    MCD_OPC_FilterValue, 2, 82, 0, // Skip to: 1987
-/* 1905 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1908 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1916
-/* 1912 */    MCD_OPC_Decode, 133, 18, 8, // Opcode: SUBwww_lsl
-/* 1916 */    MCD_OPC_FilterValue, 1, 182, 171, // Skip to: 45878
-/* 1920 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 1923 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1931
-/* 1927 */    MCD_OPC_Decode, 139, 18, 14, // Opcode: SUBwww_uxtb
-/* 1931 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1939
-/* 1935 */    MCD_OPC_Decode, 140, 18, 14, // Opcode: SUBwww_uxth
-/* 1939 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 1947
-/* 1943 */    MCD_OPC_Decode, 141, 18, 14, // Opcode: SUBwww_uxtw
-/* 1947 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 1955
-/* 1951 */    MCD_OPC_Decode, 142, 18, 14, // Opcode: SUBwww_uxtx
-/* 1955 */    MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 1963
-/* 1959 */    MCD_OPC_Decode, 135, 18, 14, // Opcode: SUBwww_sxtb
-/* 1963 */    MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 1971
-/* 1967 */    MCD_OPC_Decode, 136, 18, 14, // Opcode: SUBwww_sxth
-/* 1971 */    MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 1979
-/* 1975 */    MCD_OPC_Decode, 137, 18, 14, // Opcode: SUBwww_sxtw
-/* 1979 */    MCD_OPC_FilterValue, 7, 119, 171, // Skip to: 45878
-/* 1983 */    MCD_OPC_Decode, 138, 18, 14, // Opcode: SUBwww_sxtx
-/* 1987 */    MCD_OPC_FilterValue, 3, 172, 0, // Skip to: 2163
-/* 1991 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 1994 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2012
-/* 1998 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2008
-/* 2004 */    MCD_OPC_Decode, 241, 2, 10, // Opcode: CMPww_lsl
-/* 2008 */    MCD_OPC_Decode, 225, 17, 8, // Opcode: SUBSwww_lsl
-/* 2012 */    MCD_OPC_FilterValue, 1, 86, 171, // Skip to: 45878
-/* 2016 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2019 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2037
-/* 2023 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2033
-/* 2029 */    MCD_OPC_Decode, 247, 2, 15, // Opcode: CMPww_uxtb
-/* 2033 */    MCD_OPC_Decode, 231, 17, 16, // Opcode: SUBSwww_uxtb
-/* 2037 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2055
-/* 2041 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2051
-/* 2047 */    MCD_OPC_Decode, 248, 2, 15, // Opcode: CMPww_uxth
-/* 2051 */    MCD_OPC_Decode, 232, 17, 16, // Opcode: SUBSwww_uxth
-/* 2055 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 2073
-/* 2059 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2069
-/* 2065 */    MCD_OPC_Decode, 249, 2, 15, // Opcode: CMPww_uxtw
-/* 2069 */    MCD_OPC_Decode, 233, 17, 16, // Opcode: SUBSwww_uxtw
-/* 2073 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 2091
-/* 2077 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2087
-/* 2083 */    MCD_OPC_Decode, 250, 2, 15, // Opcode: CMPww_uxtx
-/* 2087 */    MCD_OPC_Decode, 234, 17, 16, // Opcode: SUBSwww_uxtx
-/* 2091 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2109
-/* 2095 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2105
-/* 2101 */    MCD_OPC_Decode, 243, 2, 15, // Opcode: CMPww_sxtb
-/* 2105 */    MCD_OPC_Decode, 227, 17, 16, // Opcode: SUBSwww_sxtb
-/* 2109 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2127
-/* 2113 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2123
-/* 2119 */    MCD_OPC_Decode, 244, 2, 15, // Opcode: CMPww_sxth
-/* 2123 */    MCD_OPC_Decode, 228, 17, 16, // Opcode: SUBSwww_sxth
-/* 2127 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2145
-/* 2131 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2141
-/* 2137 */    MCD_OPC_Decode, 245, 2, 15, // Opcode: CMPww_sxtw
-/* 2141 */    MCD_OPC_Decode, 229, 17, 16, // Opcode: SUBSwww_sxtw
-/* 2145 */    MCD_OPC_FilterValue, 7, 209, 170, // Skip to: 45878
-/* 2149 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2159
-/* 2155 */    MCD_OPC_Decode, 246, 2, 15, // Opcode: CMPww_sxtx
-/* 2159 */    MCD_OPC_Decode, 230, 17, 16, // Opcode: SUBSwww_sxtx
-/* 2163 */    MCD_OPC_FilterValue, 4, 73, 0, // Skip to: 2240
-/* 2167 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2170 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 2177
-/* 2174 */    MCD_OPC_Decode, 110, 11, // Opcode: ADDxxx_lsl
-/* 2177 */    MCD_OPC_FilterValue, 1, 177, 170, // Skip to: 45878
-/* 2181 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2184 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 2191
-/* 2188 */    MCD_OPC_Decode, 106, 17, // Opcode: ADDxxw_uxtb
-/* 2191 */    MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 2198
-/* 2195 */    MCD_OPC_Decode, 107, 17, // Opcode: ADDxxw_uxth
-/* 2198 */    MCD_OPC_FilterValue, 2, 3, 0, // Skip to: 2205
-/* 2202 */    MCD_OPC_Decode, 108, 17, // Opcode: ADDxxw_uxtw
-/* 2205 */    MCD_OPC_FilterValue, 3, 3, 0, // Skip to: 2212
-/* 2209 */    MCD_OPC_Decode, 113, 18, // Opcode: ADDxxx_uxtx
-/* 2212 */    MCD_OPC_FilterValue, 4, 3, 0, // Skip to: 2219
-/* 2216 */    MCD_OPC_Decode, 103, 17, // Opcode: ADDxxw_sxtb
-/* 2219 */    MCD_OPC_FilterValue, 5, 3, 0, // Skip to: 2226
-/* 2223 */    MCD_OPC_Decode, 104, 17, // Opcode: ADDxxw_sxth
-/* 2226 */    MCD_OPC_FilterValue, 6, 3, 0, // Skip to: 2233
-/* 2230 */    MCD_OPC_Decode, 105, 17, // Opcode: ADDxxw_sxtw
-/* 2233 */    MCD_OPC_FilterValue, 7, 121, 170, // Skip to: 45878
-/* 2237 */    MCD_OPC_Decode, 112, 18, // Opcode: ADDxxx_sxtx
-/* 2240 */    MCD_OPC_FilterValue, 5, 163, 0, // Skip to: 2407
-/* 2244 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2247 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 2264
-/* 2251 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2261
-/* 2257 */    MCD_OPC_Decode, 236, 2, 13, // Opcode: CMNxx_lsl
-/* 2261 */    MCD_OPC_Decode, 63, 11, // Opcode: ADDSxxx_lsl
-/* 2264 */    MCD_OPC_FilterValue, 1, 90, 170, // Skip to: 45878
-/* 2268 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2271 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 2288
-/* 2275 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2285
-/* 2281 */    MCD_OPC_Decode, 232, 2, 19, // Opcode: CMNxw_uxtb
-/* 2285 */    MCD_OPC_Decode, 59, 20, // Opcode: ADDSxxw_uxtb
-/* 2288 */    MCD_OPC_FilterValue, 1, 13, 0, // Skip to: 2305
-/* 2292 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2302
-/* 2298 */    MCD_OPC_Decode, 233, 2, 19, // Opcode: CMNxw_uxth
-/* 2302 */    MCD_OPC_Decode, 60, 20, // Opcode: ADDSxxw_uxth
-/* 2305 */    MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 2322
-/* 2309 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2319
-/* 2315 */    MCD_OPC_Decode, 234, 2, 19, // Opcode: CMNxw_uxtw
-/* 2319 */    MCD_OPC_Decode, 61, 20, // Opcode: ADDSxxw_uxtw
-/* 2322 */    MCD_OPC_FilterValue, 3, 13, 0, // Skip to: 2339
-/* 2326 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2336
-/* 2332 */    MCD_OPC_Decode, 239, 2, 21, // Opcode: CMNxx_uxtx
-/* 2336 */    MCD_OPC_Decode, 66, 22, // Opcode: ADDSxxx_uxtx
-/* 2339 */    MCD_OPC_FilterValue, 4, 13, 0, // Skip to: 2356
-/* 2343 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2353
-/* 2349 */    MCD_OPC_Decode, 229, 2, 19, // Opcode: CMNxw_sxtb
-/* 2353 */    MCD_OPC_Decode, 56, 20, // Opcode: ADDSxxw_sxtb
-/* 2356 */    MCD_OPC_FilterValue, 5, 13, 0, // Skip to: 2373
-/* 2360 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2370
-/* 2366 */    MCD_OPC_Decode, 230, 2, 19, // Opcode: CMNxw_sxth
-/* 2370 */    MCD_OPC_Decode, 57, 20, // Opcode: ADDSxxw_sxth
-/* 2373 */    MCD_OPC_FilterValue, 6, 13, 0, // Skip to: 2390
-/* 2377 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2387
-/* 2383 */    MCD_OPC_Decode, 231, 2, 19, // Opcode: CMNxw_sxtw
-/* 2387 */    MCD_OPC_Decode, 58, 20, // Opcode: ADDSxxw_sxtw
-/* 2390 */    MCD_OPC_FilterValue, 7, 220, 169, // Skip to: 45878
-/* 2394 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2404
-/* 2400 */    MCD_OPC_Decode, 238, 2, 21, // Opcode: CMNxx_sxtx
-/* 2404 */    MCD_OPC_Decode, 65, 22, // Opcode: ADDSxxx_sxtx
-/* 2407 */    MCD_OPC_FilterValue, 6, 82, 0, // Skip to: 2493
-/* 2411 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2414 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2422
-/* 2418 */    MCD_OPC_Decode, 156, 18, 11, // Opcode: SUBxxx_lsl
-/* 2422 */    MCD_OPC_FilterValue, 1, 188, 169, // Skip to: 45878
-/* 2426 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2429 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2437
-/* 2433 */    MCD_OPC_Decode, 152, 18, 17, // Opcode: SUBxxw_uxtb
-/* 2437 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 2445
-/* 2441 */    MCD_OPC_Decode, 153, 18, 17, // Opcode: SUBxxw_uxth
-/* 2445 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 2453
-/* 2449 */    MCD_OPC_Decode, 154, 18, 17, // Opcode: SUBxxw_uxtw
-/* 2453 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 2461
-/* 2457 */    MCD_OPC_Decode, 159, 18, 18, // Opcode: SUBxxx_uxtx
-/* 2461 */    MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 2469
-/* 2465 */    MCD_OPC_Decode, 149, 18, 17, // Opcode: SUBxxw_sxtb
-/* 2469 */    MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 2477
-/* 2473 */    MCD_OPC_Decode, 150, 18, 17, // Opcode: SUBxxw_sxth
-/* 2477 */    MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 2485
-/* 2481 */    MCD_OPC_Decode, 151, 18, 17, // Opcode: SUBxxw_sxtw
-/* 2485 */    MCD_OPC_FilterValue, 7, 125, 169, // Skip to: 45878
-/* 2489 */    MCD_OPC_Decode, 158, 18, 18, // Opcode: SUBxxx_sxtx
-/* 2493 */    MCD_OPC_FilterValue, 7, 117, 169, // Skip to: 45878
-/* 2497 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 3 */       MCD_OPC_FilterValue, 2, 86, 4, // Skip to: 1117
+/* 7 */       MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 10 */      MCD_OPC_FilterValue, 0, 132, 0, // Skip to: 146
+/* 14 */      MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 17 */      MCD_OPC_FilterValue, 0, 77, 0, // Skip to: 98
+/* 21 */      MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 24 */      MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 47
+/* 28 */      MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 31 */      MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 39
+/* 35 */      MCD_OPC_Decode, 145, 15, 0, // Opcode: STXRB
+/* 39 */      MCD_OPC_FilterValue, 1, 178, 158, // Skip to: 40669
+/* 43 */      MCD_OPC_Decode, 189, 14, 0, // Opcode: STLXRB
+/* 47 */      MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 70
+/* 51 */      MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 54 */      MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 62
+/* 58 */      MCD_OPC_Decode, 169, 8, 0, // Opcode: LDXRB
+/* 62 */      MCD_OPC_FilterValue, 1, 155, 158, // Skip to: 40669
+/* 66 */      MCD_OPC_Decode, 169, 7, 0, // Opcode: LDAXRB
+/* 70 */      MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 84
+/* 74 */      MCD_OPC_CheckField, 15, 1, 1, 141, 158, // Skip to: 40669
+/* 80 */      MCD_OPC_Decode, 183, 14, 0, // Opcode: STLRB
+/* 84 */      MCD_OPC_FilterValue, 6, 133, 158, // Skip to: 40669
+/* 88 */      MCD_OPC_CheckField, 15, 1, 1, 127, 158, // Skip to: 40669
+/* 94 */      MCD_OPC_Decode, 163, 7, 0, // Opcode: LDARB
+/* 98 */      MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 119
+/* 102 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 105 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 112
+/* 109 */     MCD_OPC_Decode, 93, 1, // Opcode: ANDWrs
+/* 112 */     MCD_OPC_FilterValue, 1, 105, 158, // Skip to: 40669
+/* 116 */     MCD_OPC_Decode, 109, 1, // Opcode: BICWrs
+/* 119 */     MCD_OPC_FilterValue, 3, 98, 158, // Skip to: 40669
+/* 123 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 126 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 133
+/* 130 */     MCD_OPC_Decode, 62, 1, // Opcode: ADDWrs
+/* 133 */     MCD_OPC_FilterValue, 1, 84, 158, // Skip to: 40669
+/* 137 */     MCD_OPC_CheckField, 22, 2, 0, 78, 158, // Skip to: 40669
+/* 143 */     MCD_OPC_Decode, 63, 2, // Opcode: ADDWrx
+/* 146 */     MCD_OPC_FilterValue, 1, 131, 0, // Skip to: 281
+/* 150 */     MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 153 */     MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 192
+/* 157 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 160 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 168
+/* 164 */     MCD_OPC_Decode, 196, 14, 3, // Opcode: STNPWi
+/* 168 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 176
+/* 172 */     MCD_OPC_Decode, 176, 7, 3, // Opcode: LDNPWi
+/* 176 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 184
+/* 180 */     MCD_OPC_Decode, 208, 14, 3, // Opcode: STPWpost
+/* 184 */     MCD_OPC_FilterValue, 3, 33, 158, // Skip to: 40669
+/* 188 */     MCD_OPC_Decode, 191, 7, 3, // Opcode: LDPWpost
+/* 192 */     MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 231
+/* 196 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 199 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 207
+/* 203 */     MCD_OPC_Decode, 207, 14, 3, // Opcode: STPWi
+/* 207 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 215
+/* 211 */     MCD_OPC_Decode, 190, 7, 3, // Opcode: LDPWi
+/* 215 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 223
+/* 219 */     MCD_OPC_Decode, 209, 14, 3, // Opcode: STPWpre
+/* 223 */     MCD_OPC_FilterValue, 3, 250, 157, // Skip to: 40669
+/* 227 */     MCD_OPC_Decode, 192, 7, 3, // Opcode: LDPWpre
+/* 231 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 254
+/* 235 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 238 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 246
+/* 242 */     MCD_OPC_Decode, 135, 9, 1, // Opcode: ORRWrs
+/* 246 */     MCD_OPC_FilterValue, 1, 227, 157, // Skip to: 40669
+/* 250 */     MCD_OPC_Decode, 128, 9, 1, // Opcode: ORNWrs
+/* 254 */     MCD_OPC_FilterValue, 3, 219, 157, // Skip to: 40669
+/* 258 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 261 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 268
+/* 265 */     MCD_OPC_Decode, 48, 1, // Opcode: ADDSWrs
+/* 268 */     MCD_OPC_FilterValue, 1, 205, 157, // Skip to: 40669
+/* 272 */     MCD_OPC_CheckField, 22, 2, 0, 199, 157, // Skip to: 40669
+/* 278 */     MCD_OPC_Decode, 49, 2, // Opcode: ADDSWrx
+/* 281 */     MCD_OPC_FilterValue, 2, 136, 0, // Skip to: 421
+/* 285 */     MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 288 */     MCD_OPC_FilterValue, 0, 77, 0, // Skip to: 369
+/* 292 */     MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 295 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 318
+/* 299 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 302 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 310
+/* 306 */     MCD_OPC_Decode, 146, 15, 0, // Opcode: STXRH
+/* 310 */     MCD_OPC_FilterValue, 1, 163, 157, // Skip to: 40669
+/* 314 */     MCD_OPC_Decode, 190, 14, 0, // Opcode: STLXRH
+/* 318 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 341
+/* 322 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 325 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 333
+/* 329 */     MCD_OPC_Decode, 170, 8, 0, // Opcode: LDXRH
+/* 333 */     MCD_OPC_FilterValue, 1, 140, 157, // Skip to: 40669
+/* 337 */     MCD_OPC_Decode, 170, 7, 0, // Opcode: LDAXRH
+/* 341 */     MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 355
+/* 345 */     MCD_OPC_CheckField, 15, 1, 1, 126, 157, // Skip to: 40669
+/* 351 */     MCD_OPC_Decode, 184, 14, 0, // Opcode: STLRH
+/* 355 */     MCD_OPC_FilterValue, 6, 118, 157, // Skip to: 40669
+/* 359 */     MCD_OPC_CheckField, 15, 1, 1, 112, 157, // Skip to: 40669
+/* 365 */     MCD_OPC_Decode, 164, 7, 0, // Opcode: LDARH
+/* 369 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 392
+/* 373 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 376 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 384
+/* 380 */     MCD_OPC_Decode, 166, 2, 1, // Opcode: EORWrs
+/* 384 */     MCD_OPC_FilterValue, 1, 89, 157, // Skip to: 40669
+/* 388 */     MCD_OPC_Decode, 161, 2, 1, // Opcode: EONWrs
+/* 392 */     MCD_OPC_FilterValue, 3, 81, 157, // Skip to: 40669
+/* 396 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 399 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 407
+/* 403 */     MCD_OPC_Decode, 166, 15, 1, // Opcode: SUBWrs
+/* 407 */     MCD_OPC_FilterValue, 1, 66, 157, // Skip to: 40669
+/* 411 */     MCD_OPC_CheckField, 22, 2, 0, 60, 157, // Skip to: 40669
+/* 417 */     MCD_OPC_Decode, 167, 15, 2, // Opcode: SUBWrx
+/* 421 */     MCD_OPC_FilterValue, 3, 90, 0, // Skip to: 515
+/* 425 */     MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 428 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 442
+/* 432 */     MCD_OPC_CheckField, 22, 2, 3, 39, 157, // Skip to: 40669
+/* 438 */     MCD_OPC_Decode, 185, 7, 3, // Opcode: LDPSWpost
+/* 442 */     MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 465
+/* 446 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 449 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 457
+/* 453 */     MCD_OPC_Decode, 184, 7, 3, // Opcode: LDPSWi
+/* 457 */     MCD_OPC_FilterValue, 3, 16, 157, // Skip to: 40669
+/* 461 */     MCD_OPC_Decode, 186, 7, 3, // Opcode: LDPSWpre
+/* 465 */     MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 486
+/* 469 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 472 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 479
+/* 476 */     MCD_OPC_Decode, 87, 1, // Opcode: ANDSWrs
+/* 479 */     MCD_OPC_FilterValue, 1, 250, 156, // Skip to: 40669
+/* 483 */     MCD_OPC_Decode, 105, 1, // Opcode: BICSWrs
+/* 486 */     MCD_OPC_FilterValue, 3, 243, 156, // Skip to: 40669
+/* 490 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 493 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 501
+/* 497 */     MCD_OPC_Decode, 157, 15, 1, // Opcode: SUBSWrs
+/* 501 */     MCD_OPC_FilterValue, 1, 228, 156, // Skip to: 40669
+/* 505 */     MCD_OPC_CheckField, 22, 2, 0, 222, 156, // Skip to: 40669
+/* 511 */     MCD_OPC_Decode, 158, 15, 2, // Opcode: SUBSWrx
+/* 515 */     MCD_OPC_FilterValue, 4, 188, 0, // Skip to: 707
+/* 519 */     MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 522 */     MCD_OPC_FilterValue, 0, 123, 0, // Skip to: 649
+/* 526 */     MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 529 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 552
+/* 533 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 536 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 544
+/* 540 */     MCD_OPC_Decode, 147, 15, 0, // Opcode: STXRW
+/* 544 */     MCD_OPC_FilterValue, 1, 185, 156, // Skip to: 40669
+/* 548 */     MCD_OPC_Decode, 191, 14, 0, // Opcode: STLXRW
+/* 552 */     MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 575
+/* 556 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 559 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 567
+/* 563 */     MCD_OPC_Decode, 143, 15, 0, // Opcode: STXPW
+/* 567 */     MCD_OPC_FilterValue, 1, 162, 156, // Skip to: 40669
+/* 571 */     MCD_OPC_Decode, 187, 14, 0, // Opcode: STLXPW
+/* 575 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 598
+/* 579 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 582 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 590
+/* 586 */     MCD_OPC_Decode, 171, 8, 0, // Opcode: LDXRW
+/* 590 */     MCD_OPC_FilterValue, 1, 139, 156, // Skip to: 40669
+/* 594 */     MCD_OPC_Decode, 171, 7, 0, // Opcode: LDAXRW
+/* 598 */     MCD_OPC_FilterValue, 3, 19, 0, // Skip to: 621
+/* 602 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 605 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 613
+/* 609 */     MCD_OPC_Decode, 167, 8, 0, // Opcode: LDXPW
+/* 613 */     MCD_OPC_FilterValue, 1, 116, 156, // Skip to: 40669
+/* 617 */     MCD_OPC_Decode, 167, 7, 0, // Opcode: LDAXPW
+/* 621 */     MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 635
+/* 625 */     MCD_OPC_CheckField, 15, 1, 1, 102, 156, // Skip to: 40669
+/* 631 */     MCD_OPC_Decode, 185, 14, 0, // Opcode: STLRW
+/* 635 */     MCD_OPC_FilterValue, 6, 94, 156, // Skip to: 40669
+/* 639 */     MCD_OPC_CheckField, 15, 1, 1, 88, 156, // Skip to: 40669
+/* 645 */     MCD_OPC_Decode, 165, 7, 0, // Opcode: LDARW
+/* 649 */     MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 670
+/* 653 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 656 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 663
+/* 660 */     MCD_OPC_Decode, 96, 1, // Opcode: ANDXrs
+/* 663 */     MCD_OPC_FilterValue, 1, 66, 156, // Skip to: 40669
+/* 667 */     MCD_OPC_Decode, 111, 1, // Opcode: BICXrs
+/* 670 */     MCD_OPC_FilterValue, 3, 59, 156, // Skip to: 40669
+/* 674 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 677 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 684
+/* 681 */     MCD_OPC_Decode, 66, 1, // Opcode: ADDXrs
+/* 684 */     MCD_OPC_FilterValue, 1, 45, 156, // Skip to: 40669
+/* 688 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 691 */     MCD_OPC_FilterValue, 0, 38, 156, // Skip to: 40669
+/* 695 */     MCD_OPC_CheckField, 13, 2, 3, 3, 0, // Skip to: 704
+/* 701 */     MCD_OPC_Decode, 68, 2, // Opcode: ADDXrx64
+/* 704 */     MCD_OPC_Decode, 67, 2, // Opcode: ADDXrx
+/* 707 */     MCD_OPC_FilterValue, 5, 141, 0, // Skip to: 852
+/* 711 */     MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 714 */     MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 753
+/* 718 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 721 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 729
+/* 725 */     MCD_OPC_Decode, 197, 14, 3, // Opcode: STNPXi
+/* 729 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 737
+/* 733 */     MCD_OPC_Decode, 177, 7, 3, // Opcode: LDNPXi
+/* 737 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 745
+/* 741 */     MCD_OPC_Decode, 211, 14, 3, // Opcode: STPXpost
+/* 745 */     MCD_OPC_FilterValue, 3, 240, 155, // Skip to: 40669
+/* 749 */     MCD_OPC_Decode, 194, 7, 3, // Opcode: LDPXpost
+/* 753 */     MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 792
+/* 757 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 760 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 768
+/* 764 */     MCD_OPC_Decode, 210, 14, 3, // Opcode: STPXi
+/* 768 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 776
+/* 772 */     MCD_OPC_Decode, 193, 7, 3, // Opcode: LDPXi
+/* 776 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 784
+/* 780 */     MCD_OPC_Decode, 212, 14, 3, // Opcode: STPXpre
+/* 784 */     MCD_OPC_FilterValue, 3, 201, 155, // Skip to: 40669
+/* 788 */     MCD_OPC_Decode, 195, 7, 3, // Opcode: LDPXpre
+/* 792 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 815
+/* 796 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 799 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 807
+/* 803 */     MCD_OPC_Decode, 138, 9, 1, // Opcode: ORRXrs
+/* 807 */     MCD_OPC_FilterValue, 1, 178, 155, // Skip to: 40669
+/* 811 */     MCD_OPC_Decode, 130, 9, 1, // Opcode: ORNXrs
+/* 815 */     MCD_OPC_FilterValue, 3, 170, 155, // Skip to: 40669
+/* 819 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 822 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 829
+/* 826 */     MCD_OPC_Decode, 52, 1, // Opcode: ADDSXrs
+/* 829 */     MCD_OPC_FilterValue, 1, 156, 155, // Skip to: 40669
+/* 833 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 836 */     MCD_OPC_FilterValue, 0, 149, 155, // Skip to: 40669
+/* 840 */     MCD_OPC_CheckField, 13, 2, 3, 3, 0, // Skip to: 849
+/* 846 */     MCD_OPC_Decode, 54, 2, // Opcode: ADDSXrx64
+/* 849 */     MCD_OPC_Decode, 53, 2, // Opcode: ADDSXrx
+/* 852 */     MCD_OPC_FilterValue, 6, 193, 0, // Skip to: 1049
+/* 856 */     MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 859 */     MCD_OPC_FilterValue, 0, 123, 0, // Skip to: 986
+/* 863 */     MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 866 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 889
+/* 870 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 873 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 881
+/* 877 */     MCD_OPC_Decode, 148, 15, 0, // Opcode: STXRX
+/* 881 */     MCD_OPC_FilterValue, 1, 104, 155, // Skip to: 40669
+/* 885 */     MCD_OPC_Decode, 192, 14, 0, // Opcode: STLXRX
+/* 889 */     MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 912
+/* 893 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 896 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 904
+/* 900 */     MCD_OPC_Decode, 144, 15, 0, // Opcode: STXPX
+/* 904 */     MCD_OPC_FilterValue, 1, 81, 155, // Skip to: 40669
+/* 908 */     MCD_OPC_Decode, 188, 14, 0, // Opcode: STLXPX
+/* 912 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 935
+/* 916 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 919 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 927
+/* 923 */     MCD_OPC_Decode, 172, 8, 0, // Opcode: LDXRX
+/* 927 */     MCD_OPC_FilterValue, 1, 58, 155, // Skip to: 40669
+/* 931 */     MCD_OPC_Decode, 172, 7, 0, // Opcode: LDAXRX
+/* 935 */     MCD_OPC_FilterValue, 3, 19, 0, // Skip to: 958
+/* 939 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 942 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 950
+/* 946 */     MCD_OPC_Decode, 168, 8, 0, // Opcode: LDXPX
+/* 950 */     MCD_OPC_FilterValue, 1, 35, 155, // Skip to: 40669
+/* 954 */     MCD_OPC_Decode, 168, 7, 0, // Opcode: LDAXPX
+/* 958 */     MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 972
+/* 962 */     MCD_OPC_CheckField, 15, 1, 1, 21, 155, // Skip to: 40669
+/* 968 */     MCD_OPC_Decode, 186, 14, 0, // Opcode: STLRX
+/* 972 */     MCD_OPC_FilterValue, 6, 13, 155, // Skip to: 40669
+/* 976 */     MCD_OPC_CheckField, 15, 1, 1, 7, 155, // Skip to: 40669
+/* 982 */     MCD_OPC_Decode, 166, 7, 0, // Opcode: LDARX
+/* 986 */     MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 1009
+/* 990 */     MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 993 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1001
+/* 997 */     MCD_OPC_Decode, 169, 2, 1, // Opcode: EORXrs
+/* 1001 */    MCD_OPC_FilterValue, 1, 240, 154, // Skip to: 40669
+/* 1005 */    MCD_OPC_Decode, 163, 2, 1, // Opcode: EONXrs
+/* 1009 */    MCD_OPC_FilterValue, 3, 232, 154, // Skip to: 40669
+/* 1013 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 1016 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1024
+/* 1020 */    MCD_OPC_Decode, 170, 15, 1, // Opcode: SUBXrs
+/* 1024 */    MCD_OPC_FilterValue, 1, 217, 154, // Skip to: 40669
+/* 1028 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 1031 */    MCD_OPC_FilterValue, 0, 210, 154, // Skip to: 40669
+/* 1035 */    MCD_OPC_CheckField, 13, 2, 3, 4, 0, // Skip to: 1045
+/* 1041 */    MCD_OPC_Decode, 172, 15, 2, // Opcode: SUBXrx64
+/* 1045 */    MCD_OPC_Decode, 171, 15, 2, // Opcode: SUBXrx
+/* 1049 */    MCD_OPC_FilterValue, 7, 192, 154, // Skip to: 40669
+/* 1053 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 1056 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 1078
+/* 1060 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 1063 */    MCD_OPC_FilterValue, 2, 3, 0, // Skip to: 1070
+/* 1067 */    MCD_OPC_Decode, 90, 1, // Opcode: ANDSXrs
+/* 1070 */    MCD_OPC_FilterValue, 3, 171, 154, // Skip to: 40669
+/* 1074 */    MCD_OPC_Decode, 161, 15, 1, // Opcode: SUBSXrs
+/* 1078 */    MCD_OPC_FilterValue, 1, 163, 154, // Skip to: 40669
+/* 1082 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 1085 */    MCD_OPC_FilterValue, 2, 3, 0, // Skip to: 1092
+/* 1089 */    MCD_OPC_Decode, 107, 1, // Opcode: BICSXrs
+/* 1092 */    MCD_OPC_FilterValue, 3, 149, 154, // Skip to: 40669
+/* 1096 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 1099 */    MCD_OPC_FilterValue, 0, 142, 154, // Skip to: 40669
+/* 1103 */    MCD_OPC_CheckField, 13, 2, 3, 4, 0, // Skip to: 1113
+/* 1109 */    MCD_OPC_Decode, 163, 15, 2, // Opcode: SUBSXrx64
+/* 1113 */    MCD_OPC_Decode, 162, 15, 2, // Opcode: SUBSXrx
+/* 1117 */    MCD_OPC_FilterValue, 3, 234, 110, // Skip to: 29515
+/* 1121 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 1124 */    MCD_OPC_FilterValue, 0, 165, 2, // Skip to: 1805
+/* 1128 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 1131 */    MCD_OPC_FilterValue, 0, 47, 1, // Skip to: 1438
+/* 1135 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
+/* 1138 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1150
+/* 1142 */    MCD_OPC_CheckPredicate, 0, 99, 154, // Skip to: 40669
+/* 1146 */    MCD_OPC_Decode, 171, 14, 4, // Opcode: ST4Fourv8b
+/* 1150 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1162
+/* 1154 */    MCD_OPC_CheckPredicate, 0, 87, 154, // Skip to: 40669
+/* 1158 */    MCD_OPC_Decode, 167, 14, 4, // Opcode: ST4Fourv4h
+/* 1162 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1174
+/* 1166 */    MCD_OPC_CheckPredicate, 0, 75, 154, // Skip to: 40669
+/* 1170 */    MCD_OPC_Decode, 165, 14, 4, // Opcode: ST4Fourv2s
+/* 1174 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1186
+/* 1178 */    MCD_OPC_CheckPredicate, 0, 63, 154, // Skip to: 40669
+/* 1182 */    MCD_OPC_Decode, 185, 13, 4, // Opcode: ST1Fourv8b
+/* 1186 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1198
+/* 1190 */    MCD_OPC_CheckPredicate, 0, 51, 154, // Skip to: 40669
+/* 1194 */    MCD_OPC_Decode, 181, 13, 4, // Opcode: ST1Fourv4h
+/* 1198 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1210
+/* 1202 */    MCD_OPC_CheckPredicate, 0, 39, 154, // Skip to: 40669
+/* 1206 */    MCD_OPC_Decode, 179, 13, 4, // Opcode: ST1Fourv2s
+/* 1210 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1222
+/* 1214 */    MCD_OPC_CheckPredicate, 0, 27, 154, // Skip to: 40669
+/* 1218 */    MCD_OPC_Decode, 175, 13, 4, // Opcode: ST1Fourv1d
+/* 1222 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1234
+/* 1226 */    MCD_OPC_CheckPredicate, 0, 15, 154, // Skip to: 40669
+/* 1230 */    MCD_OPC_Decode, 149, 14, 5, // Opcode: ST3Threev8b
+/* 1234 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1246
+/* 1238 */    MCD_OPC_CheckPredicate, 0, 3, 154, // Skip to: 40669
+/* 1242 */    MCD_OPC_Decode, 145, 14, 5, // Opcode: ST3Threev4h
+/* 1246 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1258
+/* 1250 */    MCD_OPC_CheckPredicate, 0, 247, 153, // Skip to: 40669
+/* 1254 */    MCD_OPC_Decode, 143, 14, 5, // Opcode: ST3Threev2s
+/* 1258 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 1270
+/* 1262 */    MCD_OPC_CheckPredicate, 0, 235, 153, // Skip to: 40669
+/* 1266 */    MCD_OPC_Decode, 217, 13, 5, // Opcode: ST1Threev8b
+/* 1270 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 1282
+/* 1274 */    MCD_OPC_CheckPredicate, 0, 223, 153, // Skip to: 40669
+/* 1278 */    MCD_OPC_Decode, 213, 13, 5, // Opcode: ST1Threev4h
+/* 1282 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 1294
+/* 1286 */    MCD_OPC_CheckPredicate, 0, 211, 153, // Skip to: 40669
+/* 1290 */    MCD_OPC_Decode, 211, 13, 5, // Opcode: ST1Threev2s
+/* 1294 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 1306
+/* 1298 */    MCD_OPC_CheckPredicate, 0, 199, 153, // Skip to: 40669
+/* 1302 */    MCD_OPC_Decode, 207, 13, 5, // Opcode: ST1Threev1d
+/* 1306 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1318
+/* 1310 */    MCD_OPC_CheckPredicate, 0, 187, 153, // Skip to: 40669
+/* 1314 */    MCD_OPC_Decode, 201, 13, 6, // Opcode: ST1Onev8b
+/* 1318 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 1330
+/* 1322 */    MCD_OPC_CheckPredicate, 0, 175, 153, // Skip to: 40669
+/* 1326 */    MCD_OPC_Decode, 197, 13, 6, // Opcode: ST1Onev4h
+/* 1330 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 1342
+/* 1334 */    MCD_OPC_CheckPredicate, 0, 163, 153, // Skip to: 40669
+/* 1338 */    MCD_OPC_Decode, 195, 13, 6, // Opcode: ST1Onev2s
+/* 1342 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 1354
+/* 1346 */    MCD_OPC_CheckPredicate, 0, 151, 153, // Skip to: 40669
+/* 1350 */    MCD_OPC_Decode, 191, 13, 6, // Opcode: ST1Onev1d
+/* 1354 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 1366
+/* 1358 */    MCD_OPC_CheckPredicate, 0, 139, 153, // Skip to: 40669
+/* 1362 */    MCD_OPC_Decode, 255, 13, 7, // Opcode: ST2Twov8b
+/* 1366 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 1378
+/* 1370 */    MCD_OPC_CheckPredicate, 0, 127, 153, // Skip to: 40669
+/* 1374 */    MCD_OPC_Decode, 251, 13, 7, // Opcode: ST2Twov4h
+/* 1378 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 1390
+/* 1382 */    MCD_OPC_CheckPredicate, 0, 115, 153, // Skip to: 40669
+/* 1386 */    MCD_OPC_Decode, 249, 13, 7, // Opcode: ST2Twov2s
+/* 1390 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 1402
+/* 1394 */    MCD_OPC_CheckPredicate, 0, 103, 153, // Skip to: 40669
+/* 1398 */    MCD_OPC_Decode, 233, 13, 7, // Opcode: ST1Twov8b
+/* 1402 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 1414
+/* 1406 */    MCD_OPC_CheckPredicate, 0, 91, 153, // Skip to: 40669
+/* 1410 */    MCD_OPC_Decode, 229, 13, 7, // Opcode: ST1Twov4h
+/* 1414 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 1426
+/* 1418 */    MCD_OPC_CheckPredicate, 0, 79, 153, // Skip to: 40669
+/* 1422 */    MCD_OPC_Decode, 227, 13, 7, // Opcode: ST1Twov2s
+/* 1426 */    MCD_OPC_FilterValue, 43, 71, 153, // Skip to: 40669
+/* 1430 */    MCD_OPC_CheckPredicate, 0, 67, 153, // Skip to: 40669
+/* 1434 */    MCD_OPC_Decode, 223, 13, 7, // Opcode: ST1Twov1d
+/* 1438 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1446
+/* 1442 */    MCD_OPC_Decode, 195, 14, 3, // Opcode: STNPSi
+/* 1446 */    MCD_OPC_FilterValue, 2, 83, 1, // Skip to: 1789
+/* 1450 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
+/* 1453 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1465
+/* 1457 */    MCD_OPC_CheckPredicate, 0, 40, 153, // Skip to: 40669
+/* 1461 */    MCD_OPC_Decode, 161, 14, 8, // Opcode: ST4Fourv16b
+/* 1465 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1477
+/* 1469 */    MCD_OPC_CheckPredicate, 0, 28, 153, // Skip to: 40669
+/* 1473 */    MCD_OPC_Decode, 173, 14, 8, // Opcode: ST4Fourv8h
+/* 1477 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1489
+/* 1481 */    MCD_OPC_CheckPredicate, 0, 16, 153, // Skip to: 40669
+/* 1485 */    MCD_OPC_Decode, 169, 14, 8, // Opcode: ST4Fourv4s
+/* 1489 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1501
+/* 1493 */    MCD_OPC_CheckPredicate, 0, 4, 153, // Skip to: 40669
+/* 1497 */    MCD_OPC_Decode, 163, 14, 8, // Opcode: ST4Fourv2d
+/* 1501 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1513
+/* 1505 */    MCD_OPC_CheckPredicate, 0, 248, 152, // Skip to: 40669
+/* 1509 */    MCD_OPC_Decode, 173, 13, 8, // Opcode: ST1Fourv16b
+/* 1513 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1525
+/* 1517 */    MCD_OPC_CheckPredicate, 0, 236, 152, // Skip to: 40669
+/* 1521 */    MCD_OPC_Decode, 187, 13, 8, // Opcode: ST1Fourv8h
+/* 1525 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1537
+/* 1529 */    MCD_OPC_CheckPredicate, 0, 224, 152, // Skip to: 40669
+/* 1533 */    MCD_OPC_Decode, 183, 13, 8, // Opcode: ST1Fourv4s
+/* 1537 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1549
+/* 1541 */    MCD_OPC_CheckPredicate, 0, 212, 152, // Skip to: 40669
+/* 1545 */    MCD_OPC_Decode, 177, 13, 8, // Opcode: ST1Fourv2d
+/* 1549 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1561
+/* 1553 */    MCD_OPC_CheckPredicate, 0, 200, 152, // Skip to: 40669
+/* 1557 */    MCD_OPC_Decode, 139, 14, 9, // Opcode: ST3Threev16b
+/* 1561 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1573
+/* 1565 */    MCD_OPC_CheckPredicate, 0, 188, 152, // Skip to: 40669
+/* 1569 */    MCD_OPC_Decode, 151, 14, 9, // Opcode: ST3Threev8h
+/* 1573 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1585
+/* 1577 */    MCD_OPC_CheckPredicate, 0, 176, 152, // Skip to: 40669
+/* 1581 */    MCD_OPC_Decode, 147, 14, 9, // Opcode: ST3Threev4s
+/* 1585 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1597
+/* 1589 */    MCD_OPC_CheckPredicate, 0, 164, 152, // Skip to: 40669
+/* 1593 */    MCD_OPC_Decode, 141, 14, 9, // Opcode: ST3Threev2d
+/* 1597 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 1609
+/* 1601 */    MCD_OPC_CheckPredicate, 0, 152, 152, // Skip to: 40669
+/* 1605 */    MCD_OPC_Decode, 205, 13, 9, // Opcode: ST1Threev16b
+/* 1609 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 1621
+/* 1613 */    MCD_OPC_CheckPredicate, 0, 140, 152, // Skip to: 40669
+/* 1617 */    MCD_OPC_Decode, 219, 13, 9, // Opcode: ST1Threev8h
+/* 1621 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 1633
+/* 1625 */    MCD_OPC_CheckPredicate, 0, 128, 152, // Skip to: 40669
+/* 1629 */    MCD_OPC_Decode, 215, 13, 9, // Opcode: ST1Threev4s
+/* 1633 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 1645
+/* 1637 */    MCD_OPC_CheckPredicate, 0, 116, 152, // Skip to: 40669
+/* 1641 */    MCD_OPC_Decode, 209, 13, 9, // Opcode: ST1Threev2d
+/* 1645 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1657
+/* 1649 */    MCD_OPC_CheckPredicate, 0, 104, 152, // Skip to: 40669
+/* 1653 */    MCD_OPC_Decode, 189, 13, 10, // Opcode: ST1Onev16b
+/* 1657 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 1669
+/* 1661 */    MCD_OPC_CheckPredicate, 0, 92, 152, // Skip to: 40669
+/* 1665 */    MCD_OPC_Decode, 203, 13, 10, // Opcode: ST1Onev8h
+/* 1669 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 1681
+/* 1673 */    MCD_OPC_CheckPredicate, 0, 80, 152, // Skip to: 40669
+/* 1677 */    MCD_OPC_Decode, 199, 13, 10, // Opcode: ST1Onev4s
+/* 1681 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 1693
+/* 1685 */    MCD_OPC_CheckPredicate, 0, 68, 152, // Skip to: 40669
+/* 1689 */    MCD_OPC_Decode, 193, 13, 10, // Opcode: ST1Onev2d
+/* 1693 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 1705
+/* 1697 */    MCD_OPC_CheckPredicate, 0, 56, 152, // Skip to: 40669
+/* 1701 */    MCD_OPC_Decode, 245, 13, 11, // Opcode: ST2Twov16b
+/* 1705 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 1717
+/* 1709 */    MCD_OPC_CheckPredicate, 0, 44, 152, // Skip to: 40669
+/* 1713 */    MCD_OPC_Decode, 129, 14, 11, // Opcode: ST2Twov8h
+/* 1717 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 1729
+/* 1721 */    MCD_OPC_CheckPredicate, 0, 32, 152, // Skip to: 40669
+/* 1725 */    MCD_OPC_Decode, 253, 13, 11, // Opcode: ST2Twov4s
+/* 1729 */    MCD_OPC_FilterValue, 35, 8, 0, // Skip to: 1741
+/* 1733 */    MCD_OPC_CheckPredicate, 0, 20, 152, // Skip to: 40669
+/* 1737 */    MCD_OPC_Decode, 247, 13, 11, // Opcode: ST2Twov2d
+/* 1741 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 1753
+/* 1745 */    MCD_OPC_CheckPredicate, 0, 8, 152, // Skip to: 40669
+/* 1749 */    MCD_OPC_Decode, 221, 13, 11, // Opcode: ST1Twov16b
+/* 1753 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 1765
+/* 1757 */    MCD_OPC_CheckPredicate, 0, 252, 151, // Skip to: 40669
+/* 1761 */    MCD_OPC_Decode, 235, 13, 11, // Opcode: ST1Twov8h
+/* 1765 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 1777
+/* 1769 */    MCD_OPC_CheckPredicate, 0, 240, 151, // Skip to: 40669
+/* 1773 */    MCD_OPC_Decode, 231, 13, 11, // Opcode: ST1Twov4s
+/* 1777 */    MCD_OPC_FilterValue, 43, 232, 151, // Skip to: 40669
+/* 1781 */    MCD_OPC_CheckPredicate, 0, 228, 151, // Skip to: 40669
+/* 1785 */    MCD_OPC_Decode, 225, 13, 11, // Opcode: ST1Twov2d
+/* 1789 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 1797
+/* 1793 */    MCD_OPC_Decode, 193, 14, 3, // Opcode: STNPDi
+/* 1797 */    MCD_OPC_FilterValue, 5, 212, 151, // Skip to: 40669
+/* 1801 */    MCD_OPC_Decode, 194, 14, 3, // Opcode: STNPQi
+/* 1805 */    MCD_OPC_FilterValue, 1, 165, 2, // Skip to: 2486
+/* 1809 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 1812 */    MCD_OPC_FilterValue, 0, 47, 1, // Skip to: 2119
+/* 1816 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
+/* 1819 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1831
+/* 1823 */    MCD_OPC_CheckPredicate, 0, 186, 151, // Skip to: 40669
+/* 1827 */    MCD_OPC_Decode, 135, 7, 4, // Opcode: LD4Fourv8b
+/* 1831 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1843
+/* 1835 */    MCD_OPC_CheckPredicate, 0, 174, 151, // Skip to: 40669
+/* 1839 */    MCD_OPC_Decode, 131, 7, 4, // Opcode: LD4Fourv4h
+/* 1843 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1855
+/* 1847 */    MCD_OPC_CheckPredicate, 0, 162, 151, // Skip to: 40669
+/* 1851 */    MCD_OPC_Decode, 129, 7, 4, // Opcode: LD4Fourv2s
+/* 1855 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1867
+/* 1859 */    MCD_OPC_CheckPredicate, 0, 150, 151, // Skip to: 40669
+/* 1863 */    MCD_OPC_Decode, 229, 5, 4, // Opcode: LD1Fourv8b
+/* 1867 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1879
+/* 1871 */    MCD_OPC_CheckPredicate, 0, 138, 151, // Skip to: 40669
+/* 1875 */    MCD_OPC_Decode, 225, 5, 4, // Opcode: LD1Fourv4h
+/* 1879 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1891
+/* 1883 */    MCD_OPC_CheckPredicate, 0, 126, 151, // Skip to: 40669
+/* 1887 */    MCD_OPC_Decode, 223, 5, 4, // Opcode: LD1Fourv2s
+/* 1891 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1903
+/* 1895 */    MCD_OPC_CheckPredicate, 0, 114, 151, // Skip to: 40669
+/* 1899 */    MCD_OPC_Decode, 219, 5, 4, // Opcode: LD1Fourv1d
+/* 1903 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1915
+/* 1907 */    MCD_OPC_CheckPredicate, 0, 102, 151, // Skip to: 40669
+/* 1911 */    MCD_OPC_Decode, 241, 6, 5, // Opcode: LD3Threev8b
+/* 1915 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1927
+/* 1919 */    MCD_OPC_CheckPredicate, 0, 90, 151, // Skip to: 40669
+/* 1923 */    MCD_OPC_Decode, 237, 6, 5, // Opcode: LD3Threev4h
+/* 1927 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1939
+/* 1931 */    MCD_OPC_CheckPredicate, 0, 78, 151, // Skip to: 40669
+/* 1935 */    MCD_OPC_Decode, 235, 6, 5, // Opcode: LD3Threev2s
+/* 1939 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 1951
+/* 1943 */    MCD_OPC_CheckPredicate, 0, 66, 151, // Skip to: 40669
+/* 1947 */    MCD_OPC_Decode, 149, 6, 5, // Opcode: LD1Threev8b
+/* 1951 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 1963
+/* 1955 */    MCD_OPC_CheckPredicate, 0, 54, 151, // Skip to: 40669
+/* 1959 */    MCD_OPC_Decode, 145, 6, 5, // Opcode: LD1Threev4h
+/* 1963 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 1975
+/* 1967 */    MCD_OPC_CheckPredicate, 0, 42, 151, // Skip to: 40669
+/* 1971 */    MCD_OPC_Decode, 143, 6, 5, // Opcode: LD1Threev2s
+/* 1975 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 1987
+/* 1979 */    MCD_OPC_CheckPredicate, 0, 30, 151, // Skip to: 40669
+/* 1983 */    MCD_OPC_Decode, 139, 6, 5, // Opcode: LD1Threev1d
+/* 1987 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1999
+/* 1991 */    MCD_OPC_CheckPredicate, 0, 18, 151, // Skip to: 40669
+/* 1995 */    MCD_OPC_Decode, 245, 5, 6, // Opcode: LD1Onev8b
+/* 1999 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 2011
+/* 2003 */    MCD_OPC_CheckPredicate, 0, 6, 151, // Skip to: 40669
+/* 2007 */    MCD_OPC_Decode, 241, 5, 6, // Opcode: LD1Onev4h
+/* 2011 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 2023
+/* 2015 */    MCD_OPC_CheckPredicate, 0, 250, 150, // Skip to: 40669
+/* 2019 */    MCD_OPC_Decode, 239, 5, 6, // Opcode: LD1Onev2s
+/* 2023 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 2035
+/* 2027 */    MCD_OPC_CheckPredicate, 0, 238, 150, // Skip to: 40669
+/* 2031 */    MCD_OPC_Decode, 235, 5, 6, // Opcode: LD1Onev1d
+/* 2035 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 2047
+/* 2039 */    MCD_OPC_CheckPredicate, 0, 226, 150, // Skip to: 40669
+/* 2043 */    MCD_OPC_Decode, 203, 6, 7, // Opcode: LD2Twov8b
+/* 2047 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 2059
+/* 2051 */    MCD_OPC_CheckPredicate, 0, 214, 150, // Skip to: 40669
+/* 2055 */    MCD_OPC_Decode, 199, 6, 7, // Opcode: LD2Twov4h
+/* 2059 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 2071
+/* 2063 */    MCD_OPC_CheckPredicate, 0, 202, 150, // Skip to: 40669
+/* 2067 */    MCD_OPC_Decode, 197, 6, 7, // Opcode: LD2Twov2s
+/* 2071 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 2083
+/* 2075 */    MCD_OPC_CheckPredicate, 0, 190, 150, // Skip to: 40669
+/* 2079 */    MCD_OPC_Decode, 165, 6, 7, // Opcode: LD1Twov8b
+/* 2083 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 2095
+/* 2087 */    MCD_OPC_CheckPredicate, 0, 178, 150, // Skip to: 40669
+/* 2091 */    MCD_OPC_Decode, 161, 6, 7, // Opcode: LD1Twov4h
+/* 2095 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 2107
+/* 2099 */    MCD_OPC_CheckPredicate, 0, 166, 150, // Skip to: 40669
+/* 2103 */    MCD_OPC_Decode, 159, 6, 7, // Opcode: LD1Twov2s
+/* 2107 */    MCD_OPC_FilterValue, 43, 158, 150, // Skip to: 40669
+/* 2111 */    MCD_OPC_CheckPredicate, 0, 154, 150, // Skip to: 40669
+/* 2115 */    MCD_OPC_Decode, 155, 6, 7, // Opcode: LD1Twov1d
+/* 2119 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 2127
+/* 2123 */    MCD_OPC_Decode, 175, 7, 3, // Opcode: LDNPSi
+/* 2127 */    MCD_OPC_FilterValue, 2, 83, 1, // Skip to: 2470
+/* 2131 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
+/* 2134 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2146
+/* 2138 */    MCD_OPC_CheckPredicate, 0, 127, 150, // Skip to: 40669
+/* 2142 */    MCD_OPC_Decode, 253, 6, 8, // Opcode: LD4Fourv16b
+/* 2146 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2158
+/* 2150 */    MCD_OPC_CheckPredicate, 0, 115, 150, // Skip to: 40669
+/* 2154 */    MCD_OPC_Decode, 137, 7, 8, // Opcode: LD4Fourv8h
+/* 2158 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2170
+/* 2162 */    MCD_OPC_CheckPredicate, 0, 103, 150, // Skip to: 40669
+/* 2166 */    MCD_OPC_Decode, 133, 7, 8, // Opcode: LD4Fourv4s
+/* 2170 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2182
+/* 2174 */    MCD_OPC_CheckPredicate, 0, 91, 150, // Skip to: 40669
+/* 2178 */    MCD_OPC_Decode, 255, 6, 8, // Opcode: LD4Fourv2d
+/* 2182 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 2194
+/* 2186 */    MCD_OPC_CheckPredicate, 0, 79, 150, // Skip to: 40669
+/* 2190 */    MCD_OPC_Decode, 217, 5, 8, // Opcode: LD1Fourv16b
+/* 2194 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 2206
+/* 2198 */    MCD_OPC_CheckPredicate, 0, 67, 150, // Skip to: 40669
+/* 2202 */    MCD_OPC_Decode, 231, 5, 8, // Opcode: LD1Fourv8h
+/* 2206 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 2218
+/* 2210 */    MCD_OPC_CheckPredicate, 0, 55, 150, // Skip to: 40669
+/* 2214 */    MCD_OPC_Decode, 227, 5, 8, // Opcode: LD1Fourv4s
+/* 2218 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 2230
+/* 2222 */    MCD_OPC_CheckPredicate, 0, 43, 150, // Skip to: 40669
+/* 2226 */    MCD_OPC_Decode, 221, 5, 8, // Opcode: LD1Fourv2d
+/* 2230 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 2242
+/* 2234 */    MCD_OPC_CheckPredicate, 0, 31, 150, // Skip to: 40669
+/* 2238 */    MCD_OPC_Decode, 231, 6, 9, // Opcode: LD3Threev16b
+/* 2242 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 2254
+/* 2246 */    MCD_OPC_CheckPredicate, 0, 19, 150, // Skip to: 40669
+/* 2250 */    MCD_OPC_Decode, 243, 6, 9, // Opcode: LD3Threev8h
+/* 2254 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2266
+/* 2258 */    MCD_OPC_CheckPredicate, 0, 7, 150, // Skip to: 40669
+/* 2262 */    MCD_OPC_Decode, 239, 6, 9, // Opcode: LD3Threev4s
+/* 2266 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2278
+/* 2270 */    MCD_OPC_CheckPredicate, 0, 251, 149, // Skip to: 40669
+/* 2274 */    MCD_OPC_Decode, 233, 6, 9, // Opcode: LD3Threev2d
+/* 2278 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 2290
+/* 2282 */    MCD_OPC_CheckPredicate, 0, 239, 149, // Skip to: 40669
+/* 2286 */    MCD_OPC_Decode, 137, 6, 9, // Opcode: LD1Threev16b
+/* 2290 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 2302
+/* 2294 */    MCD_OPC_CheckPredicate, 0, 227, 149, // Skip to: 40669
+/* 2298 */    MCD_OPC_Decode, 151, 6, 9, // Opcode: LD1Threev8h
+/* 2302 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 2314
+/* 2306 */    MCD_OPC_CheckPredicate, 0, 215, 149, // Skip to: 40669
+/* 2310 */    MCD_OPC_Decode, 147, 6, 9, // Opcode: LD1Threev4s
+/* 2314 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 2326
+/* 2318 */    MCD_OPC_CheckPredicate, 0, 203, 149, // Skip to: 40669
+/* 2322 */    MCD_OPC_Decode, 141, 6, 9, // Opcode: LD1Threev2d
+/* 2326 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 2338
+/* 2330 */    MCD_OPC_CheckPredicate, 0, 191, 149, // Skip to: 40669
+/* 2334 */    MCD_OPC_Decode, 233, 5, 10, // Opcode: LD1Onev16b
+/* 2338 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 2350
+/* 2342 */    MCD_OPC_CheckPredicate, 0, 179, 149, // Skip to: 40669
+/* 2346 */    MCD_OPC_Decode, 247, 5, 10, // Opcode: LD1Onev8h
+/* 2350 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 2362
+/* 2354 */    MCD_OPC_CheckPredicate, 0, 167, 149, // Skip to: 40669
+/* 2358 */    MCD_OPC_Decode, 243, 5, 10, // Opcode: LD1Onev4s
+/* 2362 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 2374
+/* 2366 */    MCD_OPC_CheckPredicate, 0, 155, 149, // Skip to: 40669
+/* 2370 */    MCD_OPC_Decode, 237, 5, 10, // Opcode: LD1Onev2d
+/* 2374 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 2386
+/* 2378 */    MCD_OPC_CheckPredicate, 0, 143, 149, // Skip to: 40669
+/* 2382 */    MCD_OPC_Decode, 193, 6, 11, // Opcode: LD2Twov16b
+/* 2386 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 2398
+/* 2390 */    MCD_OPC_CheckPredicate, 0, 131, 149, // Skip to: 40669
+/* 2394 */    MCD_OPC_Decode, 205, 6, 11, // Opcode: LD2Twov8h
+/* 2398 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 2410
+/* 2402 */    MCD_OPC_CheckPredicate, 0, 119, 149, // Skip to: 40669
+/* 2406 */    MCD_OPC_Decode, 201, 6, 11, // Opcode: LD2Twov4s
+/* 2410 */    MCD_OPC_FilterValue, 35, 8, 0, // Skip to: 2422
+/* 2414 */    MCD_OPC_CheckPredicate, 0, 107, 149, // Skip to: 40669
+/* 2418 */    MCD_OPC_Decode, 195, 6, 11, // Opcode: LD2Twov2d
+/* 2422 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 2434
+/* 2426 */    MCD_OPC_CheckPredicate, 0, 95, 149, // Skip to: 40669
+/* 2430 */    MCD_OPC_Decode, 153, 6, 11, // Opcode: LD1Twov16b
+/* 2434 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 2446
+/* 2438 */    MCD_OPC_CheckPredicate, 0, 83, 149, // Skip to: 40669
+/* 2442 */    MCD_OPC_Decode, 167, 6, 11, // Opcode: LD1Twov8h
+/* 2446 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 2458
+/* 2450 */    MCD_OPC_CheckPredicate, 0, 71, 149, // Skip to: 40669
+/* 2454 */    MCD_OPC_Decode, 163, 6, 11, // Opcode: LD1Twov4s
+/* 2458 */    MCD_OPC_FilterValue, 43, 63, 149, // Skip to: 40669
+/* 2462 */    MCD_OPC_CheckPredicate, 0, 59, 149, // Skip to: 40669
+/* 2466 */    MCD_OPC_Decode, 157, 6, 11, // Opcode: LD1Twov2d
+/* 2470 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 2478
+/* 2474 */    MCD_OPC_Decode, 173, 7, 3, // Opcode: LDNPDi
+/* 2478 */    MCD_OPC_FilterValue, 5, 43, 149, // Skip to: 40669
+/* 2482 */    MCD_OPC_Decode, 174, 7, 3, // Opcode: LDNPQi
+/* 2486 */    MCD_OPC_FilterValue, 2, 227, 3, // Skip to: 3485
+/* 2490 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 2493 */    MCD_OPC_FilterValue, 0, 197, 1, // Skip to: 2950
+/* 2497 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
 /* 2500 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2518
-/* 2504 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2514
-/* 2510 */    MCD_OPC_Decode, 130, 3, 13, // Opcode: CMPxx_lsl
-/* 2514 */    MCD_OPC_Decode, 242, 17, 11, // Opcode: SUBSxxx_lsl
-/* 2518 */    MCD_OPC_FilterValue, 1, 92, 169, // Skip to: 45878
-/* 2522 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2525 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2543
-/* 2529 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2539
-/* 2535 */    MCD_OPC_Decode, 254, 2, 19, // Opcode: CMPxw_uxtb
-/* 2539 */    MCD_OPC_Decode, 238, 17, 20, // Opcode: SUBSxxw_uxtb
-/* 2543 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2561
-/* 2547 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2557
-/* 2553 */    MCD_OPC_Decode, 255, 2, 19, // Opcode: CMPxw_uxth
-/* 2557 */    MCD_OPC_Decode, 239, 17, 20, // Opcode: SUBSxxw_uxth
-/* 2561 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 2579
-/* 2565 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2575
-/* 2571 */    MCD_OPC_Decode, 128, 3, 19, // Opcode: CMPxw_uxtw
-/* 2575 */    MCD_OPC_Decode, 240, 17, 20, // Opcode: SUBSxxw_uxtw
-/* 2579 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 2597
-/* 2583 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2593
-/* 2589 */    MCD_OPC_Decode, 133, 3, 21, // Opcode: CMPxx_uxtx
-/* 2593 */    MCD_OPC_Decode, 245, 17, 22, // Opcode: SUBSxxx_uxtx
-/* 2597 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2615
-/* 2601 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2611
-/* 2607 */    MCD_OPC_Decode, 251, 2, 19, // Opcode: CMPxw_sxtb
-/* 2611 */    MCD_OPC_Decode, 235, 17, 20, // Opcode: SUBSxxw_sxtb
-/* 2615 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2633
-/* 2619 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2629
-/* 2625 */    MCD_OPC_Decode, 252, 2, 19, // Opcode: CMPxw_sxth
-/* 2629 */    MCD_OPC_Decode, 236, 17, 20, // Opcode: SUBSxxw_sxth
-/* 2633 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2651
-/* 2637 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2647
-/* 2643 */    MCD_OPC_Decode, 253, 2, 19, // Opcode: CMPxw_sxtw
-/* 2647 */    MCD_OPC_Decode, 237, 17, 20, // Opcode: SUBSxxw_sxtw
-/* 2651 */    MCD_OPC_FilterValue, 7, 215, 168, // Skip to: 45878
-/* 2655 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2665
-/* 2661 */    MCD_OPC_Decode, 132, 3, 21, // Opcode: CMPxx_sxtx
-/* 2665 */    MCD_OPC_Decode, 244, 17, 22, // Opcode: SUBSxxx_sxtx
-/* 2669 */    MCD_OPC_FilterValue, 13, 155, 0, // Skip to: 2828
-/* 2673 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 2676 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 2689
-/* 2680 */    MCD_OPC_CheckField, 21, 1, 0, 184, 168, // Skip to: 45878
-/* 2686 */    MCD_OPC_Decode, 88, 8, // Opcode: ADDwww_lsr
-/* 2689 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 2713
-/* 2693 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2696 */    MCD_OPC_FilterValue, 0, 170, 168, // Skip to: 45878
-/* 2700 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2710
-/* 2706 */    MCD_OPC_Decode, 220, 2, 10, // Opcode: CMNww_lsr
-/* 2710 */    MCD_OPC_Decode, 47, 8, // Opcode: ADDSwww_lsr
-/* 2713 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 2727
-/* 2717 */    MCD_OPC_CheckField, 21, 1, 0, 147, 168, // Skip to: 45878
-/* 2723 */    MCD_OPC_Decode, 134, 18, 8, // Opcode: SUBwww_lsr
-/* 2727 */    MCD_OPC_FilterValue, 3, 21, 0, // Skip to: 2752
-/* 2731 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2734 */    MCD_OPC_FilterValue, 0, 132, 168, // Skip to: 45878
-/* 2738 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2748
-/* 2744 */    MCD_OPC_Decode, 242, 2, 10, // Opcode: CMPww_lsr
-/* 2748 */    MCD_OPC_Decode, 226, 17, 8, // Opcode: SUBSwww_lsr
-/* 2752 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 2765
-/* 2756 */    MCD_OPC_CheckField, 21, 1, 0, 108, 168, // Skip to: 45878
-/* 2762 */    MCD_OPC_Decode, 111, 11, // Opcode: ADDxxx_lsr
-/* 2765 */    MCD_OPC_FilterValue, 5, 20, 0, // Skip to: 2789
-/* 2769 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2772 */    MCD_OPC_FilterValue, 0, 94, 168, // Skip to: 45878
-/* 2776 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2786
-/* 2782 */    MCD_OPC_Decode, 237, 2, 13, // Opcode: CMNxx_lsr
-/* 2786 */    MCD_OPC_Decode, 64, 11, // Opcode: ADDSxxx_lsr
-/* 2789 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 2803
-/* 2793 */    MCD_OPC_CheckField, 21, 1, 0, 71, 168, // Skip to: 45878
-/* 2799 */    MCD_OPC_Decode, 157, 18, 11, // Opcode: SUBxxx_lsr
-/* 2803 */    MCD_OPC_FilterValue, 7, 63, 168, // Skip to: 45878
-/* 2807 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2810 */    MCD_OPC_FilterValue, 0, 56, 168, // Skip to: 45878
-/* 2814 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2824
-/* 2820 */    MCD_OPC_Decode, 131, 3, 13, // Opcode: CMPxx_lsr
-/* 2824 */    MCD_OPC_Decode, 243, 17, 11, // Opcode: SUBSxxx_lsr
-/* 2828 */    MCD_OPC_FilterValue, 14, 38, 168, // Skip to: 45878
-/* 2832 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 2835 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 2848
-/* 2839 */    MCD_OPC_CheckField, 21, 1, 0, 25, 168, // Skip to: 45878
-/* 2845 */    MCD_OPC_Decode, 86, 8, // Opcode: ADDwww_asr
-/* 2848 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 2872
-/* 2852 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2855 */    MCD_OPC_FilterValue, 0, 11, 168, // Skip to: 45878
-/* 2859 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2869
-/* 2865 */    MCD_OPC_Decode, 218, 2, 10, // Opcode: CMNww_asr
-/* 2869 */    MCD_OPC_Decode, 45, 8, // Opcode: ADDSwww_asr
-/* 2872 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 2886
-/* 2876 */    MCD_OPC_CheckField, 21, 1, 0, 244, 167, // Skip to: 45878
-/* 2882 */    MCD_OPC_Decode, 132, 18, 8, // Opcode: SUBwww_asr
-/* 2886 */    MCD_OPC_FilterValue, 3, 21, 0, // Skip to: 2911
-/* 2890 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2893 */    MCD_OPC_FilterValue, 0, 229, 167, // Skip to: 45878
-/* 2897 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2907
-/* 2903 */    MCD_OPC_Decode, 240, 2, 10, // Opcode: CMPww_asr
-/* 2907 */    MCD_OPC_Decode, 224, 17, 8, // Opcode: SUBSwww_asr
-/* 2911 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 2924
-/* 2915 */    MCD_OPC_CheckField, 21, 1, 0, 205, 167, // Skip to: 45878
-/* 2921 */    MCD_OPC_Decode, 109, 11, // Opcode: ADDxxx_asr
-/* 2924 */    MCD_OPC_FilterValue, 5, 20, 0, // Skip to: 2948
-/* 2928 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2931 */    MCD_OPC_FilterValue, 0, 191, 167, // Skip to: 45878
-/* 2935 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2945
-/* 2941 */    MCD_OPC_Decode, 235, 2, 13, // Opcode: CMNxx_asr
-/* 2945 */    MCD_OPC_Decode, 62, 11, // Opcode: ADDSxxx_asr
-/* 2948 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 2962
-/* 2952 */    MCD_OPC_CheckField, 21, 1, 0, 168, 167, // Skip to: 45878
-/* 2958 */    MCD_OPC_Decode, 155, 18, 11, // Opcode: SUBxxx_asr
-/* 2962 */    MCD_OPC_FilterValue, 7, 160, 167, // Skip to: 45878
-/* 2966 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 2969 */    MCD_OPC_FilterValue, 0, 153, 167, // Skip to: 45878
-/* 2973 */    MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 2983
-/* 2979 */    MCD_OPC_Decode, 129, 3, 13, // Opcode: CMPxx_asr
-/* 2983 */    MCD_OPC_Decode, 241, 17, 11, // Opcode: SUBSxxx_asr
-/* 2987 */    MCD_OPC_FilterValue, 3, 42, 121, // Skip to: 34009
-/* 2991 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 2994 */    MCD_OPC_FilterValue, 0, 177, 2, // Skip to: 3687
-/* 2998 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 3001 */    MCD_OPC_FilterValue, 0, 47, 1, // Skip to: 3308
-/* 3005 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 3008 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3020
-/* 3012 */    MCD_OPC_CheckPredicate, 0, 110, 167, // Skip to: 45878
-/* 3016 */    MCD_OPC_Decode, 200, 17, 23, // Opcode: ST4_8B
-/* 3020 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3032
-/* 3024 */    MCD_OPC_CheckPredicate, 0, 98, 167, // Skip to: 45878
-/* 3028 */    MCD_OPC_Decode, 198, 17, 23, // Opcode: ST4_4H
-/* 3032 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3044
-/* 3036 */    MCD_OPC_CheckPredicate, 0, 86, 167, // Skip to: 45878
-/* 3040 */    MCD_OPC_Decode, 197, 17, 23, // Opcode: ST4_2S
-/* 3044 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3056
-/* 3048 */    MCD_OPC_CheckPredicate, 0, 74, 167, // Skip to: 45878
-/* 3052 */    MCD_OPC_Decode, 229, 16, 23, // Opcode: ST1x4_8B
-/* 3056 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3068
-/* 3060 */    MCD_OPC_CheckPredicate, 0, 62, 167, // Skip to: 45878
-/* 3064 */    MCD_OPC_Decode, 227, 16, 23, // Opcode: ST1x4_4H
-/* 3068 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3080
-/* 3072 */    MCD_OPC_CheckPredicate, 0, 50, 167, // Skip to: 45878
-/* 3076 */    MCD_OPC_Decode, 226, 16, 23, // Opcode: ST1x4_2S
-/* 3080 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3092
-/* 3084 */    MCD_OPC_CheckPredicate, 0, 38, 167, // Skip to: 45878
-/* 3088 */    MCD_OPC_Decode, 224, 16, 23, // Opcode: ST1x4_1D
-/* 3092 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3104
-/* 3096 */    MCD_OPC_CheckPredicate, 0, 26, 167, // Skip to: 45878
-/* 3100 */    MCD_OPC_Decode, 167, 17, 24, // Opcode: ST3_8B
-/* 3104 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3116
-/* 3108 */    MCD_OPC_CheckPredicate, 0, 14, 167, // Skip to: 45878
-/* 3112 */    MCD_OPC_Decode, 165, 17, 24, // Opcode: ST3_4H
-/* 3116 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3128
-/* 3120 */    MCD_OPC_CheckPredicate, 0, 2, 167, // Skip to: 45878
-/* 3124 */    MCD_OPC_Decode, 164, 17, 24, // Opcode: ST3_2S
-/* 3128 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 3140
-/* 3132 */    MCD_OPC_CheckPredicate, 0, 246, 166, // Skip to: 45878
-/* 3136 */    MCD_OPC_Decode, 205, 16, 24, // Opcode: ST1x3_8B
-/* 3140 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 3152
-/* 3144 */    MCD_OPC_CheckPredicate, 0, 234, 166, // Skip to: 45878
-/* 3148 */    MCD_OPC_Decode, 203, 16, 24, // Opcode: ST1x3_4H
-/* 3152 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3164
-/* 3156 */    MCD_OPC_CheckPredicate, 0, 222, 166, // Skip to: 45878
-/* 3160 */    MCD_OPC_Decode, 202, 16, 24, // Opcode: ST1x3_2S
-/* 3164 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3176
-/* 3168 */    MCD_OPC_CheckPredicate, 0, 210, 166, // Skip to: 45878
-/* 3172 */    MCD_OPC_Decode, 200, 16, 24, // Opcode: ST1x3_1D
-/* 3176 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3188
-/* 3180 */    MCD_OPC_CheckPredicate, 0, 198, 166, // Skip to: 45878
-/* 3184 */    MCD_OPC_Decode, 157, 16, 25, // Opcode: ST1_8B
-/* 3188 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3200
-/* 3192 */    MCD_OPC_CheckPredicate, 0, 186, 166, // Skip to: 45878
-/* 3196 */    MCD_OPC_Decode, 155, 16, 25, // Opcode: ST1_4H
-/* 3200 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3212
-/* 3204 */    MCD_OPC_CheckPredicate, 0, 174, 166, // Skip to: 45878
-/* 3208 */    MCD_OPC_Decode, 154, 16, 25, // Opcode: ST1_2S
-/* 3212 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 3224
-/* 3216 */    MCD_OPC_CheckPredicate, 0, 162, 166, // Skip to: 45878
-/* 3220 */    MCD_OPC_Decode, 152, 16, 25, // Opcode: ST1_1D
-/* 3224 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3236
-/* 3228 */    MCD_OPC_CheckPredicate, 0, 150, 166, // Skip to: 45878
-/* 3232 */    MCD_OPC_Decode, 134, 17, 26, // Opcode: ST2_8B
-/* 3236 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3248
-/* 3240 */    MCD_OPC_CheckPredicate, 0, 138, 166, // Skip to: 45878
-/* 3244 */    MCD_OPC_Decode, 132, 17, 26, // Opcode: ST2_4H
-/* 3248 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 3260
-/* 3252 */    MCD_OPC_CheckPredicate, 0, 126, 166, // Skip to: 45878
-/* 3256 */    MCD_OPC_Decode, 131, 17, 26, // Opcode: ST2_2S
-/* 3260 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3272
-/* 3264 */    MCD_OPC_CheckPredicate, 0, 114, 166, // Skip to: 45878
-/* 3268 */    MCD_OPC_Decode, 181, 16, 26, // Opcode: ST1x2_8B
-/* 3272 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3284
-/* 3276 */    MCD_OPC_CheckPredicate, 0, 102, 166, // Skip to: 45878
-/* 3280 */    MCD_OPC_Decode, 179, 16, 26, // Opcode: ST1x2_4H
-/* 3284 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 3296
-/* 3288 */    MCD_OPC_CheckPredicate, 0, 90, 166, // Skip to: 45878
-/* 3292 */    MCD_OPC_Decode, 178, 16, 26, // Opcode: ST1x2_2S
-/* 3296 */    MCD_OPC_FilterValue, 43, 82, 166, // Skip to: 45878
-/* 3300 */    MCD_OPC_CheckPredicate, 0, 78, 166, // Skip to: 45878
-/* 3304 */    MCD_OPC_Decode, 176, 16, 26, // Opcode: ST1x2_1D
-/* 3308 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3320
-/* 3312 */    MCD_OPC_CheckPredicate, 1, 66, 166, // Skip to: 45878
-/* 3316 */    MCD_OPC_Decode, 201, 10, 1, // Opcode: LSFPPair32_NonTemp_STR
-/* 3320 */    MCD_OPC_FilterValue, 2, 83, 1, // Skip to: 3663
-/* 3324 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 3327 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3339
-/* 3331 */    MCD_OPC_CheckPredicate, 0, 47, 166, // Skip to: 45878
-/* 3335 */    MCD_OPC_Decode, 195, 17, 27, // Opcode: ST4_16B
-/* 3339 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3351
-/* 3343 */    MCD_OPC_CheckPredicate, 0, 35, 166, // Skip to: 45878
-/* 3347 */    MCD_OPC_Decode, 201, 17, 27, // Opcode: ST4_8H
-/* 3351 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3363
-/* 3355 */    MCD_OPC_CheckPredicate, 0, 23, 166, // Skip to: 45878
-/* 3359 */    MCD_OPC_Decode, 199, 17, 27, // Opcode: ST4_4S
-/* 3363 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3375
-/* 3367 */    MCD_OPC_CheckPredicate, 0, 11, 166, // Skip to: 45878
-/* 3371 */    MCD_OPC_Decode, 196, 17, 27, // Opcode: ST4_2D
-/* 3375 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3387
-/* 3379 */    MCD_OPC_CheckPredicate, 0, 255, 165, // Skip to: 45878
-/* 3383 */    MCD_OPC_Decode, 223, 16, 27, // Opcode: ST1x4_16B
-/* 3387 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3399
-/* 3391 */    MCD_OPC_CheckPredicate, 0, 243, 165, // Skip to: 45878
-/* 3395 */    MCD_OPC_Decode, 230, 16, 27, // Opcode: ST1x4_8H
-/* 3399 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3411
-/* 3403 */    MCD_OPC_CheckPredicate, 0, 231, 165, // Skip to: 45878
-/* 3407 */    MCD_OPC_Decode, 228, 16, 27, // Opcode: ST1x4_4S
-/* 3411 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3423
-/* 3415 */    MCD_OPC_CheckPredicate, 0, 219, 165, // Skip to: 45878
-/* 3419 */    MCD_OPC_Decode, 225, 16, 27, // Opcode: ST1x4_2D
-/* 3423 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3435
-/* 3427 */    MCD_OPC_CheckPredicate, 0, 207, 165, // Skip to: 45878
-/* 3431 */    MCD_OPC_Decode, 162, 17, 28, // Opcode: ST3_16B
-/* 3435 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3447
-/* 3439 */    MCD_OPC_CheckPredicate, 0, 195, 165, // Skip to: 45878
-/* 3443 */    MCD_OPC_Decode, 168, 17, 28, // Opcode: ST3_8H
-/* 3447 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3459
-/* 3451 */    MCD_OPC_CheckPredicate, 0, 183, 165, // Skip to: 45878
-/* 3455 */    MCD_OPC_Decode, 166, 17, 28, // Opcode: ST3_4S
-/* 3459 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3471
-/* 3463 */    MCD_OPC_CheckPredicate, 0, 171, 165, // Skip to: 45878
-/* 3467 */    MCD_OPC_Decode, 163, 17, 28, // Opcode: ST3_2D
-/* 3471 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 3483
-/* 3475 */    MCD_OPC_CheckPredicate, 0, 159, 165, // Skip to: 45878
-/* 3479 */    MCD_OPC_Decode, 199, 16, 28, // Opcode: ST1x3_16B
-/* 3483 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 3495
-/* 3487 */    MCD_OPC_CheckPredicate, 0, 147, 165, // Skip to: 45878
-/* 3491 */    MCD_OPC_Decode, 206, 16, 28, // Opcode: ST1x3_8H
-/* 3495 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3507
-/* 3499 */    MCD_OPC_CheckPredicate, 0, 135, 165, // Skip to: 45878
-/* 3503 */    MCD_OPC_Decode, 204, 16, 28, // Opcode: ST1x3_4S
-/* 3507 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3519
-/* 3511 */    MCD_OPC_CheckPredicate, 0, 123, 165, // Skip to: 45878
-/* 3515 */    MCD_OPC_Decode, 201, 16, 28, // Opcode: ST1x3_2D
-/* 3519 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3531
-/* 3523 */    MCD_OPC_CheckPredicate, 0, 111, 165, // Skip to: 45878
-/* 3527 */    MCD_OPC_Decode, 151, 16, 29, // Opcode: ST1_16B
-/* 3531 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3543
-/* 3535 */    MCD_OPC_CheckPredicate, 0, 99, 165, // Skip to: 45878
-/* 3539 */    MCD_OPC_Decode, 158, 16, 29, // Opcode: ST1_8H
-/* 3543 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3555
-/* 3547 */    MCD_OPC_CheckPredicate, 0, 87, 165, // Skip to: 45878
-/* 3551 */    MCD_OPC_Decode, 156, 16, 29, // Opcode: ST1_4S
-/* 3555 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 3567
-/* 3559 */    MCD_OPC_CheckPredicate, 0, 75, 165, // Skip to: 45878
-/* 3563 */    MCD_OPC_Decode, 153, 16, 29, // Opcode: ST1_2D
-/* 3567 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3579
-/* 3571 */    MCD_OPC_CheckPredicate, 0, 63, 165, // Skip to: 45878
-/* 3575 */    MCD_OPC_Decode, 129, 17, 30, // Opcode: ST2_16B
-/* 3579 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3591
-/* 3583 */    MCD_OPC_CheckPredicate, 0, 51, 165, // Skip to: 45878
-/* 3587 */    MCD_OPC_Decode, 135, 17, 30, // Opcode: ST2_8H
-/* 3591 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 3603
-/* 3595 */    MCD_OPC_CheckPredicate, 0, 39, 165, // Skip to: 45878
-/* 3599 */    MCD_OPC_Decode, 133, 17, 30, // Opcode: ST2_4S
-/* 3603 */    MCD_OPC_FilterValue, 35, 8, 0, // Skip to: 3615
-/* 3607 */    MCD_OPC_CheckPredicate, 0, 27, 165, // Skip to: 45878
-/* 3611 */    MCD_OPC_Decode, 130, 17, 30, // Opcode: ST2_2D
-/* 3615 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3627
-/* 3619 */    MCD_OPC_CheckPredicate, 0, 15, 165, // Skip to: 45878
-/* 3623 */    MCD_OPC_Decode, 175, 16, 30, // Opcode: ST1x2_16B
-/* 3627 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3639
-/* 3631 */    MCD_OPC_CheckPredicate, 0, 3, 165, // Skip to: 45878
-/* 3635 */    MCD_OPC_Decode, 182, 16, 30, // Opcode: ST1x2_8H
-/* 3639 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 3651
-/* 3643 */    MCD_OPC_CheckPredicate, 0, 247, 164, // Skip to: 45878
-/* 3647 */    MCD_OPC_Decode, 180, 16, 30, // Opcode: ST1x2_4S
-/* 3651 */    MCD_OPC_FilterValue, 43, 239, 164, // Skip to: 45878
-/* 3655 */    MCD_OPC_CheckPredicate, 0, 235, 164, // Skip to: 45878
-/* 3659 */    MCD_OPC_Decode, 177, 16, 30, // Opcode: ST1x2_2D
-/* 3663 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3675
-/* 3667 */    MCD_OPC_CheckPredicate, 1, 223, 164, // Skip to: 45878
-/* 3671 */    MCD_OPC_Decode, 209, 10, 1, // Opcode: LSFPPair64_NonTemp_STR
-/* 3675 */    MCD_OPC_FilterValue, 5, 215, 164, // Skip to: 45878
-/* 3679 */    MCD_OPC_CheckPredicate, 1, 211, 164, // Skip to: 45878
-/* 3683 */    MCD_OPC_Decode, 193, 10, 1, // Opcode: LSFPPair128_NonTemp_STR
-/* 3687 */    MCD_OPC_FilterValue, 1, 177, 2, // Skip to: 4380
-/* 3691 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 3694 */    MCD_OPC_FilterValue, 0, 47, 1, // Skip to: 4001
-/* 3698 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 3701 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3713
-/* 3705 */    MCD_OPC_CheckPredicate, 0, 185, 164, // Skip to: 45878
-/* 3709 */    MCD_OPC_Decode, 141, 9, 31, // Opcode: LD4_8B
-/* 3713 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3725
-/* 3717 */    MCD_OPC_CheckPredicate, 0, 173, 164, // Skip to: 45878
-/* 3721 */    MCD_OPC_Decode, 139, 9, 31, // Opcode: LD4_4H
-/* 3725 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3737
-/* 3729 */    MCD_OPC_CheckPredicate, 0, 161, 164, // Skip to: 45878
-/* 3733 */    MCD_OPC_Decode, 138, 9, 31, // Opcode: LD4_2S
-/* 3737 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3749
-/* 3741 */    MCD_OPC_CheckPredicate, 0, 149, 164, // Skip to: 45878
-/* 3745 */    MCD_OPC_Decode, 226, 7, 31, // Opcode: LD1x4_8B
-/* 3749 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3761
-/* 3753 */    MCD_OPC_CheckPredicate, 0, 137, 164, // Skip to: 45878
-/* 3757 */    MCD_OPC_Decode, 224, 7, 31, // Opcode: LD1x4_4H
-/* 3761 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3773
-/* 3765 */    MCD_OPC_CheckPredicate, 0, 125, 164, // Skip to: 45878
-/* 3769 */    MCD_OPC_Decode, 223, 7, 31, // Opcode: LD1x4_2S
-/* 3773 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3785
-/* 3777 */    MCD_OPC_CheckPredicate, 0, 113, 164, // Skip to: 45878
-/* 3781 */    MCD_OPC_Decode, 221, 7, 31, // Opcode: LD1x4_1D
-/* 3785 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3797
-/* 3789 */    MCD_OPC_CheckPredicate, 0, 101, 164, // Skip to: 45878
-/* 3793 */    MCD_OPC_Decode, 212, 8, 32, // Opcode: LD3_8B
-/* 3797 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3809
-/* 3801 */    MCD_OPC_CheckPredicate, 0, 89, 164, // Skip to: 45878
-/* 3805 */    MCD_OPC_Decode, 210, 8, 32, // Opcode: LD3_4H
-/* 3809 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3821
-/* 3813 */    MCD_OPC_CheckPredicate, 0, 77, 164, // Skip to: 45878
-/* 3817 */    MCD_OPC_Decode, 209, 8, 32, // Opcode: LD3_2S
-/* 3821 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 3833
-/* 3825 */    MCD_OPC_CheckPredicate, 0, 65, 164, // Skip to: 45878
-/* 3829 */    MCD_OPC_Decode, 202, 7, 32, // Opcode: LD1x3_8B
-/* 3833 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 3845
-/* 3837 */    MCD_OPC_CheckPredicate, 0, 53, 164, // Skip to: 45878
-/* 3841 */    MCD_OPC_Decode, 200, 7, 32, // Opcode: LD1x3_4H
-/* 3845 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3857
-/* 3849 */    MCD_OPC_CheckPredicate, 0, 41, 164, // Skip to: 45878
-/* 3853 */    MCD_OPC_Decode, 199, 7, 32, // Opcode: LD1x3_2S
-/* 3857 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3869
-/* 3861 */    MCD_OPC_CheckPredicate, 0, 29, 164, // Skip to: 45878
-/* 3865 */    MCD_OPC_Decode, 197, 7, 32, // Opcode: LD1x3_1D
-/* 3869 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3881
-/* 3873 */    MCD_OPC_CheckPredicate, 0, 17, 164, // Skip to: 45878
-/* 3877 */    MCD_OPC_Decode, 154, 7, 33, // Opcode: LD1_8B
-/* 3881 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3893
-/* 3885 */    MCD_OPC_CheckPredicate, 0, 5, 164, // Skip to: 45878
-/* 3889 */    MCD_OPC_Decode, 152, 7, 33, // Opcode: LD1_4H
-/* 3893 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3905
-/* 3897 */    MCD_OPC_CheckPredicate, 0, 249, 163, // Skip to: 45878
-/* 3901 */    MCD_OPC_Decode, 151, 7, 33, // Opcode: LD1_2S
-/* 3905 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 3917
-/* 3909 */    MCD_OPC_CheckPredicate, 0, 237, 163, // Skip to: 45878
-/* 3913 */    MCD_OPC_Decode, 149, 7, 33, // Opcode: LD1_1D
-/* 3917 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3929
-/* 3921 */    MCD_OPC_CheckPredicate, 0, 225, 163, // Skip to: 45878
-/* 3925 */    MCD_OPC_Decode, 155, 8, 34, // Opcode: LD2_8B
-/* 3929 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3941
-/* 3933 */    MCD_OPC_CheckPredicate, 0, 213, 163, // Skip to: 45878
-/* 3937 */    MCD_OPC_Decode, 153, 8, 34, // Opcode: LD2_4H
-/* 3941 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 3953
-/* 3945 */    MCD_OPC_CheckPredicate, 0, 201, 163, // Skip to: 45878
-/* 3949 */    MCD_OPC_Decode, 152, 8, 34, // Opcode: LD2_2S
-/* 3953 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3965
-/* 3957 */    MCD_OPC_CheckPredicate, 0, 189, 163, // Skip to: 45878
-/* 3961 */    MCD_OPC_Decode, 178, 7, 34, // Opcode: LD1x2_8B
-/* 3965 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3977
-/* 3969 */    MCD_OPC_CheckPredicate, 0, 177, 163, // Skip to: 45878
-/* 3973 */    MCD_OPC_Decode, 176, 7, 34, // Opcode: LD1x2_4H
-/* 3977 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 3989
-/* 3981 */    MCD_OPC_CheckPredicate, 0, 165, 163, // Skip to: 45878
-/* 3985 */    MCD_OPC_Decode, 175, 7, 34, // Opcode: LD1x2_2S
-/* 3989 */    MCD_OPC_FilterValue, 43, 157, 163, // Skip to: 45878
-/* 3993 */    MCD_OPC_CheckPredicate, 0, 153, 163, // Skip to: 45878
-/* 3997 */    MCD_OPC_Decode, 173, 7, 34, // Opcode: LD1x2_1D
-/* 4001 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4013
-/* 4005 */    MCD_OPC_CheckPredicate, 1, 141, 163, // Skip to: 45878
-/* 4009 */    MCD_OPC_Decode, 200, 10, 1, // Opcode: LSFPPair32_NonTemp_LDR
-/* 4013 */    MCD_OPC_FilterValue, 2, 83, 1, // Skip to: 4356
-/* 4017 */    MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 4020 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4032
-/* 4024 */    MCD_OPC_CheckPredicate, 0, 122, 163, // Skip to: 45878
-/* 4028 */    MCD_OPC_Decode, 136, 9, 35, // Opcode: LD4_16B
-/* 4032 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4044
-/* 4036 */    MCD_OPC_CheckPredicate, 0, 110, 163, // Skip to: 45878
-/* 4040 */    MCD_OPC_Decode, 142, 9, 35, // Opcode: LD4_8H
-/* 4044 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4056
-/* 4048 */    MCD_OPC_CheckPredicate, 0, 98, 163, // Skip to: 45878
-/* 4052 */    MCD_OPC_Decode, 140, 9, 35, // Opcode: LD4_4S
-/* 4056 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4068
-/* 4060 */    MCD_OPC_CheckPredicate, 0, 86, 163, // Skip to: 45878
-/* 4064 */    MCD_OPC_Decode, 137, 9, 35, // Opcode: LD4_2D
-/* 4068 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4080
-/* 4072 */    MCD_OPC_CheckPredicate, 0, 74, 163, // Skip to: 45878
-/* 4076 */    MCD_OPC_Decode, 220, 7, 35, // Opcode: LD1x4_16B
-/* 4080 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4092
-/* 4084 */    MCD_OPC_CheckPredicate, 0, 62, 163, // Skip to: 45878
-/* 4088 */    MCD_OPC_Decode, 227, 7, 35, // Opcode: LD1x4_8H
-/* 4092 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4104
-/* 4096 */    MCD_OPC_CheckPredicate, 0, 50, 163, // Skip to: 45878
-/* 4100 */    MCD_OPC_Decode, 225, 7, 35, // Opcode: LD1x4_4S
-/* 4104 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 4116
-/* 4108 */    MCD_OPC_CheckPredicate, 0, 38, 163, // Skip to: 45878
-/* 4112 */    MCD_OPC_Decode, 222, 7, 35, // Opcode: LD1x4_2D
-/* 4116 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 4128
-/* 4120 */    MCD_OPC_CheckPredicate, 0, 26, 163, // Skip to: 45878
-/* 4124 */    MCD_OPC_Decode, 207, 8, 36, // Opcode: LD3_16B
-/* 4128 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 4140
-/* 4132 */    MCD_OPC_CheckPredicate, 0, 14, 163, // Skip to: 45878
-/* 4136 */    MCD_OPC_Decode, 213, 8, 36, // Opcode: LD3_8H
-/* 4140 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 4152
-/* 4144 */    MCD_OPC_CheckPredicate, 0, 2, 163, // Skip to: 45878
-/* 4148 */    MCD_OPC_Decode, 211, 8, 36, // Opcode: LD3_4S
-/* 4152 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 4164
-/* 4156 */    MCD_OPC_CheckPredicate, 0, 246, 162, // Skip to: 45878
-/* 4160 */    MCD_OPC_Decode, 208, 8, 36, // Opcode: LD3_2D
-/* 4164 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 4176
-/* 4168 */    MCD_OPC_CheckPredicate, 0, 234, 162, // Skip to: 45878
-/* 4172 */    MCD_OPC_Decode, 196, 7, 36, // Opcode: LD1x3_16B
-/* 4176 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4188
-/* 4180 */    MCD_OPC_CheckPredicate, 0, 222, 162, // Skip to: 45878
-/* 4184 */    MCD_OPC_Decode, 203, 7, 36, // Opcode: LD1x3_8H
-/* 4188 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4200
-/* 4192 */    MCD_OPC_CheckPredicate, 0, 210, 162, // Skip to: 45878
-/* 4196 */    MCD_OPC_Decode, 201, 7, 36, // Opcode: LD1x3_4S
-/* 4200 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 4212
-/* 4204 */    MCD_OPC_CheckPredicate, 0, 198, 162, // Skip to: 45878
-/* 4208 */    MCD_OPC_Decode, 198, 7, 36, // Opcode: LD1x3_2D
-/* 4212 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 4224
-/* 4216 */    MCD_OPC_CheckPredicate, 0, 186, 162, // Skip to: 45878
-/* 4220 */    MCD_OPC_Decode, 148, 7, 37, // Opcode: LD1_16B
-/* 4224 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 4236
-/* 4228 */    MCD_OPC_CheckPredicate, 0, 174, 162, // Skip to: 45878
-/* 4232 */    MCD_OPC_Decode, 155, 7, 37, // Opcode: LD1_8H
-/* 4236 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 4248
-/* 4240 */    MCD_OPC_CheckPredicate, 0, 162, 162, // Skip to: 45878
-/* 4244 */    MCD_OPC_Decode, 153, 7, 37, // Opcode: LD1_4S
-/* 4248 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 4260
-/* 4252 */    MCD_OPC_CheckPredicate, 0, 150, 162, // Skip to: 45878
-/* 4256 */    MCD_OPC_Decode, 150, 7, 37, // Opcode: LD1_2D
-/* 4260 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 4272
-/* 4264 */    MCD_OPC_CheckPredicate, 0, 138, 162, // Skip to: 45878
-/* 4268 */    MCD_OPC_Decode, 150, 8, 38, // Opcode: LD2_16B
-/* 4272 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 4284
-/* 4276 */    MCD_OPC_CheckPredicate, 0, 126, 162, // Skip to: 45878
-/* 4280 */    MCD_OPC_Decode, 156, 8, 38, // Opcode: LD2_8H
-/* 4284 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 4296
-/* 4288 */    MCD_OPC_CheckPredicate, 0, 114, 162, // Skip to: 45878
-/* 4292 */    MCD_OPC_Decode, 154, 8, 38, // Opcode: LD2_4S
-/* 4296 */    MCD_OPC_FilterValue, 35, 8, 0, // Skip to: 4308
-/* 4300 */    MCD_OPC_CheckPredicate, 0, 102, 162, // Skip to: 45878
-/* 4304 */    MCD_OPC_Decode, 151, 8, 38, // Opcode: LD2_2D
-/* 4308 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 4320
-/* 4312 */    MCD_OPC_CheckPredicate, 0, 90, 162, // Skip to: 45878
-/* 4316 */    MCD_OPC_Decode, 172, 7, 38, // Opcode: LD1x2_16B
-/* 4320 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 4332
-/* 4324 */    MCD_OPC_CheckPredicate, 0, 78, 162, // Skip to: 45878
-/* 4328 */    MCD_OPC_Decode, 179, 7, 38, // Opcode: LD1x2_8H
-/* 4332 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 4344
-/* 4336 */    MCD_OPC_CheckPredicate, 0, 66, 162, // Skip to: 45878
-/* 4340 */    MCD_OPC_Decode, 177, 7, 38, // Opcode: LD1x2_4S
-/* 4344 */    MCD_OPC_FilterValue, 43, 58, 162, // Skip to: 45878
-/* 4348 */    MCD_OPC_CheckPredicate, 0, 54, 162, // Skip to: 45878
-/* 4352 */    MCD_OPC_Decode, 174, 7, 38, // Opcode: LD1x2_2D
-/* 4356 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4368
-/* 4360 */    MCD_OPC_CheckPredicate, 1, 42, 162, // Skip to: 45878
-/* 4364 */    MCD_OPC_Decode, 208, 10, 1, // Opcode: LSFPPair64_NonTemp_LDR
-/* 4368 */    MCD_OPC_FilterValue, 5, 34, 162, // Skip to: 45878
-/* 4372 */    MCD_OPC_CheckPredicate, 1, 30, 162, // Skip to: 45878
-/* 4376 */    MCD_OPC_Decode, 192, 10, 1, // Opcode: LSFPPair128_NonTemp_LDR
-/* 4380 */    MCD_OPC_FilterValue, 2, 10, 7, // Skip to: 6186
-/* 4384 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 4387 */    MCD_OPC_FilterValue, 0, 60, 3, // Skip to: 5219
-/* 4391 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 4394 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 4427
-/* 4398 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4401 */    MCD_OPC_FilterValue, 0, 1, 162, // Skip to: 45878
-/* 4405 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4419
-/* 4409 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4419
-/* 4415 */    MCD_OPC_Decode, 191, 17, 39, // Opcode: ST4WB_8B_fixed
-/* 4419 */    MCD_OPC_CheckPredicate, 0, 239, 161, // Skip to: 45878
-/* 4423 */    MCD_OPC_Decode, 192, 17, 39, // Opcode: ST4WB_8B_register
-/* 4427 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 4460
-/* 4431 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4434 */    MCD_OPC_FilterValue, 0, 224, 161, // Skip to: 45878
-/* 4438 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4452
-/* 4442 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4452
-/* 4448 */    MCD_OPC_Decode, 187, 17, 39, // Opcode: ST4WB_4H_fixed
-/* 4452 */    MCD_OPC_CheckPredicate, 0, 206, 161, // Skip to: 45878
-/* 4456 */    MCD_OPC_Decode, 188, 17, 39, // Opcode: ST4WB_4H_register
-/* 4460 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 4493
-/* 4464 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4467 */    MCD_OPC_FilterValue, 0, 191, 161, // Skip to: 45878
-/* 4471 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4485
-/* 4475 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4485
-/* 4481 */    MCD_OPC_Decode, 185, 17, 39, // Opcode: ST4WB_2S_fixed
-/* 4485 */    MCD_OPC_CheckPredicate, 0, 173, 161, // Skip to: 45878
-/* 4489 */    MCD_OPC_Decode, 186, 17, 39, // Opcode: ST4WB_2S_register
-/* 4493 */    MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 4526
-/* 4497 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4500 */    MCD_OPC_FilterValue, 0, 158, 161, // Skip to: 45878
-/* 4504 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4518
-/* 4508 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4518
-/* 4514 */    MCD_OPC_Decode, 219, 16, 39, // Opcode: ST1x4WB_8B_fixed
-/* 4518 */    MCD_OPC_CheckPredicate, 0, 140, 161, // Skip to: 45878
-/* 4522 */    MCD_OPC_Decode, 220, 16, 39, // Opcode: ST1x4WB_8B_register
-/* 4526 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 4559
-/* 4530 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4533 */    MCD_OPC_FilterValue, 0, 125, 161, // Skip to: 45878
-/* 4537 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4551
-/* 4541 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4551
-/* 4547 */    MCD_OPC_Decode, 215, 16, 39, // Opcode: ST1x4WB_4H_fixed
-/* 4551 */    MCD_OPC_CheckPredicate, 0, 107, 161, // Skip to: 45878
-/* 4555 */    MCD_OPC_Decode, 216, 16, 39, // Opcode: ST1x4WB_4H_register
-/* 4559 */    MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 4592
-/* 4563 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4566 */    MCD_OPC_FilterValue, 0, 92, 161, // Skip to: 45878
-/* 4570 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4584
-/* 4574 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4584
-/* 4580 */    MCD_OPC_Decode, 213, 16, 39, // Opcode: ST1x4WB_2S_fixed
-/* 4584 */    MCD_OPC_CheckPredicate, 0, 74, 161, // Skip to: 45878
-/* 4588 */    MCD_OPC_Decode, 214, 16, 39, // Opcode: ST1x4WB_2S_register
-/* 4592 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 4625
-/* 4596 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4599 */    MCD_OPC_FilterValue, 0, 59, 161, // Skip to: 45878
-/* 4603 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4617
-/* 4607 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4617
-/* 4613 */    MCD_OPC_Decode, 209, 16, 39, // Opcode: ST1x4WB_1D_fixed
-/* 4617 */    MCD_OPC_CheckPredicate, 0, 41, 161, // Skip to: 45878
-/* 4621 */    MCD_OPC_Decode, 210, 16, 39, // Opcode: ST1x4WB_1D_register
-/* 4625 */    MCD_OPC_FilterValue, 16, 29, 0, // Skip to: 4658
-/* 4629 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4632 */    MCD_OPC_FilterValue, 0, 26, 161, // Skip to: 45878
-/* 4636 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4650
-/* 4640 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4650
-/* 4646 */    MCD_OPC_Decode, 158, 17, 39, // Opcode: ST3WB_8B_fixed
-/* 4650 */    MCD_OPC_CheckPredicate, 0, 8, 161, // Skip to: 45878
-/* 4654 */    MCD_OPC_Decode, 159, 17, 39, // Opcode: ST3WB_8B_register
-/* 4658 */    MCD_OPC_FilterValue, 17, 29, 0, // Skip to: 4691
-/* 4662 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4665 */    MCD_OPC_FilterValue, 0, 249, 160, // Skip to: 45878
-/* 4669 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4683
-/* 4673 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4683
-/* 4679 */    MCD_OPC_Decode, 154, 17, 39, // Opcode: ST3WB_4H_fixed
-/* 4683 */    MCD_OPC_CheckPredicate, 0, 231, 160, // Skip to: 45878
-/* 4687 */    MCD_OPC_Decode, 155, 17, 39, // Opcode: ST3WB_4H_register
-/* 4691 */    MCD_OPC_FilterValue, 18, 29, 0, // Skip to: 4724
-/* 4695 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4698 */    MCD_OPC_FilterValue, 0, 216, 160, // Skip to: 45878
-/* 4702 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4716
-/* 4706 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4716
-/* 4712 */    MCD_OPC_Decode, 152, 17, 39, // Opcode: ST3WB_2S_fixed
-/* 4716 */    MCD_OPC_CheckPredicate, 0, 198, 160, // Skip to: 45878
-/* 4720 */    MCD_OPC_Decode, 153, 17, 39, // Opcode: ST3WB_2S_register
-/* 4724 */    MCD_OPC_FilterValue, 24, 29, 0, // Skip to: 4757
-/* 4728 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4731 */    MCD_OPC_FilterValue, 0, 183, 160, // Skip to: 45878
-/* 4735 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4749
-/* 4739 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4749
-/* 4745 */    MCD_OPC_Decode, 195, 16, 39, // Opcode: ST1x3WB_8B_fixed
-/* 4749 */    MCD_OPC_CheckPredicate, 0, 165, 160, // Skip to: 45878
-/* 4753 */    MCD_OPC_Decode, 196, 16, 39, // Opcode: ST1x3WB_8B_register
-/* 4757 */    MCD_OPC_FilterValue, 25, 29, 0, // Skip to: 4790
-/* 4761 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4764 */    MCD_OPC_FilterValue, 0, 150, 160, // Skip to: 45878
-/* 4768 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4782
-/* 4772 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4782
-/* 4778 */    MCD_OPC_Decode, 191, 16, 39, // Opcode: ST1x3WB_4H_fixed
-/* 4782 */    MCD_OPC_CheckPredicate, 0, 132, 160, // Skip to: 45878
-/* 4786 */    MCD_OPC_Decode, 192, 16, 39, // Opcode: ST1x3WB_4H_register
-/* 4790 */    MCD_OPC_FilterValue, 26, 29, 0, // Skip to: 4823
-/* 4794 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4797 */    MCD_OPC_FilterValue, 0, 117, 160, // Skip to: 45878
-/* 4801 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4815
-/* 4805 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4815
-/* 4811 */    MCD_OPC_Decode, 189, 16, 39, // Opcode: ST1x3WB_2S_fixed
-/* 4815 */    MCD_OPC_CheckPredicate, 0, 99, 160, // Skip to: 45878
-/* 4819 */    MCD_OPC_Decode, 190, 16, 39, // Opcode: ST1x3WB_2S_register
-/* 4823 */    MCD_OPC_FilterValue, 27, 29, 0, // Skip to: 4856
-/* 4827 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4830 */    MCD_OPC_FilterValue, 0, 84, 160, // Skip to: 45878
-/* 4834 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4848
-/* 4838 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4848
-/* 4844 */    MCD_OPC_Decode, 185, 16, 39, // Opcode: ST1x3WB_1D_fixed
-/* 4848 */    MCD_OPC_CheckPredicate, 0, 66, 160, // Skip to: 45878
-/* 4852 */    MCD_OPC_Decode, 186, 16, 39, // Opcode: ST1x3WB_1D_register
-/* 4856 */    MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 4889
-/* 4860 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4863 */    MCD_OPC_FilterValue, 0, 51, 160, // Skip to: 45878
-/* 4867 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4881
-/* 4871 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4881
-/* 4877 */    MCD_OPC_Decode, 147, 16, 39, // Opcode: ST1WB_8B_fixed
-/* 4881 */    MCD_OPC_CheckPredicate, 0, 33, 160, // Skip to: 45878
-/* 4885 */    MCD_OPC_Decode, 148, 16, 39, // Opcode: ST1WB_8B_register
-/* 4889 */    MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 4922
-/* 4893 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4896 */    MCD_OPC_FilterValue, 0, 18, 160, // Skip to: 45878
-/* 4900 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4914
-/* 4904 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4914
-/* 4910 */    MCD_OPC_Decode, 143, 16, 39, // Opcode: ST1WB_4H_fixed
-/* 4914 */    MCD_OPC_CheckPredicate, 0, 0, 160, // Skip to: 45878
-/* 4918 */    MCD_OPC_Decode, 144, 16, 39, // Opcode: ST1WB_4H_register
-/* 4922 */    MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 4955
-/* 4926 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4929 */    MCD_OPC_FilterValue, 0, 241, 159, // Skip to: 45878
-/* 4933 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4947
-/* 4937 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4947
-/* 4943 */    MCD_OPC_Decode, 141, 16, 39, // Opcode: ST1WB_2S_fixed
-/* 4947 */    MCD_OPC_CheckPredicate, 0, 223, 159, // Skip to: 45878
-/* 4951 */    MCD_OPC_Decode, 142, 16, 39, // Opcode: ST1WB_2S_register
-/* 4955 */    MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 4988
-/* 4959 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4962 */    MCD_OPC_FilterValue, 0, 208, 159, // Skip to: 45878
-/* 4966 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 4980
-/* 4970 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 4980
-/* 4976 */    MCD_OPC_Decode, 137, 16, 39, // Opcode: ST1WB_1D_fixed
-/* 4980 */    MCD_OPC_CheckPredicate, 0, 190, 159, // Skip to: 45878
-/* 4984 */    MCD_OPC_Decode, 138, 16, 39, // Opcode: ST1WB_1D_register
-/* 4988 */    MCD_OPC_FilterValue, 32, 29, 0, // Skip to: 5021
-/* 4992 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4995 */    MCD_OPC_FilterValue, 0, 175, 159, // Skip to: 45878
-/* 4999 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5013
-/* 5003 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5013
-/* 5009 */    MCD_OPC_Decode, 253, 16, 39, // Opcode: ST2WB_8B_fixed
-/* 5013 */    MCD_OPC_CheckPredicate, 0, 157, 159, // Skip to: 45878
-/* 5017 */    MCD_OPC_Decode, 254, 16, 39, // Opcode: ST2WB_8B_register
-/* 5021 */    MCD_OPC_FilterValue, 33, 29, 0, // Skip to: 5054
-/* 5025 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5028 */    MCD_OPC_FilterValue, 0, 142, 159, // Skip to: 45878
-/* 5032 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5046
-/* 5036 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5046
-/* 5042 */    MCD_OPC_Decode, 249, 16, 39, // Opcode: ST2WB_4H_fixed
-/* 5046 */    MCD_OPC_CheckPredicate, 0, 124, 159, // Skip to: 45878
-/* 5050 */    MCD_OPC_Decode, 250, 16, 39, // Opcode: ST2WB_4H_register
-/* 5054 */    MCD_OPC_FilterValue, 34, 29, 0, // Skip to: 5087
-/* 5058 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5061 */    MCD_OPC_FilterValue, 0, 109, 159, // Skip to: 45878
-/* 5065 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5079
-/* 5069 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5079
-/* 5075 */    MCD_OPC_Decode, 247, 16, 39, // Opcode: ST2WB_2S_fixed
-/* 5079 */    MCD_OPC_CheckPredicate, 0, 91, 159, // Skip to: 45878
-/* 5083 */    MCD_OPC_Decode, 248, 16, 39, // Opcode: ST2WB_2S_register
-/* 5087 */    MCD_OPC_FilterValue, 40, 29, 0, // Skip to: 5120
-/* 5091 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5094 */    MCD_OPC_FilterValue, 0, 76, 159, // Skip to: 45878
-/* 5098 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5112
-/* 5102 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5112
-/* 5108 */    MCD_OPC_Decode, 171, 16, 39, // Opcode: ST1x2WB_8B_fixed
-/* 5112 */    MCD_OPC_CheckPredicate, 0, 58, 159, // Skip to: 45878
-/* 5116 */    MCD_OPC_Decode, 172, 16, 39, // Opcode: ST1x2WB_8B_register
-/* 5120 */    MCD_OPC_FilterValue, 41, 29, 0, // Skip to: 5153
-/* 5124 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5127 */    MCD_OPC_FilterValue, 0, 43, 159, // Skip to: 45878
-/* 5131 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5145
-/* 5135 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5145
-/* 5141 */    MCD_OPC_Decode, 167, 16, 39, // Opcode: ST1x2WB_4H_fixed
-/* 5145 */    MCD_OPC_CheckPredicate, 0, 25, 159, // Skip to: 45878
-/* 5149 */    MCD_OPC_Decode, 168, 16, 39, // Opcode: ST1x2WB_4H_register
-/* 5153 */    MCD_OPC_FilterValue, 42, 29, 0, // Skip to: 5186
-/* 5157 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5160 */    MCD_OPC_FilterValue, 0, 10, 159, // Skip to: 45878
-/* 5164 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5178
-/* 5168 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5178
-/* 5174 */    MCD_OPC_Decode, 165, 16, 39, // Opcode: ST1x2WB_2S_fixed
-/* 5178 */    MCD_OPC_CheckPredicate, 0, 248, 158, // Skip to: 45878
-/* 5182 */    MCD_OPC_Decode, 166, 16, 39, // Opcode: ST1x2WB_2S_register
-/* 5186 */    MCD_OPC_FilterValue, 43, 240, 158, // Skip to: 45878
-/* 5190 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5193 */    MCD_OPC_FilterValue, 0, 233, 158, // Skip to: 45878
-/* 5197 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5211
-/* 5201 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5211
-/* 5207 */    MCD_OPC_Decode, 161, 16, 39, // Opcode: ST1x2WB_1D_fixed
-/* 5211 */    MCD_OPC_CheckPredicate, 0, 215, 158, // Skip to: 45878
-/* 5215 */    MCD_OPC_Decode, 162, 16, 39, // Opcode: ST1x2WB_1D_register
-/* 5219 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5231
-/* 5223 */    MCD_OPC_CheckPredicate, 1, 203, 158, // Skip to: 45878
-/* 5227 */    MCD_OPC_Decode, 203, 10, 1, // Opcode: LSFPPair32_PostInd_STR
-/* 5231 */    MCD_OPC_FilterValue, 2, 159, 3, // Skip to: 6162
-/* 5235 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 5238 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 5271
-/* 5242 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5245 */    MCD_OPC_FilterValue, 0, 181, 158, // Skip to: 45878
-/* 5249 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5263
-/* 5253 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5263
-/* 5259 */    MCD_OPC_Decode, 181, 17, 39, // Opcode: ST4WB_16B_fixed
-/* 5263 */    MCD_OPC_CheckPredicate, 0, 163, 158, // Skip to: 45878
-/* 5267 */    MCD_OPC_Decode, 182, 17, 39, // Opcode: ST4WB_16B_register
-/* 5271 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 5304
-/* 5275 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5278 */    MCD_OPC_FilterValue, 0, 148, 158, // Skip to: 45878
-/* 5282 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5296
-/* 5286 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5296
-/* 5292 */    MCD_OPC_Decode, 193, 17, 39, // Opcode: ST4WB_8H_fixed
-/* 5296 */    MCD_OPC_CheckPredicate, 0, 130, 158, // Skip to: 45878
-/* 5300 */    MCD_OPC_Decode, 194, 17, 39, // Opcode: ST4WB_8H_register
-/* 5304 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 5337
-/* 5308 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5311 */    MCD_OPC_FilterValue, 0, 115, 158, // Skip to: 45878
-/* 5315 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5329
-/* 5319 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5329
-/* 5325 */    MCD_OPC_Decode, 189, 17, 39, // Opcode: ST4WB_4S_fixed
-/* 5329 */    MCD_OPC_CheckPredicate, 0, 97, 158, // Skip to: 45878
-/* 5333 */    MCD_OPC_Decode, 190, 17, 39, // Opcode: ST4WB_4S_register
-/* 5337 */    MCD_OPC_FilterValue, 3, 29, 0, // Skip to: 5370
-/* 5341 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5344 */    MCD_OPC_FilterValue, 0, 82, 158, // Skip to: 45878
-/* 5348 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5362
-/* 5352 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5362
-/* 5358 */    MCD_OPC_Decode, 183, 17, 39, // Opcode: ST4WB_2D_fixed
-/* 5362 */    MCD_OPC_CheckPredicate, 0, 64, 158, // Skip to: 45878
-/* 5366 */    MCD_OPC_Decode, 184, 17, 39, // Opcode: ST4WB_2D_register
-/* 5370 */    MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 5403
-/* 5374 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5377 */    MCD_OPC_FilterValue, 0, 49, 158, // Skip to: 45878
-/* 5381 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5395
-/* 5385 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5395
-/* 5391 */    MCD_OPC_Decode, 207, 16, 39, // Opcode: ST1x4WB_16B_fixed
-/* 5395 */    MCD_OPC_CheckPredicate, 0, 31, 158, // Skip to: 45878
-/* 5399 */    MCD_OPC_Decode, 208, 16, 39, // Opcode: ST1x4WB_16B_register
-/* 5403 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 5436
-/* 5407 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5410 */    MCD_OPC_FilterValue, 0, 16, 158, // Skip to: 45878
-/* 5414 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5428
-/* 5418 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5428
-/* 5424 */    MCD_OPC_Decode, 221, 16, 39, // Opcode: ST1x4WB_8H_fixed
-/* 5428 */    MCD_OPC_CheckPredicate, 0, 254, 157, // Skip to: 45878
-/* 5432 */    MCD_OPC_Decode, 222, 16, 39, // Opcode: ST1x4WB_8H_register
-/* 5436 */    MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 5469
-/* 5440 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5443 */    MCD_OPC_FilterValue, 0, 239, 157, // Skip to: 45878
-/* 5447 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5461
-/* 5451 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5461
-/* 5457 */    MCD_OPC_Decode, 217, 16, 39, // Opcode: ST1x4WB_4S_fixed
-/* 5461 */    MCD_OPC_CheckPredicate, 0, 221, 157, // Skip to: 45878
-/* 5465 */    MCD_OPC_Decode, 218, 16, 39, // Opcode: ST1x4WB_4S_register
-/* 5469 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 5502
-/* 5473 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5476 */    MCD_OPC_FilterValue, 0, 206, 157, // Skip to: 45878
-/* 5480 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5494
-/* 5484 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5494
-/* 5490 */    MCD_OPC_Decode, 211, 16, 39, // Opcode: ST1x4WB_2D_fixed
-/* 5494 */    MCD_OPC_CheckPredicate, 0, 188, 157, // Skip to: 45878
-/* 5498 */    MCD_OPC_Decode, 212, 16, 39, // Opcode: ST1x4WB_2D_register
-/* 5502 */    MCD_OPC_FilterValue, 16, 29, 0, // Skip to: 5535
-/* 5506 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5509 */    MCD_OPC_FilterValue, 0, 173, 157, // Skip to: 45878
-/* 5513 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5527
-/* 5517 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5527
-/* 5523 */    MCD_OPC_Decode, 148, 17, 39, // Opcode: ST3WB_16B_fixed
-/* 5527 */    MCD_OPC_CheckPredicate, 0, 155, 157, // Skip to: 45878
-/* 5531 */    MCD_OPC_Decode, 149, 17, 39, // Opcode: ST3WB_16B_register
-/* 5535 */    MCD_OPC_FilterValue, 17, 29, 0, // Skip to: 5568
-/* 5539 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5542 */    MCD_OPC_FilterValue, 0, 140, 157, // Skip to: 45878
-/* 5546 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5560
-/* 5550 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5560
-/* 5556 */    MCD_OPC_Decode, 160, 17, 39, // Opcode: ST3WB_8H_fixed
-/* 5560 */    MCD_OPC_CheckPredicate, 0, 122, 157, // Skip to: 45878
-/* 5564 */    MCD_OPC_Decode, 161, 17, 39, // Opcode: ST3WB_8H_register
-/* 5568 */    MCD_OPC_FilterValue, 18, 29, 0, // Skip to: 5601
-/* 5572 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5575 */    MCD_OPC_FilterValue, 0, 107, 157, // Skip to: 45878
-/* 5579 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5593
-/* 5583 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5593
-/* 5589 */    MCD_OPC_Decode, 156, 17, 39, // Opcode: ST3WB_4S_fixed
-/* 5593 */    MCD_OPC_CheckPredicate, 0, 89, 157, // Skip to: 45878
-/* 5597 */    MCD_OPC_Decode, 157, 17, 39, // Opcode: ST3WB_4S_register
-/* 5601 */    MCD_OPC_FilterValue, 19, 29, 0, // Skip to: 5634
-/* 5605 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5608 */    MCD_OPC_FilterValue, 0, 74, 157, // Skip to: 45878
-/* 5612 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5626
-/* 5616 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5626
-/* 5622 */    MCD_OPC_Decode, 150, 17, 39, // Opcode: ST3WB_2D_fixed
-/* 5626 */    MCD_OPC_CheckPredicate, 0, 56, 157, // Skip to: 45878
-/* 5630 */    MCD_OPC_Decode, 151, 17, 39, // Opcode: ST3WB_2D_register
-/* 5634 */    MCD_OPC_FilterValue, 24, 29, 0, // Skip to: 5667
-/* 5638 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5641 */    MCD_OPC_FilterValue, 0, 41, 157, // Skip to: 45878
-/* 5645 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5659
-/* 5649 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5659
-/* 5655 */    MCD_OPC_Decode, 183, 16, 39, // Opcode: ST1x3WB_16B_fixed
-/* 5659 */    MCD_OPC_CheckPredicate, 0, 23, 157, // Skip to: 45878
-/* 5663 */    MCD_OPC_Decode, 184, 16, 39, // Opcode: ST1x3WB_16B_register
-/* 5667 */    MCD_OPC_FilterValue, 25, 29, 0, // Skip to: 5700
-/* 5671 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5674 */    MCD_OPC_FilterValue, 0, 8, 157, // Skip to: 45878
-/* 5678 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5692
-/* 5682 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5692
-/* 5688 */    MCD_OPC_Decode, 197, 16, 39, // Opcode: ST1x3WB_8H_fixed
-/* 5692 */    MCD_OPC_CheckPredicate, 0, 246, 156, // Skip to: 45878
-/* 5696 */    MCD_OPC_Decode, 198, 16, 39, // Opcode: ST1x3WB_8H_register
-/* 5700 */    MCD_OPC_FilterValue, 26, 29, 0, // Skip to: 5733
-/* 5704 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5707 */    MCD_OPC_FilterValue, 0, 231, 156, // Skip to: 45878
-/* 5711 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5725
-/* 5715 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5725
-/* 5721 */    MCD_OPC_Decode, 193, 16, 39, // Opcode: ST1x3WB_4S_fixed
-/* 5725 */    MCD_OPC_CheckPredicate, 0, 213, 156, // Skip to: 45878
-/* 5729 */    MCD_OPC_Decode, 194, 16, 39, // Opcode: ST1x3WB_4S_register
-/* 5733 */    MCD_OPC_FilterValue, 27, 29, 0, // Skip to: 5766
-/* 5737 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5740 */    MCD_OPC_FilterValue, 0, 198, 156, // Skip to: 45878
-/* 5744 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5758
-/* 5748 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5758
-/* 5754 */    MCD_OPC_Decode, 187, 16, 39, // Opcode: ST1x3WB_2D_fixed
-/* 5758 */    MCD_OPC_CheckPredicate, 0, 180, 156, // Skip to: 45878
-/* 5762 */    MCD_OPC_Decode, 188, 16, 39, // Opcode: ST1x3WB_2D_register
-/* 5766 */    MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 5799
-/* 5770 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5773 */    MCD_OPC_FilterValue, 0, 165, 156, // Skip to: 45878
-/* 5777 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5791
-/* 5781 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5791
-/* 5787 */    MCD_OPC_Decode, 135, 16, 39, // Opcode: ST1WB_16B_fixed
-/* 5791 */    MCD_OPC_CheckPredicate, 0, 147, 156, // Skip to: 45878
-/* 5795 */    MCD_OPC_Decode, 136, 16, 39, // Opcode: ST1WB_16B_register
-/* 5799 */    MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 5832
-/* 5803 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5806 */    MCD_OPC_FilterValue, 0, 132, 156, // Skip to: 45878
-/* 5810 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5824
-/* 5814 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5824
-/* 5820 */    MCD_OPC_Decode, 149, 16, 39, // Opcode: ST1WB_8H_fixed
-/* 5824 */    MCD_OPC_CheckPredicate, 0, 114, 156, // Skip to: 45878
-/* 5828 */    MCD_OPC_Decode, 150, 16, 39, // Opcode: ST1WB_8H_register
-/* 5832 */    MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 5865
-/* 5836 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5839 */    MCD_OPC_FilterValue, 0, 99, 156, // Skip to: 45878
-/* 5843 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5857
-/* 5847 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5857
-/* 5853 */    MCD_OPC_Decode, 145, 16, 39, // Opcode: ST1WB_4S_fixed
-/* 5857 */    MCD_OPC_CheckPredicate, 0, 81, 156, // Skip to: 45878
-/* 5861 */    MCD_OPC_Decode, 146, 16, 39, // Opcode: ST1WB_4S_register
-/* 5865 */    MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 5898
-/* 5869 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5872 */    MCD_OPC_FilterValue, 0, 66, 156, // Skip to: 45878
-/* 5876 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5890
-/* 5880 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5890
-/* 5886 */    MCD_OPC_Decode, 139, 16, 39, // Opcode: ST1WB_2D_fixed
-/* 5890 */    MCD_OPC_CheckPredicate, 0, 48, 156, // Skip to: 45878
-/* 5894 */    MCD_OPC_Decode, 140, 16, 39, // Opcode: ST1WB_2D_register
-/* 5898 */    MCD_OPC_FilterValue, 32, 29, 0, // Skip to: 5931
-/* 5902 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5905 */    MCD_OPC_FilterValue, 0, 33, 156, // Skip to: 45878
-/* 5909 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5923
-/* 5913 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5923
-/* 5919 */    MCD_OPC_Decode, 243, 16, 39, // Opcode: ST2WB_16B_fixed
-/* 5923 */    MCD_OPC_CheckPredicate, 0, 15, 156, // Skip to: 45878
-/* 5927 */    MCD_OPC_Decode, 244, 16, 39, // Opcode: ST2WB_16B_register
-/* 5931 */    MCD_OPC_FilterValue, 33, 29, 0, // Skip to: 5964
-/* 5935 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5938 */    MCD_OPC_FilterValue, 0, 0, 156, // Skip to: 45878
-/* 5942 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5956
-/* 5946 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5956
-/* 5952 */    MCD_OPC_Decode, 255, 16, 39, // Opcode: ST2WB_8H_fixed
-/* 5956 */    MCD_OPC_CheckPredicate, 0, 238, 155, // Skip to: 45878
-/* 5960 */    MCD_OPC_Decode, 128, 17, 39, // Opcode: ST2WB_8H_register
-/* 5964 */    MCD_OPC_FilterValue, 34, 29, 0, // Skip to: 5997
-/* 5968 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 5971 */    MCD_OPC_FilterValue, 0, 223, 155, // Skip to: 45878
-/* 5975 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 5989
-/* 5979 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 5989
-/* 5985 */    MCD_OPC_Decode, 251, 16, 39, // Opcode: ST2WB_4S_fixed
-/* 5989 */    MCD_OPC_CheckPredicate, 0, 205, 155, // Skip to: 45878
-/* 5993 */    MCD_OPC_Decode, 252, 16, 39, // Opcode: ST2WB_4S_register
-/* 5997 */    MCD_OPC_FilterValue, 35, 29, 0, // Skip to: 6030
-/* 6001 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6004 */    MCD_OPC_FilterValue, 0, 190, 155, // Skip to: 45878
-/* 6008 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6022
-/* 6012 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6022
-/* 6018 */    MCD_OPC_Decode, 245, 16, 39, // Opcode: ST2WB_2D_fixed
-/* 6022 */    MCD_OPC_CheckPredicate, 0, 172, 155, // Skip to: 45878
-/* 6026 */    MCD_OPC_Decode, 246, 16, 39, // Opcode: ST2WB_2D_register
-/* 6030 */    MCD_OPC_FilterValue, 40, 29, 0, // Skip to: 6063
-/* 6034 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6037 */    MCD_OPC_FilterValue, 0, 157, 155, // Skip to: 45878
-/* 6041 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6055
-/* 6045 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6055
-/* 6051 */    MCD_OPC_Decode, 159, 16, 39, // Opcode: ST1x2WB_16B_fixed
-/* 6055 */    MCD_OPC_CheckPredicate, 0, 139, 155, // Skip to: 45878
-/* 6059 */    MCD_OPC_Decode, 160, 16, 39, // Opcode: ST1x2WB_16B_register
-/* 6063 */    MCD_OPC_FilterValue, 41, 29, 0, // Skip to: 6096
-/* 6067 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6070 */    MCD_OPC_FilterValue, 0, 124, 155, // Skip to: 45878
-/* 6074 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6088
-/* 6078 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6088
-/* 6084 */    MCD_OPC_Decode, 173, 16, 39, // Opcode: ST1x2WB_8H_fixed
-/* 6088 */    MCD_OPC_CheckPredicate, 0, 106, 155, // Skip to: 45878
-/* 6092 */    MCD_OPC_Decode, 174, 16, 39, // Opcode: ST1x2WB_8H_register
-/* 6096 */    MCD_OPC_FilterValue, 42, 29, 0, // Skip to: 6129
-/* 6100 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6103 */    MCD_OPC_FilterValue, 0, 91, 155, // Skip to: 45878
-/* 6107 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6121
-/* 6111 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6121
-/* 6117 */    MCD_OPC_Decode, 169, 16, 39, // Opcode: ST1x2WB_4S_fixed
-/* 6121 */    MCD_OPC_CheckPredicate, 0, 73, 155, // Skip to: 45878
-/* 6125 */    MCD_OPC_Decode, 170, 16, 39, // Opcode: ST1x2WB_4S_register
-/* 6129 */    MCD_OPC_FilterValue, 43, 65, 155, // Skip to: 45878
-/* 6133 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6136 */    MCD_OPC_FilterValue, 0, 58, 155, // Skip to: 45878
-/* 6140 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6154
-/* 6144 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6154
-/* 6150 */    MCD_OPC_Decode, 163, 16, 39, // Opcode: ST1x2WB_2D_fixed
-/* 6154 */    MCD_OPC_CheckPredicate, 0, 40, 155, // Skip to: 45878
-/* 6158 */    MCD_OPC_Decode, 164, 16, 39, // Opcode: ST1x2WB_2D_register
-/* 6162 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6174
-/* 6166 */    MCD_OPC_CheckPredicate, 1, 28, 155, // Skip to: 45878
-/* 6170 */    MCD_OPC_Decode, 211, 10, 1, // Opcode: LSFPPair64_PostInd_STR
-/* 6174 */    MCD_OPC_FilterValue, 5, 20, 155, // Skip to: 45878
-/* 6178 */    MCD_OPC_CheckPredicate, 1, 16, 155, // Skip to: 45878
-/* 6182 */    MCD_OPC_Decode, 195, 10, 1, // Opcode: LSFPPair128_PostInd_STR
-/* 6186 */    MCD_OPC_FilterValue, 3, 10, 7, // Skip to: 7992
-/* 6190 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 6193 */    MCD_OPC_FilterValue, 0, 60, 3, // Skip to: 7025
-/* 6197 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 6200 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 6233
-/* 6204 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6207 */    MCD_OPC_FilterValue, 0, 243, 154, // Skip to: 45878
-/* 6211 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6225
-/* 6215 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6225
-/* 6221 */    MCD_OPC_Decode, 132, 9, 39, // Opcode: LD4WB_8B_fixed
-/* 6225 */    MCD_OPC_CheckPredicate, 0, 225, 154, // Skip to: 45878
-/* 6229 */    MCD_OPC_Decode, 133, 9, 39, // Opcode: LD4WB_8B_register
-/* 6233 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 6266
-/* 6237 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6240 */    MCD_OPC_FilterValue, 0, 210, 154, // Skip to: 45878
-/* 6244 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6258
-/* 6248 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6258
-/* 6254 */    MCD_OPC_Decode, 128, 9, 39, // Opcode: LD4WB_4H_fixed
-/* 6258 */    MCD_OPC_CheckPredicate, 0, 192, 154, // Skip to: 45878
-/* 6262 */    MCD_OPC_Decode, 129, 9, 39, // Opcode: LD4WB_4H_register
-/* 6266 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 6299
-/* 6270 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6273 */    MCD_OPC_FilterValue, 0, 177, 154, // Skip to: 45878
-/* 6277 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6291
-/* 6281 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6291
-/* 6287 */    MCD_OPC_Decode, 254, 8, 39, // Opcode: LD4WB_2S_fixed
-/* 6291 */    MCD_OPC_CheckPredicate, 0, 159, 154, // Skip to: 45878
-/* 6295 */    MCD_OPC_Decode, 255, 8, 39, // Opcode: LD4WB_2S_register
-/* 6299 */    MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 6332
-/* 6303 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6306 */    MCD_OPC_FilterValue, 0, 144, 154, // Skip to: 45878
-/* 6310 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6324
-/* 6314 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6324
-/* 6320 */    MCD_OPC_Decode, 216, 7, 39, // Opcode: LD1x4WB_8B_fixed
-/* 6324 */    MCD_OPC_CheckPredicate, 0, 126, 154, // Skip to: 45878
-/* 6328 */    MCD_OPC_Decode, 217, 7, 39, // Opcode: LD1x4WB_8B_register
-/* 6332 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 6365
-/* 6336 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6339 */    MCD_OPC_FilterValue, 0, 111, 154, // Skip to: 45878
-/* 6343 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6357
-/* 6347 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6357
-/* 6353 */    MCD_OPC_Decode, 212, 7, 39, // Opcode: LD1x4WB_4H_fixed
-/* 6357 */    MCD_OPC_CheckPredicate, 0, 93, 154, // Skip to: 45878
-/* 6361 */    MCD_OPC_Decode, 213, 7, 39, // Opcode: LD1x4WB_4H_register
-/* 6365 */    MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 6398
-/* 6369 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6372 */    MCD_OPC_FilterValue, 0, 78, 154, // Skip to: 45878
-/* 6376 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6390
-/* 6380 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6390
-/* 6386 */    MCD_OPC_Decode, 210, 7, 39, // Opcode: LD1x4WB_2S_fixed
-/* 6390 */    MCD_OPC_CheckPredicate, 0, 60, 154, // Skip to: 45878
-/* 6394 */    MCD_OPC_Decode, 211, 7, 39, // Opcode: LD1x4WB_2S_register
-/* 6398 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 6431
-/* 6402 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6405 */    MCD_OPC_FilterValue, 0, 45, 154, // Skip to: 45878
-/* 6409 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6423
-/* 6413 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6423
-/* 6419 */    MCD_OPC_Decode, 206, 7, 39, // Opcode: LD1x4WB_1D_fixed
-/* 6423 */    MCD_OPC_CheckPredicate, 0, 27, 154, // Skip to: 45878
-/* 6427 */    MCD_OPC_Decode, 207, 7, 39, // Opcode: LD1x4WB_1D_register
-/* 6431 */    MCD_OPC_FilterValue, 16, 29, 0, // Skip to: 6464
-/* 6435 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6438 */    MCD_OPC_FilterValue, 0, 12, 154, // Skip to: 45878
-/* 6442 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6456
-/* 6446 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6456
-/* 6452 */    MCD_OPC_Decode, 203, 8, 39, // Opcode: LD3WB_8B_fixed
-/* 6456 */    MCD_OPC_CheckPredicate, 0, 250, 153, // Skip to: 45878
-/* 6460 */    MCD_OPC_Decode, 204, 8, 39, // Opcode: LD3WB_8B_register
-/* 6464 */    MCD_OPC_FilterValue, 17, 29, 0, // Skip to: 6497
-/* 6468 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6471 */    MCD_OPC_FilterValue, 0, 235, 153, // Skip to: 45878
-/* 6475 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6489
-/* 6479 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6489
-/* 6485 */    MCD_OPC_Decode, 199, 8, 39, // Opcode: LD3WB_4H_fixed
-/* 6489 */    MCD_OPC_CheckPredicate, 0, 217, 153, // Skip to: 45878
-/* 6493 */    MCD_OPC_Decode, 200, 8, 39, // Opcode: LD3WB_4H_register
-/* 6497 */    MCD_OPC_FilterValue, 18, 29, 0, // Skip to: 6530
-/* 6501 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6504 */    MCD_OPC_FilterValue, 0, 202, 153, // Skip to: 45878
-/* 6508 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6522
-/* 6512 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6522
-/* 6518 */    MCD_OPC_Decode, 197, 8, 39, // Opcode: LD3WB_2S_fixed
-/* 6522 */    MCD_OPC_CheckPredicate, 0, 184, 153, // Skip to: 45878
-/* 6526 */    MCD_OPC_Decode, 198, 8, 39, // Opcode: LD3WB_2S_register
-/* 6530 */    MCD_OPC_FilterValue, 24, 29, 0, // Skip to: 6563
-/* 6534 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6537 */    MCD_OPC_FilterValue, 0, 169, 153, // Skip to: 45878
-/* 6541 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6555
-/* 6545 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6555
-/* 6551 */    MCD_OPC_Decode, 192, 7, 39, // Opcode: LD1x3WB_8B_fixed
-/* 6555 */    MCD_OPC_CheckPredicate, 0, 151, 153, // Skip to: 45878
-/* 6559 */    MCD_OPC_Decode, 193, 7, 39, // Opcode: LD1x3WB_8B_register
-/* 6563 */    MCD_OPC_FilterValue, 25, 29, 0, // Skip to: 6596
-/* 6567 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6570 */    MCD_OPC_FilterValue, 0, 136, 153, // Skip to: 45878
-/* 6574 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6588
-/* 6578 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6588
-/* 6584 */    MCD_OPC_Decode, 188, 7, 39, // Opcode: LD1x3WB_4H_fixed
-/* 6588 */    MCD_OPC_CheckPredicate, 0, 118, 153, // Skip to: 45878
-/* 6592 */    MCD_OPC_Decode, 189, 7, 39, // Opcode: LD1x3WB_4H_register
-/* 6596 */    MCD_OPC_FilterValue, 26, 29, 0, // Skip to: 6629
-/* 6600 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6603 */    MCD_OPC_FilterValue, 0, 103, 153, // Skip to: 45878
-/* 6607 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6621
-/* 6611 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6621
-/* 6617 */    MCD_OPC_Decode, 186, 7, 39, // Opcode: LD1x3WB_2S_fixed
-/* 6621 */    MCD_OPC_CheckPredicate, 0, 85, 153, // Skip to: 45878
-/* 6625 */    MCD_OPC_Decode, 187, 7, 39, // Opcode: LD1x3WB_2S_register
-/* 6629 */    MCD_OPC_FilterValue, 27, 29, 0, // Skip to: 6662
-/* 6633 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6636 */    MCD_OPC_FilterValue, 0, 70, 153, // Skip to: 45878
-/* 6640 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6654
-/* 6644 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6654
-/* 6650 */    MCD_OPC_Decode, 182, 7, 39, // Opcode: LD1x3WB_1D_fixed
-/* 6654 */    MCD_OPC_CheckPredicate, 0, 52, 153, // Skip to: 45878
-/* 6658 */    MCD_OPC_Decode, 183, 7, 39, // Opcode: LD1x3WB_1D_register
-/* 6662 */    MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 6695
-/* 6666 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6669 */    MCD_OPC_FilterValue, 0, 37, 153, // Skip to: 45878
-/* 6673 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6687
-/* 6677 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6687
-/* 6683 */    MCD_OPC_Decode, 144, 7, 39, // Opcode: LD1WB_8B_fixed
-/* 6687 */    MCD_OPC_CheckPredicate, 0, 19, 153, // Skip to: 45878
-/* 6691 */    MCD_OPC_Decode, 145, 7, 39, // Opcode: LD1WB_8B_register
-/* 6695 */    MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 6728
-/* 6699 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6702 */    MCD_OPC_FilterValue, 0, 4, 153, // Skip to: 45878
-/* 6706 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6720
-/* 6710 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6720
-/* 6716 */    MCD_OPC_Decode, 140, 7, 39, // Opcode: LD1WB_4H_fixed
-/* 6720 */    MCD_OPC_CheckPredicate, 0, 242, 152, // Skip to: 45878
-/* 6724 */    MCD_OPC_Decode, 141, 7, 39, // Opcode: LD1WB_4H_register
-/* 6728 */    MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 6761
-/* 6732 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6735 */    MCD_OPC_FilterValue, 0, 227, 152, // Skip to: 45878
-/* 6739 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6753
-/* 6743 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6753
-/* 6749 */    MCD_OPC_Decode, 138, 7, 39, // Opcode: LD1WB_2S_fixed
-/* 6753 */    MCD_OPC_CheckPredicate, 0, 209, 152, // Skip to: 45878
-/* 6757 */    MCD_OPC_Decode, 139, 7, 39, // Opcode: LD1WB_2S_register
-/* 6761 */    MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 6794
-/* 6765 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6768 */    MCD_OPC_FilterValue, 0, 194, 152, // Skip to: 45878
-/* 6772 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6786
-/* 6776 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6786
-/* 6782 */    MCD_OPC_Decode, 134, 7, 39, // Opcode: LD1WB_1D_fixed
-/* 6786 */    MCD_OPC_CheckPredicate, 0, 176, 152, // Skip to: 45878
-/* 6790 */    MCD_OPC_Decode, 135, 7, 39, // Opcode: LD1WB_1D_register
-/* 6794 */    MCD_OPC_FilterValue, 32, 29, 0, // Skip to: 6827
-/* 6798 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6801 */    MCD_OPC_FilterValue, 0, 161, 152, // Skip to: 45878
-/* 6805 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6819
-/* 6809 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6819
-/* 6815 */    MCD_OPC_Decode, 146, 8, 39, // Opcode: LD2WB_8B_fixed
-/* 6819 */    MCD_OPC_CheckPredicate, 0, 143, 152, // Skip to: 45878
-/* 6823 */    MCD_OPC_Decode, 147, 8, 39, // Opcode: LD2WB_8B_register
-/* 6827 */    MCD_OPC_FilterValue, 33, 29, 0, // Skip to: 6860
-/* 6831 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6834 */    MCD_OPC_FilterValue, 0, 128, 152, // Skip to: 45878
-/* 6838 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6852
-/* 6842 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6852
-/* 6848 */    MCD_OPC_Decode, 142, 8, 39, // Opcode: LD2WB_4H_fixed
-/* 6852 */    MCD_OPC_CheckPredicate, 0, 110, 152, // Skip to: 45878
-/* 6856 */    MCD_OPC_Decode, 143, 8, 39, // Opcode: LD2WB_4H_register
-/* 6860 */    MCD_OPC_FilterValue, 34, 29, 0, // Skip to: 6893
-/* 6864 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6867 */    MCD_OPC_FilterValue, 0, 95, 152, // Skip to: 45878
-/* 6871 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6885
-/* 6875 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6885
-/* 6881 */    MCD_OPC_Decode, 140, 8, 39, // Opcode: LD2WB_2S_fixed
-/* 6885 */    MCD_OPC_CheckPredicate, 0, 77, 152, // Skip to: 45878
-/* 6889 */    MCD_OPC_Decode, 141, 8, 39, // Opcode: LD2WB_2S_register
-/* 6893 */    MCD_OPC_FilterValue, 40, 29, 0, // Skip to: 6926
-/* 6897 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6900 */    MCD_OPC_FilterValue, 0, 62, 152, // Skip to: 45878
-/* 6904 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6918
-/* 6908 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6918
-/* 6914 */    MCD_OPC_Decode, 168, 7, 39, // Opcode: LD1x2WB_8B_fixed
-/* 6918 */    MCD_OPC_CheckPredicate, 0, 44, 152, // Skip to: 45878
-/* 6922 */    MCD_OPC_Decode, 169, 7, 39, // Opcode: LD1x2WB_8B_register
-/* 6926 */    MCD_OPC_FilterValue, 41, 29, 0, // Skip to: 6959
-/* 6930 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6933 */    MCD_OPC_FilterValue, 0, 29, 152, // Skip to: 45878
-/* 6937 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6951
-/* 6941 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6951
-/* 6947 */    MCD_OPC_Decode, 164, 7, 39, // Opcode: LD1x2WB_4H_fixed
-/* 6951 */    MCD_OPC_CheckPredicate, 0, 11, 152, // Skip to: 45878
-/* 6955 */    MCD_OPC_Decode, 165, 7, 39, // Opcode: LD1x2WB_4H_register
-/* 6959 */    MCD_OPC_FilterValue, 42, 29, 0, // Skip to: 6992
-/* 6963 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6966 */    MCD_OPC_FilterValue, 0, 252, 151, // Skip to: 45878
-/* 6970 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 6984
-/* 6974 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 6984
-/* 6980 */    MCD_OPC_Decode, 162, 7, 39, // Opcode: LD1x2WB_2S_fixed
-/* 6984 */    MCD_OPC_CheckPredicate, 0, 234, 151, // Skip to: 45878
-/* 6988 */    MCD_OPC_Decode, 163, 7, 39, // Opcode: LD1x2WB_2S_register
-/* 6992 */    MCD_OPC_FilterValue, 43, 226, 151, // Skip to: 45878
-/* 6996 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 6999 */    MCD_OPC_FilterValue, 0, 219, 151, // Skip to: 45878
-/* 7003 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7017
-/* 7007 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7017
-/* 7013 */    MCD_OPC_Decode, 158, 7, 39, // Opcode: LD1x2WB_1D_fixed
-/* 7017 */    MCD_OPC_CheckPredicate, 0, 201, 151, // Skip to: 45878
-/* 7021 */    MCD_OPC_Decode, 159, 7, 39, // Opcode: LD1x2WB_1D_register
-/* 7025 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7037
-/* 7029 */    MCD_OPC_CheckPredicate, 1, 189, 151, // Skip to: 45878
-/* 7033 */    MCD_OPC_Decode, 202, 10, 1, // Opcode: LSFPPair32_PostInd_LDR
-/* 7037 */    MCD_OPC_FilterValue, 2, 159, 3, // Skip to: 7968
-/* 7041 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 7044 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 7077
-/* 7048 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7051 */    MCD_OPC_FilterValue, 0, 167, 151, // Skip to: 45878
-/* 7055 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7069
-/* 7059 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7069
-/* 7065 */    MCD_OPC_Decode, 250, 8, 39, // Opcode: LD4WB_16B_fixed
-/* 7069 */    MCD_OPC_CheckPredicate, 0, 149, 151, // Skip to: 45878
-/* 7073 */    MCD_OPC_Decode, 251, 8, 39, // Opcode: LD4WB_16B_register
-/* 7077 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 7110
-/* 7081 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7084 */    MCD_OPC_FilterValue, 0, 134, 151, // Skip to: 45878
-/* 7088 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7102
-/* 7092 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7102
-/* 7098 */    MCD_OPC_Decode, 134, 9, 39, // Opcode: LD4WB_8H_fixed
-/* 7102 */    MCD_OPC_CheckPredicate, 0, 116, 151, // Skip to: 45878
-/* 7106 */    MCD_OPC_Decode, 135, 9, 39, // Opcode: LD4WB_8H_register
-/* 7110 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 7143
-/* 7114 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7117 */    MCD_OPC_FilterValue, 0, 101, 151, // Skip to: 45878
-/* 7121 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7135
-/* 7125 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7135
-/* 7131 */    MCD_OPC_Decode, 130, 9, 39, // Opcode: LD4WB_4S_fixed
-/* 7135 */    MCD_OPC_CheckPredicate, 0, 83, 151, // Skip to: 45878
-/* 7139 */    MCD_OPC_Decode, 131, 9, 39, // Opcode: LD4WB_4S_register
-/* 7143 */    MCD_OPC_FilterValue, 3, 29, 0, // Skip to: 7176
-/* 7147 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7150 */    MCD_OPC_FilterValue, 0, 68, 151, // Skip to: 45878
-/* 7154 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7168
-/* 7158 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7168
-/* 7164 */    MCD_OPC_Decode, 252, 8, 39, // Opcode: LD4WB_2D_fixed
-/* 7168 */    MCD_OPC_CheckPredicate, 0, 50, 151, // Skip to: 45878
-/* 7172 */    MCD_OPC_Decode, 253, 8, 39, // Opcode: LD4WB_2D_register
-/* 7176 */    MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 7209
-/* 7180 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7183 */    MCD_OPC_FilterValue, 0, 35, 151, // Skip to: 45878
-/* 7187 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7201
-/* 7191 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7201
-/* 7197 */    MCD_OPC_Decode, 204, 7, 39, // Opcode: LD1x4WB_16B_fixed
-/* 7201 */    MCD_OPC_CheckPredicate, 0, 17, 151, // Skip to: 45878
-/* 7205 */    MCD_OPC_Decode, 205, 7, 39, // Opcode: LD1x4WB_16B_register
-/* 7209 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 7242
-/* 7213 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7216 */    MCD_OPC_FilterValue, 0, 2, 151, // Skip to: 45878
-/* 7220 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7234
-/* 7224 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7234
-/* 7230 */    MCD_OPC_Decode, 218, 7, 39, // Opcode: LD1x4WB_8H_fixed
-/* 7234 */    MCD_OPC_CheckPredicate, 0, 240, 150, // Skip to: 45878
-/* 7238 */    MCD_OPC_Decode, 219, 7, 39, // Opcode: LD1x4WB_8H_register
-/* 7242 */    MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 7275
-/* 7246 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7249 */    MCD_OPC_FilterValue, 0, 225, 150, // Skip to: 45878
-/* 7253 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7267
-/* 7257 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7267
-/* 7263 */    MCD_OPC_Decode, 214, 7, 39, // Opcode: LD1x4WB_4S_fixed
-/* 7267 */    MCD_OPC_CheckPredicate, 0, 207, 150, // Skip to: 45878
-/* 7271 */    MCD_OPC_Decode, 215, 7, 39, // Opcode: LD1x4WB_4S_register
-/* 7275 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 7308
-/* 7279 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7282 */    MCD_OPC_FilterValue, 0, 192, 150, // Skip to: 45878
-/* 7286 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7300
-/* 7290 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7300
-/* 7296 */    MCD_OPC_Decode, 208, 7, 39, // Opcode: LD1x4WB_2D_fixed
-/* 7300 */    MCD_OPC_CheckPredicate, 0, 174, 150, // Skip to: 45878
-/* 7304 */    MCD_OPC_Decode, 209, 7, 39, // Opcode: LD1x4WB_2D_register
-/* 7308 */    MCD_OPC_FilterValue, 16, 29, 0, // Skip to: 7341
-/* 7312 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7315 */    MCD_OPC_FilterValue, 0, 159, 150, // Skip to: 45878
-/* 7319 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7333
-/* 7323 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7333
-/* 7329 */    MCD_OPC_Decode, 193, 8, 39, // Opcode: LD3WB_16B_fixed
-/* 7333 */    MCD_OPC_CheckPredicate, 0, 141, 150, // Skip to: 45878
-/* 7337 */    MCD_OPC_Decode, 194, 8, 39, // Opcode: LD3WB_16B_register
-/* 7341 */    MCD_OPC_FilterValue, 17, 29, 0, // Skip to: 7374
-/* 7345 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7348 */    MCD_OPC_FilterValue, 0, 126, 150, // Skip to: 45878
-/* 7352 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7366
-/* 7356 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7366
-/* 7362 */    MCD_OPC_Decode, 205, 8, 39, // Opcode: LD3WB_8H_fixed
-/* 7366 */    MCD_OPC_CheckPredicate, 0, 108, 150, // Skip to: 45878
-/* 7370 */    MCD_OPC_Decode, 206, 8, 39, // Opcode: LD3WB_8H_register
-/* 7374 */    MCD_OPC_FilterValue, 18, 29, 0, // Skip to: 7407
-/* 7378 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7381 */    MCD_OPC_FilterValue, 0, 93, 150, // Skip to: 45878
-/* 7385 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7399
-/* 7389 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7399
-/* 7395 */    MCD_OPC_Decode, 201, 8, 39, // Opcode: LD3WB_4S_fixed
-/* 7399 */    MCD_OPC_CheckPredicate, 0, 75, 150, // Skip to: 45878
-/* 7403 */    MCD_OPC_Decode, 202, 8, 39, // Opcode: LD3WB_4S_register
-/* 7407 */    MCD_OPC_FilterValue, 19, 29, 0, // Skip to: 7440
-/* 7411 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7414 */    MCD_OPC_FilterValue, 0, 60, 150, // Skip to: 45878
-/* 7418 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7432
-/* 7422 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7432
-/* 7428 */    MCD_OPC_Decode, 195, 8, 39, // Opcode: LD3WB_2D_fixed
-/* 7432 */    MCD_OPC_CheckPredicate, 0, 42, 150, // Skip to: 45878
-/* 7436 */    MCD_OPC_Decode, 196, 8, 39, // Opcode: LD3WB_2D_register
-/* 7440 */    MCD_OPC_FilterValue, 24, 29, 0, // Skip to: 7473
-/* 7444 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7447 */    MCD_OPC_FilterValue, 0, 27, 150, // Skip to: 45878
-/* 7451 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7465
-/* 7455 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7465
-/* 7461 */    MCD_OPC_Decode, 180, 7, 39, // Opcode: LD1x3WB_16B_fixed
-/* 7465 */    MCD_OPC_CheckPredicate, 0, 9, 150, // Skip to: 45878
-/* 7469 */    MCD_OPC_Decode, 181, 7, 39, // Opcode: LD1x3WB_16B_register
-/* 7473 */    MCD_OPC_FilterValue, 25, 29, 0, // Skip to: 7506
-/* 7477 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7480 */    MCD_OPC_FilterValue, 0, 250, 149, // Skip to: 45878
-/* 7484 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7498
-/* 7488 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7498
-/* 7494 */    MCD_OPC_Decode, 194, 7, 39, // Opcode: LD1x3WB_8H_fixed
-/* 7498 */    MCD_OPC_CheckPredicate, 0, 232, 149, // Skip to: 45878
-/* 7502 */    MCD_OPC_Decode, 195, 7, 39, // Opcode: LD1x3WB_8H_register
-/* 7506 */    MCD_OPC_FilterValue, 26, 29, 0, // Skip to: 7539
-/* 7510 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7513 */    MCD_OPC_FilterValue, 0, 217, 149, // Skip to: 45878
-/* 7517 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7531
-/* 7521 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7531
-/* 7527 */    MCD_OPC_Decode, 190, 7, 39, // Opcode: LD1x3WB_4S_fixed
-/* 7531 */    MCD_OPC_CheckPredicate, 0, 199, 149, // Skip to: 45878
-/* 7535 */    MCD_OPC_Decode, 191, 7, 39, // Opcode: LD1x3WB_4S_register
-/* 7539 */    MCD_OPC_FilterValue, 27, 29, 0, // Skip to: 7572
-/* 7543 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7546 */    MCD_OPC_FilterValue, 0, 184, 149, // Skip to: 45878
-/* 7550 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7564
-/* 7554 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7564
-/* 7560 */    MCD_OPC_Decode, 184, 7, 39, // Opcode: LD1x3WB_2D_fixed
-/* 7564 */    MCD_OPC_CheckPredicate, 0, 166, 149, // Skip to: 45878
-/* 7568 */    MCD_OPC_Decode, 185, 7, 39, // Opcode: LD1x3WB_2D_register
-/* 7572 */    MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 7605
-/* 7576 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7579 */    MCD_OPC_FilterValue, 0, 151, 149, // Skip to: 45878
-/* 7583 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7597
-/* 7587 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7597
-/* 7593 */    MCD_OPC_Decode, 132, 7, 39, // Opcode: LD1WB_16B_fixed
-/* 7597 */    MCD_OPC_CheckPredicate, 0, 133, 149, // Skip to: 45878
-/* 7601 */    MCD_OPC_Decode, 133, 7, 39, // Opcode: LD1WB_16B_register
-/* 7605 */    MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 7638
-/* 7609 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7612 */    MCD_OPC_FilterValue, 0, 118, 149, // Skip to: 45878
-/* 7616 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7630
-/* 7620 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7630
-/* 7626 */    MCD_OPC_Decode, 146, 7, 39, // Opcode: LD1WB_8H_fixed
-/* 7630 */    MCD_OPC_CheckPredicate, 0, 100, 149, // Skip to: 45878
-/* 7634 */    MCD_OPC_Decode, 147, 7, 39, // Opcode: LD1WB_8H_register
-/* 7638 */    MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 7671
-/* 7642 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7645 */    MCD_OPC_FilterValue, 0, 85, 149, // Skip to: 45878
-/* 7649 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7663
-/* 7653 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7663
-/* 7659 */    MCD_OPC_Decode, 142, 7, 39, // Opcode: LD1WB_4S_fixed
-/* 7663 */    MCD_OPC_CheckPredicate, 0, 67, 149, // Skip to: 45878
-/* 7667 */    MCD_OPC_Decode, 143, 7, 39, // Opcode: LD1WB_4S_register
-/* 7671 */    MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 7704
-/* 7675 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7678 */    MCD_OPC_FilterValue, 0, 52, 149, // Skip to: 45878
-/* 7682 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7696
-/* 7686 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7696
-/* 7692 */    MCD_OPC_Decode, 136, 7, 39, // Opcode: LD1WB_2D_fixed
-/* 7696 */    MCD_OPC_CheckPredicate, 0, 34, 149, // Skip to: 45878
-/* 7700 */    MCD_OPC_Decode, 137, 7, 39, // Opcode: LD1WB_2D_register
-/* 7704 */    MCD_OPC_FilterValue, 32, 29, 0, // Skip to: 7737
-/* 7708 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7711 */    MCD_OPC_FilterValue, 0, 19, 149, // Skip to: 45878
-/* 7715 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7729
-/* 7719 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7729
-/* 7725 */    MCD_OPC_Decode, 136, 8, 39, // Opcode: LD2WB_16B_fixed
-/* 7729 */    MCD_OPC_CheckPredicate, 0, 1, 149, // Skip to: 45878
-/* 7733 */    MCD_OPC_Decode, 137, 8, 39, // Opcode: LD2WB_16B_register
-/* 7737 */    MCD_OPC_FilterValue, 33, 29, 0, // Skip to: 7770
-/* 7741 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7744 */    MCD_OPC_FilterValue, 0, 242, 148, // Skip to: 45878
-/* 7748 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7762
-/* 7752 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7762
-/* 7758 */    MCD_OPC_Decode, 148, 8, 39, // Opcode: LD2WB_8H_fixed
-/* 7762 */    MCD_OPC_CheckPredicate, 0, 224, 148, // Skip to: 45878
-/* 7766 */    MCD_OPC_Decode, 149, 8, 39, // Opcode: LD2WB_8H_register
-/* 7770 */    MCD_OPC_FilterValue, 34, 29, 0, // Skip to: 7803
-/* 7774 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7777 */    MCD_OPC_FilterValue, 0, 209, 148, // Skip to: 45878
-/* 7781 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7795
-/* 7785 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7795
-/* 7791 */    MCD_OPC_Decode, 144, 8, 39, // Opcode: LD2WB_4S_fixed
-/* 7795 */    MCD_OPC_CheckPredicate, 0, 191, 148, // Skip to: 45878
-/* 7799 */    MCD_OPC_Decode, 145, 8, 39, // Opcode: LD2WB_4S_register
-/* 7803 */    MCD_OPC_FilterValue, 35, 29, 0, // Skip to: 7836
-/* 7807 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7810 */    MCD_OPC_FilterValue, 0, 176, 148, // Skip to: 45878
-/* 7814 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7828
-/* 7818 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7828
-/* 7824 */    MCD_OPC_Decode, 138, 8, 39, // Opcode: LD2WB_2D_fixed
-/* 7828 */    MCD_OPC_CheckPredicate, 0, 158, 148, // Skip to: 45878
-/* 7832 */    MCD_OPC_Decode, 139, 8, 39, // Opcode: LD2WB_2D_register
-/* 7836 */    MCD_OPC_FilterValue, 40, 29, 0, // Skip to: 7869
-/* 7840 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7843 */    MCD_OPC_FilterValue, 0, 143, 148, // Skip to: 45878
-/* 7847 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7861
-/* 7851 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7861
-/* 7857 */    MCD_OPC_Decode, 156, 7, 39, // Opcode: LD1x2WB_16B_fixed
-/* 7861 */    MCD_OPC_CheckPredicate, 0, 125, 148, // Skip to: 45878
-/* 7865 */    MCD_OPC_Decode, 157, 7, 39, // Opcode: LD1x2WB_16B_register
-/* 7869 */    MCD_OPC_FilterValue, 41, 29, 0, // Skip to: 7902
-/* 7873 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7876 */    MCD_OPC_FilterValue, 0, 110, 148, // Skip to: 45878
-/* 7880 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7894
-/* 7884 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7894
-/* 7890 */    MCD_OPC_Decode, 170, 7, 39, // Opcode: LD1x2WB_8H_fixed
-/* 7894 */    MCD_OPC_CheckPredicate, 0, 92, 148, // Skip to: 45878
-/* 7898 */    MCD_OPC_Decode, 171, 7, 39, // Opcode: LD1x2WB_8H_register
-/* 7902 */    MCD_OPC_FilterValue, 42, 29, 0, // Skip to: 7935
-/* 7906 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7909 */    MCD_OPC_FilterValue, 0, 77, 148, // Skip to: 45878
-/* 7913 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7927
-/* 7917 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7927
-/* 7923 */    MCD_OPC_Decode, 166, 7, 39, // Opcode: LD1x2WB_4S_fixed
-/* 7927 */    MCD_OPC_CheckPredicate, 0, 59, 148, // Skip to: 45878
-/* 7931 */    MCD_OPC_Decode, 167, 7, 39, // Opcode: LD1x2WB_4S_register
-/* 7935 */    MCD_OPC_FilterValue, 43, 51, 148, // Skip to: 45878
-/* 7939 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 7942 */    MCD_OPC_FilterValue, 0, 44, 148, // Skip to: 45878
-/* 7946 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 7960
-/* 7950 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 7960
-/* 7956 */    MCD_OPC_Decode, 160, 7, 39, // Opcode: LD1x2WB_2D_fixed
-/* 7960 */    MCD_OPC_CheckPredicate, 0, 26, 148, // Skip to: 45878
-/* 7964 */    MCD_OPC_Decode, 161, 7, 39, // Opcode: LD1x2WB_2D_register
-/* 7968 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7980
-/* 7972 */    MCD_OPC_CheckPredicate, 1, 14, 148, // Skip to: 45878
-/* 7976 */    MCD_OPC_Decode, 210, 10, 1, // Opcode: LSFPPair64_PostInd_LDR
-/* 7980 */    MCD_OPC_FilterValue, 5, 6, 148, // Skip to: 45878
-/* 7984 */    MCD_OPC_CheckPredicate, 1, 2, 148, // Skip to: 45878
-/* 7988 */    MCD_OPC_Decode, 194, 10, 1, // Opcode: LSFPPair128_PostInd_LDR
-/* 7992 */    MCD_OPC_FilterValue, 4, 167, 1, // Skip to: 8419
-/* 7996 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
-/* 7999 */    MCD_OPC_FilterValue, 0, 117, 1, // Skip to: 8376
-/* 8003 */    MCD_OPC_ExtractField, 13, 9,  // Inst{21-13} ...
-/* 8006 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8024
-/* 8010 */    MCD_OPC_CheckPredicate, 0, 232, 147, // Skip to: 45878
-/* 8014 */    MCD_OPC_CheckField, 31, 1, 0, 226, 147, // Skip to: 45878
-/* 8020 */    MCD_OPC_Decode, 251, 15, 40, // Opcode: ST1LN_B
-/* 8024 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 8042
-/* 8028 */    MCD_OPC_CheckPredicate, 0, 214, 147, // Skip to: 45878
-/* 8032 */    MCD_OPC_CheckField, 31, 1, 0, 208, 147, // Skip to: 45878
-/* 8038 */    MCD_OPC_Decode, 136, 17, 41, // Opcode: ST3LN_B
-/* 8042 */    MCD_OPC_FilterValue, 2, 20, 0, // Skip to: 8066
-/* 8046 */    MCD_OPC_CheckPredicate, 0, 196, 147, // Skip to: 45878
-/* 8050 */    MCD_OPC_CheckField, 31, 1, 0, 190, 147, // Skip to: 45878
-/* 8056 */    MCD_OPC_CheckField, 10, 1, 0, 184, 147, // Skip to: 45878
-/* 8062 */    MCD_OPC_Decode, 253, 15, 42, // Opcode: ST1LN_H
-/* 8066 */    MCD_OPC_FilterValue, 3, 20, 0, // Skip to: 8090
-/* 8070 */    MCD_OPC_CheckPredicate, 0, 172, 147, // Skip to: 45878
-/* 8074 */    MCD_OPC_CheckField, 31, 1, 0, 166, 147, // Skip to: 45878
-/* 8080 */    MCD_OPC_CheckField, 10, 1, 0, 160, 147, // Skip to: 45878
-/* 8086 */    MCD_OPC_Decode, 138, 17, 43, // Opcode: ST3LN_H
-/* 8090 */    MCD_OPC_FilterValue, 4, 45, 0, // Skip to: 8139
-/* 8094 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8097 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8115
-/* 8101 */    MCD_OPC_CheckPredicate, 0, 141, 147, // Skip to: 45878
-/* 8105 */    MCD_OPC_CheckField, 31, 1, 0, 135, 147, // Skip to: 45878
-/* 8111 */    MCD_OPC_Decode, 254, 15, 44, // Opcode: ST1LN_S
-/* 8115 */    MCD_OPC_FilterValue, 1, 127, 147, // Skip to: 45878
-/* 8119 */    MCD_OPC_CheckPredicate, 0, 123, 147, // Skip to: 45878
-/* 8123 */    MCD_OPC_CheckField, 31, 1, 0, 117, 147, // Skip to: 45878
-/* 8129 */    MCD_OPC_CheckField, 12, 1, 0, 111, 147, // Skip to: 45878
-/* 8135 */    MCD_OPC_Decode, 252, 15, 45, // Opcode: ST1LN_D
-/* 8139 */    MCD_OPC_FilterValue, 5, 45, 0, // Skip to: 8188
-/* 8143 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8146 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8164
-/* 8150 */    MCD_OPC_CheckPredicate, 0, 92, 147, // Skip to: 45878
-/* 8154 */    MCD_OPC_CheckField, 31, 1, 0, 86, 147, // Skip to: 45878
-/* 8160 */    MCD_OPC_Decode, 139, 17, 46, // Opcode: ST3LN_S
-/* 8164 */    MCD_OPC_FilterValue, 1, 78, 147, // Skip to: 45878
-/* 8168 */    MCD_OPC_CheckPredicate, 0, 74, 147, // Skip to: 45878
-/* 8172 */    MCD_OPC_CheckField, 31, 1, 0, 68, 147, // Skip to: 45878
-/* 8178 */    MCD_OPC_CheckField, 12, 1, 0, 62, 147, // Skip to: 45878
-/* 8184 */    MCD_OPC_Decode, 137, 17, 47, // Opcode: ST3LN_D
-/* 8188 */    MCD_OPC_FilterValue, 128, 2, 14, 0, // Skip to: 8207
-/* 8193 */    MCD_OPC_CheckPredicate, 0, 49, 147, // Skip to: 45878
-/* 8197 */    MCD_OPC_CheckField, 31, 1, 0, 43, 147, // Skip to: 45878
-/* 8203 */    MCD_OPC_Decode, 231, 16, 48, // Opcode: ST2LN_B
-/* 8207 */    MCD_OPC_FilterValue, 129, 2, 14, 0, // Skip to: 8226
-/* 8212 */    MCD_OPC_CheckPredicate, 0, 30, 147, // Skip to: 45878
-/* 8216 */    MCD_OPC_CheckField, 31, 1, 0, 24, 147, // Skip to: 45878
-/* 8222 */    MCD_OPC_Decode, 169, 17, 49, // Opcode: ST4LN_B
-/* 8226 */    MCD_OPC_FilterValue, 130, 2, 20, 0, // Skip to: 8251
-/* 8231 */    MCD_OPC_CheckPredicate, 0, 11, 147, // Skip to: 45878
-/* 8235 */    MCD_OPC_CheckField, 31, 1, 0, 5, 147, // Skip to: 45878
-/* 8241 */    MCD_OPC_CheckField, 10, 1, 0, 255, 146, // Skip to: 45878
-/* 8247 */    MCD_OPC_Decode, 233, 16, 50, // Opcode: ST2LN_H
-/* 8251 */    MCD_OPC_FilterValue, 131, 2, 20, 0, // Skip to: 8276
-/* 8256 */    MCD_OPC_CheckPredicate, 0, 242, 146, // Skip to: 45878
-/* 8260 */    MCD_OPC_CheckField, 31, 1, 0, 236, 146, // Skip to: 45878
-/* 8266 */    MCD_OPC_CheckField, 10, 1, 0, 230, 146, // Skip to: 45878
-/* 8272 */    MCD_OPC_Decode, 171, 17, 51, // Opcode: ST4LN_H
-/* 8276 */    MCD_OPC_FilterValue, 132, 2, 45, 0, // Skip to: 8326
-/* 8281 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8284 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8302
-/* 8288 */    MCD_OPC_CheckPredicate, 0, 210, 146, // Skip to: 45878
-/* 8292 */    MCD_OPC_CheckField, 31, 1, 0, 204, 146, // Skip to: 45878
-/* 8298 */    MCD_OPC_Decode, 234, 16, 52, // Opcode: ST2LN_S
-/* 8302 */    MCD_OPC_FilterValue, 1, 196, 146, // Skip to: 45878
-/* 8306 */    MCD_OPC_CheckPredicate, 0, 192, 146, // Skip to: 45878
-/* 8310 */    MCD_OPC_CheckField, 31, 1, 0, 186, 146, // Skip to: 45878
-/* 8316 */    MCD_OPC_CheckField, 12, 1, 0, 180, 146, // Skip to: 45878
-/* 8322 */    MCD_OPC_Decode, 232, 16, 53, // Opcode: ST2LN_D
-/* 8326 */    MCD_OPC_FilterValue, 133, 2, 171, 146, // Skip to: 45878
-/* 8331 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8334 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8352
-/* 8338 */    MCD_OPC_CheckPredicate, 0, 160, 146, // Skip to: 45878
-/* 8342 */    MCD_OPC_CheckField, 31, 1, 0, 154, 146, // Skip to: 45878
-/* 8348 */    MCD_OPC_Decode, 172, 17, 54, // Opcode: ST4LN_S
-/* 8352 */    MCD_OPC_FilterValue, 1, 146, 146, // Skip to: 45878
-/* 8356 */    MCD_OPC_CheckPredicate, 0, 142, 146, // Skip to: 45878
-/* 8360 */    MCD_OPC_CheckField, 31, 1, 0, 136, 146, // Skip to: 45878
-/* 8366 */    MCD_OPC_CheckField, 12, 1, 0, 130, 146, // Skip to: 45878
-/* 8372 */    MCD_OPC_Decode, 170, 17, 55, // Opcode: ST4LN_D
-/* 8376 */    MCD_OPC_FilterValue, 1, 122, 146, // Skip to: 45878
-/* 8380 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8383 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8395
-/* 8387 */    MCD_OPC_CheckPredicate, 1, 111, 146, // Skip to: 45878
-/* 8391 */    MCD_OPC_Decode, 206, 10, 1, // Opcode: LSFPPair32_STR
-/* 8395 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8407
-/* 8399 */    MCD_OPC_CheckPredicate, 1, 99, 146, // Skip to: 45878
-/* 8403 */    MCD_OPC_Decode, 214, 10, 1, // Opcode: LSFPPair64_STR
-/* 8407 */    MCD_OPC_FilterValue, 2, 91, 146, // Skip to: 45878
-/* 8411 */    MCD_OPC_CheckPredicate, 1, 87, 146, // Skip to: 45878
-/* 8415 */    MCD_OPC_Decode, 198, 10, 1, // Opcode: LSFPPair128_STR
-/* 8419 */    MCD_OPC_FilterValue, 5, 181, 3, // Skip to: 9372
-/* 8423 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
-/* 8426 */    MCD_OPC_FilterValue, 0, 131, 3, // Skip to: 9329
-/* 8430 */    MCD_OPC_ExtractField, 13, 9,  // Inst{21-13} ...
-/* 8433 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8451
-/* 8437 */    MCD_OPC_CheckPredicate, 0, 61, 146, // Skip to: 45878
-/* 8441 */    MCD_OPC_CheckField, 31, 1, 0, 55, 146, // Skip to: 45878
-/* 8447 */    MCD_OPC_Decode, 224, 6, 56, // Opcode: LD1LN_B
-/* 8451 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 8469
-/* 8455 */    MCD_OPC_CheckPredicate, 0, 43, 146, // Skip to: 45878
-/* 8459 */    MCD_OPC_CheckField, 31, 1, 0, 37, 146, // Skip to: 45878
-/* 8465 */    MCD_OPC_Decode, 157, 8, 57, // Opcode: LD3LN_B
-/* 8469 */    MCD_OPC_FilterValue, 2, 20, 0, // Skip to: 8493
-/* 8473 */    MCD_OPC_CheckPredicate, 0, 25, 146, // Skip to: 45878
-/* 8477 */    MCD_OPC_CheckField, 31, 1, 0, 19, 146, // Skip to: 45878
-/* 8483 */    MCD_OPC_CheckField, 10, 1, 0, 13, 146, // Skip to: 45878
-/* 8489 */    MCD_OPC_Decode, 226, 6, 58, // Opcode: LD1LN_H
-/* 8493 */    MCD_OPC_FilterValue, 3, 20, 0, // Skip to: 8517
-/* 8497 */    MCD_OPC_CheckPredicate, 0, 1, 146, // Skip to: 45878
-/* 8501 */    MCD_OPC_CheckField, 31, 1, 0, 251, 145, // Skip to: 45878
-/* 8507 */    MCD_OPC_CheckField, 10, 1, 0, 245, 145, // Skip to: 45878
-/* 8513 */    MCD_OPC_Decode, 159, 8, 59, // Opcode: LD3LN_H
-/* 8517 */    MCD_OPC_FilterValue, 4, 45, 0, // Skip to: 8566
-/* 8521 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8524 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8542
-/* 8528 */    MCD_OPC_CheckPredicate, 0, 226, 145, // Skip to: 45878
-/* 8532 */    MCD_OPC_CheckField, 31, 1, 0, 220, 145, // Skip to: 45878
-/* 8538 */    MCD_OPC_Decode, 227, 6, 60, // Opcode: LD1LN_S
-/* 8542 */    MCD_OPC_FilterValue, 1, 212, 145, // Skip to: 45878
-/* 8546 */    MCD_OPC_CheckPredicate, 0, 208, 145, // Skip to: 45878
-/* 8550 */    MCD_OPC_CheckField, 31, 1, 0, 202, 145, // Skip to: 45878
-/* 8556 */    MCD_OPC_CheckField, 12, 1, 0, 196, 145, // Skip to: 45878
-/* 8562 */    MCD_OPC_Decode, 225, 6, 61, // Opcode: LD1LN_D
-/* 8566 */    MCD_OPC_FilterValue, 5, 45, 0, // Skip to: 8615
-/* 8570 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8573 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8591
-/* 8577 */    MCD_OPC_CheckPredicate, 0, 177, 145, // Skip to: 45878
-/* 8581 */    MCD_OPC_CheckField, 31, 1, 0, 171, 145, // Skip to: 45878
-/* 8587 */    MCD_OPC_Decode, 160, 8, 62, // Opcode: LD3LN_S
-/* 8591 */    MCD_OPC_FilterValue, 1, 163, 145, // Skip to: 45878
-/* 8595 */    MCD_OPC_CheckPredicate, 0, 159, 145, // Skip to: 45878
-/* 8599 */    MCD_OPC_CheckField, 31, 1, 0, 153, 145, // Skip to: 45878
-/* 8605 */    MCD_OPC_CheckField, 12, 1, 0, 147, 145, // Skip to: 45878
-/* 8611 */    MCD_OPC_Decode, 158, 8, 63, // Opcode: LD3LN_D
-/* 8615 */    MCD_OPC_FilterValue, 6, 127, 0, // Skip to: 8746
-/* 8619 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
-/* 8622 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 8653
-/* 8626 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8629 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8641
-/* 8633 */    MCD_OPC_CheckPredicate, 0, 121, 145, // Skip to: 45878
-/* 8637 */    MCD_OPC_Decode, 242, 6, 33, // Opcode: LD1R_8B
-/* 8641 */    MCD_OPC_FilterValue, 1, 113, 145, // Skip to: 45878
-/* 8645 */    MCD_OPC_CheckPredicate, 0, 109, 145, // Skip to: 45878
-/* 8649 */    MCD_OPC_Decode, 236, 6, 37, // Opcode: LD1R_16B
-/* 8653 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 8684
-/* 8657 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8660 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8672
-/* 8664 */    MCD_OPC_CheckPredicate, 0, 90, 145, // Skip to: 45878
-/* 8668 */    MCD_OPC_Decode, 240, 6, 33, // Opcode: LD1R_4H
-/* 8672 */    MCD_OPC_FilterValue, 1, 82, 145, // Skip to: 45878
-/* 8676 */    MCD_OPC_CheckPredicate, 0, 78, 145, // Skip to: 45878
-/* 8680 */    MCD_OPC_Decode, 243, 6, 37, // Opcode: LD1R_8H
-/* 8684 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 8715
-/* 8688 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8691 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8703
-/* 8695 */    MCD_OPC_CheckPredicate, 0, 59, 145, // Skip to: 45878
-/* 8699 */    MCD_OPC_Decode, 239, 6, 33, // Opcode: LD1R_2S
-/* 8703 */    MCD_OPC_FilterValue, 1, 51, 145, // Skip to: 45878
-/* 8707 */    MCD_OPC_CheckPredicate, 0, 47, 145, // Skip to: 45878
-/* 8711 */    MCD_OPC_Decode, 241, 6, 37, // Opcode: LD1R_4S
-/* 8715 */    MCD_OPC_FilterValue, 3, 39, 145, // Skip to: 45878
-/* 8719 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8722 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8734
-/* 8726 */    MCD_OPC_CheckPredicate, 0, 28, 145, // Skip to: 45878
-/* 8730 */    MCD_OPC_Decode, 237, 6, 33, // Opcode: LD1R_1D
-/* 8734 */    MCD_OPC_FilterValue, 1, 20, 145, // Skip to: 45878
-/* 8738 */    MCD_OPC_CheckPredicate, 0, 16, 145, // Skip to: 45878
-/* 8742 */    MCD_OPC_Decode, 238, 6, 37, // Opcode: LD1R_2D
-/* 8746 */    MCD_OPC_FilterValue, 7, 127, 0, // Skip to: 8877
-/* 8750 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
-/* 8753 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 8784
-/* 8757 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8760 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8772
-/* 8764 */    MCD_OPC_CheckPredicate, 0, 246, 144, // Skip to: 45878
-/* 8768 */    MCD_OPC_Decode, 175, 8, 32, // Opcode: LD3R_8B
-/* 8772 */    MCD_OPC_FilterValue, 1, 238, 144, // Skip to: 45878
-/* 8776 */    MCD_OPC_CheckPredicate, 0, 234, 144, // Skip to: 45878
-/* 8780 */    MCD_OPC_Decode, 169, 8, 36, // Opcode: LD3R_16B
-/* 8784 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 8815
-/* 8788 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8791 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8803
-/* 8795 */    MCD_OPC_CheckPredicate, 0, 215, 144, // Skip to: 45878
-/* 8799 */    MCD_OPC_Decode, 173, 8, 32, // Opcode: LD3R_4H
-/* 8803 */    MCD_OPC_FilterValue, 1, 207, 144, // Skip to: 45878
-/* 8807 */    MCD_OPC_CheckPredicate, 0, 203, 144, // Skip to: 45878
-/* 8811 */    MCD_OPC_Decode, 176, 8, 36, // Opcode: LD3R_8H
-/* 8815 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 8846
-/* 8819 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8822 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8834
-/* 8826 */    MCD_OPC_CheckPredicate, 0, 184, 144, // Skip to: 45878
-/* 8830 */    MCD_OPC_Decode, 172, 8, 32, // Opcode: LD3R_2S
-/* 8834 */    MCD_OPC_FilterValue, 1, 176, 144, // Skip to: 45878
-/* 8838 */    MCD_OPC_CheckPredicate, 0, 172, 144, // Skip to: 45878
-/* 8842 */    MCD_OPC_Decode, 174, 8, 36, // Opcode: LD3R_4S
-/* 8846 */    MCD_OPC_FilterValue, 3, 164, 144, // Skip to: 45878
-/* 8850 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 8853 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8865
-/* 8857 */    MCD_OPC_CheckPredicate, 0, 153, 144, // Skip to: 45878
-/* 8861 */    MCD_OPC_Decode, 170, 8, 32, // Opcode: LD3R_1D
-/* 8865 */    MCD_OPC_FilterValue, 1, 145, 144, // Skip to: 45878
-/* 8869 */    MCD_OPC_CheckPredicate, 0, 141, 144, // Skip to: 45878
-/* 8873 */    MCD_OPC_Decode, 171, 8, 36, // Opcode: LD3R_2D
-/* 8877 */    MCD_OPC_FilterValue, 128, 2, 14, 0, // Skip to: 8896
-/* 8882 */    MCD_OPC_CheckPredicate, 0, 128, 144, // Skip to: 45878
-/* 8886 */    MCD_OPC_CheckField, 31, 1, 0, 122, 144, // Skip to: 45878
-/* 8892 */    MCD_OPC_Decode, 228, 7, 64, // Opcode: LD2LN_B
-/* 8896 */    MCD_OPC_FilterValue, 129, 2, 14, 0, // Skip to: 8915
-/* 8901 */    MCD_OPC_CheckPredicate, 0, 109, 144, // Skip to: 45878
-/* 8905 */    MCD_OPC_CheckField, 31, 1, 0, 103, 144, // Skip to: 45878
-/* 8911 */    MCD_OPC_Decode, 214, 8, 65, // Opcode: LD4LN_B
-/* 8915 */    MCD_OPC_FilterValue, 130, 2, 20, 0, // Skip to: 8940
-/* 8920 */    MCD_OPC_CheckPredicate, 0, 90, 144, // Skip to: 45878
-/* 8924 */    MCD_OPC_CheckField, 31, 1, 0, 84, 144, // Skip to: 45878
-/* 8930 */    MCD_OPC_CheckField, 10, 1, 0, 78, 144, // Skip to: 45878
-/* 8936 */    MCD_OPC_Decode, 230, 7, 66, // Opcode: LD2LN_H
-/* 8940 */    MCD_OPC_FilterValue, 131, 2, 20, 0, // Skip to: 8965
-/* 8945 */    MCD_OPC_CheckPredicate, 0, 65, 144, // Skip to: 45878
-/* 8949 */    MCD_OPC_CheckField, 31, 1, 0, 59, 144, // Skip to: 45878
-/* 8955 */    MCD_OPC_CheckField, 10, 1, 0, 53, 144, // Skip to: 45878
-/* 8961 */    MCD_OPC_Decode, 216, 8, 67, // Opcode: LD4LN_H
-/* 8965 */    MCD_OPC_FilterValue, 132, 2, 45, 0, // Skip to: 9015
-/* 8970 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 8973 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 8991
-/* 8977 */    MCD_OPC_CheckPredicate, 0, 33, 144, // Skip to: 45878
-/* 8981 */    MCD_OPC_CheckField, 31, 1, 0, 27, 144, // Skip to: 45878
-/* 8987 */    MCD_OPC_Decode, 231, 7, 68, // Opcode: LD2LN_S
-/* 8991 */    MCD_OPC_FilterValue, 1, 19, 144, // Skip to: 45878
-/* 8995 */    MCD_OPC_CheckPredicate, 0, 15, 144, // Skip to: 45878
-/* 8999 */    MCD_OPC_CheckField, 31, 1, 0, 9, 144, // Skip to: 45878
-/* 9005 */    MCD_OPC_CheckField, 12, 1, 0, 3, 144, // Skip to: 45878
-/* 9011 */    MCD_OPC_Decode, 229, 7, 69, // Opcode: LD2LN_D
-/* 9015 */    MCD_OPC_FilterValue, 133, 2, 45, 0, // Skip to: 9065
-/* 9020 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 9023 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 9041
-/* 9027 */    MCD_OPC_CheckPredicate, 0, 239, 143, // Skip to: 45878
-/* 9031 */    MCD_OPC_CheckField, 31, 1, 0, 233, 143, // Skip to: 45878
-/* 9037 */    MCD_OPC_Decode, 217, 8, 70, // Opcode: LD4LN_S
-/* 9041 */    MCD_OPC_FilterValue, 1, 225, 143, // Skip to: 45878
-/* 9045 */    MCD_OPC_CheckPredicate, 0, 221, 143, // Skip to: 45878
-/* 9049 */    MCD_OPC_CheckField, 31, 1, 0, 215, 143, // Skip to: 45878
-/* 9055 */    MCD_OPC_CheckField, 12, 1, 0, 209, 143, // Skip to: 45878
-/* 9061 */    MCD_OPC_Decode, 215, 8, 71, // Opcode: LD4LN_D
-/* 9065 */    MCD_OPC_FilterValue, 134, 2, 127, 0, // Skip to: 9197
-/* 9070 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
-/* 9073 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 9104
-/* 9077 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9080 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9092
-/* 9084 */    MCD_OPC_CheckPredicate, 0, 182, 143, // Skip to: 45878
-/* 9088 */    MCD_OPC_Decode, 246, 7, 34, // Opcode: LD2R_8B
-/* 9092 */    MCD_OPC_FilterValue, 1, 174, 143, // Skip to: 45878
-/* 9096 */    MCD_OPC_CheckPredicate, 0, 170, 143, // Skip to: 45878
-/* 9100 */    MCD_OPC_Decode, 240, 7, 38, // Opcode: LD2R_16B
-/* 9104 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 9135
-/* 9108 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9111 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9123
-/* 9115 */    MCD_OPC_CheckPredicate, 0, 151, 143, // Skip to: 45878
-/* 9119 */    MCD_OPC_Decode, 244, 7, 34, // Opcode: LD2R_4H
-/* 9123 */    MCD_OPC_FilterValue, 1, 143, 143, // Skip to: 45878
-/* 9127 */    MCD_OPC_CheckPredicate, 0, 139, 143, // Skip to: 45878
-/* 9131 */    MCD_OPC_Decode, 247, 7, 38, // Opcode: LD2R_8H
-/* 9135 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 9166
-/* 9139 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9142 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9154
-/* 9146 */    MCD_OPC_CheckPredicate, 0, 120, 143, // Skip to: 45878
-/* 9150 */    MCD_OPC_Decode, 243, 7, 34, // Opcode: LD2R_2S
-/* 9154 */    MCD_OPC_FilterValue, 1, 112, 143, // Skip to: 45878
-/* 9158 */    MCD_OPC_CheckPredicate, 0, 108, 143, // Skip to: 45878
-/* 9162 */    MCD_OPC_Decode, 245, 7, 38, // Opcode: LD2R_4S
-/* 9166 */    MCD_OPC_FilterValue, 3, 100, 143, // Skip to: 45878
-/* 9170 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9173 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9185
-/* 9177 */    MCD_OPC_CheckPredicate, 0, 89, 143, // Skip to: 45878
-/* 9181 */    MCD_OPC_Decode, 241, 7, 34, // Opcode: LD2R_1D
-/* 9185 */    MCD_OPC_FilterValue, 1, 81, 143, // Skip to: 45878
-/* 9189 */    MCD_OPC_CheckPredicate, 0, 77, 143, // Skip to: 45878
-/* 9193 */    MCD_OPC_Decode, 242, 7, 38, // Opcode: LD2R_2D
-/* 9197 */    MCD_OPC_FilterValue, 135, 2, 68, 143, // Skip to: 45878
-/* 9202 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
-/* 9205 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 9236
-/* 9209 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9212 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9224
-/* 9216 */    MCD_OPC_CheckPredicate, 0, 50, 143, // Skip to: 45878
-/* 9220 */    MCD_OPC_Decode, 232, 8, 31, // Opcode: LD4R_8B
-/* 9224 */    MCD_OPC_FilterValue, 1, 42, 143, // Skip to: 45878
-/* 9228 */    MCD_OPC_CheckPredicate, 0, 38, 143, // Skip to: 45878
-/* 9232 */    MCD_OPC_Decode, 226, 8, 35, // Opcode: LD4R_16B
-/* 9236 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 9267
-/* 9240 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9243 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9255
-/* 9247 */    MCD_OPC_CheckPredicate, 0, 19, 143, // Skip to: 45878
-/* 9251 */    MCD_OPC_Decode, 230, 8, 31, // Opcode: LD4R_4H
-/* 9255 */    MCD_OPC_FilterValue, 1, 11, 143, // Skip to: 45878
-/* 9259 */    MCD_OPC_CheckPredicate, 0, 7, 143, // Skip to: 45878
-/* 9263 */    MCD_OPC_Decode, 233, 8, 35, // Opcode: LD4R_8H
-/* 9267 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 9298
-/* 9271 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9274 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9286
-/* 9278 */    MCD_OPC_CheckPredicate, 0, 244, 142, // Skip to: 45878
-/* 9282 */    MCD_OPC_Decode, 229, 8, 31, // Opcode: LD4R_2S
-/* 9286 */    MCD_OPC_FilterValue, 1, 236, 142, // Skip to: 45878
-/* 9290 */    MCD_OPC_CheckPredicate, 0, 232, 142, // Skip to: 45878
-/* 9294 */    MCD_OPC_Decode, 231, 8, 35, // Opcode: LD4R_4S
-/* 9298 */    MCD_OPC_FilterValue, 3, 224, 142, // Skip to: 45878
-/* 9302 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9305 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9317
-/* 9309 */    MCD_OPC_CheckPredicate, 0, 213, 142, // Skip to: 45878
-/* 9313 */    MCD_OPC_Decode, 227, 8, 31, // Opcode: LD4R_1D
-/* 9317 */    MCD_OPC_FilterValue, 1, 205, 142, // Skip to: 45878
-/* 9321 */    MCD_OPC_CheckPredicate, 0, 201, 142, // Skip to: 45878
-/* 9325 */    MCD_OPC_Decode, 228, 8, 35, // Opcode: LD4R_2D
-/* 9329 */    MCD_OPC_FilterValue, 1, 193, 142, // Skip to: 45878
-/* 9333 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 9336 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9348
-/* 9340 */    MCD_OPC_CheckPredicate, 1, 182, 142, // Skip to: 45878
-/* 9344 */    MCD_OPC_Decode, 199, 10, 1, // Opcode: LSFPPair32_LDR
-/* 9348 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9360
-/* 9352 */    MCD_OPC_CheckPredicate, 1, 170, 142, // Skip to: 45878
-/* 9356 */    MCD_OPC_Decode, 207, 10, 1, // Opcode: LSFPPair64_LDR
-/* 9360 */    MCD_OPC_FilterValue, 2, 162, 142, // Skip to: 45878
-/* 9364 */    MCD_OPC_CheckPredicate, 1, 158, 142, // Skip to: 45878
-/* 9368 */    MCD_OPC_Decode, 191, 10, 1, // Opcode: LSFPPair128_LDR
-/* 9372 */    MCD_OPC_FilterValue, 6, 195, 2, // Skip to: 10083
-/* 9376 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
-/* 9379 */    MCD_OPC_FilterValue, 0, 145, 2, // Skip to: 10040
-/* 9383 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 9386 */    MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 9459
-/* 9390 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9393 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9426
-/* 9397 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9400 */    MCD_OPC_FilterValue, 0, 122, 142, // Skip to: 45878
-/* 9404 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9418
-/* 9408 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9418
-/* 9414 */    MCD_OPC_Decode, 255, 15, 72, // Opcode: ST1LN_WB_B_fixed
-/* 9418 */    MCD_OPC_CheckPredicate, 0, 104, 142, // Skip to: 45878
-/* 9422 */    MCD_OPC_Decode, 128, 16, 72, // Opcode: ST1LN_WB_B_register
-/* 9426 */    MCD_OPC_FilterValue, 1, 96, 142, // Skip to: 45878
-/* 9430 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9433 */    MCD_OPC_FilterValue, 0, 89, 142, // Skip to: 45878
-/* 9437 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9451
-/* 9441 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9451
-/* 9447 */    MCD_OPC_Decode, 235, 16, 72, // Opcode: ST2LN_WB_B_fixed
-/* 9451 */    MCD_OPC_CheckPredicate, 0, 71, 142, // Skip to: 45878
-/* 9455 */    MCD_OPC_Decode, 236, 16, 72, // Opcode: ST2LN_WB_B_register
-/* 9459 */    MCD_OPC_FilterValue, 1, 69, 0, // Skip to: 9532
-/* 9463 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9466 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9499
-/* 9470 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9473 */    MCD_OPC_FilterValue, 0, 49, 142, // Skip to: 45878
-/* 9477 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9491
-/* 9481 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9491
-/* 9487 */    MCD_OPC_Decode, 140, 17, 72, // Opcode: ST3LN_WB_B_fixed
-/* 9491 */    MCD_OPC_CheckPredicate, 0, 31, 142, // Skip to: 45878
-/* 9495 */    MCD_OPC_Decode, 141, 17, 72, // Opcode: ST3LN_WB_B_register
-/* 9499 */    MCD_OPC_FilterValue, 1, 23, 142, // Skip to: 45878
-/* 9503 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9506 */    MCD_OPC_FilterValue, 0, 16, 142, // Skip to: 45878
-/* 9510 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9524
-/* 9514 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9524
-/* 9520 */    MCD_OPC_Decode, 173, 17, 72, // Opcode: ST4LN_WB_B_fixed
-/* 9524 */    MCD_OPC_CheckPredicate, 0, 254, 141, // Skip to: 45878
-/* 9528 */    MCD_OPC_Decode, 174, 17, 72, // Opcode: ST4LN_WB_B_register
-/* 9532 */    MCD_OPC_FilterValue, 2, 83, 0, // Skip to: 9619
-/* 9536 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9539 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 9579
-/* 9543 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 9546 */    MCD_OPC_FilterValue, 0, 232, 141, // Skip to: 45878
-/* 9550 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9553 */    MCD_OPC_FilterValue, 0, 225, 141, // Skip to: 45878
-/* 9557 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9571
-/* 9561 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9571
-/* 9567 */    MCD_OPC_Decode, 131, 16, 72, // Opcode: ST1LN_WB_H_fixed
-/* 9571 */    MCD_OPC_CheckPredicate, 0, 207, 141, // Skip to: 45878
-/* 9575 */    MCD_OPC_Decode, 132, 16, 72, // Opcode: ST1LN_WB_H_register
-/* 9579 */    MCD_OPC_FilterValue, 1, 199, 141, // Skip to: 45878
-/* 9583 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 9586 */    MCD_OPC_FilterValue, 0, 192, 141, // Skip to: 45878
-/* 9590 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9593 */    MCD_OPC_FilterValue, 0, 185, 141, // Skip to: 45878
-/* 9597 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9611
-/* 9601 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9611
-/* 9607 */    MCD_OPC_Decode, 239, 16, 72, // Opcode: ST2LN_WB_H_fixed
-/* 9611 */    MCD_OPC_CheckPredicate, 0, 167, 141, // Skip to: 45878
-/* 9615 */    MCD_OPC_Decode, 240, 16, 72, // Opcode: ST2LN_WB_H_register
-/* 9619 */    MCD_OPC_FilterValue, 3, 83, 0, // Skip to: 9706
-/* 9623 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9626 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 9666
-/* 9630 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 9633 */    MCD_OPC_FilterValue, 0, 145, 141, // Skip to: 45878
-/* 9637 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9640 */    MCD_OPC_FilterValue, 0, 138, 141, // Skip to: 45878
-/* 9644 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9658
-/* 9648 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9658
-/* 9654 */    MCD_OPC_Decode, 144, 17, 72, // Opcode: ST3LN_WB_H_fixed
-/* 9658 */    MCD_OPC_CheckPredicate, 0, 120, 141, // Skip to: 45878
-/* 9662 */    MCD_OPC_Decode, 145, 17, 72, // Opcode: ST3LN_WB_H_register
-/* 9666 */    MCD_OPC_FilterValue, 1, 112, 141, // Skip to: 45878
-/* 9670 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 9673 */    MCD_OPC_FilterValue, 0, 105, 141, // Skip to: 45878
-/* 9677 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9680 */    MCD_OPC_FilterValue, 0, 98, 141, // Skip to: 45878
-/* 9684 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9698
-/* 9688 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9698
-/* 9694 */    MCD_OPC_Decode, 177, 17, 72, // Opcode: ST4LN_WB_H_fixed
-/* 9698 */    MCD_OPC_CheckPredicate, 0, 80, 141, // Skip to: 45878
-/* 9702 */    MCD_OPC_Decode, 178, 17, 72, // Opcode: ST4LN_WB_H_register
-/* 9706 */    MCD_OPC_FilterValue, 4, 163, 0, // Skip to: 9873
-/* 9710 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 9713 */    MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 9786
-/* 9717 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9720 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9753
-/* 9724 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9727 */    MCD_OPC_FilterValue, 0, 51, 141, // Skip to: 45878
-/* 9731 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9745
-/* 9735 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9745
-/* 9741 */    MCD_OPC_Decode, 133, 16, 72, // Opcode: ST1LN_WB_S_fixed
-/* 9745 */    MCD_OPC_CheckPredicate, 0, 33, 141, // Skip to: 45878
-/* 9749 */    MCD_OPC_Decode, 134, 16, 72, // Opcode: ST1LN_WB_S_register
-/* 9753 */    MCD_OPC_FilterValue, 1, 25, 141, // Skip to: 45878
-/* 9757 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9760 */    MCD_OPC_FilterValue, 0, 18, 141, // Skip to: 45878
-/* 9764 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9778
-/* 9768 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9778
-/* 9774 */    MCD_OPC_Decode, 241, 16, 72, // Opcode: ST2LN_WB_S_fixed
-/* 9778 */    MCD_OPC_CheckPredicate, 0, 0, 141, // Skip to: 45878
-/* 9782 */    MCD_OPC_Decode, 242, 16, 72, // Opcode: ST2LN_WB_S_register
-/* 9786 */    MCD_OPC_FilterValue, 1, 248, 140, // Skip to: 45878
-/* 9790 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9793 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 9833
-/* 9797 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 9800 */    MCD_OPC_FilterValue, 0, 234, 140, // Skip to: 45878
-/* 9804 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9807 */    MCD_OPC_FilterValue, 0, 227, 140, // Skip to: 45878
-/* 9811 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9825
-/* 9815 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9825
-/* 9821 */    MCD_OPC_Decode, 129, 16, 72, // Opcode: ST1LN_WB_D_fixed
-/* 9825 */    MCD_OPC_CheckPredicate, 0, 209, 140, // Skip to: 45878
-/* 9829 */    MCD_OPC_Decode, 130, 16, 72, // Opcode: ST1LN_WB_D_register
-/* 9833 */    MCD_OPC_FilterValue, 1, 201, 140, // Skip to: 45878
-/* 9837 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 9840 */    MCD_OPC_FilterValue, 0, 194, 140, // Skip to: 45878
-/* 9844 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9847 */    MCD_OPC_FilterValue, 0, 187, 140, // Skip to: 45878
-/* 9851 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9865
-/* 9855 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9865
-/* 9861 */    MCD_OPC_Decode, 237, 16, 72, // Opcode: ST2LN_WB_D_fixed
-/* 9865 */    MCD_OPC_CheckPredicate, 0, 169, 140, // Skip to: 45878
-/* 9869 */    MCD_OPC_Decode, 238, 16, 72, // Opcode: ST2LN_WB_D_register
-/* 9873 */    MCD_OPC_FilterValue, 5, 161, 140, // Skip to: 45878
-/* 9877 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 9880 */    MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 9953
-/* 9884 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9887 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9920
-/* 9891 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9894 */    MCD_OPC_FilterValue, 0, 140, 140, // Skip to: 45878
-/* 9898 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9912
-/* 9902 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9912
-/* 9908 */    MCD_OPC_Decode, 146, 17, 72, // Opcode: ST3LN_WB_S_fixed
-/* 9912 */    MCD_OPC_CheckPredicate, 0, 122, 140, // Skip to: 45878
-/* 9916 */    MCD_OPC_Decode, 147, 17, 72, // Opcode: ST3LN_WB_S_register
-/* 9920 */    MCD_OPC_FilterValue, 1, 114, 140, // Skip to: 45878
-/* 9924 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9927 */    MCD_OPC_FilterValue, 0, 107, 140, // Skip to: 45878
-/* 9931 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9945
-/* 9935 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9945
-/* 9941 */    MCD_OPC_Decode, 179, 17, 72, // Opcode: ST4LN_WB_S_fixed
-/* 9945 */    MCD_OPC_CheckPredicate, 0, 89, 140, // Skip to: 45878
-/* 9949 */    MCD_OPC_Decode, 180, 17, 72, // Opcode: ST4LN_WB_S_register
-/* 9953 */    MCD_OPC_FilterValue, 1, 81, 140, // Skip to: 45878
-/* 9957 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9960 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 10000
-/* 9964 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 9967 */    MCD_OPC_FilterValue, 0, 67, 140, // Skip to: 45878
-/* 9971 */    MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 9974 */    MCD_OPC_FilterValue, 0, 60, 140, // Skip to: 45878
-/* 9978 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 9992
-/* 9982 */    MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 9992
-/* 9988 */    MCD_OPC_Decode, 142, 17, 72, // Opcode: ST3LN_WB_D_fixed
-/* 9992 */    MCD_OPC_CheckPredicate, 0, 42, 140, // Skip to: 45878
-/* 9996 */    MCD_OPC_Decode, 143, 17, 72, // Opcode: ST3LN_WB_D_register
-/* 10000 */   MCD_OPC_FilterValue, 1, 34, 140, // Skip to: 45878
-/* 10004 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 10007 */   MCD_OPC_FilterValue, 0, 27, 140, // Skip to: 45878
-/* 10011 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10014 */   MCD_OPC_FilterValue, 0, 20, 140, // Skip to: 45878
-/* 10018 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10032
-/* 10022 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10032
-/* 10028 */   MCD_OPC_Decode, 175, 17, 72, // Opcode: ST4LN_WB_D_fixed
-/* 10032 */   MCD_OPC_CheckPredicate, 0, 2, 140, // Skip to: 45878
-/* 10036 */   MCD_OPC_Decode, 176, 17, 72, // Opcode: ST4LN_WB_D_register
-/* 10040 */   MCD_OPC_FilterValue, 1, 250, 139, // Skip to: 45878
-/* 10044 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 10047 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10059
-/* 10051 */   MCD_OPC_CheckPredicate, 1, 239, 139, // Skip to: 45878
-/* 10055 */   MCD_OPC_Decode, 205, 10, 1, // Opcode: LSFPPair32_PreInd_STR
-/* 10059 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 10071
-/* 10063 */   MCD_OPC_CheckPredicate, 1, 227, 139, // Skip to: 45878
-/* 10067 */   MCD_OPC_Decode, 213, 10, 1, // Opcode: LSFPPair64_PreInd_STR
-/* 10071 */   MCD_OPC_FilterValue, 2, 219, 139, // Skip to: 45878
-/* 10075 */   MCD_OPC_CheckPredicate, 1, 215, 139, // Skip to: 45878
-/* 10079 */   MCD_OPC_Decode, 197, 10, 1, // Opcode: LSFPPair128_PreInd_STR
-/* 10083 */   MCD_OPC_FilterValue, 7, 185, 6, // Skip to: 11808
-/* 10087 */   MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
-/* 10090 */   MCD_OPC_FilterValue, 0, 135, 6, // Skip to: 11765
-/* 10094 */   MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 10097 */   MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 10170
-/* 10101 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10104 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 10137
-/* 10108 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10111 */   MCD_OPC_FilterValue, 0, 179, 139, // Skip to: 45878
-/* 10115 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10129
-/* 10119 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10129
-/* 10125 */   MCD_OPC_Decode, 228, 6, 72, // Opcode: LD1LN_WB_B_fixed
-/* 10129 */   MCD_OPC_CheckPredicate, 0, 161, 139, // Skip to: 45878
-/* 10133 */   MCD_OPC_Decode, 229, 6, 72, // Opcode: LD1LN_WB_B_register
-/* 10137 */   MCD_OPC_FilterValue, 1, 153, 139, // Skip to: 45878
-/* 10141 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10144 */   MCD_OPC_FilterValue, 0, 146, 139, // Skip to: 45878
-/* 10148 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10162
-/* 10152 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10162
-/* 10158 */   MCD_OPC_Decode, 232, 7, 72, // Opcode: LD2LN_WB_B_fixed
-/* 10162 */   MCD_OPC_CheckPredicate, 0, 128, 139, // Skip to: 45878
-/* 10166 */   MCD_OPC_Decode, 233, 7, 72, // Opcode: LD2LN_WB_B_register
-/* 10170 */   MCD_OPC_FilterValue, 1, 69, 0, // Skip to: 10243
-/* 10174 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10177 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 10210
-/* 10181 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10184 */   MCD_OPC_FilterValue, 0, 106, 139, // Skip to: 45878
-/* 10188 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10202
-/* 10192 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10202
-/* 10198 */   MCD_OPC_Decode, 161, 8, 72, // Opcode: LD3LN_WB_B_fixed
-/* 10202 */   MCD_OPC_CheckPredicate, 0, 88, 139, // Skip to: 45878
-/* 10206 */   MCD_OPC_Decode, 162, 8, 72, // Opcode: LD3LN_WB_B_register
-/* 10210 */   MCD_OPC_FilterValue, 1, 80, 139, // Skip to: 45878
-/* 10214 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10217 */   MCD_OPC_FilterValue, 0, 73, 139, // Skip to: 45878
-/* 10221 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10235
-/* 10225 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10235
-/* 10231 */   MCD_OPC_Decode, 218, 8, 72, // Opcode: LD4LN_WB_B_fixed
-/* 10235 */   MCD_OPC_CheckPredicate, 0, 55, 139, // Skip to: 45878
-/* 10239 */   MCD_OPC_Decode, 219, 8, 72, // Opcode: LD4LN_WB_B_register
-/* 10243 */   MCD_OPC_FilterValue, 2, 83, 0, // Skip to: 10330
-/* 10247 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10250 */   MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 10290
-/* 10254 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 10257 */   MCD_OPC_FilterValue, 0, 33, 139, // Skip to: 45878
-/* 10261 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10264 */   MCD_OPC_FilterValue, 0, 26, 139, // Skip to: 45878
-/* 10268 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10282
-/* 10272 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10282
-/* 10278 */   MCD_OPC_Decode, 232, 6, 72, // Opcode: LD1LN_WB_H_fixed
-/* 10282 */   MCD_OPC_CheckPredicate, 0, 8, 139, // Skip to: 45878
-/* 10286 */   MCD_OPC_Decode, 233, 6, 72, // Opcode: LD1LN_WB_H_register
-/* 10290 */   MCD_OPC_FilterValue, 1, 0, 139, // Skip to: 45878
-/* 10294 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 10297 */   MCD_OPC_FilterValue, 0, 249, 138, // Skip to: 45878
-/* 10301 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10304 */   MCD_OPC_FilterValue, 0, 242, 138, // Skip to: 45878
-/* 10308 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10322
-/* 10312 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10322
-/* 10318 */   MCD_OPC_Decode, 236, 7, 72, // Opcode: LD2LN_WB_H_fixed
-/* 10322 */   MCD_OPC_CheckPredicate, 0, 224, 138, // Skip to: 45878
-/* 10326 */   MCD_OPC_Decode, 237, 7, 72, // Opcode: LD2LN_WB_H_register
-/* 10330 */   MCD_OPC_FilterValue, 3, 83, 0, // Skip to: 10417
-/* 10334 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10337 */   MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 10377
-/* 10341 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 10344 */   MCD_OPC_FilterValue, 0, 202, 138, // Skip to: 45878
-/* 10348 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10351 */   MCD_OPC_FilterValue, 0, 195, 138, // Skip to: 45878
-/* 10355 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10369
-/* 10359 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10369
-/* 10365 */   MCD_OPC_Decode, 165, 8, 72, // Opcode: LD3LN_WB_H_fixed
-/* 10369 */   MCD_OPC_CheckPredicate, 0, 177, 138, // Skip to: 45878
-/* 10373 */   MCD_OPC_Decode, 166, 8, 72, // Opcode: LD3LN_WB_H_register
-/* 10377 */   MCD_OPC_FilterValue, 1, 169, 138, // Skip to: 45878
-/* 10381 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 10384 */   MCD_OPC_FilterValue, 0, 162, 138, // Skip to: 45878
-/* 10388 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10391 */   MCD_OPC_FilterValue, 0, 155, 138, // Skip to: 45878
-/* 10395 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10409
-/* 10399 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10409
-/* 10405 */   MCD_OPC_Decode, 222, 8, 72, // Opcode: LD4LN_WB_H_fixed
-/* 10409 */   MCD_OPC_CheckPredicate, 0, 137, 138, // Skip to: 45878
-/* 10413 */   MCD_OPC_Decode, 223, 8, 72, // Opcode: LD4LN_WB_H_register
-/* 10417 */   MCD_OPC_FilterValue, 4, 163, 0, // Skip to: 10584
-/* 10421 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 10424 */   MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 10497
-/* 10428 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10431 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 10464
-/* 10435 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10438 */   MCD_OPC_FilterValue, 0, 108, 138, // Skip to: 45878
-/* 10442 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10456
-/* 10446 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10456
-/* 10452 */   MCD_OPC_Decode, 234, 6, 72, // Opcode: LD1LN_WB_S_fixed
-/* 10456 */   MCD_OPC_CheckPredicate, 0, 90, 138, // Skip to: 45878
-/* 10460 */   MCD_OPC_Decode, 235, 6, 72, // Opcode: LD1LN_WB_S_register
-/* 10464 */   MCD_OPC_FilterValue, 1, 82, 138, // Skip to: 45878
-/* 10468 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10471 */   MCD_OPC_FilterValue, 0, 75, 138, // Skip to: 45878
-/* 10475 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10489
-/* 10479 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10489
-/* 10485 */   MCD_OPC_Decode, 238, 7, 72, // Opcode: LD2LN_WB_S_fixed
-/* 10489 */   MCD_OPC_CheckPredicate, 0, 57, 138, // Skip to: 45878
-/* 10493 */   MCD_OPC_Decode, 239, 7, 72, // Opcode: LD2LN_WB_S_register
-/* 10497 */   MCD_OPC_FilterValue, 1, 49, 138, // Skip to: 45878
-/* 10501 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10504 */   MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 10544
-/* 10508 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 10511 */   MCD_OPC_FilterValue, 0, 35, 138, // Skip to: 45878
-/* 10515 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10518 */   MCD_OPC_FilterValue, 0, 28, 138, // Skip to: 45878
-/* 10522 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10536
-/* 10526 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10536
-/* 10532 */   MCD_OPC_Decode, 230, 6, 72, // Opcode: LD1LN_WB_D_fixed
-/* 10536 */   MCD_OPC_CheckPredicate, 0, 10, 138, // Skip to: 45878
-/* 10540 */   MCD_OPC_Decode, 231, 6, 72, // Opcode: LD1LN_WB_D_register
-/* 10544 */   MCD_OPC_FilterValue, 1, 2, 138, // Skip to: 45878
-/* 10548 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 10551 */   MCD_OPC_FilterValue, 0, 251, 137, // Skip to: 45878
-/* 10555 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10558 */   MCD_OPC_FilterValue, 0, 244, 137, // Skip to: 45878
-/* 10562 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10576
-/* 10566 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10576
-/* 10572 */   MCD_OPC_Decode, 234, 7, 72, // Opcode: LD2LN_WB_D_fixed
-/* 10576 */   MCD_OPC_CheckPredicate, 0, 226, 137, // Skip to: 45878
-/* 10580 */   MCD_OPC_Decode, 235, 7, 72, // Opcode: LD2LN_WB_D_register
-/* 10584 */   MCD_OPC_FilterValue, 5, 163, 0, // Skip to: 10751
-/* 10588 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 10591 */   MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 10664
-/* 10595 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10598 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 10631
-/* 10602 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10605 */   MCD_OPC_FilterValue, 0, 197, 137, // Skip to: 45878
-/* 10609 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10623
-/* 10613 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10623
-/* 10619 */   MCD_OPC_Decode, 167, 8, 72, // Opcode: LD3LN_WB_S_fixed
-/* 10623 */   MCD_OPC_CheckPredicate, 0, 179, 137, // Skip to: 45878
-/* 10627 */   MCD_OPC_Decode, 168, 8, 72, // Opcode: LD3LN_WB_S_register
-/* 10631 */   MCD_OPC_FilterValue, 1, 171, 137, // Skip to: 45878
-/* 10635 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10638 */   MCD_OPC_FilterValue, 0, 164, 137, // Skip to: 45878
-/* 10642 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10656
-/* 10646 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10656
-/* 10652 */   MCD_OPC_Decode, 224, 8, 72, // Opcode: LD4LN_WB_S_fixed
-/* 10656 */   MCD_OPC_CheckPredicate, 0, 146, 137, // Skip to: 45878
-/* 10660 */   MCD_OPC_Decode, 225, 8, 72, // Opcode: LD4LN_WB_S_register
-/* 10664 */   MCD_OPC_FilterValue, 1, 138, 137, // Skip to: 45878
-/* 10668 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10671 */   MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 10711
-/* 10675 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 10678 */   MCD_OPC_FilterValue, 0, 124, 137, // Skip to: 45878
-/* 10682 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10685 */   MCD_OPC_FilterValue, 0, 117, 137, // Skip to: 45878
-/* 10689 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10703
-/* 10693 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10703
-/* 10699 */   MCD_OPC_Decode, 163, 8, 72, // Opcode: LD3LN_WB_D_fixed
-/* 10703 */   MCD_OPC_CheckPredicate, 0, 99, 137, // Skip to: 45878
-/* 10707 */   MCD_OPC_Decode, 164, 8, 72, // Opcode: LD3LN_WB_D_register
-/* 10711 */   MCD_OPC_FilterValue, 1, 91, 137, // Skip to: 45878
-/* 10715 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 10718 */   MCD_OPC_FilterValue, 0, 84, 137, // Skip to: 45878
-/* 10722 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 10725 */   MCD_OPC_FilterValue, 0, 77, 137, // Skip to: 45878
-/* 10729 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10743
-/* 10733 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10743
-/* 10739 */   MCD_OPC_Decode, 220, 8, 72, // Opcode: LD4LN_WB_D_fixed
-/* 10743 */   MCD_OPC_CheckPredicate, 0, 59, 137, // Skip to: 45878
-/* 10747 */   MCD_OPC_Decode, 221, 8, 72, // Opcode: LD4LN_WB_D_register
-/* 10751 */   MCD_OPC_FilterValue, 6, 247, 1, // Skip to: 11258
-/* 10755 */   MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
-/* 10758 */   MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 10883
-/* 10762 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10765 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 10824
-/* 10769 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 10772 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 10798
-/* 10776 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10790
-/* 10780 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10790
-/* 10786 */   MCD_OPC_Decode, 128, 7, 72, // Opcode: LD1R_WB_8B_fixed
-/* 10790 */   MCD_OPC_CheckPredicate, 0, 12, 137, // Skip to: 45878
-/* 10794 */   MCD_OPC_Decode, 129, 7, 72, // Opcode: LD1R_WB_8B_register
-/* 10798 */   MCD_OPC_FilterValue, 1, 4, 137, // Skip to: 45878
-/* 10802 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10816
-/* 10806 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10816
-/* 10812 */   MCD_OPC_Decode, 244, 6, 72, // Opcode: LD1R_WB_16B_fixed
-/* 10816 */   MCD_OPC_CheckPredicate, 0, 242, 136, // Skip to: 45878
-/* 10820 */   MCD_OPC_Decode, 245, 6, 72, // Opcode: LD1R_WB_16B_register
-/* 10824 */   MCD_OPC_FilterValue, 1, 234, 136, // Skip to: 45878
-/* 10828 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 10831 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 10857
-/* 10835 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10849
-/* 10839 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10849
-/* 10845 */   MCD_OPC_Decode, 132, 8, 72, // Opcode: LD2R_WB_8B_fixed
-/* 10849 */   MCD_OPC_CheckPredicate, 0, 209, 136, // Skip to: 45878
-/* 10853 */   MCD_OPC_Decode, 133, 8, 72, // Opcode: LD2R_WB_8B_register
-/* 10857 */   MCD_OPC_FilterValue, 1, 201, 136, // Skip to: 45878
-/* 10861 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10875
-/* 10865 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10875
-/* 10871 */   MCD_OPC_Decode, 248, 7, 72, // Opcode: LD2R_WB_16B_fixed
-/* 10875 */   MCD_OPC_CheckPredicate, 0, 183, 136, // Skip to: 45878
-/* 10879 */   MCD_OPC_Decode, 249, 7, 72, // Opcode: LD2R_WB_16B_register
-/* 10883 */   MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 11008
-/* 10887 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10890 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 10949
-/* 10894 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 10897 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 10923
-/* 10901 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10915
-/* 10905 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10915
-/* 10911 */   MCD_OPC_Decode, 252, 6, 72, // Opcode: LD1R_WB_4H_fixed
-/* 10915 */   MCD_OPC_CheckPredicate, 0, 143, 136, // Skip to: 45878
-/* 10919 */   MCD_OPC_Decode, 253, 6, 72, // Opcode: LD1R_WB_4H_register
-/* 10923 */   MCD_OPC_FilterValue, 1, 135, 136, // Skip to: 45878
-/* 10927 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10941
-/* 10931 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10941
-/* 10937 */   MCD_OPC_Decode, 130, 7, 72, // Opcode: LD1R_WB_8H_fixed
-/* 10941 */   MCD_OPC_CheckPredicate, 0, 117, 136, // Skip to: 45878
-/* 10945 */   MCD_OPC_Decode, 131, 7, 72, // Opcode: LD1R_WB_8H_register
-/* 10949 */   MCD_OPC_FilterValue, 1, 109, 136, // Skip to: 45878
-/* 10953 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 10956 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 10982
-/* 10960 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 10974
-/* 10964 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 10974
-/* 10970 */   MCD_OPC_Decode, 128, 8, 72, // Opcode: LD2R_WB_4H_fixed
-/* 10974 */   MCD_OPC_CheckPredicate, 0, 84, 136, // Skip to: 45878
-/* 10978 */   MCD_OPC_Decode, 129, 8, 72, // Opcode: LD2R_WB_4H_register
-/* 10982 */   MCD_OPC_FilterValue, 1, 76, 136, // Skip to: 45878
-/* 10986 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11000
-/* 10990 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11000
-/* 10996 */   MCD_OPC_Decode, 134, 8, 72, // Opcode: LD2R_WB_8H_fixed
-/* 11000 */   MCD_OPC_CheckPredicate, 0, 58, 136, // Skip to: 45878
-/* 11004 */   MCD_OPC_Decode, 135, 8, 72, // Opcode: LD2R_WB_8H_register
-/* 11008 */   MCD_OPC_FilterValue, 2, 121, 0, // Skip to: 11133
-/* 11012 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11015 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 11074
-/* 11019 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11022 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11048
-/* 11026 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11040
-/* 11030 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11040
-/* 11036 */   MCD_OPC_Decode, 250, 6, 72, // Opcode: LD1R_WB_2S_fixed
-/* 11040 */   MCD_OPC_CheckPredicate, 0, 18, 136, // Skip to: 45878
-/* 11044 */   MCD_OPC_Decode, 251, 6, 72, // Opcode: LD1R_WB_2S_register
-/* 11048 */   MCD_OPC_FilterValue, 1, 10, 136, // Skip to: 45878
-/* 11052 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11066
-/* 11056 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11066
-/* 11062 */   MCD_OPC_Decode, 254, 6, 72, // Opcode: LD1R_WB_4S_fixed
-/* 11066 */   MCD_OPC_CheckPredicate, 0, 248, 135, // Skip to: 45878
-/* 11070 */   MCD_OPC_Decode, 255, 6, 72, // Opcode: LD1R_WB_4S_register
-/* 11074 */   MCD_OPC_FilterValue, 1, 240, 135, // Skip to: 45878
-/* 11078 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11081 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11107
-/* 11085 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11099
-/* 11089 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11099
-/* 11095 */   MCD_OPC_Decode, 254, 7, 72, // Opcode: LD2R_WB_2S_fixed
-/* 11099 */   MCD_OPC_CheckPredicate, 0, 215, 135, // Skip to: 45878
-/* 11103 */   MCD_OPC_Decode, 255, 7, 72, // Opcode: LD2R_WB_2S_register
-/* 11107 */   MCD_OPC_FilterValue, 1, 207, 135, // Skip to: 45878
-/* 11111 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11125
-/* 11115 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11125
-/* 11121 */   MCD_OPC_Decode, 130, 8, 72, // Opcode: LD2R_WB_4S_fixed
-/* 11125 */   MCD_OPC_CheckPredicate, 0, 189, 135, // Skip to: 45878
-/* 11129 */   MCD_OPC_Decode, 131, 8, 72, // Opcode: LD2R_WB_4S_register
-/* 11133 */   MCD_OPC_FilterValue, 3, 181, 135, // Skip to: 45878
-/* 11137 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11140 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 11199
-/* 11144 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11147 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11173
-/* 11151 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11165
-/* 11155 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11165
-/* 11161 */   MCD_OPC_Decode, 246, 6, 72, // Opcode: LD1R_WB_1D_fixed
-/* 11165 */   MCD_OPC_CheckPredicate, 0, 149, 135, // Skip to: 45878
-/* 11169 */   MCD_OPC_Decode, 247, 6, 72, // Opcode: LD1R_WB_1D_register
-/* 11173 */   MCD_OPC_FilterValue, 1, 141, 135, // Skip to: 45878
-/* 11177 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11191
-/* 11181 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11191
-/* 11187 */   MCD_OPC_Decode, 248, 6, 72, // Opcode: LD1R_WB_2D_fixed
-/* 11191 */   MCD_OPC_CheckPredicate, 0, 123, 135, // Skip to: 45878
-/* 11195 */   MCD_OPC_Decode, 249, 6, 72, // Opcode: LD1R_WB_2D_register
-/* 11199 */   MCD_OPC_FilterValue, 1, 115, 135, // Skip to: 45878
-/* 11203 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11206 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11232
-/* 11210 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11224
-/* 11214 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11224
-/* 11220 */   MCD_OPC_Decode, 250, 7, 72, // Opcode: LD2R_WB_1D_fixed
-/* 11224 */   MCD_OPC_CheckPredicate, 0, 90, 135, // Skip to: 45878
-/* 11228 */   MCD_OPC_Decode, 251, 7, 72, // Opcode: LD2R_WB_1D_register
-/* 11232 */   MCD_OPC_FilterValue, 1, 82, 135, // Skip to: 45878
-/* 11236 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11250
-/* 11240 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11250
-/* 11246 */   MCD_OPC_Decode, 252, 7, 72, // Opcode: LD2R_WB_2D_fixed
-/* 11250 */   MCD_OPC_CheckPredicate, 0, 64, 135, // Skip to: 45878
-/* 11254 */   MCD_OPC_Decode, 253, 7, 72, // Opcode: LD2R_WB_2D_register
-/* 11258 */   MCD_OPC_FilterValue, 7, 56, 135, // Skip to: 45878
-/* 11262 */   MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
-/* 11265 */   MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 11390
-/* 11269 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11272 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 11331
-/* 11276 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11279 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11305
-/* 11283 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11297
-/* 11287 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11297
-/* 11293 */   MCD_OPC_Decode, 189, 8, 72, // Opcode: LD3R_WB_8B_fixed
-/* 11297 */   MCD_OPC_CheckPredicate, 0, 17, 135, // Skip to: 45878
-/* 11301 */   MCD_OPC_Decode, 190, 8, 72, // Opcode: LD3R_WB_8B_register
-/* 11305 */   MCD_OPC_FilterValue, 1, 9, 135, // Skip to: 45878
-/* 11309 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11323
-/* 11313 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11323
-/* 11319 */   MCD_OPC_Decode, 177, 8, 72, // Opcode: LD3R_WB_16B_fixed
-/* 11323 */   MCD_OPC_CheckPredicate, 0, 247, 134, // Skip to: 45878
-/* 11327 */   MCD_OPC_Decode, 178, 8, 72, // Opcode: LD3R_WB_16B_register
-/* 11331 */   MCD_OPC_FilterValue, 1, 239, 134, // Skip to: 45878
-/* 11335 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11338 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11364
-/* 11342 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11356
-/* 11346 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11356
-/* 11352 */   MCD_OPC_Decode, 246, 8, 72, // Opcode: LD4R_WB_8B_fixed
-/* 11356 */   MCD_OPC_CheckPredicate, 0, 214, 134, // Skip to: 45878
-/* 11360 */   MCD_OPC_Decode, 247, 8, 72, // Opcode: LD4R_WB_8B_register
-/* 11364 */   MCD_OPC_FilterValue, 1, 206, 134, // Skip to: 45878
-/* 11368 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11382
-/* 11372 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11382
-/* 11378 */   MCD_OPC_Decode, 234, 8, 72, // Opcode: LD4R_WB_16B_fixed
-/* 11382 */   MCD_OPC_CheckPredicate, 0, 188, 134, // Skip to: 45878
-/* 11386 */   MCD_OPC_Decode, 235, 8, 72, // Opcode: LD4R_WB_16B_register
-/* 11390 */   MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 11515
-/* 11394 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11397 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 11456
-/* 11401 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11404 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11430
-/* 11408 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11422
-/* 11412 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11422
-/* 11418 */   MCD_OPC_Decode, 185, 8, 72, // Opcode: LD3R_WB_4H_fixed
-/* 11422 */   MCD_OPC_CheckPredicate, 0, 148, 134, // Skip to: 45878
-/* 11426 */   MCD_OPC_Decode, 186, 8, 72, // Opcode: LD3R_WB_4H_register
-/* 11430 */   MCD_OPC_FilterValue, 1, 140, 134, // Skip to: 45878
-/* 11434 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11448
-/* 11438 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11448
-/* 11444 */   MCD_OPC_Decode, 191, 8, 72, // Opcode: LD3R_WB_8H_fixed
-/* 11448 */   MCD_OPC_CheckPredicate, 0, 122, 134, // Skip to: 45878
-/* 11452 */   MCD_OPC_Decode, 192, 8, 72, // Opcode: LD3R_WB_8H_register
-/* 11456 */   MCD_OPC_FilterValue, 1, 114, 134, // Skip to: 45878
-/* 11460 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11463 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11489
-/* 11467 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11481
-/* 11471 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11481
-/* 11477 */   MCD_OPC_Decode, 242, 8, 72, // Opcode: LD4R_WB_4H_fixed
-/* 11481 */   MCD_OPC_CheckPredicate, 0, 89, 134, // Skip to: 45878
-/* 11485 */   MCD_OPC_Decode, 243, 8, 72, // Opcode: LD4R_WB_4H_register
-/* 11489 */   MCD_OPC_FilterValue, 1, 81, 134, // Skip to: 45878
-/* 11493 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11507
-/* 11497 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11507
-/* 11503 */   MCD_OPC_Decode, 248, 8, 72, // Opcode: LD4R_WB_8H_fixed
-/* 11507 */   MCD_OPC_CheckPredicate, 0, 63, 134, // Skip to: 45878
-/* 11511 */   MCD_OPC_Decode, 249, 8, 72, // Opcode: LD4R_WB_8H_register
-/* 11515 */   MCD_OPC_FilterValue, 2, 121, 0, // Skip to: 11640
-/* 11519 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11522 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 11581
-/* 11526 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11529 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11555
-/* 11533 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11547
-/* 11537 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11547
-/* 11543 */   MCD_OPC_Decode, 183, 8, 72, // Opcode: LD3R_WB_2S_fixed
-/* 11547 */   MCD_OPC_CheckPredicate, 0, 23, 134, // Skip to: 45878
-/* 11551 */   MCD_OPC_Decode, 184, 8, 72, // Opcode: LD3R_WB_2S_register
-/* 11555 */   MCD_OPC_FilterValue, 1, 15, 134, // Skip to: 45878
-/* 11559 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11573
-/* 11563 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11573
-/* 11569 */   MCD_OPC_Decode, 187, 8, 72, // Opcode: LD3R_WB_4S_fixed
-/* 11573 */   MCD_OPC_CheckPredicate, 0, 253, 133, // Skip to: 45878
-/* 11577 */   MCD_OPC_Decode, 188, 8, 72, // Opcode: LD3R_WB_4S_register
-/* 11581 */   MCD_OPC_FilterValue, 1, 245, 133, // Skip to: 45878
-/* 11585 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11588 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11614
-/* 11592 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11606
-/* 11596 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11606
-/* 11602 */   MCD_OPC_Decode, 240, 8, 72, // Opcode: LD4R_WB_2S_fixed
-/* 11606 */   MCD_OPC_CheckPredicate, 0, 220, 133, // Skip to: 45878
-/* 11610 */   MCD_OPC_Decode, 241, 8, 72, // Opcode: LD4R_WB_2S_register
-/* 11614 */   MCD_OPC_FilterValue, 1, 212, 133, // Skip to: 45878
-/* 11618 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11632
-/* 11622 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11632
-/* 11628 */   MCD_OPC_Decode, 244, 8, 72, // Opcode: LD4R_WB_4S_fixed
-/* 11632 */   MCD_OPC_CheckPredicate, 0, 194, 133, // Skip to: 45878
-/* 11636 */   MCD_OPC_Decode, 245, 8, 72, // Opcode: LD4R_WB_4S_register
-/* 11640 */   MCD_OPC_FilterValue, 3, 186, 133, // Skip to: 45878
-/* 11644 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11647 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 11706
-/* 11651 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11654 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11680
-/* 11658 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11672
-/* 11662 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11672
-/* 11668 */   MCD_OPC_Decode, 179, 8, 72, // Opcode: LD3R_WB_1D_fixed
-/* 11672 */   MCD_OPC_CheckPredicate, 0, 154, 133, // Skip to: 45878
-/* 11676 */   MCD_OPC_Decode, 180, 8, 72, // Opcode: LD3R_WB_1D_register
-/* 11680 */   MCD_OPC_FilterValue, 1, 146, 133, // Skip to: 45878
-/* 11684 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11698
-/* 11688 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11698
-/* 11694 */   MCD_OPC_Decode, 181, 8, 72, // Opcode: LD3R_WB_2D_fixed
-/* 11698 */   MCD_OPC_CheckPredicate, 0, 128, 133, // Skip to: 45878
-/* 11702 */   MCD_OPC_Decode, 182, 8, 72, // Opcode: LD3R_WB_2D_register
-/* 11706 */   MCD_OPC_FilterValue, 1, 120, 133, // Skip to: 45878
-/* 11710 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11713 */   MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 11739
-/* 11717 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11731
-/* 11721 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11731
-/* 11727 */   MCD_OPC_Decode, 236, 8, 72, // Opcode: LD4R_WB_1D_fixed
-/* 11731 */   MCD_OPC_CheckPredicate, 0, 95, 133, // Skip to: 45878
-/* 11735 */   MCD_OPC_Decode, 237, 8, 72, // Opcode: LD4R_WB_1D_register
-/* 11739 */   MCD_OPC_FilterValue, 1, 87, 133, // Skip to: 45878
-/* 11743 */   MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 11757
-/* 11747 */   MCD_OPC_CheckField, 16, 5, 31, 4, 0, // Skip to: 11757
-/* 11753 */   MCD_OPC_Decode, 238, 8, 72, // Opcode: LD4R_WB_2D_fixed
-/* 11757 */   MCD_OPC_CheckPredicate, 0, 69, 133, // Skip to: 45878
-/* 11761 */   MCD_OPC_Decode, 239, 8, 72, // Opcode: LD4R_WB_2D_register
-/* 11765 */   MCD_OPC_FilterValue, 1, 61, 133, // Skip to: 45878
-/* 11769 */   MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
-/* 11772 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11784
-/* 11776 */   MCD_OPC_CheckPredicate, 1, 50, 133, // Skip to: 45878
-/* 11780 */   MCD_OPC_Decode, 204, 10, 1, // Opcode: LSFPPair32_PreInd_LDR
-/* 11784 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 11796
-/* 11788 */   MCD_OPC_CheckPredicate, 1, 38, 133, // Skip to: 45878
-/* 11792 */   MCD_OPC_Decode, 212, 10, 1, // Opcode: LSFPPair64_PreInd_LDR
-/* 11796 */   MCD_OPC_FilterValue, 2, 30, 133, // Skip to: 45878
-/* 11800 */   MCD_OPC_CheckPredicate, 1, 26, 133, // Skip to: 45878
-/* 11804 */   MCD_OPC_Decode, 196, 10, 1, // Opcode: LSFPPair128_PreInd_LDR
-/* 11808 */   MCD_OPC_FilterValue, 8, 158, 21, // Skip to: 17346
-/* 11812 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 11815 */   MCD_OPC_FilterValue, 0, 37, 6, // Skip to: 13392
-/* 11819 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 11822 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 11853
-/* 11826 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11829 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11841
-/* 11833 */   MCD_OPC_CheckPredicate, 0, 249, 132, // Skip to: 45878
-/* 11837 */   MCD_OPC_Decode, 182, 18, 73, // Opcode: TBL1_8b
-/* 11841 */   MCD_OPC_FilterValue, 1, 241, 132, // Skip to: 45878
-/* 11845 */   MCD_OPC_CheckPredicate, 0, 237, 132, // Skip to: 45878
-/* 11849 */   MCD_OPC_Decode, 198, 12, 74, // Opcode: SADDLvvv_8h8b
-/* 11853 */   MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 11928
-/* 11857 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11860 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 11916
-/* 11864 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 11867 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 11904
-/* 11871 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 11874 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 11892
-/* 11878 */   MCD_OPC_CheckPredicate, 0, 204, 132, // Skip to: 45878
-/* 11882 */   MCD_OPC_CheckField, 18, 1, 1, 198, 132, // Skip to: 45878
-/* 11888 */   MCD_OPC_Decode, 176, 3, 75, // Opcode: DUPELT2s
-/* 11892 */   MCD_OPC_FilterValue, 1, 190, 132, // Skip to: 45878
-/* 11896 */   MCD_OPC_CheckPredicate, 0, 186, 132, // Skip to: 45878
-/* 11900 */   MCD_OPC_Decode, 177, 3, 76, // Opcode: DUPELT4h
-/* 11904 */   MCD_OPC_FilterValue, 1, 178, 132, // Skip to: 45878
-/* 11908 */   MCD_OPC_CheckPredicate, 0, 174, 132, // Skip to: 45878
-/* 11912 */   MCD_OPC_Decode, 179, 3, 77, // Opcode: DUPELT8b
-/* 11916 */   MCD_OPC_FilterValue, 1, 166, 132, // Skip to: 45878
-/* 11920 */   MCD_OPC_CheckPredicate, 0, 162, 132, // Skip to: 45878
-/* 11924 */   MCD_OPC_Decode, 246, 12, 78, // Opcode: SHADDvvv_8B
-/* 11928 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 11946
-/* 11932 */   MCD_OPC_CheckPredicate, 0, 150, 132, // Skip to: 45878
-/* 11936 */   MCD_OPC_CheckField, 16, 6, 32, 144, 132, // Skip to: 45878
-/* 11942 */   MCD_OPC_Decode, 134, 12, 79, // Opcode: REV64_8b
-/* 11946 */   MCD_OPC_FilterValue, 3, 58, 0, // Skip to: 12008
-/* 11950 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 11953 */   MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 11996
-/* 11957 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 11960 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 11972
-/* 11964 */   MCD_OPC_CheckPredicate, 0, 118, 132, // Skip to: 45878
-/* 11968 */   MCD_OPC_Decode, 172, 3, 80, // Opcode: DUP8b
-/* 11972 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11984
-/* 11976 */   MCD_OPC_CheckPredicate, 0, 106, 132, // Skip to: 45878
-/* 11980 */   MCD_OPC_Decode, 170, 3, 80, // Opcode: DUP4h
-/* 11984 */   MCD_OPC_FilterValue, 4, 98, 132, // Skip to: 45878
-/* 11988 */   MCD_OPC_CheckPredicate, 0, 94, 132, // Skip to: 45878
-/* 11992 */   MCD_OPC_Decode, 169, 3, 80, // Opcode: DUP2s
-/* 11996 */   MCD_OPC_FilterValue, 1, 86, 132, // Skip to: 45878
-/* 12000 */   MCD_OPC_CheckPredicate, 0, 82, 132, // Skip to: 45878
-/* 12004 */   MCD_OPC_Decode, 247, 13, 78, // Opcode: SQADDvvv_8B
-/* 12008 */   MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 12039
-/* 12012 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12015 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12027
-/* 12019 */   MCD_OPC_CheckPredicate, 0, 63, 132, // Skip to: 45878
-/* 12023 */   MCD_OPC_Decode, 192, 18, 81, // Opcode: TBX1_8b
-/* 12027 */   MCD_OPC_FilterValue, 1, 55, 132, // Skip to: 45878
-/* 12031 */   MCD_OPC_CheckPredicate, 0, 51, 132, // Skip to: 45878
-/* 12035 */   MCD_OPC_Decode, 204, 12, 82, // Opcode: SADDWvvv_8h8b
-/* 12039 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 12057
-/* 12043 */   MCD_OPC_CheckPredicate, 0, 39, 132, // Skip to: 45878
-/* 12047 */   MCD_OPC_CheckField, 21, 1, 1, 33, 132, // Skip to: 45878
-/* 12053 */   MCD_OPC_Decode, 175, 15, 78, // Opcode: SRHADDvvv_8B
-/* 12057 */   MCD_OPC_FilterValue, 6, 33, 0, // Skip to: 12094
-/* 12061 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12064 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12076
-/* 12068 */   MCD_OPC_CheckPredicate, 0, 14, 132, // Skip to: 45878
-/* 12072 */   MCD_OPC_Decode, 182, 21, 78, // Opcode: UZP1vvv_8b
-/* 12076 */   MCD_OPC_FilterValue, 1, 6, 132, // Skip to: 45878
-/* 12080 */   MCD_OPC_CheckPredicate, 0, 2, 132, // Skip to: 45878
-/* 12084 */   MCD_OPC_CheckField, 16, 5, 0, 252, 131, // Skip to: 45878
-/* 12090 */   MCD_OPC_Decode, 250, 11, 79, // Opcode: REV16_8b
-/* 12094 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 12112
-/* 12098 */   MCD_OPC_CheckPredicate, 0, 240, 131, // Skip to: 45878
-/* 12102 */   MCD_OPC_CheckField, 21, 1, 1, 234, 131, // Skip to: 45878
-/* 12108 */   MCD_OPC_Decode, 133, 1, 78, // Opcode: ANDvvv_8B
-/* 12112 */   MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 12143
-/* 12116 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12119 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12131
-/* 12123 */   MCD_OPC_CheckPredicate, 0, 215, 131, // Skip to: 45878
-/* 12127 */   MCD_OPC_Decode, 184, 18, 83, // Opcode: TBL2_8b
-/* 12131 */   MCD_OPC_FilterValue, 1, 207, 131, // Skip to: 45878
-/* 12135 */   MCD_OPC_CheckPredicate, 0, 203, 131, // Skip to: 45878
-/* 12139 */   MCD_OPC_Decode, 244, 15, 74, // Opcode: SSUBLvvv_8h8b
-/* 12143 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 12161
-/* 12147 */   MCD_OPC_CheckPredicate, 0, 191, 131, // Skip to: 45878
-/* 12151 */   MCD_OPC_CheckField, 21, 1, 1, 185, 131, // Skip to: 45878
-/* 12157 */   MCD_OPC_Decode, 144, 13, 78, // Opcode: SHSUBvvv_8B
-/* 12161 */   MCD_OPC_FilterValue, 10, 46, 0, // Skip to: 12211
-/* 12165 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12168 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12180
-/* 12172 */   MCD_OPC_CheckPredicate, 0, 166, 131, // Skip to: 45878
-/* 12176 */   MCD_OPC_Decode, 212, 18, 78, // Opcode: TRN1vvv_8b
-/* 12180 */   MCD_OPC_FilterValue, 1, 158, 131, // Skip to: 45878
-/* 12184 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 12187 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12199
-/* 12191 */   MCD_OPC_CheckPredicate, 0, 147, 131, // Skip to: 45878
-/* 12195 */   MCD_OPC_Decode, 189, 12, 79, // Opcode: SADDLP8b4h
-/* 12199 */   MCD_OPC_FilterValue, 1, 139, 131, // Skip to: 45878
-/* 12203 */   MCD_OPC_CheckPredicate, 0, 135, 131, // Skip to: 45878
-/* 12207 */   MCD_OPC_Decode, 208, 21, 84, // Opcode: XTN8h8b
-/* 12211 */   MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 12267
-/* 12215 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12218 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 12255
-/* 12222 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 12225 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12243
-/* 12229 */   MCD_OPC_CheckPredicate, 0, 109, 131, // Skip to: 45878
-/* 12233 */   MCD_OPC_CheckField, 17, 1, 1, 103, 131, // Skip to: 45878
-/* 12239 */   MCD_OPC_Decode, 211, 13, 85, // Opcode: SMOVwh
-/* 12243 */   MCD_OPC_FilterValue, 1, 95, 131, // Skip to: 45878
-/* 12247 */   MCD_OPC_CheckPredicate, 0, 91, 131, // Skip to: 45878
-/* 12251 */   MCD_OPC_Decode, 210, 13, 86, // Opcode: SMOVwb
-/* 12255 */   MCD_OPC_FilterValue, 1, 83, 131, // Skip to: 45878
-/* 12259 */   MCD_OPC_CheckPredicate, 0, 79, 131, // Skip to: 45878
-/* 12263 */   MCD_OPC_Decode, 151, 15, 78, // Opcode: SQSUBvvv_8B
-/* 12267 */   MCD_OPC_FilterValue, 12, 27, 0, // Skip to: 12298
-/* 12271 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12274 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12286
-/* 12278 */   MCD_OPC_CheckPredicate, 0, 60, 131, // Skip to: 45878
-/* 12282 */   MCD_OPC_Decode, 194, 18, 87, // Opcode: TBX2_8b
-/* 12286 */   MCD_OPC_FilterValue, 1, 52, 131, // Skip to: 45878
-/* 12290 */   MCD_OPC_CheckPredicate, 0, 48, 131, // Skip to: 45878
-/* 12294 */   MCD_OPC_Decode, 250, 15, 82, // Opcode: SSUBWvvv_8h8b
-/* 12298 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12316
-/* 12302 */   MCD_OPC_CheckPredicate, 0, 36, 131, // Skip to: 45878
-/* 12306 */   MCD_OPC_CheckField, 21, 1, 1, 30, 131, // Skip to: 45878
-/* 12312 */   MCD_OPC_Decode, 184, 2, 78, // Opcode: CMGTvvv_8B
-/* 12316 */   MCD_OPC_FilterValue, 14, 46, 0, // Skip to: 12366
-/* 12320 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12323 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12335
-/* 12327 */   MCD_OPC_CheckPredicate, 0, 11, 131, // Skip to: 45878
-/* 12331 */   MCD_OPC_Decode, 214, 21, 78, // Opcode: ZIP1vvv_8b
-/* 12335 */   MCD_OPC_FilterValue, 1, 3, 131, // Skip to: 45878
-/* 12339 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 12342 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12354
-/* 12346 */   MCD_OPC_CheckPredicate, 0, 248, 130, // Skip to: 45878
-/* 12350 */   MCD_OPC_Decode, 165, 18, 88, // Opcode: SUQADD8b
-/* 12354 */   MCD_OPC_FilterValue, 16, 240, 130, // Skip to: 45878
-/* 12358 */   MCD_OPC_CheckPredicate, 0, 236, 130, // Skip to: 45878
-/* 12362 */   MCD_OPC_Decode, 193, 12, 89, // Opcode: SADDLV_1h8b
-/* 12366 */   MCD_OPC_FilterValue, 15, 71, 0, // Skip to: 12441
-/* 12370 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12373 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 12429
-/* 12377 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 12380 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 12417
-/* 12384 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 12387 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12405
-/* 12391 */   MCD_OPC_CheckPredicate, 0, 203, 130, // Skip to: 45878
-/* 12395 */   MCD_OPC_CheckField, 18, 1, 1, 197, 130, // Skip to: 45878
-/* 12401 */   MCD_OPC_Decode, 246, 19, 90, // Opcode: UMOVws
-/* 12405 */   MCD_OPC_FilterValue, 1, 189, 130, // Skip to: 45878
-/* 12409 */   MCD_OPC_CheckPredicate, 0, 185, 130, // Skip to: 45878
-/* 12413 */   MCD_OPC_Decode, 245, 19, 85, // Opcode: UMOVwh
-/* 12417 */   MCD_OPC_FilterValue, 1, 177, 130, // Skip to: 45878
-/* 12421 */   MCD_OPC_CheckPredicate, 0, 173, 130, // Skip to: 45878
-/* 12425 */   MCD_OPC_Decode, 244, 19, 86, // Opcode: UMOVwb
-/* 12429 */   MCD_OPC_FilterValue, 1, 165, 130, // Skip to: 45878
-/* 12433 */   MCD_OPC_CheckPredicate, 0, 161, 130, // Skip to: 45878
-/* 12437 */   MCD_OPC_Decode, 168, 2, 78, // Opcode: CMGEvvv_8B
-/* 12441 */   MCD_OPC_FilterValue, 16, 26, 0, // Skip to: 12471
-/* 12445 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12448 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12460
-/* 12452 */   MCD_OPC_CheckPredicate, 0, 142, 130, // Skip to: 45878
-/* 12456 */   MCD_OPC_Decode, 186, 18, 91, // Opcode: TBL3_8b
-/* 12460 */   MCD_OPC_FilterValue, 1, 134, 130, // Skip to: 45878
-/* 12464 */   MCD_OPC_CheckPredicate, 0, 130, 130, // Skip to: 45878
-/* 12468 */   MCD_OPC_Decode, 36, 92, // Opcode: ADDHNvvv_8b8h
-/* 12471 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 12489
-/* 12475 */   MCD_OPC_CheckPredicate, 0, 119, 130, // Skip to: 45878
-/* 12479 */   MCD_OPC_CheckField, 21, 1, 1, 113, 130, // Skip to: 45878
-/* 12485 */   MCD_OPC_Decode, 221, 15, 78, // Opcode: SSHLvvv_8B
-/* 12489 */   MCD_OPC_FilterValue, 18, 27, 0, // Skip to: 12520
-/* 12493 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 12496 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 12508
-/* 12500 */   MCD_OPC_CheckPredicate, 0, 94, 130, // Skip to: 45878
-/* 12504 */   MCD_OPC_Decode, 254, 1, 79, // Opcode: CLS8b
-/* 12508 */   MCD_OPC_FilterValue, 33, 86, 130, // Skip to: 45878
-/* 12512 */   MCD_OPC_CheckPredicate, 0, 82, 130, // Skip to: 45878
-/* 12516 */   MCD_OPC_Decode, 158, 15, 84, // Opcode: SQXTN8h8b
-/* 12520 */   MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 12538
-/* 12524 */   MCD_OPC_CheckPredicate, 0, 70, 130, // Skip to: 45878
-/* 12528 */   MCD_OPC_CheckField, 21, 1, 1, 64, 130, // Skip to: 45878
-/* 12534 */   MCD_OPC_Decode, 128, 15, 78, // Opcode: SQSHLvvv_8B
-/* 12538 */   MCD_OPC_FilterValue, 20, 27, 0, // Skip to: 12569
-/* 12542 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12545 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12557
-/* 12549 */   MCD_OPC_CheckPredicate, 0, 45, 130, // Skip to: 45878
-/* 12553 */   MCD_OPC_Decode, 196, 18, 93, // Opcode: TBX3_8b
-/* 12557 */   MCD_OPC_FilterValue, 1, 37, 130, // Skip to: 45878
-/* 12561 */   MCD_OPC_CheckPredicate, 0, 33, 130, // Skip to: 45878
-/* 12565 */   MCD_OPC_Decode, 157, 12, 94, // Opcode: SABALvvv_8h8b
-/* 12569 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 12587
-/* 12573 */   MCD_OPC_CheckPredicate, 0, 21, 130, // Skip to: 45878
-/* 12577 */   MCD_OPC_CheckField, 21, 1, 1, 15, 130, // Skip to: 45878
-/* 12583 */   MCD_OPC_Decode, 191, 15, 78, // Opcode: SRSHLvvv_8B
-/* 12587 */   MCD_OPC_FilterValue, 22, 33, 0, // Skip to: 12624
-/* 12591 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12594 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12606
-/* 12598 */   MCD_OPC_CheckPredicate, 0, 252, 129, // Skip to: 45878
-/* 12602 */   MCD_OPC_Decode, 189, 21, 78, // Opcode: UZP2vvv_8b
-/* 12606 */   MCD_OPC_FilterValue, 1, 244, 129, // Skip to: 45878
-/* 12610 */   MCD_OPC_CheckPredicate, 0, 240, 129, // Skip to: 45878
-/* 12614 */   MCD_OPC_CheckField, 16, 5, 0, 234, 129, // Skip to: 45878
-/* 12620 */   MCD_OPC_Decode, 143, 3, 79, // Opcode: CNT8b
-/* 12624 */   MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 12642
-/* 12628 */   MCD_OPC_CheckPredicate, 0, 222, 129, // Skip to: 45878
-/* 12632 */   MCD_OPC_CheckField, 21, 1, 1, 216, 129, // Skip to: 45878
-/* 12638 */   MCD_OPC_Decode, 211, 14, 78, // Opcode: SQRSHLvvv_8B
-/* 12642 */   MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 12673
-/* 12646 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12649 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12661
-/* 12653 */   MCD_OPC_CheckPredicate, 0, 197, 129, // Skip to: 45878
-/* 12657 */   MCD_OPC_Decode, 188, 18, 95, // Opcode: TBL4_8b
-/* 12661 */   MCD_OPC_FilterValue, 1, 189, 129, // Skip to: 45878
-/* 12665 */   MCD_OPC_CheckPredicate, 0, 185, 129, // Skip to: 45878
-/* 12669 */   MCD_OPC_Decode, 223, 17, 92, // Opcode: SUBHNvvv_8b8h
-/* 12673 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12691
-/* 12677 */   MCD_OPC_CheckPredicate, 0, 173, 129, // Skip to: 45878
-/* 12681 */   MCD_OPC_CheckField, 21, 1, 1, 167, 129, // Skip to: 45878
-/* 12687 */   MCD_OPC_Decode, 170, 13, 78, // Opcode: SMAXvvv_8B
-/* 12691 */   MCD_OPC_FilterValue, 26, 46, 0, // Skip to: 12741
-/* 12695 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12698 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12710
-/* 12702 */   MCD_OPC_CheckPredicate, 0, 148, 129, // Skip to: 45878
-/* 12706 */   MCD_OPC_Decode, 219, 18, 78, // Opcode: TRN2vvv_8b
-/* 12710 */   MCD_OPC_FilterValue, 1, 140, 129, // Skip to: 45878
-/* 12714 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 12717 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12729
-/* 12721 */   MCD_OPC_CheckPredicate, 0, 129, 129, // Skip to: 45878
-/* 12725 */   MCD_OPC_Decode, 180, 12, 88, // Opcode: SADALP8b4h
-/* 12729 */   MCD_OPC_FilterValue, 1, 121, 129, // Skip to: 45878
-/* 12733 */   MCD_OPC_CheckPredicate, 0, 117, 129, // Skip to: 45878
-/* 12737 */   MCD_OPC_Decode, 209, 4, 84, // Opcode: FCVTN4s4h
-/* 12741 */   MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 12759
-/* 12745 */   MCD_OPC_CheckPredicate, 0, 105, 129, // Skip to: 45878
-/* 12749 */   MCD_OPC_CheckField, 21, 1, 1, 99, 129, // Skip to: 45878
-/* 12755 */   MCD_OPC_Decode, 188, 13, 78, // Opcode: SMINvvv_8B
-/* 12759 */   MCD_OPC_FilterValue, 28, 27, 0, // Skip to: 12790
-/* 12763 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12766 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12778
-/* 12770 */   MCD_OPC_CheckPredicate, 0, 80, 129, // Skip to: 45878
-/* 12774 */   MCD_OPC_Decode, 198, 18, 96, // Opcode: TBX4_8b
-/* 12778 */   MCD_OPC_FilterValue, 1, 72, 129, // Skip to: 45878
-/* 12782 */   MCD_OPC_CheckPredicate, 0, 68, 129, // Skip to: 45878
-/* 12786 */   MCD_OPC_Decode, 169, 12, 74, // Opcode: SABDLvvv_8h8b
-/* 12790 */   MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 12808
-/* 12794 */   MCD_OPC_CheckPredicate, 0, 56, 129, // Skip to: 45878
-/* 12798 */   MCD_OPC_CheckField, 21, 1, 1, 50, 129, // Skip to: 45878
-/* 12804 */   MCD_OPC_Decode, 174, 12, 78, // Opcode: SABDvvv_8B
-/* 12808 */   MCD_OPC_FilterValue, 30, 46, 0, // Skip to: 12858
-/* 12812 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12815 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12827
-/* 12819 */   MCD_OPC_CheckPredicate, 0, 31, 129, // Skip to: 45878
-/* 12823 */   MCD_OPC_Decode, 221, 21, 78, // Opcode: ZIP2vvv_8b
-/* 12827 */   MCD_OPC_FilterValue, 1, 23, 129, // Skip to: 45878
-/* 12831 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 12834 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12846
-/* 12838 */   MCD_OPC_CheckPredicate, 0, 12, 129, // Skip to: 45878
-/* 12842 */   MCD_OPC_Decode, 232, 13, 79, // Opcode: SQABS8b
-/* 12846 */   MCD_OPC_FilterValue, 1, 4, 129, // Skip to: 45878
-/* 12850 */   MCD_OPC_CheckPredicate, 0, 0, 129, // Skip to: 45878
-/* 12854 */   MCD_OPC_Decode, 186, 4, 97, // Opcode: FCVTL4h4s
-/* 12858 */   MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 12876
-/* 12862 */   MCD_OPC_CheckPredicate, 0, 244, 128, // Skip to: 45878
-/* 12866 */   MCD_OPC_CheckField, 21, 1, 1, 238, 128, // Skip to: 45878
-/* 12872 */   MCD_OPC_Decode, 162, 12, 98, // Opcode: SABAvvv_8B
-/* 12876 */   MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 12894
-/* 12880 */   MCD_OPC_CheckPredicate, 0, 226, 128, // Skip to: 45878
-/* 12884 */   MCD_OPC_CheckField, 21, 1, 1, 220, 128, // Skip to: 45878
-/* 12890 */   MCD_OPC_Decode, 199, 13, 94, // Opcode: SMLALvvv_8h8b
-/* 12894 */   MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 12911
-/* 12898 */   MCD_OPC_CheckPredicate, 0, 208, 128, // Skip to: 45878
-/* 12902 */   MCD_OPC_CheckField, 21, 1, 1, 202, 128, // Skip to: 45878
-/* 12908 */   MCD_OPC_Decode, 78, 78, // Opcode: ADDvvv_8B
-/* 12911 */   MCD_OPC_FilterValue, 34, 27, 0, // Skip to: 12942
-/* 12915 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 12918 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 12930
-/* 12922 */   MCD_OPC_CheckPredicate, 0, 184, 128, // Skip to: 45878
-/* 12926 */   MCD_OPC_Decode, 177, 2, 79, // Opcode: CMGTvvi_8B
-/* 12930 */   MCD_OPC_FilterValue, 33, 176, 128, // Skip to: 45878
-/* 12934 */   MCD_OPC_CheckPredicate, 0, 172, 128, // Skip to: 45878
-/* 12938 */   MCD_OPC_Decode, 171, 6, 79, // Opcode: FRINTN_2s
-/* 12942 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 12960
-/* 12946 */   MCD_OPC_CheckPredicate, 0, 160, 128, // Skip to: 45878
-/* 12950 */   MCD_OPC_CheckField, 21, 1, 1, 154, 128, // Skip to: 45878
-/* 12956 */   MCD_OPC_Decode, 140, 3, 78, // Opcode: CMTSTvvv_8B
-/* 12960 */   MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 12978
-/* 12964 */   MCD_OPC_CheckPredicate, 0, 142, 128, // Skip to: 45878
-/* 12968 */   MCD_OPC_CheckField, 21, 1, 1, 136, 128, // Skip to: 45878
-/* 12974 */   MCD_OPC_Decode, 249, 10, 98, // Opcode: MLAvvv_8B
-/* 12978 */   MCD_OPC_FilterValue, 38, 27, 0, // Skip to: 13009
-/* 12982 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 12985 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 12997
-/* 12989 */   MCD_OPC_CheckPredicate, 0, 117, 128, // Skip to: 45878
-/* 12993 */   MCD_OPC_Decode, 145, 2, 79, // Opcode: CMEQvvi_8B
-/* 12997 */   MCD_OPC_FilterValue, 33, 109, 128, // Skip to: 45878
-/* 13001 */   MCD_OPC_CheckPredicate, 0, 105, 128, // Skip to: 45878
-/* 13005 */   MCD_OPC_Decode, 166, 6, 79, // Opcode: FRINTM_2s
-/* 13009 */   MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 13027
-/* 13013 */   MCD_OPC_CheckPredicate, 0, 93, 128, // Skip to: 45878
-/* 13017 */   MCD_OPC_CheckField, 21, 1, 1, 87, 128, // Skip to: 45878
-/* 13023 */   MCD_OPC_Decode, 162, 11, 78, // Opcode: MULvvv_8B
-/* 13027 */   MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 13045
-/* 13031 */   MCD_OPC_CheckPredicate, 0, 75, 128, // Skip to: 45878
-/* 13035 */   MCD_OPC_CheckField, 21, 1, 1, 69, 128, // Skip to: 45878
-/* 13041 */   MCD_OPC_Decode, 209, 13, 94, // Opcode: SMLSLvvv_8h8b
-/* 13045 */   MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 13063
-/* 13049 */   MCD_OPC_CheckPredicate, 0, 57, 128, // Skip to: 45878
-/* 13053 */   MCD_OPC_CheckField, 21, 1, 1, 51, 128, // Skip to: 45878
-/* 13059 */   MCD_OPC_Decode, 159, 13, 78, // Opcode: SMAXPvvv_8B
-/* 13063 */   MCD_OPC_FilterValue, 42, 51, 0, // Skip to: 13118
-/* 13067 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 13070 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 13082
-/* 13074 */   MCD_OPC_CheckPredicate, 0, 32, 128, // Skip to: 45878
-/* 13078 */   MCD_OPC_Decode, 216, 2, 79, // Opcode: CMLTvvi_8B
-/* 13082 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 13094
-/* 13086 */   MCD_OPC_CheckPredicate, 0, 20, 128, // Skip to: 45878
-/* 13090 */   MCD_OPC_Decode, 212, 4, 79, // Opcode: FCVTNS_2s
-/* 13094 */   MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 13106
-/* 13098 */   MCD_OPC_CheckPredicate, 0, 8, 128, // Skip to: 45878
-/* 13102 */   MCD_OPC_Decode, 162, 13, 99, // Opcode: SMAXV_1b8b
-/* 13106 */   MCD_OPC_FilterValue, 49, 0, 128, // Skip to: 45878
-/* 13110 */   MCD_OPC_CheckPredicate, 0, 252, 127, // Skip to: 45878
-/* 13114 */   MCD_OPC_Decode, 180, 13, 99, // Opcode: SMINV_1b8b
-/* 13118 */   MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 13136
-/* 13122 */   MCD_OPC_CheckPredicate, 0, 240, 127, // Skip to: 45878
-/* 13126 */   MCD_OPC_CheckField, 21, 1, 1, 234, 127, // Skip to: 45878
-/* 13132 */   MCD_OPC_Decode, 177, 13, 78, // Opcode: SMINPvvv_8B
-/* 13136 */   MCD_OPC_FilterValue, 46, 37, 0, // Skip to: 13177
-/* 13140 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 13143 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 13154
-/* 13147 */   MCD_OPC_CheckPredicate, 0, 215, 127, // Skip to: 45878
-/* 13151 */   MCD_OPC_Decode, 24, 79, // Opcode: ABS8b
-/* 13154 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 13166
-/* 13158 */   MCD_OPC_CheckPredicate, 0, 204, 127, // Skip to: 45878
-/* 13162 */   MCD_OPC_Decode, 190, 4, 79, // Opcode: FCVTMS_2s
-/* 13166 */   MCD_OPC_FilterValue, 49, 196, 127, // Skip to: 45878
-/* 13170 */   MCD_OPC_CheckPredicate, 0, 192, 127, // Skip to: 45878
-/* 13174 */   MCD_OPC_Decode, 68, 99, // Opcode: ADDV_1b8b
-/* 13177 */   MCD_OPC_FilterValue, 47, 13, 0, // Skip to: 13194
-/* 13181 */   MCD_OPC_CheckPredicate, 0, 181, 127, // Skip to: 45878
-/* 13185 */   MCD_OPC_CheckField, 21, 1, 1, 175, 127, // Skip to: 45878
-/* 13191 */   MCD_OPC_Decode, 42, 78, // Opcode: ADDP_8B
-/* 13194 */   MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 13212
-/* 13198 */   MCD_OPC_CheckPredicate, 0, 164, 127, // Skip to: 45878
-/* 13202 */   MCD_OPC_CheckField, 21, 1, 1, 158, 127, // Skip to: 45878
-/* 13208 */   MCD_OPC_Decode, 226, 13, 74, // Opcode: SMULLvvv_8h8b
-/* 13212 */   MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 13230
-/* 13216 */   MCD_OPC_CheckPredicate, 0, 146, 127, // Skip to: 45878
-/* 13220 */   MCD_OPC_CheckField, 21, 1, 1, 140, 127, // Skip to: 45878
-/* 13226 */   MCD_OPC_Decode, 174, 5, 78, // Opcode: FMAXNMvvv_2S
-/* 13230 */   MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 13248
-/* 13234 */   MCD_OPC_CheckPredicate, 0, 128, 127, // Skip to: 45878
-/* 13238 */   MCD_OPC_CheckField, 16, 6, 33, 122, 127, // Skip to: 45878
-/* 13244 */   MCD_OPC_Decode, 168, 4, 79, // Opcode: FCVTAS_2s
-/* 13248 */   MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 13266
-/* 13252 */   MCD_OPC_CheckPredicate, 0, 110, 127, // Skip to: 45878
-/* 13256 */   MCD_OPC_CheckField, 21, 1, 1, 104, 127, // Skip to: 45878
-/* 13262 */   MCD_OPC_Decode, 215, 5, 98, // Opcode: FMLAvvv_2S
-/* 13266 */   MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 13284
-/* 13270 */   MCD_OPC_CheckPredicate, 0, 92, 127, // Skip to: 45878
-/* 13274 */   MCD_OPC_CheckField, 21, 1, 1, 86, 127, // Skip to: 45878
-/* 13280 */   MCD_OPC_Decode, 239, 3, 78, // Opcode: FADDvvv_2S
-/* 13284 */   MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 13302
-/* 13288 */   MCD_OPC_CheckPredicate, 0, 74, 127, // Skip to: 45878
-/* 13292 */   MCD_OPC_CheckField, 16, 6, 33, 68, 127, // Skip to: 45878
-/* 13298 */   MCD_OPC_Decode, 216, 12, 79, // Opcode: SCVTF_2s
-/* 13302 */   MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 13320
-/* 13306 */   MCD_OPC_CheckPredicate, 0, 56, 127, // Skip to: 45878
-/* 13310 */   MCD_OPC_CheckField, 21, 1, 1, 50, 127, // Skip to: 45878
-/* 13316 */   MCD_OPC_Decode, 248, 5, 78, // Opcode: FMULXvvv_2S
-/* 13320 */   MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 13338
-/* 13324 */   MCD_OPC_CheckPredicate, 0, 38, 127, // Skip to: 45878
-/* 13328 */   MCD_OPC_CheckField, 21, 1, 1, 32, 127, // Skip to: 45878
-/* 13334 */   MCD_OPC_Decode, 217, 11, 74, // Opcode: PMULLvvv_8h8b
-/* 13338 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 13356
-/* 13342 */   MCD_OPC_CheckPredicate, 0, 20, 127, // Skip to: 45878
-/* 13346 */   MCD_OPC_CheckField, 21, 1, 1, 14, 127, // Skip to: 45878
-/* 13352 */   MCD_OPC_Decode, 253, 3, 78, // Opcode: FCMEQvvv_2S
-/* 13356 */   MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 13374
-/* 13360 */   MCD_OPC_CheckPredicate, 0, 2, 127, // Skip to: 45878
-/* 13364 */   MCD_OPC_CheckField, 21, 1, 1, 252, 126, // Skip to: 45878
-/* 13370 */   MCD_OPC_Decode, 185, 5, 78, // Opcode: FMAXvvv_2S
-/* 13374 */   MCD_OPC_FilterValue, 63, 244, 126, // Skip to: 45878
-/* 13378 */   MCD_OPC_CheckPredicate, 0, 240, 126, // Skip to: 45878
-/* 13382 */   MCD_OPC_CheckField, 21, 1, 1, 234, 126, // Skip to: 45878
-/* 13388 */   MCD_OPC_Decode, 151, 6, 78, // Opcode: FRECPSvvv_2S
-/* 13392 */   MCD_OPC_FilterValue, 1, 85, 4, // Skip to: 14505
-/* 13396 */   MCD_OPC_ExtractField, 14, 2,  // Inst{15-14} ...
-/* 13399 */   MCD_OPC_FilterValue, 0, 64, 1, // Skip to: 13723
-/* 13403 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 13406 */   MCD_OPC_FilterValue, 0, 162, 0, // Skip to: 13572
-/* 13410 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13413 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13425
-/* 13417 */   MCD_OPC_CheckPredicate, 0, 201, 126, // Skip to: 45878
-/* 13421 */   MCD_OPC_Decode, 209, 3, 100, // Opcode: EXTvvvi_8b
-/* 13425 */   MCD_OPC_FilterValue, 1, 193, 126, // Skip to: 45878
-/* 13429 */   MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
-/* 13432 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13444
-/* 13436 */   MCD_OPC_CheckPredicate, 0, 182, 126, // Skip to: 45878
-/* 13440 */   MCD_OPC_Decode, 147, 19, 74, // Opcode: UADDLvvv_8h8b
-/* 13444 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13462
-/* 13448 */   MCD_OPC_CheckPredicate, 0, 170, 126, // Skip to: 45878
-/* 13452 */   MCD_OPC_CheckField, 16, 5, 0, 164, 126, // Skip to: 45878
-/* 13458 */   MCD_OPC_Decode, 255, 11, 79, // Opcode: REV32_8b
-/* 13462 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 13474
-/* 13466 */   MCD_OPC_CheckPredicate, 0, 152, 126, // Skip to: 45878
-/* 13470 */   MCD_OPC_Decode, 153, 19, 82, // Opcode: UADDWvvv_8h8b
-/* 13474 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 13486
-/* 13478 */   MCD_OPC_CheckPredicate, 0, 140, 126, // Skip to: 45878
-/* 13482 */   MCD_OPC_Decode, 166, 21, 74, // Opcode: USUBLvvv_8h8b
-/* 13486 */   MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 13517
-/* 13490 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 13493 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13505
-/* 13497 */   MCD_OPC_CheckPredicate, 0, 121, 126, // Skip to: 45878
-/* 13501 */   MCD_OPC_Decode, 138, 19, 79, // Opcode: UADDLP8b4h
-/* 13505 */   MCD_OPC_FilterValue, 1, 113, 126, // Skip to: 45878
-/* 13509 */   MCD_OPC_CheckPredicate, 0, 109, 126, // Skip to: 45878
-/* 13513 */   MCD_OPC_Decode, 167, 15, 84, // Opcode: SQXTUN8h8b
-/* 13517 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 13529
-/* 13521 */   MCD_OPC_CheckPredicate, 0, 97, 126, // Skip to: 45878
-/* 13525 */   MCD_OPC_Decode, 172, 21, 82, // Opcode: USUBWvvv_8h8b
-/* 13529 */   MCD_OPC_FilterValue, 7, 89, 126, // Skip to: 45878
-/* 13533 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 13536 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13548
-/* 13540 */   MCD_OPC_CheckPredicate, 0, 78, 126, // Skip to: 45878
-/* 13544 */   MCD_OPC_Decode, 147, 21, 88, // Opcode: USQADD8b
-/* 13548 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 13560
-/* 13552 */   MCD_OPC_CheckPredicate, 0, 66, 126, // Skip to: 45878
-/* 13556 */   MCD_OPC_Decode, 252, 12, 101, // Opcode: SHLL8b8h
-/* 13560 */   MCD_OPC_FilterValue, 16, 58, 126, // Skip to: 45878
-/* 13564 */   MCD_OPC_CheckPredicate, 0, 54, 126, // Skip to: 45878
-/* 13568 */   MCD_OPC_Decode, 142, 19, 89, // Opcode: UADDLV_1h8b
-/* 13572 */   MCD_OPC_FilterValue, 1, 46, 126, // Skip to: 45878
-/* 13576 */   MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
-/* 13579 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13597
-/* 13583 */   MCD_OPC_CheckPredicate, 0, 35, 126, // Skip to: 45878
-/* 13587 */   MCD_OPC_CheckField, 21, 1, 1, 29, 126, // Skip to: 45878
-/* 13593 */   MCD_OPC_Decode, 181, 19, 78, // Opcode: UHADDvvv_8B
-/* 13597 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13615
-/* 13601 */   MCD_OPC_CheckPredicate, 0, 17, 126, // Skip to: 45878
-/* 13605 */   MCD_OPC_CheckField, 21, 1, 1, 11, 126, // Skip to: 45878
-/* 13611 */   MCD_OPC_Decode, 141, 20, 78, // Opcode: UQADDvvv_8B
-/* 13615 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13633
-/* 13619 */   MCD_OPC_CheckPredicate, 0, 255, 125, // Skip to: 45878
-/* 13623 */   MCD_OPC_CheckField, 21, 1, 1, 249, 125, // Skip to: 45878
-/* 13629 */   MCD_OPC_Decode, 220, 20, 78, // Opcode: URHADDvvv_8B
-/* 13633 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 13651
-/* 13637 */   MCD_OPC_CheckPredicate, 0, 237, 125, // Skip to: 45878
-/* 13641 */   MCD_OPC_CheckField, 21, 1, 1, 231, 125, // Skip to: 45878
-/* 13647 */   MCD_OPC_Decode, 194, 3, 78, // Opcode: EORvvv_8B
-/* 13651 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 13669
-/* 13655 */   MCD_OPC_CheckPredicate, 0, 219, 125, // Skip to: 45878
-/* 13659 */   MCD_OPC_CheckField, 21, 1, 1, 213, 125, // Skip to: 45878
-/* 13665 */   MCD_OPC_Decode, 187, 19, 78, // Opcode: UHSUBvvv_8B
-/* 13669 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 13687
-/* 13673 */   MCD_OPC_CheckPredicate, 0, 201, 125, // Skip to: 45878
-/* 13677 */   MCD_OPC_CheckField, 21, 1, 1, 195, 125, // Skip to: 45878
-/* 13683 */   MCD_OPC_Decode, 203, 20, 78, // Opcode: UQSUBvvv_8B
-/* 13687 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 13705
-/* 13691 */   MCD_OPC_CheckPredicate, 0, 183, 125, // Skip to: 45878
-/* 13695 */   MCD_OPC_CheckField, 21, 1, 1, 177, 125, // Skip to: 45878
-/* 13701 */   MCD_OPC_Decode, 192, 2, 78, // Opcode: CMHIvvv_8B
-/* 13705 */   MCD_OPC_FilterValue, 7, 169, 125, // Skip to: 45878
-/* 13709 */   MCD_OPC_CheckPredicate, 0, 165, 125, // Skip to: 45878
-/* 13713 */   MCD_OPC_CheckField, 21, 1, 1, 159, 125, // Skip to: 45878
-/* 13719 */   MCD_OPC_Decode, 200, 2, 78, // Opcode: CMHSvvv_8B
-/* 13723 */   MCD_OPC_FilterValue, 1, 48, 1, // Skip to: 14031
-/* 13727 */   MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
-/* 13730 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13748
-/* 13734 */   MCD_OPC_CheckPredicate, 0, 140, 125, // Skip to: 45878
-/* 13738 */   MCD_OPC_CheckField, 21, 1, 1, 134, 125, // Skip to: 45878
-/* 13744 */   MCD_OPC_Decode, 242, 11, 92, // Opcode: RADDHNvvv_8b8h
-/* 13748 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13766
-/* 13752 */   MCD_OPC_CheckPredicate, 0, 122, 125, // Skip to: 45878
-/* 13756 */   MCD_OPC_CheckField, 21, 1, 1, 116, 125, // Skip to: 45878
-/* 13762 */   MCD_OPC_Decode, 132, 21, 78, // Opcode: USHLvvv_8B
-/* 13766 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 13797
-/* 13770 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 13773 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 13785
-/* 13777 */   MCD_OPC_CheckPredicate, 0, 97, 125, // Skip to: 45878
-/* 13781 */   MCD_OPC_Decode, 134, 2, 79, // Opcode: CLZ8b
-/* 13785 */   MCD_OPC_FilterValue, 33, 89, 125, // Skip to: 45878
-/* 13789 */   MCD_OPC_CheckPredicate, 0, 85, 125, // Skip to: 45878
-/* 13793 */   MCD_OPC_Decode, 210, 20, 84, // Opcode: UQXTN8h8b
-/* 13797 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 13815
-/* 13801 */   MCD_OPC_CheckPredicate, 0, 73, 125, // Skip to: 45878
-/* 13805 */   MCD_OPC_CheckField, 21, 1, 1, 67, 125, // Skip to: 45878
-/* 13811 */   MCD_OPC_Decode, 183, 20, 78, // Opcode: UQSHLvvv_8B
-/* 13815 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 13833
-/* 13819 */   MCD_OPC_CheckPredicate, 0, 55, 125, // Skip to: 45878
-/* 13823 */   MCD_OPC_CheckField, 21, 1, 1, 49, 125, // Skip to: 45878
-/* 13829 */   MCD_OPC_Decode, 234, 18, 94, // Opcode: UABALvvv_8h8b
-/* 13833 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 13851
-/* 13837 */   MCD_OPC_CheckPredicate, 0, 37, 125, // Skip to: 45878
-/* 13841 */   MCD_OPC_CheckField, 21, 1, 1, 31, 125, // Skip to: 45878
-/* 13847 */   MCD_OPC_Decode, 228, 20, 78, // Opcode: URSHLvvv_8B
-/* 13851 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 13869
-/* 13855 */   MCD_OPC_CheckPredicate, 0, 19, 125, // Skip to: 45878
-/* 13859 */   MCD_OPC_CheckField, 16, 6, 32, 13, 125, // Skip to: 45878
-/* 13865 */   MCD_OPC_Decode, 187, 11, 79, // Opcode: NOT8b
-/* 13869 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 13887
-/* 13873 */   MCD_OPC_CheckPredicate, 0, 1, 125, // Skip to: 45878
-/* 13877 */   MCD_OPC_CheckField, 21, 1, 1, 251, 124, // Skip to: 45878
-/* 13883 */   MCD_OPC_Decode, 152, 20, 78, // Opcode: UQRSHLvvv_8B
-/* 13887 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 13905
-/* 13891 */   MCD_OPC_CheckPredicate, 0, 239, 124, // Skip to: 45878
-/* 13895 */   MCD_OPC_CheckField, 21, 1, 1, 233, 124, // Skip to: 45878
-/* 13901 */   MCD_OPC_Decode, 151, 12, 92, // Opcode: RSUBHNvvv_8b8h
-/* 13905 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 13923
-/* 13909 */   MCD_OPC_CheckPredicate, 0, 221, 124, // Skip to: 45878
-/* 13913 */   MCD_OPC_CheckField, 21, 1, 1, 215, 124, // Skip to: 45878
-/* 13919 */   MCD_OPC_Decode, 205, 19, 78, // Opcode: UMAXvvv_8B
-/* 13923 */   MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 13941
-/* 13927 */   MCD_OPC_CheckPredicate, 0, 203, 124, // Skip to: 45878
-/* 13931 */   MCD_OPC_CheckField, 16, 6, 32, 197, 124, // Skip to: 45878
-/* 13937 */   MCD_OPC_Decode, 129, 19, 88, // Opcode: UADALP8b4h
-/* 13941 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 13959
-/* 13945 */   MCD_OPC_CheckPredicate, 0, 185, 124, // Skip to: 45878
-/* 13949 */   MCD_OPC_CheckField, 21, 1, 1, 179, 124, // Skip to: 45878
-/* 13955 */   MCD_OPC_Decode, 222, 19, 78, // Opcode: UMINvvv_8B
-/* 13959 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 13977
-/* 13963 */   MCD_OPC_CheckPredicate, 0, 167, 124, // Skip to: 45878
-/* 13967 */   MCD_OPC_CheckField, 21, 1, 1, 161, 124, // Skip to: 45878
-/* 13973 */   MCD_OPC_Decode, 246, 18, 74, // Opcode: UABDLvvv_8h8b
-/* 13977 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 13995
-/* 13981 */   MCD_OPC_CheckPredicate, 0, 149, 124, // Skip to: 45878
-/* 13985 */   MCD_OPC_CheckField, 21, 1, 1, 143, 124, // Skip to: 45878
-/* 13991 */   MCD_OPC_Decode, 251, 18, 78, // Opcode: UABDvvv_8B
-/* 13995 */   MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 14013
-/* 13999 */   MCD_OPC_CheckPredicate, 0, 131, 124, // Skip to: 45878
-/* 14003 */   MCD_OPC_CheckField, 16, 6, 32, 125, 124, // Skip to: 45878
-/* 14009 */   MCD_OPC_Decode, 182, 14, 79, // Opcode: SQNEG8b
-/* 14013 */   MCD_OPC_FilterValue, 15, 117, 124, // Skip to: 45878
-/* 14017 */   MCD_OPC_CheckPredicate, 0, 113, 124, // Skip to: 45878
-/* 14021 */   MCD_OPC_CheckField, 21, 1, 1, 107, 124, // Skip to: 45878
-/* 14027 */   MCD_OPC_Decode, 239, 18, 98, // Opcode: UABAvvv_8B
-/* 14031 */   MCD_OPC_FilterValue, 2, 27, 1, // Skip to: 14318
-/* 14035 */   MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
-/* 14038 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14056
-/* 14042 */   MCD_OPC_CheckPredicate, 0, 88, 124, // Skip to: 45878
-/* 14046 */   MCD_OPC_CheckField, 21, 1, 1, 82, 124, // Skip to: 45878
-/* 14052 */   MCD_OPC_Decode, 233, 19, 94, // Opcode: UMLALvvv_8h8b
-/* 14056 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14074
-/* 14060 */   MCD_OPC_CheckPredicate, 0, 70, 124, // Skip to: 45878
-/* 14064 */   MCD_OPC_CheckField, 21, 1, 1, 64, 124, // Skip to: 45878
-/* 14070 */   MCD_OPC_Decode, 252, 17, 78, // Opcode: SUBvvv_8B
-/* 14074 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 14105
-/* 14078 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 14081 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14093
-/* 14085 */   MCD_OPC_CheckPredicate, 0, 45, 124, // Skip to: 45878
-/* 14089 */   MCD_OPC_Decode, 161, 2, 79, // Opcode: CMGEvvi_8B
-/* 14093 */   MCD_OPC_FilterValue, 33, 37, 124, // Skip to: 45878
-/* 14097 */   MCD_OPC_CheckPredicate, 0, 33, 124, // Skip to: 45878
-/* 14101 */   MCD_OPC_Decode, 156, 6, 79, // Opcode: FRINTA_2s
-/* 14105 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 14123
-/* 14109 */   MCD_OPC_CheckPredicate, 0, 21, 124, // Skip to: 45878
-/* 14113 */   MCD_OPC_CheckField, 21, 1, 1, 15, 124, // Skip to: 45878
-/* 14119 */   MCD_OPC_Decode, 152, 2, 78, // Opcode: CMEQvvv_8B
-/* 14123 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 14141
-/* 14127 */   MCD_OPC_CheckPredicate, 0, 3, 124, // Skip to: 45878
-/* 14131 */   MCD_OPC_CheckField, 21, 1, 1, 253, 123, // Skip to: 45878
-/* 14137 */   MCD_OPC_Decode, 131, 11, 98, // Opcode: MLSvvv_8B
-/* 14141 */   MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 14172
-/* 14145 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 14148 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14160
-/* 14152 */   MCD_OPC_CheckPredicate, 0, 234, 123, // Skip to: 45878
-/* 14156 */   MCD_OPC_Decode, 208, 2, 79, // Opcode: CMLEvvi_8B
-/* 14160 */   MCD_OPC_FilterValue, 33, 226, 123, // Skip to: 45878
-/* 14164 */   MCD_OPC_CheckPredicate, 0, 222, 123, // Skip to: 45878
-/* 14168 */   MCD_OPC_Decode, 181, 6, 79, // Opcode: FRINTX_2s
-/* 14172 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 14190
-/* 14176 */   MCD_OPC_CheckPredicate, 0, 210, 123, // Skip to: 45878
-/* 14180 */   MCD_OPC_CheckField, 21, 1, 1, 204, 123, // Skip to: 45878
-/* 14186 */   MCD_OPC_Decode, 219, 11, 78, // Opcode: PMULvvv_8B
-/* 14190 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 14208
-/* 14194 */   MCD_OPC_CheckPredicate, 0, 192, 123, // Skip to: 45878
-/* 14198 */   MCD_OPC_CheckField, 21, 1, 1, 186, 123, // Skip to: 45878
-/* 14204 */   MCD_OPC_Decode, 243, 19, 94, // Opcode: UMLSLvvv_8h8b
-/* 14208 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 14226
-/* 14212 */   MCD_OPC_CheckPredicate, 0, 174, 123, // Skip to: 45878
-/* 14216 */   MCD_OPC_CheckField, 21, 1, 1, 168, 123, // Skip to: 45878
-/* 14222 */   MCD_OPC_Decode, 194, 19, 78, // Opcode: UMAXPvvv_8B
-/* 14226 */   MCD_OPC_FilterValue, 10, 39, 0, // Skip to: 14269
-/* 14230 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 14233 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 14245
-/* 14237 */   MCD_OPC_CheckPredicate, 0, 149, 123, // Skip to: 45878
-/* 14241 */   MCD_OPC_Decode, 221, 4, 79, // Opcode: FCVTNU_2s
-/* 14245 */   MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 14257
-/* 14249 */   MCD_OPC_CheckPredicate, 0, 137, 123, // Skip to: 45878
-/* 14253 */   MCD_OPC_Decode, 197, 19, 99, // Opcode: UMAXV_1b8b
-/* 14257 */   MCD_OPC_FilterValue, 49, 129, 123, // Skip to: 45878
-/* 14261 */   MCD_OPC_CheckPredicate, 0, 125, 123, // Skip to: 45878
-/* 14265 */   MCD_OPC_Decode, 214, 19, 99, // Opcode: UMINV_1b8b
-/* 14269 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 14287
-/* 14273 */   MCD_OPC_CheckPredicate, 0, 113, 123, // Skip to: 45878
-/* 14277 */   MCD_OPC_CheckField, 21, 1, 1, 107, 123, // Skip to: 45878
-/* 14283 */   MCD_OPC_Decode, 211, 19, 78, // Opcode: UMINPvvv_8B
-/* 14287 */   MCD_OPC_FilterValue, 14, 99, 123, // Skip to: 45878
-/* 14291 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 14294 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14306
-/* 14298 */   MCD_OPC_CheckPredicate, 0, 88, 123, // Skip to: 45878
-/* 14302 */   MCD_OPC_Decode, 183, 11, 79, // Opcode: NEG8b
-/* 14306 */   MCD_OPC_FilterValue, 33, 80, 123, // Skip to: 45878
-/* 14310 */   MCD_OPC_CheckPredicate, 0, 76, 123, // Skip to: 45878
-/* 14314 */   MCD_OPC_Decode, 199, 4, 79, // Opcode: FCVTMU_2s
-/* 14318 */   MCD_OPC_FilterValue, 3, 68, 123, // Skip to: 45878
-/* 14322 */   MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
-/* 14325 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14343
-/* 14329 */   MCD_OPC_CheckPredicate, 0, 57, 123, // Skip to: 45878
-/* 14333 */   MCD_OPC_CheckField, 21, 1, 1, 51, 123, // Skip to: 45878
-/* 14339 */   MCD_OPC_Decode, 131, 20, 74, // Opcode: UMULLvvv_8h8b
-/* 14343 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14361
-/* 14347 */   MCD_OPC_CheckPredicate, 0, 39, 123, // Skip to: 45878
-/* 14351 */   MCD_OPC_CheckField, 21, 1, 1, 33, 123, // Skip to: 45878
-/* 14357 */   MCD_OPC_Decode, 168, 5, 78, // Opcode: FMAXNMPvvv_2S
-/* 14361 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14379
-/* 14365 */   MCD_OPC_CheckPredicate, 0, 21, 123, // Skip to: 45878
-/* 14369 */   MCD_OPC_CheckField, 16, 6, 33, 15, 123, // Skip to: 45878
-/* 14375 */   MCD_OPC_Decode, 177, 4, 79, // Opcode: FCVTAU_2s
-/* 14379 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 14397
-/* 14383 */   MCD_OPC_CheckPredicate, 0, 3, 123, // Skip to: 45878
-/* 14387 */   MCD_OPC_CheckField, 21, 1, 1, 253, 122, // Skip to: 45878
-/* 14393 */   MCD_OPC_Decode, 232, 3, 78, // Opcode: FADDP_2S
-/* 14397 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 14415
-/* 14401 */   MCD_OPC_CheckPredicate, 0, 241, 122, // Skip to: 45878
-/* 14405 */   MCD_OPC_CheckField, 16, 6, 33, 235, 122, // Skip to: 45878
-/* 14411 */   MCD_OPC_Decode, 161, 19, 79, // Opcode: UCVTF_2s
-/* 14415 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 14433
-/* 14419 */   MCD_OPC_CheckPredicate, 0, 223, 122, // Skip to: 45878
-/* 14423 */   MCD_OPC_CheckField, 21, 1, 1, 217, 122, // Skip to: 45878
-/* 14429 */   MCD_OPC_Decode, 130, 6, 78, // Opcode: FMULvvv_2S
-/* 14433 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 14451
-/* 14437 */   MCD_OPC_CheckPredicate, 0, 205, 122, // Skip to: 45878
-/* 14441 */   MCD_OPC_CheckField, 21, 1, 1, 199, 122, // Skip to: 45878
-/* 14447 */   MCD_OPC_Decode, 135, 4, 78, // Opcode: FCMGEvvv_2S
-/* 14451 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 14469
-/* 14455 */   MCD_OPC_CheckPredicate, 0, 187, 122, // Skip to: 45878
-/* 14459 */   MCD_OPC_CheckField, 21, 1, 1, 181, 122, // Skip to: 45878
-/* 14465 */   MCD_OPC_Decode, 224, 3, 78, // Opcode: FACGEvvv_2S
-/* 14469 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 14487
-/* 14473 */   MCD_OPC_CheckPredicate, 0, 169, 122, // Skip to: 45878
-/* 14477 */   MCD_OPC_CheckField, 21, 1, 1, 163, 122, // Skip to: 45878
-/* 14483 */   MCD_OPC_Decode, 179, 5, 78, // Opcode: FMAXPvvv_2S
-/* 14487 */   MCD_OPC_FilterValue, 15, 155, 122, // Skip to: 45878
-/* 14491 */   MCD_OPC_CheckPredicate, 0, 151, 122, // Skip to: 45878
-/* 14495 */   MCD_OPC_CheckField, 21, 1, 1, 145, 122, // Skip to: 45878
-/* 14501 */   MCD_OPC_Decode, 161, 5, 78, // Opcode: FDIVvvv_2S
-/* 14505 */   MCD_OPC_FilterValue, 2, 170, 6, // Skip to: 16215
-/* 14509 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 14512 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 14543
-/* 14516 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14519 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14531
-/* 14523 */   MCD_OPC_CheckPredicate, 0, 119, 122, // Skip to: 45878
-/* 14527 */   MCD_OPC_Decode, 181, 18, 102, // Opcode: TBL1_16b
-/* 14531 */   MCD_OPC_FilterValue, 1, 111, 122, // Skip to: 45878
-/* 14535 */   MCD_OPC_CheckPredicate, 0, 107, 122, // Skip to: 45878
-/* 14539 */   MCD_OPC_Decode, 184, 12, 102, // Opcode: SADDL2vvv_8h16b
-/* 14543 */   MCD_OPC_FilterValue, 1, 90, 0, // Skip to: 14637
-/* 14547 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14550 */   MCD_OPC_FilterValue, 0, 71, 0, // Skip to: 14625
-/* 14554 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 14557 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 14613
-/* 14561 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 14564 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 14601
-/* 14568 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 14571 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14589
-/* 14575 */   MCD_OPC_CheckPredicate, 0, 67, 122, // Skip to: 45878
-/* 14579 */   MCD_OPC_CheckField, 19, 1, 1, 61, 122, // Skip to: 45878
-/* 14585 */   MCD_OPC_Decode, 175, 3, 103, // Opcode: DUPELT2d
-/* 14589 */   MCD_OPC_FilterValue, 1, 53, 122, // Skip to: 45878
-/* 14593 */   MCD_OPC_CheckPredicate, 0, 49, 122, // Skip to: 45878
-/* 14597 */   MCD_OPC_Decode, 178, 3, 104, // Opcode: DUPELT4s
-/* 14601 */   MCD_OPC_FilterValue, 1, 41, 122, // Skip to: 45878
-/* 14605 */   MCD_OPC_CheckPredicate, 0, 37, 122, // Skip to: 45878
-/* 14609 */   MCD_OPC_Decode, 180, 3, 105, // Opcode: DUPELT8h
-/* 14613 */   MCD_OPC_FilterValue, 1, 29, 122, // Skip to: 45878
-/* 14617 */   MCD_OPC_CheckPredicate, 0, 25, 122, // Skip to: 45878
-/* 14621 */   MCD_OPC_Decode, 174, 3, 106, // Opcode: DUPELT16b
-/* 14625 */   MCD_OPC_FilterValue, 1, 17, 122, // Skip to: 45878
-/* 14629 */   MCD_OPC_CheckPredicate, 0, 13, 122, // Skip to: 45878
-/* 14633 */   MCD_OPC_Decode, 242, 12, 102, // Opcode: SHADDvvv_16B
-/* 14637 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14655
-/* 14641 */   MCD_OPC_CheckPredicate, 0, 1, 122, // Skip to: 45878
-/* 14645 */   MCD_OPC_CheckField, 16, 6, 32, 251, 121, // Skip to: 45878
-/* 14651 */   MCD_OPC_Decode, 130, 12, 107, // Opcode: REV64_16b
-/* 14655 */   MCD_OPC_FilterValue, 3, 70, 0, // Skip to: 14729
-/* 14659 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14662 */   MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 14717
-/* 14666 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 14669 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 14681
-/* 14673 */   MCD_OPC_CheckPredicate, 0, 225, 121, // Skip to: 45878
-/* 14677 */   MCD_OPC_Decode, 167, 3, 108, // Opcode: DUP16b
-/* 14681 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 14693
-/* 14685 */   MCD_OPC_CheckPredicate, 0, 213, 121, // Skip to: 45878
-/* 14689 */   MCD_OPC_Decode, 173, 3, 108, // Opcode: DUP8h
-/* 14693 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 14705
-/* 14697 */   MCD_OPC_CheckPredicate, 0, 201, 121, // Skip to: 45878
-/* 14701 */   MCD_OPC_Decode, 171, 3, 108, // Opcode: DUP4s
-/* 14705 */   MCD_OPC_FilterValue, 8, 193, 121, // Skip to: 45878
-/* 14709 */   MCD_OPC_CheckPredicate, 0, 189, 121, // Skip to: 45878
-/* 14713 */   MCD_OPC_Decode, 168, 3, 109, // Opcode: DUP2d
-/* 14717 */   MCD_OPC_FilterValue, 1, 181, 121, // Skip to: 45878
-/* 14721 */   MCD_OPC_CheckPredicate, 0, 177, 121, // Skip to: 45878
-/* 14725 */   MCD_OPC_Decode, 242, 13, 102, // Opcode: SQADDvvv_16B
-/* 14729 */   MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 14760
-/* 14733 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14736 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14748
-/* 14740 */   MCD_OPC_CheckPredicate, 0, 158, 121, // Skip to: 45878
-/* 14744 */   MCD_OPC_Decode, 191, 18, 110, // Opcode: TBX1_16b
-/* 14748 */   MCD_OPC_FilterValue, 1, 150, 121, // Skip to: 45878
-/* 14752 */   MCD_OPC_CheckPredicate, 0, 146, 121, // Skip to: 45878
-/* 14756 */   MCD_OPC_Decode, 201, 12, 102, // Opcode: SADDW2vvv_8h16b
-/* 14760 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 14778
-/* 14764 */   MCD_OPC_CheckPredicate, 0, 134, 121, // Skip to: 45878
-/* 14768 */   MCD_OPC_CheckField, 21, 1, 1, 128, 121, // Skip to: 45878
-/* 14774 */   MCD_OPC_Decode, 171, 15, 102, // Opcode: SRHADDvvv_16B
-/* 14778 */   MCD_OPC_FilterValue, 6, 33, 0, // Skip to: 14815
-/* 14782 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14785 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14797
-/* 14789 */   MCD_OPC_CheckPredicate, 0, 109, 121, // Skip to: 45878
-/* 14793 */   MCD_OPC_Decode, 177, 21, 102, // Opcode: UZP1vvv_16b
-/* 14797 */   MCD_OPC_FilterValue, 1, 101, 121, // Skip to: 45878
-/* 14801 */   MCD_OPC_CheckPredicate, 0, 97, 121, // Skip to: 45878
-/* 14805 */   MCD_OPC_CheckField, 16, 5, 0, 91, 121, // Skip to: 45878
-/* 14811 */   MCD_OPC_Decode, 249, 11, 107, // Opcode: REV16_16b
-/* 14815 */   MCD_OPC_FilterValue, 7, 90, 0, // Skip to: 14909
-/* 14819 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14822 */   MCD_OPC_FilterValue, 0, 71, 0, // Skip to: 14897
-/* 14826 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 14829 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 14885
-/* 14833 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 14836 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 14873
-/* 14840 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 14843 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14861
-/* 14847 */   MCD_OPC_CheckPredicate, 0, 51, 121, // Skip to: 45878
-/* 14851 */   MCD_OPC_CheckField, 19, 1, 1, 45, 121, // Skip to: 45878
-/* 14857 */   MCD_OPC_Decode, 220, 6, 111, // Opcode: INSdx
-/* 14861 */   MCD_OPC_FilterValue, 1, 37, 121, // Skip to: 45878
-/* 14865 */   MCD_OPC_CheckPredicate, 0, 33, 121, // Skip to: 45878
-/* 14869 */   MCD_OPC_Decode, 222, 6, 112, // Opcode: INSsw
-/* 14873 */   MCD_OPC_FilterValue, 1, 25, 121, // Skip to: 45878
-/* 14877 */   MCD_OPC_CheckPredicate, 0, 21, 121, // Skip to: 45878
-/* 14881 */   MCD_OPC_Decode, 221, 6, 113, // Opcode: INShw
-/* 14885 */   MCD_OPC_FilterValue, 1, 13, 121, // Skip to: 45878
-/* 14889 */   MCD_OPC_CheckPredicate, 0, 9, 121, // Skip to: 45878
-/* 14893 */   MCD_OPC_Decode, 219, 6, 114, // Opcode: INSbw
-/* 14897 */   MCD_OPC_FilterValue, 1, 1, 121, // Skip to: 45878
-/* 14901 */   MCD_OPC_CheckPredicate, 0, 253, 120, // Skip to: 45878
-/* 14905 */   MCD_OPC_Decode, 132, 1, 102, // Opcode: ANDvvv_16B
-/* 14909 */   MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 14940
-/* 14913 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14916 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14928
-/* 14920 */   MCD_OPC_CheckPredicate, 0, 234, 120, // Skip to: 45878
-/* 14924 */   MCD_OPC_Decode, 183, 18, 115, // Opcode: TBL2_16b
-/* 14928 */   MCD_OPC_FilterValue, 1, 226, 120, // Skip to: 45878
-/* 14932 */   MCD_OPC_CheckPredicate, 0, 222, 120, // Skip to: 45878
-/* 14936 */   MCD_OPC_Decode, 241, 15, 102, // Opcode: SSUBL2vvv_8h16b
-/* 14940 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 14958
-/* 14944 */   MCD_OPC_CheckPredicate, 0, 210, 120, // Skip to: 45878
-/* 14948 */   MCD_OPC_CheckField, 21, 1, 1, 204, 120, // Skip to: 45878
-/* 14954 */   MCD_OPC_Decode, 140, 13, 102, // Opcode: SHSUBvvv_16B
-/* 14958 */   MCD_OPC_FilterValue, 10, 46, 0, // Skip to: 15008
-/* 14962 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 14965 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14977
-/* 14969 */   MCD_OPC_CheckPredicate, 0, 185, 120, // Skip to: 45878
-/* 14973 */   MCD_OPC_Decode, 207, 18, 102, // Opcode: TRN1vvv_16b
-/* 14977 */   MCD_OPC_FilterValue, 1, 177, 120, // Skip to: 45878
-/* 14981 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 14984 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14996
-/* 14988 */   MCD_OPC_CheckPredicate, 0, 166, 120, // Skip to: 45878
-/* 14992 */   MCD_OPC_Decode, 185, 12, 107, // Opcode: SADDLP16b8h
-/* 14996 */   MCD_OPC_FilterValue, 1, 158, 120, // Skip to: 45878
-/* 15000 */   MCD_OPC_CheckPredicate, 0, 154, 120, // Skip to: 45878
-/* 15004 */   MCD_OPC_Decode, 207, 21, 116, // Opcode: XTN8h16b
-/* 15008 */   MCD_OPC_FilterValue, 11, 71, 0, // Skip to: 15083
-/* 15012 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15015 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 15071
-/* 15019 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 15022 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 15059
-/* 15026 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 15029 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15047
-/* 15033 */   MCD_OPC_CheckPredicate, 0, 121, 120, // Skip to: 45878
-/* 15037 */   MCD_OPC_CheckField, 18, 1, 1, 115, 120, // Skip to: 45878
-/* 15043 */   MCD_OPC_Decode, 214, 13, 117, // Opcode: SMOVxs
-/* 15047 */   MCD_OPC_FilterValue, 1, 107, 120, // Skip to: 45878
-/* 15051 */   MCD_OPC_CheckPredicate, 0, 103, 120, // Skip to: 45878
-/* 15055 */   MCD_OPC_Decode, 213, 13, 118, // Opcode: SMOVxh
-/* 15059 */   MCD_OPC_FilterValue, 1, 95, 120, // Skip to: 45878
-/* 15063 */   MCD_OPC_CheckPredicate, 0, 91, 120, // Skip to: 45878
-/* 15067 */   MCD_OPC_Decode, 212, 13, 119, // Opcode: SMOVxb
-/* 15071 */   MCD_OPC_FilterValue, 1, 83, 120, // Skip to: 45878
-/* 15075 */   MCD_OPC_CheckPredicate, 0, 79, 120, // Skip to: 45878
-/* 15079 */   MCD_OPC_Decode, 146, 15, 102, // Opcode: SQSUBvvv_16B
-/* 15083 */   MCD_OPC_FilterValue, 12, 27, 0, // Skip to: 15114
-/* 15087 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15090 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15102
-/* 15094 */   MCD_OPC_CheckPredicate, 0, 60, 120, // Skip to: 45878
-/* 15098 */   MCD_OPC_Decode, 193, 18, 120, // Opcode: TBX2_16b
-/* 15102 */   MCD_OPC_FilterValue, 1, 52, 120, // Skip to: 45878
-/* 15106 */   MCD_OPC_CheckPredicate, 0, 48, 120, // Skip to: 45878
-/* 15110 */   MCD_OPC_Decode, 247, 15, 102, // Opcode: SSUBW2vvv_8h16b
-/* 15114 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 15132
-/* 15118 */   MCD_OPC_CheckPredicate, 0, 36, 120, // Skip to: 45878
-/* 15122 */   MCD_OPC_CheckField, 21, 1, 1, 30, 120, // Skip to: 45878
-/* 15128 */   MCD_OPC_Decode, 179, 2, 102, // Opcode: CMGTvvv_16B
-/* 15132 */   MCD_OPC_FilterValue, 14, 46, 0, // Skip to: 15182
-/* 15136 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15139 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15151
-/* 15143 */   MCD_OPC_CheckPredicate, 0, 11, 120, // Skip to: 45878
-/* 15147 */   MCD_OPC_Decode, 209, 21, 102, // Opcode: ZIP1vvv_16b
-/* 15151 */   MCD_OPC_FilterValue, 1, 3, 120, // Skip to: 45878
-/* 15155 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 15158 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15170
-/* 15162 */   MCD_OPC_CheckPredicate, 0, 248, 119, // Skip to: 45878
-/* 15166 */   MCD_OPC_Decode, 160, 18, 116, // Opcode: SUQADD16b
-/* 15170 */   MCD_OPC_FilterValue, 16, 240, 119, // Skip to: 45878
-/* 15174 */   MCD_OPC_CheckPredicate, 0, 236, 119, // Skip to: 45878
-/* 15178 */   MCD_OPC_Decode, 192, 12, 121, // Opcode: SADDLV_1h16b
-/* 15182 */   MCD_OPC_FilterValue, 15, 33, 0, // Skip to: 15219
-/* 15186 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15189 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15207
-/* 15193 */   MCD_OPC_CheckPredicate, 0, 217, 119, // Skip to: 45878
-/* 15197 */   MCD_OPC_CheckField, 16, 4, 8, 211, 119, // Skip to: 45878
-/* 15203 */   MCD_OPC_Decode, 247, 19, 122, // Opcode: UMOVxd
-/* 15207 */   MCD_OPC_FilterValue, 1, 203, 119, // Skip to: 45878
-/* 15211 */   MCD_OPC_CheckPredicate, 0, 199, 119, // Skip to: 45878
-/* 15215 */   MCD_OPC_Decode, 163, 2, 102, // Opcode: CMGEvvv_16B
-/* 15219 */   MCD_OPC_FilterValue, 16, 26, 0, // Skip to: 15249
-/* 15223 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15226 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15238
-/* 15230 */   MCD_OPC_CheckPredicate, 0, 180, 119, // Skip to: 45878
-/* 15234 */   MCD_OPC_Decode, 185, 18, 123, // Opcode: TBL3_16b
-/* 15238 */   MCD_OPC_FilterValue, 1, 172, 119, // Skip to: 45878
-/* 15242 */   MCD_OPC_CheckPredicate, 0, 168, 119, // Skip to: 45878
-/* 15246 */   MCD_OPC_Decode, 31, 110, // Opcode: ADDHN2vvv_16b8h
-/* 15249 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 15267
-/* 15253 */   MCD_OPC_CheckPredicate, 0, 157, 119, // Skip to: 45878
-/* 15257 */   MCD_OPC_CheckField, 21, 1, 1, 151, 119, // Skip to: 45878
-/* 15263 */   MCD_OPC_Decode, 216, 15, 102, // Opcode: SSHLvvv_16B
-/* 15267 */   MCD_OPC_FilterValue, 18, 38, 0, // Skip to: 15309
-/* 15271 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 15274 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15286
-/* 15278 */   MCD_OPC_CheckPredicate, 0, 132, 119, // Skip to: 45878
-/* 15282 */   MCD_OPC_Decode, 250, 1, 107, // Opcode: CLS16b
-/* 15286 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 15298
-/* 15290 */   MCD_OPC_CheckPredicate, 0, 120, 119, // Skip to: 45878
-/* 15294 */   MCD_OPC_Decode, 157, 15, 116, // Opcode: SQXTN8h16b
-/* 15298 */   MCD_OPC_FilterValue, 40, 112, 119, // Skip to: 45878
-/* 15302 */   MCD_OPC_CheckPredicate, 2, 108, 119, // Skip to: 45878
-/* 15306 */   MCD_OPC_Decode, 119, 116, // Opcode: AESE
-/* 15309 */   MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 15327
-/* 15313 */   MCD_OPC_CheckPredicate, 0, 97, 119, // Skip to: 45878
-/* 15317 */   MCD_OPC_CheckField, 21, 1, 1, 91, 119, // Skip to: 45878
-/* 15323 */   MCD_OPC_Decode, 251, 14, 102, // Opcode: SQSHLvvv_16B
-/* 15327 */   MCD_OPC_FilterValue, 20, 27, 0, // Skip to: 15358
-/* 15331 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15334 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15346
-/* 15338 */   MCD_OPC_CheckPredicate, 0, 72, 119, // Skip to: 45878
-/* 15342 */   MCD_OPC_Decode, 195, 18, 124, // Opcode: TBX3_16b
-/* 15346 */   MCD_OPC_FilterValue, 1, 64, 119, // Skip to: 45878
-/* 15350 */   MCD_OPC_CheckPredicate, 0, 60, 119, // Skip to: 45878
-/* 15354 */   MCD_OPC_Decode, 154, 12, 110, // Opcode: SABAL2vvv_8h8b
-/* 15358 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 15376
-/* 15362 */   MCD_OPC_CheckPredicate, 0, 48, 119, // Skip to: 45878
-/* 15366 */   MCD_OPC_CheckField, 21, 1, 1, 42, 119, // Skip to: 45878
-/* 15372 */   MCD_OPC_Decode, 186, 15, 102, // Opcode: SRSHLvvv_16B
-/* 15376 */   MCD_OPC_FilterValue, 22, 45, 0, // Skip to: 15425
-/* 15380 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15383 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15395
-/* 15387 */   MCD_OPC_CheckPredicate, 0, 23, 119, // Skip to: 45878
-/* 15391 */   MCD_OPC_Decode, 184, 21, 102, // Opcode: UZP2vvv_16b
-/* 15395 */   MCD_OPC_FilterValue, 1, 15, 119, // Skip to: 45878
-/* 15399 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 15402 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15414
-/* 15406 */   MCD_OPC_CheckPredicate, 0, 4, 119, // Skip to: 45878
-/* 15410 */   MCD_OPC_Decode, 142, 3, 107, // Opcode: CNT16b
-/* 15414 */   MCD_OPC_FilterValue, 8, 252, 118, // Skip to: 45878
-/* 15418 */   MCD_OPC_CheckPredicate, 2, 248, 118, // Skip to: 45878
-/* 15422 */   MCD_OPC_Decode, 118, 116, // Opcode: AESD
-/* 15425 */   MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 15443
-/* 15429 */   MCD_OPC_CheckPredicate, 0, 237, 118, // Skip to: 45878
-/* 15433 */   MCD_OPC_CheckField, 21, 1, 1, 231, 118, // Skip to: 45878
-/* 15439 */   MCD_OPC_Decode, 206, 14, 102, // Opcode: SQRSHLvvv_16B
-/* 15443 */   MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 15474
-/* 15447 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15450 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15462
-/* 15454 */   MCD_OPC_CheckPredicate, 0, 212, 118, // Skip to: 45878
-/* 15458 */   MCD_OPC_Decode, 187, 18, 125, // Opcode: TBL4_16b
-/* 15462 */   MCD_OPC_FilterValue, 1, 204, 118, // Skip to: 45878
-/* 15466 */   MCD_OPC_CheckPredicate, 0, 200, 118, // Skip to: 45878
-/* 15470 */   MCD_OPC_Decode, 218, 17, 110, // Opcode: SUBHN2vvv_16b8h
-/* 15474 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 15492
-/* 15478 */   MCD_OPC_CheckPredicate, 0, 188, 118, // Skip to: 45878
-/* 15482 */   MCD_OPC_CheckField, 21, 1, 1, 182, 118, // Skip to: 45878
-/* 15488 */   MCD_OPC_Decode, 166, 13, 102, // Opcode: SMAXvvv_16B
-/* 15492 */   MCD_OPC_FilterValue, 26, 57, 0, // Skip to: 15553
-/* 15496 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15499 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15511
-/* 15503 */   MCD_OPC_CheckPredicate, 0, 163, 118, // Skip to: 45878
-/* 15507 */   MCD_OPC_Decode, 214, 18, 102, // Opcode: TRN2vvv_16b
-/* 15511 */   MCD_OPC_FilterValue, 1, 155, 118, // Skip to: 45878
-/* 15515 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 15518 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15530
-/* 15522 */   MCD_OPC_CheckPredicate, 0, 144, 118, // Skip to: 45878
-/* 15526 */   MCD_OPC_Decode, 176, 12, 116, // Opcode: SADALP16b8h
-/* 15530 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 15542
-/* 15534 */   MCD_OPC_CheckPredicate, 0, 132, 118, // Skip to: 45878
-/* 15538 */   MCD_OPC_Decode, 210, 4, 116, // Opcode: FCVTN4s8h
-/* 15542 */   MCD_OPC_FilterValue, 8, 124, 118, // Skip to: 45878
-/* 15546 */   MCD_OPC_CheckPredicate, 0, 120, 118, // Skip to: 45878
-/* 15550 */   MCD_OPC_Decode, 121, 107, // Opcode: AESMC
-/* 15553 */   MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 15571
-/* 15557 */   MCD_OPC_CheckPredicate, 0, 109, 118, // Skip to: 45878
-/* 15561 */   MCD_OPC_CheckField, 21, 1, 1, 103, 118, // Skip to: 45878
-/* 15567 */   MCD_OPC_Decode, 184, 13, 102, // Opcode: SMINvvv_16B
-/* 15571 */   MCD_OPC_FilterValue, 28, 27, 0, // Skip to: 15602
-/* 15575 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15578 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15590
-/* 15582 */   MCD_OPC_CheckPredicate, 0, 84, 118, // Skip to: 45878
-/* 15586 */   MCD_OPC_Decode, 197, 18, 126, // Opcode: TBX4_16b
-/* 15590 */   MCD_OPC_FilterValue, 1, 76, 118, // Skip to: 45878
-/* 15594 */   MCD_OPC_CheckPredicate, 0, 72, 118, // Skip to: 45878
-/* 15598 */   MCD_OPC_Decode, 166, 12, 102, // Opcode: SABDL2vvv_8h8b
-/* 15602 */   MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 15620
-/* 15606 */   MCD_OPC_CheckPredicate, 0, 60, 118, // Skip to: 45878
-/* 15610 */   MCD_OPC_CheckField, 21, 1, 1, 54, 118, // Skip to: 45878
-/* 15616 */   MCD_OPC_Decode, 170, 12, 102, // Opcode: SABDvvv_16B
-/* 15620 */   MCD_OPC_FilterValue, 30, 57, 0, // Skip to: 15681
-/* 15624 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 15627 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15639
-/* 15631 */   MCD_OPC_CheckPredicate, 0, 35, 118, // Skip to: 45878
-/* 15635 */   MCD_OPC_Decode, 216, 21, 102, // Opcode: ZIP2vvv_16b
-/* 15639 */   MCD_OPC_FilterValue, 1, 27, 118, // Skip to: 45878
-/* 15643 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 15646 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15658
-/* 15650 */   MCD_OPC_CheckPredicate, 0, 16, 118, // Skip to: 45878
-/* 15654 */   MCD_OPC_Decode, 227, 13, 107, // Opcode: SQABS16b
-/* 15658 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 15670
-/* 15662 */   MCD_OPC_CheckPredicate, 0, 4, 118, // Skip to: 45878
-/* 15666 */   MCD_OPC_Decode, 188, 4, 107, // Opcode: FCVTL8h4s
-/* 15670 */   MCD_OPC_FilterValue, 8, 252, 117, // Skip to: 45878
-/* 15674 */   MCD_OPC_CheckPredicate, 0, 248, 117, // Skip to: 45878
-/* 15678 */   MCD_OPC_Decode, 120, 107, // Opcode: AESIMC
-/* 15681 */   MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 15699
-/* 15685 */   MCD_OPC_CheckPredicate, 0, 237, 117, // Skip to: 45878
-/* 15689 */   MCD_OPC_CheckField, 21, 1, 1, 231, 117, // Skip to: 45878
-/* 15695 */   MCD_OPC_Decode, 158, 12, 110, // Opcode: SABAvvv_16B
-/* 15699 */   MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 15717
-/* 15703 */   MCD_OPC_CheckPredicate, 0, 219, 117, // Skip to: 45878
-/* 15707 */   MCD_OPC_CheckField, 21, 1, 1, 213, 117, // Skip to: 45878
-/* 15713 */   MCD_OPC_Decode, 192, 13, 110, // Opcode: SMLAL2vvv_8h16b
-/* 15717 */   MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 15734
-/* 15721 */   MCD_OPC_CheckPredicate, 0, 201, 117, // Skip to: 45878
-/* 15725 */   MCD_OPC_CheckField, 21, 1, 1, 195, 117, // Skip to: 45878
-/* 15731 */   MCD_OPC_Decode, 73, 102, // Opcode: ADDvvv_16B
-/* 15734 */   MCD_OPC_FilterValue, 34, 27, 0, // Skip to: 15765
-/* 15738 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 15741 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15753
-/* 15745 */   MCD_OPC_CheckPredicate, 0, 177, 117, // Skip to: 45878
-/* 15749 */   MCD_OPC_Decode, 172, 2, 107, // Opcode: CMGTvvi_16B
-/* 15753 */   MCD_OPC_FilterValue, 33, 169, 117, // Skip to: 45878
-/* 15757 */   MCD_OPC_CheckPredicate, 0, 165, 117, // Skip to: 45878
-/* 15761 */   MCD_OPC_Decode, 172, 6, 107, // Opcode: FRINTN_4s
-/* 15765 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 15783
-/* 15769 */   MCD_OPC_CheckPredicate, 0, 153, 117, // Skip to: 45878
-/* 15773 */   MCD_OPC_CheckField, 21, 1, 1, 147, 117, // Skip to: 45878
-/* 15779 */   MCD_OPC_Decode, 135, 3, 102, // Opcode: CMTSTvvv_16B
-/* 15783 */   MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 15801
-/* 15787 */   MCD_OPC_CheckPredicate, 0, 135, 117, // Skip to: 45878
-/* 15791 */   MCD_OPC_CheckField, 21, 1, 1, 129, 117, // Skip to: 45878
-/* 15797 */   MCD_OPC_Decode, 245, 10, 110, // Opcode: MLAvvv_16B
-/* 15801 */   MCD_OPC_FilterValue, 38, 27, 0, // Skip to: 15832
-/* 15805 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 15808 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15820
-/* 15812 */   MCD_OPC_CheckPredicate, 0, 110, 117, // Skip to: 45878
-/* 15816 */   MCD_OPC_Decode, 140, 2, 107, // Opcode: CMEQvvi_16B
-/* 15820 */   MCD_OPC_FilterValue, 33, 102, 117, // Skip to: 45878
-/* 15824 */   MCD_OPC_CheckPredicate, 0, 98, 117, // Skip to: 45878
-/* 15828 */   MCD_OPC_Decode, 167, 6, 107, // Opcode: FRINTM_4s
-/* 15832 */   MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 15850
-/* 15836 */   MCD_OPC_CheckPredicate, 0, 86, 117, // Skip to: 45878
-/* 15840 */   MCD_OPC_CheckField, 21, 1, 1, 80, 117, // Skip to: 45878
-/* 15846 */   MCD_OPC_Decode, 158, 11, 102, // Opcode: MULvvv_16B
-/* 15850 */   MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 15868
-/* 15854 */   MCD_OPC_CheckPredicate, 0, 68, 117, // Skip to: 45878
-/* 15858 */   MCD_OPC_CheckField, 21, 1, 1, 62, 117, // Skip to: 45878
-/* 15864 */   MCD_OPC_Decode, 202, 13, 110, // Opcode: SMLSL2vvv_8h16b
-/* 15868 */   MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 15886
-/* 15872 */   MCD_OPC_CheckPredicate, 0, 50, 117, // Skip to: 45878
-/* 15876 */   MCD_OPC_CheckField, 21, 1, 1, 44, 117, // Skip to: 45878
-/* 15882 */   MCD_OPC_Decode, 155, 13, 102, // Opcode: SMAXPvvv_16B
-/* 15886 */   MCD_OPC_FilterValue, 42, 51, 0, // Skip to: 15941
-/* 15890 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 15893 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15905
-/* 15897 */   MCD_OPC_CheckPredicate, 0, 25, 117, // Skip to: 45878
-/* 15901 */   MCD_OPC_Decode, 211, 2, 107, // Opcode: CMLTvvi_16B
-/* 15905 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 15917
-/* 15909 */   MCD_OPC_CheckPredicate, 0, 13, 117, // Skip to: 45878
-/* 15913 */   MCD_OPC_Decode, 213, 4, 107, // Opcode: FCVTNS_4s
-/* 15917 */   MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 15929
-/* 15921 */   MCD_OPC_CheckPredicate, 0, 1, 117, // Skip to: 45878
-/* 15925 */   MCD_OPC_Decode, 161, 13, 127, // Opcode: SMAXV_1b16b
-/* 15929 */   MCD_OPC_FilterValue, 49, 249, 116, // Skip to: 45878
-/* 15933 */   MCD_OPC_CheckPredicate, 0, 245, 116, // Skip to: 45878
-/* 15937 */   MCD_OPC_Decode, 179, 13, 127, // Opcode: SMINV_1b16b
-/* 15941 */   MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 15959
-/* 15945 */   MCD_OPC_CheckPredicate, 0, 233, 116, // Skip to: 45878
-/* 15949 */   MCD_OPC_CheckField, 21, 1, 1, 227, 116, // Skip to: 45878
-/* 15955 */   MCD_OPC_Decode, 173, 13, 102, // Opcode: SMINPvvv_16B
-/* 15959 */   MCD_OPC_FilterValue, 46, 37, 0, // Skip to: 16000
-/* 15963 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 15966 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 15977
-/* 15970 */   MCD_OPC_CheckPredicate, 0, 208, 116, // Skip to: 45878
-/* 15974 */   MCD_OPC_Decode, 19, 107, // Opcode: ABS16b
-/* 15977 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 15989
-/* 15981 */   MCD_OPC_CheckPredicate, 0, 197, 116, // Skip to: 45878
-/* 15985 */   MCD_OPC_Decode, 191, 4, 107, // Opcode: FCVTMS_4s
-/* 15989 */   MCD_OPC_FilterValue, 49, 189, 116, // Skip to: 45878
-/* 15993 */   MCD_OPC_CheckPredicate, 0, 185, 116, // Skip to: 45878
-/* 15997 */   MCD_OPC_Decode, 67, 127, // Opcode: ADDV_1b16b
-/* 16000 */   MCD_OPC_FilterValue, 47, 13, 0, // Skip to: 16017
-/* 16004 */   MCD_OPC_CheckPredicate, 0, 174, 116, // Skip to: 45878
-/* 16008 */   MCD_OPC_CheckField, 21, 1, 1, 168, 116, // Skip to: 45878
-/* 16014 */   MCD_OPC_Decode, 37, 102, // Opcode: ADDP_16B
-/* 16017 */   MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 16035
-/* 16021 */   MCD_OPC_CheckPredicate, 0, 157, 116, // Skip to: 45878
-/* 16025 */   MCD_OPC_CheckField, 21, 1, 1, 151, 116, // Skip to: 45878
-/* 16031 */   MCD_OPC_Decode, 219, 13, 102, // Opcode: SMULL2vvv_8h16b
-/* 16035 */   MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 16053
-/* 16039 */   MCD_OPC_CheckPredicate, 0, 139, 116, // Skip to: 45878
-/* 16043 */   MCD_OPC_CheckField, 21, 1, 1, 133, 116, // Skip to: 45878
-/* 16049 */   MCD_OPC_Decode, 175, 5, 102, // Opcode: FMAXNMvvv_4S
-/* 16053 */   MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 16071
-/* 16057 */   MCD_OPC_CheckPredicate, 0, 121, 116, // Skip to: 45878
-/* 16061 */   MCD_OPC_CheckField, 16, 6, 33, 115, 116, // Skip to: 45878
-/* 16067 */   MCD_OPC_Decode, 169, 4, 107, // Opcode: FCVTAS_4s
-/* 16071 */   MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 16089
-/* 16075 */   MCD_OPC_CheckPredicate, 0, 103, 116, // Skip to: 45878
-/* 16079 */   MCD_OPC_CheckField, 21, 1, 1, 97, 116, // Skip to: 45878
-/* 16085 */   MCD_OPC_Decode, 216, 5, 110, // Opcode: FMLAvvv_4S
-/* 16089 */   MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 16107
-/* 16093 */   MCD_OPC_CheckPredicate, 0, 85, 116, // Skip to: 45878
-/* 16097 */   MCD_OPC_CheckField, 21, 1, 1, 79, 116, // Skip to: 45878
-/* 16103 */   MCD_OPC_Decode, 240, 3, 102, // Opcode: FADDvvv_4S
-/* 16107 */   MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 16125
-/* 16111 */   MCD_OPC_CheckPredicate, 0, 67, 116, // Skip to: 45878
-/* 16115 */   MCD_OPC_CheckField, 16, 6, 33, 61, 116, // Skip to: 45878
-/* 16121 */   MCD_OPC_Decode, 217, 12, 107, // Opcode: SCVTF_4s
-/* 16125 */   MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 16143
-/* 16129 */   MCD_OPC_CheckPredicate, 0, 49, 116, // Skip to: 45878
-/* 16133 */   MCD_OPC_CheckField, 21, 1, 1, 43, 116, // Skip to: 45878
-/* 16139 */   MCD_OPC_Decode, 249, 5, 102, // Opcode: FMULXvvv_4S
-/* 16143 */   MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 16161
-/* 16147 */   MCD_OPC_CheckPredicate, 0, 31, 116, // Skip to: 45878
-/* 16151 */   MCD_OPC_CheckField, 21, 1, 1, 25, 116, // Skip to: 45878
-/* 16157 */   MCD_OPC_Decode, 215, 11, 102, // Opcode: PMULL2vvv_8h16b
-/* 16161 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 16179
-/* 16165 */   MCD_OPC_CheckPredicate, 0, 13, 116, // Skip to: 45878
-/* 16169 */   MCD_OPC_CheckField, 21, 1, 1, 7, 116, // Skip to: 45878
-/* 16175 */   MCD_OPC_Decode, 254, 3, 102, // Opcode: FCMEQvvv_4S
-/* 16179 */   MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 16197
-/* 16183 */   MCD_OPC_CheckPredicate, 0, 251, 115, // Skip to: 45878
-/* 16187 */   MCD_OPC_CheckField, 21, 1, 1, 245, 115, // Skip to: 45878
-/* 16193 */   MCD_OPC_Decode, 186, 5, 102, // Opcode: FMAXvvv_4S
-/* 16197 */   MCD_OPC_FilterValue, 63, 237, 115, // Skip to: 45878
-/* 16201 */   MCD_OPC_CheckPredicate, 0, 233, 115, // Skip to: 45878
-/* 16205 */   MCD_OPC_CheckField, 21, 1, 1, 227, 115, // Skip to: 45878
-/* 16211 */   MCD_OPC_Decode, 152, 6, 102, // Opcode: FRECPSvvv_4S
-/* 16215 */   MCD_OPC_FilterValue, 3, 219, 115, // Skip to: 45878
-/* 16219 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 16222 */   MCD_OPC_FilterValue, 0, 57, 2, // Skip to: 16795
-/* 16226 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 16229 */   MCD_OPC_FilterValue, 0, 40, 1, // Skip to: 16529
-/* 16233 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 16236 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 16249
-/* 16240 */   MCD_OPC_CheckPredicate, 0, 194, 115, // Skip to: 45878
-/* 16244 */   MCD_OPC_Decode, 208, 3, 128, 1, // Opcode: EXTvvvi_16b
-/* 16249 */   MCD_OPC_FilterValue, 1, 185, 115, // Skip to: 45878
-/* 16253 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
-/* 16256 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16268
-/* 16260 */   MCD_OPC_CheckPredicate, 0, 174, 115, // Skip to: 45878
-/* 16264 */   MCD_OPC_Decode, 133, 19, 102, // Opcode: UADDL2vvv_8h16b
-/* 16268 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16286
-/* 16272 */   MCD_OPC_CheckPredicate, 0, 162, 115, // Skip to: 45878
-/* 16276 */   MCD_OPC_CheckField, 16, 5, 0, 156, 115, // Skip to: 45878
-/* 16282 */   MCD_OPC_Decode, 253, 11, 107, // Opcode: REV32_16b
-/* 16286 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 16298
-/* 16290 */   MCD_OPC_CheckPredicate, 0, 144, 115, // Skip to: 45878
-/* 16294 */   MCD_OPC_Decode, 150, 19, 102, // Opcode: UADDW2vvv_8h16b
-/* 16298 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 16310
-/* 16302 */   MCD_OPC_CheckPredicate, 0, 132, 115, // Skip to: 45878
-/* 16306 */   MCD_OPC_Decode, 163, 21, 102, // Opcode: USUBL2vvv_8h16b
-/* 16310 */   MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 16341
-/* 16314 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 16317 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16329
-/* 16321 */   MCD_OPC_CheckPredicate, 0, 113, 115, // Skip to: 45878
-/* 16325 */   MCD_OPC_Decode, 134, 19, 107, // Opcode: UADDLP16b8h
-/* 16329 */   MCD_OPC_FilterValue, 1, 105, 115, // Skip to: 45878
-/* 16333 */   MCD_OPC_CheckPredicate, 0, 101, 115, // Skip to: 45878
-/* 16337 */   MCD_OPC_Decode, 166, 15, 116, // Opcode: SQXTUN8h16b
-/* 16341 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 16353
-/* 16345 */   MCD_OPC_CheckPredicate, 0, 89, 115, // Skip to: 45878
-/* 16349 */   MCD_OPC_Decode, 169, 21, 102, // Opcode: USUBW2vvv_8h16b
-/* 16353 */   MCD_OPC_FilterValue, 7, 39, 0, // Skip to: 16396
-/* 16357 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 16360 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16372
-/* 16364 */   MCD_OPC_CheckPredicate, 0, 70, 115, // Skip to: 45878
-/* 16368 */   MCD_OPC_Decode, 142, 21, 116, // Opcode: USQADD16b
-/* 16372 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 16384
-/* 16376 */   MCD_OPC_CheckPredicate, 0, 58, 115, // Skip to: 45878
-/* 16380 */   MCD_OPC_Decode, 248, 12, 101, // Opcode: SHLL16b8h
-/* 16384 */   MCD_OPC_FilterValue, 16, 50, 115, // Skip to: 45878
-/* 16388 */   MCD_OPC_CheckPredicate, 0, 46, 115, // Skip to: 45878
-/* 16392 */   MCD_OPC_Decode, 141, 19, 121, // Opcode: UADDLV_1h16b
-/* 16396 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 16408
-/* 16400 */   MCD_OPC_CheckPredicate, 0, 34, 115, // Skip to: 45878
-/* 16404 */   MCD_OPC_Decode, 237, 11, 110, // Opcode: RADDHN2vvv_16b8h
-/* 16408 */   MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 16439
-/* 16412 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 16415 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16427
-/* 16419 */   MCD_OPC_CheckPredicate, 0, 15, 115, // Skip to: 45878
-/* 16423 */   MCD_OPC_Decode, 130, 2, 107, // Opcode: CLZ16b
-/* 16427 */   MCD_OPC_FilterValue, 1, 7, 115, // Skip to: 45878
-/* 16431 */   MCD_OPC_CheckPredicate, 0, 3, 115, // Skip to: 45878
-/* 16435 */   MCD_OPC_Decode, 209, 20, 116, // Opcode: UQXTN8h16b
-/* 16439 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 16451
-/* 16443 */   MCD_OPC_CheckPredicate, 0, 247, 114, // Skip to: 45878
-/* 16447 */   MCD_OPC_Decode, 231, 18, 110, // Opcode: UABAL2vvv_8h8b
-/* 16451 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 16469
-/* 16455 */   MCD_OPC_CheckPredicate, 0, 235, 114, // Skip to: 45878
-/* 16459 */   MCD_OPC_CheckField, 16, 5, 0, 229, 114, // Skip to: 45878
-/* 16465 */   MCD_OPC_Decode, 186, 11, 107, // Opcode: NOT16b
-/* 16469 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 16481
-/* 16473 */   MCD_OPC_CheckPredicate, 0, 217, 114, // Skip to: 45878
-/* 16477 */   MCD_OPC_Decode, 146, 12, 110, // Opcode: RSUBHN2vvv_16b8h
-/* 16481 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 16499
-/* 16485 */   MCD_OPC_CheckPredicate, 0, 205, 114, // Skip to: 45878
-/* 16489 */   MCD_OPC_CheckField, 16, 5, 0, 199, 114, // Skip to: 45878
-/* 16495 */   MCD_OPC_Decode, 253, 18, 116, // Opcode: UADALP16b8h
-/* 16499 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 16511
-/* 16503 */   MCD_OPC_CheckPredicate, 0, 187, 114, // Skip to: 45878
-/* 16507 */   MCD_OPC_Decode, 243, 18, 102, // Opcode: UABDL2vvv_8h8b
-/* 16511 */   MCD_OPC_FilterValue, 15, 179, 114, // Skip to: 45878
-/* 16515 */   MCD_OPC_CheckPredicate, 0, 175, 114, // Skip to: 45878
-/* 16519 */   MCD_OPC_CheckField, 16, 5, 0, 169, 114, // Skip to: 45878
-/* 16525 */   MCD_OPC_Decode, 177, 14, 107, // Opcode: SQNEG16b
-/* 16529 */   MCD_OPC_FilterValue, 1, 161, 114, // Skip to: 45878
-/* 16533 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
-/* 16536 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16554
-/* 16540 */   MCD_OPC_CheckPredicate, 0, 150, 114, // Skip to: 45878
-/* 16544 */   MCD_OPC_CheckField, 21, 1, 1, 144, 114, // Skip to: 45878
-/* 16550 */   MCD_OPC_Decode, 226, 19, 110, // Opcode: UMLAL2vvv_8h16b
-/* 16554 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 16585
-/* 16558 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 16561 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 16573
-/* 16565 */   MCD_OPC_CheckPredicate, 0, 125, 114, // Skip to: 45878
-/* 16569 */   MCD_OPC_Decode, 156, 2, 107, // Opcode: CMGEvvi_16B
-/* 16573 */   MCD_OPC_FilterValue, 33, 117, 114, // Skip to: 45878
-/* 16577 */   MCD_OPC_CheckPredicate, 0, 113, 114, // Skip to: 45878
-/* 16581 */   MCD_OPC_Decode, 157, 6, 107, // Opcode: FRINTA_4s
-/* 16585 */   MCD_OPC_FilterValue, 3, 27, 0, // Skip to: 16616
-/* 16589 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 16592 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 16604
-/* 16596 */   MCD_OPC_CheckPredicate, 0, 94, 114, // Skip to: 45878
-/* 16600 */   MCD_OPC_Decode, 203, 2, 107, // Opcode: CMLEvvi_16B
-/* 16604 */   MCD_OPC_FilterValue, 33, 86, 114, // Skip to: 45878
-/* 16608 */   MCD_OPC_CheckPredicate, 0, 82, 114, // Skip to: 45878
-/* 16612 */   MCD_OPC_Decode, 182, 6, 107, // Opcode: FRINTX_4s
-/* 16616 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 16634
-/* 16620 */   MCD_OPC_CheckPredicate, 0, 70, 114, // Skip to: 45878
-/* 16624 */   MCD_OPC_CheckField, 21, 1, 1, 64, 114, // Skip to: 45878
-/* 16630 */   MCD_OPC_Decode, 236, 19, 110, // Opcode: UMLSL2vvv_8h16b
-/* 16634 */   MCD_OPC_FilterValue, 5, 39, 0, // Skip to: 16677
-/* 16638 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 16641 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 16653
-/* 16645 */   MCD_OPC_CheckPredicate, 0, 45, 114, // Skip to: 45878
-/* 16649 */   MCD_OPC_Decode, 222, 4, 107, // Opcode: FCVTNU_4s
-/* 16653 */   MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 16665
-/* 16657 */   MCD_OPC_CheckPredicate, 0, 33, 114, // Skip to: 45878
-/* 16661 */   MCD_OPC_Decode, 196, 19, 127, // Opcode: UMAXV_1b16b
-/* 16665 */   MCD_OPC_FilterValue, 49, 25, 114, // Skip to: 45878
-/* 16669 */   MCD_OPC_CheckPredicate, 0, 21, 114, // Skip to: 45878
-/* 16673 */   MCD_OPC_Decode, 213, 19, 127, // Opcode: UMINV_1b16b
-/* 16677 */   MCD_OPC_FilterValue, 7, 27, 0, // Skip to: 16708
-/* 16681 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 16684 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 16696
-/* 16688 */   MCD_OPC_CheckPredicate, 0, 2, 114, // Skip to: 45878
-/* 16692 */   MCD_OPC_Decode, 178, 11, 107, // Opcode: NEG16b
-/* 16696 */   MCD_OPC_FilterValue, 33, 250, 113, // Skip to: 45878
-/* 16700 */   MCD_OPC_CheckPredicate, 0, 246, 113, // Skip to: 45878
-/* 16704 */   MCD_OPC_Decode, 200, 4, 107, // Opcode: FCVTMU_4s
-/* 16708 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 16726
-/* 16712 */   MCD_OPC_CheckPredicate, 0, 234, 113, // Skip to: 45878
-/* 16716 */   MCD_OPC_CheckField, 21, 1, 1, 228, 113, // Skip to: 45878
-/* 16722 */   MCD_OPC_Decode, 252, 19, 102, // Opcode: UMULL2vvv_8h16b
-/* 16726 */   MCD_OPC_FilterValue, 9, 28, 0, // Skip to: 16758
-/* 16730 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 16733 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 16745
-/* 16737 */   MCD_OPC_CheckPredicate, 0, 209, 113, // Skip to: 45878
-/* 16741 */   MCD_OPC_Decode, 178, 4, 107, // Opcode: FCVTAU_4s
-/* 16745 */   MCD_OPC_FilterValue, 48, 201, 113, // Skip to: 45878
-/* 16749 */   MCD_OPC_CheckPredicate, 0, 197, 113, // Skip to: 45878
-/* 16753 */   MCD_OPC_Decode, 170, 5, 129, 1, // Opcode: FMAXNMV_1s4s
-/* 16758 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 16776
-/* 16762 */   MCD_OPC_CheckPredicate, 0, 184, 113, // Skip to: 45878
-/* 16766 */   MCD_OPC_CheckField, 16, 6, 33, 178, 113, // Skip to: 45878
-/* 16772 */   MCD_OPC_Decode, 162, 19, 107, // Opcode: UCVTF_4s
-/* 16776 */   MCD_OPC_FilterValue, 15, 170, 113, // Skip to: 45878
-/* 16780 */   MCD_OPC_CheckPredicate, 0, 166, 113, // Skip to: 45878
-/* 16784 */   MCD_OPC_CheckField, 16, 6, 48, 160, 113, // Skip to: 45878
-/* 16790 */   MCD_OPC_Decode, 181, 5, 129, 1, // Opcode: FMAXV_1s4s
-/* 16795 */   MCD_OPC_FilterValue, 1, 151, 113, // Skip to: 45878
-/* 16799 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 16802 */   MCD_OPC_FilterValue, 0, 43, 1, // Skip to: 17105
-/* 16806 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 16809 */   MCD_OPC_FilterValue, 0, 93, 0, // Skip to: 16906
-/* 16813 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 16816 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 16893
-/* 16820 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 16823 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 16874
-/* 16827 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 16830 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 16855
-/* 16834 */   MCD_OPC_CheckPredicate, 0, 112, 113, // Skip to: 45878
-/* 16838 */   MCD_OPC_CheckField, 19, 1, 1, 106, 113, // Skip to: 45878
-/* 16844 */   MCD_OPC_CheckField, 11, 3, 0, 100, 113, // Skip to: 45878
-/* 16850 */   MCD_OPC_Decode, 216, 6, 130, 1, // Opcode: INSELd
-/* 16855 */   MCD_OPC_FilterValue, 1, 91, 113, // Skip to: 45878
-/* 16859 */   MCD_OPC_CheckPredicate, 0, 87, 113, // Skip to: 45878
-/* 16863 */   MCD_OPC_CheckField, 11, 2, 0, 81, 113, // Skip to: 45878
-/* 16869 */   MCD_OPC_Decode, 218, 6, 131, 1, // Opcode: INSELs
-/* 16874 */   MCD_OPC_FilterValue, 1, 72, 113, // Skip to: 45878
-/* 16878 */   MCD_OPC_CheckPredicate, 0, 68, 113, // Skip to: 45878
-/* 16882 */   MCD_OPC_CheckField, 11, 1, 0, 62, 113, // Skip to: 45878
-/* 16888 */   MCD_OPC_Decode, 217, 6, 132, 1, // Opcode: INSELh
-/* 16893 */   MCD_OPC_FilterValue, 1, 53, 113, // Skip to: 45878
-/* 16897 */   MCD_OPC_CheckPredicate, 0, 49, 113, // Skip to: 45878
-/* 16901 */   MCD_OPC_Decode, 215, 6, 133, 1, // Opcode: INSELb
-/* 16906 */   MCD_OPC_FilterValue, 1, 40, 113, // Skip to: 45878
-/* 16910 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
-/* 16913 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16925
-/* 16917 */   MCD_OPC_CheckPredicate, 0, 29, 113, // Skip to: 45878
-/* 16921 */   MCD_OPC_Decode, 177, 19, 102, // Opcode: UHADDvvv_16B
-/* 16925 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 16937
-/* 16929 */   MCD_OPC_CheckPredicate, 0, 17, 113, // Skip to: 45878
-/* 16933 */   MCD_OPC_Decode, 136, 20, 102, // Opcode: UQADDvvv_16B
-/* 16937 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 16949
-/* 16941 */   MCD_OPC_CheckPredicate, 0, 5, 113, // Skip to: 45878
-/* 16945 */   MCD_OPC_Decode, 216, 20, 102, // Opcode: URHADDvvv_16B
-/* 16949 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 16961
-/* 16953 */   MCD_OPC_CheckPredicate, 0, 249, 112, // Skip to: 45878
-/* 16957 */   MCD_OPC_Decode, 193, 3, 102, // Opcode: EORvvv_16B
-/* 16961 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 16973
-/* 16965 */   MCD_OPC_CheckPredicate, 0, 237, 112, // Skip to: 45878
-/* 16969 */   MCD_OPC_Decode, 183, 19, 102, // Opcode: UHSUBvvv_16B
-/* 16973 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 16985
-/* 16977 */   MCD_OPC_CheckPredicate, 0, 225, 112, // Skip to: 45878
-/* 16981 */   MCD_OPC_Decode, 198, 20, 102, // Opcode: UQSUBvvv_16B
-/* 16985 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 16997
-/* 16989 */   MCD_OPC_CheckPredicate, 0, 213, 112, // Skip to: 45878
-/* 16993 */   MCD_OPC_Decode, 187, 2, 102, // Opcode: CMHIvvv_16B
-/* 16997 */   MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 17009
-/* 17001 */   MCD_OPC_CheckPredicate, 0, 201, 112, // Skip to: 45878
-/* 17005 */   MCD_OPC_Decode, 195, 2, 102, // Opcode: CMHSvvv_16B
-/* 17009 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 17021
-/* 17013 */   MCD_OPC_CheckPredicate, 0, 189, 112, // Skip to: 45878
-/* 17017 */   MCD_OPC_Decode, 255, 20, 102, // Opcode: USHLvvv_16B
-/* 17021 */   MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 17033
-/* 17025 */   MCD_OPC_CheckPredicate, 0, 177, 112, // Skip to: 45878
-/* 17029 */   MCD_OPC_Decode, 178, 20, 102, // Opcode: UQSHLvvv_16B
-/* 17033 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 17045
-/* 17037 */   MCD_OPC_CheckPredicate, 0, 165, 112, // Skip to: 45878
-/* 17041 */   MCD_OPC_Decode, 223, 20, 102, // Opcode: URSHLvvv_16B
-/* 17045 */   MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 17057
-/* 17049 */   MCD_OPC_CheckPredicate, 0, 153, 112, // Skip to: 45878
-/* 17053 */   MCD_OPC_Decode, 147, 20, 102, // Opcode: UQRSHLvvv_16B
-/* 17057 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 17069
-/* 17061 */   MCD_OPC_CheckPredicate, 0, 141, 112, // Skip to: 45878
-/* 17065 */   MCD_OPC_Decode, 201, 19, 102, // Opcode: UMAXvvv_16B
-/* 17069 */   MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 17081
-/* 17073 */   MCD_OPC_CheckPredicate, 0, 129, 112, // Skip to: 45878
-/* 17077 */   MCD_OPC_Decode, 218, 19, 102, // Opcode: UMINvvv_16B
-/* 17081 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 17093
-/* 17085 */   MCD_OPC_CheckPredicate, 0, 117, 112, // Skip to: 45878
-/* 17089 */   MCD_OPC_Decode, 247, 18, 102, // Opcode: UABDvvv_16B
-/* 17093 */   MCD_OPC_FilterValue, 15, 109, 112, // Skip to: 45878
-/* 17097 */   MCD_OPC_CheckPredicate, 0, 105, 112, // Skip to: 45878
-/* 17101 */   MCD_OPC_Decode, 235, 18, 110, // Opcode: UABAvvv_16B
-/* 17105 */   MCD_OPC_FilterValue, 1, 97, 112, // Skip to: 45878
-/* 17109 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
-/* 17112 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17130
-/* 17116 */   MCD_OPC_CheckPredicate, 0, 86, 112, // Skip to: 45878
-/* 17120 */   MCD_OPC_CheckField, 21, 1, 1, 80, 112, // Skip to: 45878
-/* 17126 */   MCD_OPC_Decode, 247, 17, 102, // Opcode: SUBvvv_16B
-/* 17130 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17148
-/* 17134 */   MCD_OPC_CheckPredicate, 0, 68, 112, // Skip to: 45878
-/* 17138 */   MCD_OPC_CheckField, 21, 1, 1, 62, 112, // Skip to: 45878
-/* 17144 */   MCD_OPC_Decode, 147, 2, 102, // Opcode: CMEQvvv_16B
-/* 17148 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17166
-/* 17152 */   MCD_OPC_CheckPredicate, 0, 50, 112, // Skip to: 45878
-/* 17156 */   MCD_OPC_CheckField, 21, 1, 1, 44, 112, // Skip to: 45878
-/* 17162 */   MCD_OPC_Decode, 255, 10, 110, // Opcode: MLSvvv_16B
-/* 17166 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 17184
-/* 17170 */   MCD_OPC_CheckPredicate, 0, 32, 112, // Skip to: 45878
-/* 17174 */   MCD_OPC_CheckField, 21, 1, 1, 26, 112, // Skip to: 45878
-/* 17180 */   MCD_OPC_Decode, 218, 11, 102, // Opcode: PMULvvv_16B
-/* 17184 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 17202
-/* 17188 */   MCD_OPC_CheckPredicate, 0, 14, 112, // Skip to: 45878
-/* 17192 */   MCD_OPC_CheckField, 21, 1, 1, 8, 112, // Skip to: 45878
-/* 17198 */   MCD_OPC_Decode, 190, 19, 102, // Opcode: UMAXPvvv_16B
-/* 17202 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 17220
-/* 17206 */   MCD_OPC_CheckPredicate, 0, 252, 111, // Skip to: 45878
-/* 17210 */   MCD_OPC_CheckField, 21, 1, 1, 246, 111, // Skip to: 45878
-/* 17216 */   MCD_OPC_Decode, 207, 19, 102, // Opcode: UMINPvvv_16B
-/* 17220 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 17238
-/* 17224 */   MCD_OPC_CheckPredicate, 0, 234, 111, // Skip to: 45878
-/* 17228 */   MCD_OPC_CheckField, 21, 1, 1, 228, 111, // Skip to: 45878
-/* 17234 */   MCD_OPC_Decode, 169, 5, 102, // Opcode: FMAXNMPvvv_4S
-/* 17238 */   MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 17256
-/* 17242 */   MCD_OPC_CheckPredicate, 0, 216, 111, // Skip to: 45878
-/* 17246 */   MCD_OPC_CheckField, 21, 1, 1, 210, 111, // Skip to: 45878
-/* 17252 */   MCD_OPC_Decode, 233, 3, 102, // Opcode: FADDP_4S
-/* 17256 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 17274
-/* 17260 */   MCD_OPC_CheckPredicate, 0, 198, 111, // Skip to: 45878
-/* 17264 */   MCD_OPC_CheckField, 21, 1, 1, 192, 111, // Skip to: 45878
-/* 17270 */   MCD_OPC_Decode, 131, 6, 102, // Opcode: FMULvvv_4S
-/* 17274 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 17292
-/* 17278 */   MCD_OPC_CheckPredicate, 0, 180, 111, // Skip to: 45878
-/* 17282 */   MCD_OPC_CheckField, 21, 1, 1, 174, 111, // Skip to: 45878
-/* 17288 */   MCD_OPC_Decode, 136, 4, 102, // Opcode: FCMGEvvv_4S
-/* 17292 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 17310
-/* 17296 */   MCD_OPC_CheckPredicate, 0, 162, 111, // Skip to: 45878
-/* 17300 */   MCD_OPC_CheckField, 21, 1, 1, 156, 111, // Skip to: 45878
-/* 17306 */   MCD_OPC_Decode, 225, 3, 102, // Opcode: FACGEvvv_4S
-/* 17310 */   MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 17328
-/* 17314 */   MCD_OPC_CheckPredicate, 0, 144, 111, // Skip to: 45878
-/* 17318 */   MCD_OPC_CheckField, 21, 1, 1, 138, 111, // Skip to: 45878
-/* 17324 */   MCD_OPC_Decode, 180, 5, 102, // Opcode: FMAXPvvv_4S
-/* 17328 */   MCD_OPC_FilterValue, 15, 130, 111, // Skip to: 45878
-/* 17332 */   MCD_OPC_CheckPredicate, 0, 126, 111, // Skip to: 45878
-/* 17336 */   MCD_OPC_CheckField, 21, 1, 1, 120, 111, // Skip to: 45878
-/* 17342 */   MCD_OPC_Decode, 162, 5, 102, // Opcode: FDIVvvv_4S
-/* 17346 */   MCD_OPC_FilterValue, 9, 128, 18, // Skip to: 22086
-/* 17350 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 17353 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 17432
-/* 17357 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17360 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17378
-/* 17364 */   MCD_OPC_CheckPredicate, 0, 94, 111, // Skip to: 45878
-/* 17368 */   MCD_OPC_CheckField, 21, 1, 1, 88, 111, // Skip to: 45878
-/* 17374 */   MCD_OPC_Decode, 197, 12, 74, // Opcode: SADDLvvv_4s4h
-/* 17378 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17396
-/* 17382 */   MCD_OPC_CheckPredicate, 0, 76, 111, // Skip to: 45878
-/* 17386 */   MCD_OPC_CheckField, 21, 1, 1, 70, 111, // Skip to: 45878
-/* 17392 */   MCD_OPC_Decode, 146, 19, 74, // Opcode: UADDLvvv_4s4h
-/* 17396 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17414
-/* 17400 */   MCD_OPC_CheckPredicate, 0, 58, 111, // Skip to: 45878
-/* 17404 */   MCD_OPC_CheckField, 21, 1, 1, 52, 111, // Skip to: 45878
-/* 17410 */   MCD_OPC_Decode, 183, 12, 102, // Opcode: SADDL2vvv_4s8h
-/* 17414 */   MCD_OPC_FilterValue, 3, 44, 111, // Skip to: 45878
-/* 17418 */   MCD_OPC_CheckPredicate, 0, 40, 111, // Skip to: 45878
-/* 17422 */   MCD_OPC_CheckField, 21, 1, 1, 34, 111, // Skip to: 45878
-/* 17428 */   MCD_OPC_Decode, 132, 19, 102, // Opcode: UADDL2vvv_4s8h
-/* 17432 */   MCD_OPC_FilterValue, 1, 75, 0, // Skip to: 17511
-/* 17436 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17439 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17457
-/* 17443 */   MCD_OPC_CheckPredicate, 0, 15, 111, // Skip to: 45878
-/* 17447 */   MCD_OPC_CheckField, 21, 1, 1, 9, 111, // Skip to: 45878
-/* 17453 */   MCD_OPC_Decode, 244, 12, 78, // Opcode: SHADDvvv_4H
-/* 17457 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17475
-/* 17461 */   MCD_OPC_CheckPredicate, 0, 253, 110, // Skip to: 45878
-/* 17465 */   MCD_OPC_CheckField, 21, 1, 1, 247, 110, // Skip to: 45878
-/* 17471 */   MCD_OPC_Decode, 179, 19, 78, // Opcode: UHADDvvv_4H
-/* 17475 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17493
-/* 17479 */   MCD_OPC_CheckPredicate, 0, 235, 110, // Skip to: 45878
-/* 17483 */   MCD_OPC_CheckField, 21, 1, 1, 229, 110, // Skip to: 45878
-/* 17489 */   MCD_OPC_Decode, 247, 12, 102, // Opcode: SHADDvvv_8H
-/* 17493 */   MCD_OPC_FilterValue, 3, 221, 110, // Skip to: 45878
-/* 17497 */   MCD_OPC_CheckPredicate, 0, 217, 110, // Skip to: 45878
-/* 17501 */   MCD_OPC_CheckField, 21, 1, 1, 211, 110, // Skip to: 45878
-/* 17507 */   MCD_OPC_Decode, 182, 19, 102, // Opcode: UHADDvvv_8H
-/* 17511 */   MCD_OPC_FilterValue, 2, 75, 0, // Skip to: 17590
-/* 17515 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17518 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17536
-/* 17522 */   MCD_OPC_CheckPredicate, 0, 192, 110, // Skip to: 45878
-/* 17526 */   MCD_OPC_CheckField, 16, 6, 32, 186, 110, // Skip to: 45878
-/* 17532 */   MCD_OPC_Decode, 132, 12, 79, // Opcode: REV64_4h
-/* 17536 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17554
-/* 17540 */   MCD_OPC_CheckPredicate, 0, 174, 110, // Skip to: 45878
-/* 17544 */   MCD_OPC_CheckField, 16, 6, 32, 168, 110, // Skip to: 45878
-/* 17550 */   MCD_OPC_Decode, 254, 11, 79, // Opcode: REV32_4h
-/* 17554 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17572
-/* 17558 */   MCD_OPC_CheckPredicate, 0, 156, 110, // Skip to: 45878
-/* 17562 */   MCD_OPC_CheckField, 16, 6, 32, 150, 110, // Skip to: 45878
-/* 17568 */   MCD_OPC_Decode, 135, 12, 107, // Opcode: REV64_8h
-/* 17572 */   MCD_OPC_FilterValue, 3, 142, 110, // Skip to: 45878
-/* 17576 */   MCD_OPC_CheckPredicate, 0, 138, 110, // Skip to: 45878
-/* 17580 */   MCD_OPC_CheckField, 16, 6, 32, 132, 110, // Skip to: 45878
-/* 17586 */   MCD_OPC_Decode, 128, 12, 107, // Opcode: REV32_8h
-/* 17590 */   MCD_OPC_FilterValue, 3, 75, 0, // Skip to: 17669
-/* 17594 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17597 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17615
-/* 17601 */   MCD_OPC_CheckPredicate, 0, 113, 110, // Skip to: 45878
-/* 17605 */   MCD_OPC_CheckField, 21, 1, 1, 107, 110, // Skip to: 45878
-/* 17611 */   MCD_OPC_Decode, 245, 13, 78, // Opcode: SQADDvvv_4H
-/* 17615 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17633
-/* 17619 */   MCD_OPC_CheckPredicate, 0, 95, 110, // Skip to: 45878
-/* 17623 */   MCD_OPC_CheckField, 21, 1, 1, 89, 110, // Skip to: 45878
-/* 17629 */   MCD_OPC_Decode, 139, 20, 78, // Opcode: UQADDvvv_4H
-/* 17633 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17651
-/* 17637 */   MCD_OPC_CheckPredicate, 0, 77, 110, // Skip to: 45878
-/* 17641 */   MCD_OPC_CheckField, 21, 1, 1, 71, 110, // Skip to: 45878
-/* 17647 */   MCD_OPC_Decode, 248, 13, 102, // Opcode: SQADDvvv_8H
-/* 17651 */   MCD_OPC_FilterValue, 3, 63, 110, // Skip to: 45878
-/* 17655 */   MCD_OPC_CheckPredicate, 0, 59, 110, // Skip to: 45878
-/* 17659 */   MCD_OPC_CheckField, 21, 1, 1, 53, 110, // Skip to: 45878
-/* 17665 */   MCD_OPC_Decode, 142, 20, 102, // Opcode: UQADDvvv_8H
-/* 17669 */   MCD_OPC_FilterValue, 4, 75, 0, // Skip to: 17748
-/* 17673 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17676 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17694
-/* 17680 */   MCD_OPC_CheckPredicate, 0, 34, 110, // Skip to: 45878
-/* 17684 */   MCD_OPC_CheckField, 21, 1, 1, 28, 110, // Skip to: 45878
-/* 17690 */   MCD_OPC_Decode, 203, 12, 82, // Opcode: SADDWvvv_4s4h
-/* 17694 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17712
-/* 17698 */   MCD_OPC_CheckPredicate, 0, 16, 110, // Skip to: 45878
-/* 17702 */   MCD_OPC_CheckField, 21, 1, 1, 10, 110, // Skip to: 45878
-/* 17708 */   MCD_OPC_Decode, 152, 19, 82, // Opcode: UADDWvvv_4s4h
-/* 17712 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17730
-/* 17716 */   MCD_OPC_CheckPredicate, 0, 254, 109, // Skip to: 45878
-/* 17720 */   MCD_OPC_CheckField, 21, 1, 1, 248, 109, // Skip to: 45878
-/* 17726 */   MCD_OPC_Decode, 200, 12, 102, // Opcode: SADDW2vvv_4s8h
-/* 17730 */   MCD_OPC_FilterValue, 3, 240, 109, // Skip to: 45878
-/* 17734 */   MCD_OPC_CheckPredicate, 0, 236, 109, // Skip to: 45878
-/* 17738 */   MCD_OPC_CheckField, 21, 1, 1, 230, 109, // Skip to: 45878
-/* 17744 */   MCD_OPC_Decode, 149, 19, 102, // Opcode: UADDW2vvv_4s8h
-/* 17748 */   MCD_OPC_FilterValue, 5, 75, 0, // Skip to: 17827
-/* 17752 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17755 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17773
-/* 17759 */   MCD_OPC_CheckPredicate, 0, 211, 109, // Skip to: 45878
-/* 17763 */   MCD_OPC_CheckField, 21, 1, 1, 205, 109, // Skip to: 45878
-/* 17769 */   MCD_OPC_Decode, 173, 15, 78, // Opcode: SRHADDvvv_4H
-/* 17773 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17791
-/* 17777 */   MCD_OPC_CheckPredicate, 0, 193, 109, // Skip to: 45878
-/* 17781 */   MCD_OPC_CheckField, 21, 1, 1, 187, 109, // Skip to: 45878
-/* 17787 */   MCD_OPC_Decode, 218, 20, 78, // Opcode: URHADDvvv_4H
-/* 17791 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17809
-/* 17795 */   MCD_OPC_CheckPredicate, 0, 175, 109, // Skip to: 45878
-/* 17799 */   MCD_OPC_CheckField, 21, 1, 1, 169, 109, // Skip to: 45878
-/* 17805 */   MCD_OPC_Decode, 176, 15, 102, // Opcode: SRHADDvvv_8H
-/* 17809 */   MCD_OPC_FilterValue, 3, 161, 109, // Skip to: 45878
-/* 17813 */   MCD_OPC_CheckPredicate, 0, 157, 109, // Skip to: 45878
-/* 17817 */   MCD_OPC_CheckField, 21, 1, 1, 151, 109, // Skip to: 45878
-/* 17823 */   MCD_OPC_Decode, 221, 20, 102, // Opcode: URHADDvvv_8H
-/* 17827 */   MCD_OPC_FilterValue, 6, 39, 0, // Skip to: 17870
-/* 17831 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17834 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17852
-/* 17838 */   MCD_OPC_CheckPredicate, 0, 132, 109, // Skip to: 45878
-/* 17842 */   MCD_OPC_CheckField, 21, 1, 0, 126, 109, // Skip to: 45878
-/* 17848 */   MCD_OPC_Decode, 180, 21, 78, // Opcode: UZP1vvv_4h
-/* 17852 */   MCD_OPC_FilterValue, 2, 118, 109, // Skip to: 45878
-/* 17856 */   MCD_OPC_CheckPredicate, 0, 114, 109, // Skip to: 45878
-/* 17860 */   MCD_OPC_CheckField, 21, 1, 0, 108, 109, // Skip to: 45878
-/* 17866 */   MCD_OPC_Decode, 183, 21, 102, // Opcode: UZP1vvv_8h
-/* 17870 */   MCD_OPC_FilterValue, 7, 75, 0, // Skip to: 17949
-/* 17874 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17877 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17895
-/* 17881 */   MCD_OPC_CheckPredicate, 0, 89, 109, // Skip to: 45878
-/* 17885 */   MCD_OPC_CheckField, 21, 1, 1, 83, 109, // Skip to: 45878
-/* 17891 */   MCD_OPC_Decode, 216, 1, 78, // Opcode: BICvvv_8B
-/* 17895 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17913
-/* 17899 */   MCD_OPC_CheckPredicate, 0, 71, 109, // Skip to: 45878
-/* 17903 */   MCD_OPC_CheckField, 21, 1, 1, 65, 109, // Skip to: 45878
-/* 17909 */   MCD_OPC_Decode, 234, 1, 98, // Opcode: BSLvvv_8B
-/* 17913 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17931
-/* 17917 */   MCD_OPC_CheckPredicate, 0, 53, 109, // Skip to: 45878
-/* 17921 */   MCD_OPC_CheckField, 21, 1, 1, 47, 109, // Skip to: 45878
-/* 17927 */   MCD_OPC_Decode, 215, 1, 102, // Opcode: BICvvv_16B
-/* 17931 */   MCD_OPC_FilterValue, 3, 39, 109, // Skip to: 45878
-/* 17935 */   MCD_OPC_CheckPredicate, 0, 35, 109, // Skip to: 45878
-/* 17939 */   MCD_OPC_CheckField, 21, 1, 1, 29, 109, // Skip to: 45878
-/* 17945 */   MCD_OPC_Decode, 233, 1, 110, // Opcode: BSLvvv_16B
-/* 17949 */   MCD_OPC_FilterValue, 8, 75, 0, // Skip to: 18028
-/* 17953 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 17956 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17974
-/* 17960 */   MCD_OPC_CheckPredicate, 0, 10, 109, // Skip to: 45878
-/* 17964 */   MCD_OPC_CheckField, 21, 1, 1, 4, 109, // Skip to: 45878
-/* 17970 */   MCD_OPC_Decode, 243, 15, 74, // Opcode: SSUBLvvv_4s4h
-/* 17974 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17992
-/* 17978 */   MCD_OPC_CheckPredicate, 0, 248, 108, // Skip to: 45878
-/* 17982 */   MCD_OPC_CheckField, 21, 1, 1, 242, 108, // Skip to: 45878
-/* 17988 */   MCD_OPC_Decode, 165, 21, 74, // Opcode: USUBLvvv_4s4h
-/* 17992 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18010
-/* 17996 */   MCD_OPC_CheckPredicate, 0, 230, 108, // Skip to: 45878
-/* 18000 */   MCD_OPC_CheckField, 21, 1, 1, 224, 108, // Skip to: 45878
-/* 18006 */   MCD_OPC_Decode, 240, 15, 102, // Opcode: SSUBL2vvv_4s8h
-/* 18010 */   MCD_OPC_FilterValue, 3, 216, 108, // Skip to: 45878
-/* 18014 */   MCD_OPC_CheckPredicate, 0, 212, 108, // Skip to: 45878
-/* 18018 */   MCD_OPC_CheckField, 21, 1, 1, 206, 108, // Skip to: 45878
-/* 18024 */   MCD_OPC_Decode, 162, 21, 102, // Opcode: USUBL2vvv_4s8h
-/* 18028 */   MCD_OPC_FilterValue, 9, 75, 0, // Skip to: 18107
-/* 18032 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18035 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18053
-/* 18039 */   MCD_OPC_CheckPredicate, 0, 187, 108, // Skip to: 45878
-/* 18043 */   MCD_OPC_CheckField, 21, 1, 1, 181, 108, // Skip to: 45878
-/* 18049 */   MCD_OPC_Decode, 142, 13, 78, // Opcode: SHSUBvvv_4H
-/* 18053 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18071
-/* 18057 */   MCD_OPC_CheckPredicate, 0, 169, 108, // Skip to: 45878
-/* 18061 */   MCD_OPC_CheckField, 21, 1, 1, 163, 108, // Skip to: 45878
-/* 18067 */   MCD_OPC_Decode, 185, 19, 78, // Opcode: UHSUBvvv_4H
-/* 18071 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18089
-/* 18075 */   MCD_OPC_CheckPredicate, 0, 151, 108, // Skip to: 45878
-/* 18079 */   MCD_OPC_CheckField, 21, 1, 1, 145, 108, // Skip to: 45878
-/* 18085 */   MCD_OPC_Decode, 145, 13, 102, // Opcode: SHSUBvvv_8H
-/* 18089 */   MCD_OPC_FilterValue, 3, 137, 108, // Skip to: 45878
-/* 18093 */   MCD_OPC_CheckPredicate, 0, 133, 108, // Skip to: 45878
-/* 18097 */   MCD_OPC_CheckField, 21, 1, 1, 127, 108, // Skip to: 45878
-/* 18103 */   MCD_OPC_Decode, 188, 19, 102, // Opcode: UHSUBvvv_8H
-/* 18107 */   MCD_OPC_FilterValue, 10, 165, 0, // Skip to: 18276
-/* 18111 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18114 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 18164
-/* 18118 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 18121 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18133
-/* 18125 */   MCD_OPC_CheckPredicate, 0, 101, 108, // Skip to: 45878
-/* 18129 */   MCD_OPC_Decode, 210, 18, 78, // Opcode: TRN1vvv_4h
-/* 18133 */   MCD_OPC_FilterValue, 1, 93, 108, // Skip to: 45878
-/* 18137 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 18140 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18152
-/* 18144 */   MCD_OPC_CheckPredicate, 0, 82, 108, // Skip to: 45878
-/* 18148 */   MCD_OPC_Decode, 187, 12, 79, // Opcode: SADDLP4h2s
-/* 18152 */   MCD_OPC_FilterValue, 1, 74, 108, // Skip to: 45878
-/* 18156 */   MCD_OPC_CheckPredicate, 0, 70, 108, // Skip to: 45878
-/* 18160 */   MCD_OPC_Decode, 205, 21, 84, // Opcode: XTN4s4h
-/* 18164 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 18195
-/* 18168 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 18171 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18183
-/* 18175 */   MCD_OPC_CheckPredicate, 0, 51, 108, // Skip to: 45878
-/* 18179 */   MCD_OPC_Decode, 136, 19, 79, // Opcode: UADDLP4h2s
-/* 18183 */   MCD_OPC_FilterValue, 33, 43, 108, // Skip to: 45878
-/* 18187 */   MCD_OPC_CheckPredicate, 0, 39, 108, // Skip to: 45878
-/* 18191 */   MCD_OPC_Decode, 164, 15, 84, // Opcode: SQXTUN4s4h
-/* 18195 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 18245
-/* 18199 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 18202 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18214
-/* 18206 */   MCD_OPC_CheckPredicate, 0, 20, 108, // Skip to: 45878
-/* 18210 */   MCD_OPC_Decode, 213, 18, 102, // Opcode: TRN1vvv_8h
-/* 18214 */   MCD_OPC_FilterValue, 1, 12, 108, // Skip to: 45878
-/* 18218 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 18221 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18233
-/* 18225 */   MCD_OPC_CheckPredicate, 0, 1, 108, // Skip to: 45878
-/* 18229 */   MCD_OPC_Decode, 190, 12, 107, // Opcode: SADDLP8h4s
-/* 18233 */   MCD_OPC_FilterValue, 1, 249, 107, // Skip to: 45878
-/* 18237 */   MCD_OPC_CheckPredicate, 0, 245, 107, // Skip to: 45878
-/* 18241 */   MCD_OPC_Decode, 206, 21, 116, // Opcode: XTN4s8h
-/* 18245 */   MCD_OPC_FilterValue, 3, 237, 107, // Skip to: 45878
-/* 18249 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 18252 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18264
-/* 18256 */   MCD_OPC_CheckPredicate, 0, 226, 107, // Skip to: 45878
-/* 18260 */   MCD_OPC_Decode, 139, 19, 107, // Opcode: UADDLP8h4s
-/* 18264 */   MCD_OPC_FilterValue, 33, 218, 107, // Skip to: 45878
-/* 18268 */   MCD_OPC_CheckPredicate, 0, 214, 107, // Skip to: 45878
-/* 18272 */   MCD_OPC_Decode, 165, 15, 116, // Opcode: SQXTUN4s8h
-/* 18276 */   MCD_OPC_FilterValue, 11, 75, 0, // Skip to: 18355
-/* 18280 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18283 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18301
-/* 18287 */   MCD_OPC_CheckPredicate, 0, 195, 107, // Skip to: 45878
-/* 18291 */   MCD_OPC_CheckField, 21, 1, 1, 189, 107, // Skip to: 45878
-/* 18297 */   MCD_OPC_Decode, 149, 15, 78, // Opcode: SQSUBvvv_4H
-/* 18301 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18319
-/* 18305 */   MCD_OPC_CheckPredicate, 0, 177, 107, // Skip to: 45878
-/* 18309 */   MCD_OPC_CheckField, 21, 1, 1, 171, 107, // Skip to: 45878
-/* 18315 */   MCD_OPC_Decode, 201, 20, 78, // Opcode: UQSUBvvv_4H
-/* 18319 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18337
-/* 18323 */   MCD_OPC_CheckPredicate, 0, 159, 107, // Skip to: 45878
-/* 18327 */   MCD_OPC_CheckField, 21, 1, 1, 153, 107, // Skip to: 45878
-/* 18333 */   MCD_OPC_Decode, 152, 15, 102, // Opcode: SQSUBvvv_8H
-/* 18337 */   MCD_OPC_FilterValue, 3, 145, 107, // Skip to: 45878
-/* 18341 */   MCD_OPC_CheckPredicate, 0, 141, 107, // Skip to: 45878
-/* 18345 */   MCD_OPC_CheckField, 21, 1, 1, 135, 107, // Skip to: 45878
-/* 18351 */   MCD_OPC_Decode, 204, 20, 102, // Opcode: UQSUBvvv_8H
-/* 18355 */   MCD_OPC_FilterValue, 12, 75, 0, // Skip to: 18434
-/* 18359 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18362 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18380
-/* 18366 */   MCD_OPC_CheckPredicate, 0, 116, 107, // Skip to: 45878
-/* 18370 */   MCD_OPC_CheckField, 21, 1, 1, 110, 107, // Skip to: 45878
-/* 18376 */   MCD_OPC_Decode, 249, 15, 82, // Opcode: SSUBWvvv_4s4h
-/* 18380 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18398
-/* 18384 */   MCD_OPC_CheckPredicate, 0, 98, 107, // Skip to: 45878
-/* 18388 */   MCD_OPC_CheckField, 21, 1, 1, 92, 107, // Skip to: 45878
-/* 18394 */   MCD_OPC_Decode, 171, 21, 82, // Opcode: USUBWvvv_4s4h
-/* 18398 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18416
-/* 18402 */   MCD_OPC_CheckPredicate, 0, 80, 107, // Skip to: 45878
-/* 18406 */   MCD_OPC_CheckField, 21, 1, 1, 74, 107, // Skip to: 45878
-/* 18412 */   MCD_OPC_Decode, 246, 15, 102, // Opcode: SSUBW2vvv_4s8h
-/* 18416 */   MCD_OPC_FilterValue, 3, 66, 107, // Skip to: 45878
-/* 18420 */   MCD_OPC_CheckPredicate, 0, 62, 107, // Skip to: 45878
-/* 18424 */   MCD_OPC_CheckField, 21, 1, 1, 56, 107, // Skip to: 45878
-/* 18430 */   MCD_OPC_Decode, 168, 21, 102, // Opcode: USUBW2vvv_4s8h
-/* 18434 */   MCD_OPC_FilterValue, 13, 75, 0, // Skip to: 18513
-/* 18438 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18441 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18459
-/* 18445 */   MCD_OPC_CheckPredicate, 0, 37, 107, // Skip to: 45878
-/* 18449 */   MCD_OPC_CheckField, 21, 1, 1, 31, 107, // Skip to: 45878
-/* 18455 */   MCD_OPC_Decode, 182, 2, 78, // Opcode: CMGTvvv_4H
-/* 18459 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18477
-/* 18463 */   MCD_OPC_CheckPredicate, 0, 19, 107, // Skip to: 45878
-/* 18467 */   MCD_OPC_CheckField, 21, 1, 1, 13, 107, // Skip to: 45878
-/* 18473 */   MCD_OPC_Decode, 190, 2, 78, // Opcode: CMHIvvv_4H
-/* 18477 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18495
-/* 18481 */   MCD_OPC_CheckPredicate, 0, 1, 107, // Skip to: 45878
-/* 18485 */   MCD_OPC_CheckField, 21, 1, 1, 251, 106, // Skip to: 45878
-/* 18491 */   MCD_OPC_Decode, 185, 2, 102, // Opcode: CMGTvvv_8H
-/* 18495 */   MCD_OPC_FilterValue, 3, 243, 106, // Skip to: 45878
-/* 18499 */   MCD_OPC_CheckPredicate, 0, 239, 106, // Skip to: 45878
-/* 18503 */   MCD_OPC_CheckField, 21, 1, 1, 233, 106, // Skip to: 45878
-/* 18509 */   MCD_OPC_Decode, 193, 2, 102, // Opcode: CMHIvvv_8H
-/* 18513 */   MCD_OPC_FilterValue, 14, 193, 0, // Skip to: 18710
-/* 18517 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18520 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 18571
-/* 18524 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 18527 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18539
-/* 18531 */   MCD_OPC_CheckPredicate, 0, 207, 106, // Skip to: 45878
-/* 18535 */   MCD_OPC_Decode, 212, 21, 78, // Opcode: ZIP1vvv_4h
-/* 18539 */   MCD_OPC_FilterValue, 1, 199, 106, // Skip to: 45878
-/* 18543 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 18546 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18558
-/* 18550 */   MCD_OPC_CheckPredicate, 0, 188, 106, // Skip to: 45878
-/* 18554 */   MCD_OPC_Decode, 163, 18, 88, // Opcode: SUQADD4h
-/* 18558 */   MCD_OPC_FilterValue, 16, 180, 106, // Skip to: 45878
-/* 18562 */   MCD_OPC_CheckPredicate, 0, 176, 106, // Skip to: 45878
-/* 18566 */   MCD_OPC_Decode, 194, 12, 134, 1, // Opcode: SADDLV_1s4h
-/* 18571 */   MCD_OPC_FilterValue, 1, 40, 0, // Skip to: 18615
-/* 18575 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 18578 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18590
-/* 18582 */   MCD_OPC_CheckPredicate, 0, 156, 106, // Skip to: 45878
-/* 18586 */   MCD_OPC_Decode, 145, 21, 88, // Opcode: USQADD4h
-/* 18590 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 18602
-/* 18594 */   MCD_OPC_CheckPredicate, 0, 144, 106, // Skip to: 45878
-/* 18598 */   MCD_OPC_Decode, 250, 12, 101, // Opcode: SHLL4h4s
-/* 18602 */   MCD_OPC_FilterValue, 48, 136, 106, // Skip to: 45878
-/* 18606 */   MCD_OPC_CheckPredicate, 0, 132, 106, // Skip to: 45878
-/* 18610 */   MCD_OPC_Decode, 143, 19, 134, 1, // Opcode: UADDLV_1s4h
-/* 18615 */   MCD_OPC_FilterValue, 2, 47, 0, // Skip to: 18666
-/* 18619 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 18622 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18634
-/* 18626 */   MCD_OPC_CheckPredicate, 0, 112, 106, // Skip to: 45878
-/* 18630 */   MCD_OPC_Decode, 215, 21, 102, // Opcode: ZIP1vvv_8h
-/* 18634 */   MCD_OPC_FilterValue, 1, 104, 106, // Skip to: 45878
-/* 18638 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 18641 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18653
-/* 18645 */   MCD_OPC_CheckPredicate, 0, 93, 106, // Skip to: 45878
-/* 18649 */   MCD_OPC_Decode, 166, 18, 116, // Opcode: SUQADD8h
-/* 18653 */   MCD_OPC_FilterValue, 16, 85, 106, // Skip to: 45878
-/* 18657 */   MCD_OPC_CheckPredicate, 0, 81, 106, // Skip to: 45878
-/* 18661 */   MCD_OPC_Decode, 195, 12, 129, 1, // Opcode: SADDLV_1s8h
-/* 18666 */   MCD_OPC_FilterValue, 3, 72, 106, // Skip to: 45878
-/* 18670 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 18673 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18685
-/* 18677 */   MCD_OPC_CheckPredicate, 0, 61, 106, // Skip to: 45878
-/* 18681 */   MCD_OPC_Decode, 148, 21, 116, // Opcode: USQADD8h
-/* 18685 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 18697
-/* 18689 */   MCD_OPC_CheckPredicate, 0, 49, 106, // Skip to: 45878
-/* 18693 */   MCD_OPC_Decode, 253, 12, 101, // Opcode: SHLL8h4s
-/* 18697 */   MCD_OPC_FilterValue, 48, 41, 106, // Skip to: 45878
-/* 18701 */   MCD_OPC_CheckPredicate, 0, 37, 106, // Skip to: 45878
-/* 18705 */   MCD_OPC_Decode, 144, 19, 129, 1, // Opcode: UADDLV_1s8h
-/* 18710 */   MCD_OPC_FilterValue, 15, 75, 0, // Skip to: 18789
-/* 18714 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18717 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18735
-/* 18721 */   MCD_OPC_CheckPredicate, 0, 17, 106, // Skip to: 45878
-/* 18725 */   MCD_OPC_CheckField, 21, 1, 1, 11, 106, // Skip to: 45878
-/* 18731 */   MCD_OPC_Decode, 166, 2, 78, // Opcode: CMGEvvv_4H
-/* 18735 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18753
-/* 18739 */   MCD_OPC_CheckPredicate, 0, 255, 105, // Skip to: 45878
-/* 18743 */   MCD_OPC_CheckField, 21, 1, 1, 249, 105, // Skip to: 45878
-/* 18749 */   MCD_OPC_Decode, 198, 2, 78, // Opcode: CMHSvvv_4H
-/* 18753 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18771
-/* 18757 */   MCD_OPC_CheckPredicate, 0, 237, 105, // Skip to: 45878
-/* 18761 */   MCD_OPC_CheckField, 21, 1, 1, 231, 105, // Skip to: 45878
-/* 18767 */   MCD_OPC_Decode, 169, 2, 102, // Opcode: CMGEvvv_8H
-/* 18771 */   MCD_OPC_FilterValue, 3, 223, 105, // Skip to: 45878
-/* 18775 */   MCD_OPC_CheckPredicate, 0, 219, 105, // Skip to: 45878
-/* 18779 */   MCD_OPC_CheckField, 21, 1, 1, 213, 105, // Skip to: 45878
-/* 18785 */   MCD_OPC_Decode, 201, 2, 102, // Opcode: CMHSvvv_8H
-/* 18789 */   MCD_OPC_FilterValue, 16, 73, 0, // Skip to: 18866
-/* 18793 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18796 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 18813
-/* 18800 */   MCD_OPC_CheckPredicate, 0, 194, 105, // Skip to: 45878
-/* 18804 */   MCD_OPC_CheckField, 21, 1, 1, 188, 105, // Skip to: 45878
-/* 18810 */   MCD_OPC_Decode, 35, 92, // Opcode: ADDHNvvv_4h4s
-/* 18813 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18831
-/* 18817 */   MCD_OPC_CheckPredicate, 0, 177, 105, // Skip to: 45878
-/* 18821 */   MCD_OPC_CheckField, 21, 1, 1, 171, 105, // Skip to: 45878
-/* 18827 */   MCD_OPC_Decode, 241, 11, 92, // Opcode: RADDHNvvv_4h4s
-/* 18831 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 18848
-/* 18835 */   MCD_OPC_CheckPredicate, 0, 159, 105, // Skip to: 45878
-/* 18839 */   MCD_OPC_CheckField, 21, 1, 1, 153, 105, // Skip to: 45878
-/* 18845 */   MCD_OPC_Decode, 33, 110, // Opcode: ADDHN2vvv_8h4s
-/* 18848 */   MCD_OPC_FilterValue, 3, 146, 105, // Skip to: 45878
-/* 18852 */   MCD_OPC_CheckPredicate, 0, 142, 105, // Skip to: 45878
-/* 18856 */   MCD_OPC_CheckField, 21, 1, 1, 136, 105, // Skip to: 45878
-/* 18862 */   MCD_OPC_Decode, 239, 11, 110, // Opcode: RADDHN2vvv_8h4s
-/* 18866 */   MCD_OPC_FilterValue, 17, 75, 0, // Skip to: 18945
-/* 18870 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18873 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18891
-/* 18877 */   MCD_OPC_CheckPredicate, 0, 117, 105, // Skip to: 45878
-/* 18881 */   MCD_OPC_CheckField, 21, 1, 1, 111, 105, // Skip to: 45878
-/* 18887 */   MCD_OPC_Decode, 219, 15, 78, // Opcode: SSHLvvv_4H
-/* 18891 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18909
-/* 18895 */   MCD_OPC_CheckPredicate, 0, 99, 105, // Skip to: 45878
-/* 18899 */   MCD_OPC_CheckField, 21, 1, 1, 93, 105, // Skip to: 45878
-/* 18905 */   MCD_OPC_Decode, 130, 21, 78, // Opcode: USHLvvv_4H
-/* 18909 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18927
-/* 18913 */   MCD_OPC_CheckPredicate, 0, 81, 105, // Skip to: 45878
-/* 18917 */   MCD_OPC_CheckField, 21, 1, 1, 75, 105, // Skip to: 45878
-/* 18923 */   MCD_OPC_Decode, 222, 15, 102, // Opcode: SSHLvvv_8H
-/* 18927 */   MCD_OPC_FilterValue, 3, 67, 105, // Skip to: 45878
-/* 18931 */   MCD_OPC_CheckPredicate, 0, 63, 105, // Skip to: 45878
-/* 18935 */   MCD_OPC_CheckField, 21, 1, 1, 57, 105, // Skip to: 45878
-/* 18941 */   MCD_OPC_Decode, 133, 21, 102, // Opcode: USHLvvv_8H
-/* 18945 */   MCD_OPC_FilterValue, 18, 127, 0, // Skip to: 19076
-/* 18949 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 18952 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 18983
-/* 18956 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 18959 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18971
-/* 18963 */   MCD_OPC_CheckPredicate, 0, 31, 105, // Skip to: 45878
-/* 18967 */   MCD_OPC_Decode, 252, 1, 79, // Opcode: CLS4h
-/* 18971 */   MCD_OPC_FilterValue, 33, 23, 105, // Skip to: 45878
-/* 18975 */   MCD_OPC_CheckPredicate, 0, 19, 105, // Skip to: 45878
-/* 18979 */   MCD_OPC_Decode, 155, 15, 84, // Opcode: SQXTN4s4h
-/* 18983 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 19014
-/* 18987 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 18990 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19002
-/* 18994 */   MCD_OPC_CheckPredicate, 0, 0, 105, // Skip to: 45878
-/* 18998 */   MCD_OPC_Decode, 132, 2, 79, // Opcode: CLZ4h
-/* 19002 */   MCD_OPC_FilterValue, 33, 248, 104, // Skip to: 45878
-/* 19006 */   MCD_OPC_CheckPredicate, 0, 244, 104, // Skip to: 45878
-/* 19010 */   MCD_OPC_Decode, 207, 20, 84, // Opcode: UQXTN4s4h
-/* 19014 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 19045
-/* 19018 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 19021 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19033
-/* 19025 */   MCD_OPC_CheckPredicate, 0, 225, 104, // Skip to: 45878
-/* 19029 */   MCD_OPC_Decode, 255, 1, 107, // Opcode: CLS8h
-/* 19033 */   MCD_OPC_FilterValue, 33, 217, 104, // Skip to: 45878
-/* 19037 */   MCD_OPC_CheckPredicate, 0, 213, 104, // Skip to: 45878
-/* 19041 */   MCD_OPC_Decode, 156, 15, 116, // Opcode: SQXTN4s8h
-/* 19045 */   MCD_OPC_FilterValue, 3, 205, 104, // Skip to: 45878
-/* 19049 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 19052 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19064
-/* 19056 */   MCD_OPC_CheckPredicate, 0, 194, 104, // Skip to: 45878
-/* 19060 */   MCD_OPC_Decode, 135, 2, 107, // Opcode: CLZ8h
-/* 19064 */   MCD_OPC_FilterValue, 33, 186, 104, // Skip to: 45878
-/* 19068 */   MCD_OPC_CheckPredicate, 0, 182, 104, // Skip to: 45878
-/* 19072 */   MCD_OPC_Decode, 208, 20, 116, // Opcode: UQXTN4s8h
-/* 19076 */   MCD_OPC_FilterValue, 19, 75, 0, // Skip to: 19155
-/* 19080 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19083 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19101
-/* 19087 */   MCD_OPC_CheckPredicate, 0, 163, 104, // Skip to: 45878
-/* 19091 */   MCD_OPC_CheckField, 21, 1, 1, 157, 104, // Skip to: 45878
-/* 19097 */   MCD_OPC_Decode, 254, 14, 78, // Opcode: SQSHLvvv_4H
-/* 19101 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19119
-/* 19105 */   MCD_OPC_CheckPredicate, 0, 145, 104, // Skip to: 45878
-/* 19109 */   MCD_OPC_CheckField, 21, 1, 1, 139, 104, // Skip to: 45878
-/* 19115 */   MCD_OPC_Decode, 181, 20, 78, // Opcode: UQSHLvvv_4H
-/* 19119 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19137
-/* 19123 */   MCD_OPC_CheckPredicate, 0, 127, 104, // Skip to: 45878
-/* 19127 */   MCD_OPC_CheckField, 21, 1, 1, 121, 104, // Skip to: 45878
-/* 19133 */   MCD_OPC_Decode, 129, 15, 102, // Opcode: SQSHLvvv_8H
-/* 19137 */   MCD_OPC_FilterValue, 3, 113, 104, // Skip to: 45878
-/* 19141 */   MCD_OPC_CheckPredicate, 0, 109, 104, // Skip to: 45878
-/* 19145 */   MCD_OPC_CheckField, 21, 1, 1, 103, 104, // Skip to: 45878
-/* 19151 */   MCD_OPC_Decode, 184, 20, 102, // Opcode: UQSHLvvv_8H
-/* 19155 */   MCD_OPC_FilterValue, 20, 75, 0, // Skip to: 19234
-/* 19159 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19162 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19180
-/* 19166 */   MCD_OPC_CheckPredicate, 0, 84, 104, // Skip to: 45878
-/* 19170 */   MCD_OPC_CheckField, 21, 1, 1, 78, 104, // Skip to: 45878
-/* 19176 */   MCD_OPC_Decode, 156, 12, 94, // Opcode: SABALvvv_4s4h
-/* 19180 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19198
-/* 19184 */   MCD_OPC_CheckPredicate, 0, 66, 104, // Skip to: 45878
-/* 19188 */   MCD_OPC_CheckField, 21, 1, 1, 60, 104, // Skip to: 45878
-/* 19194 */   MCD_OPC_Decode, 233, 18, 94, // Opcode: UABALvvv_4s4h
-/* 19198 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19216
-/* 19202 */   MCD_OPC_CheckPredicate, 0, 48, 104, // Skip to: 45878
-/* 19206 */   MCD_OPC_CheckField, 21, 1, 1, 42, 104, // Skip to: 45878
-/* 19212 */   MCD_OPC_Decode, 153, 12, 110, // Opcode: SABAL2vvv_4s4h
-/* 19216 */   MCD_OPC_FilterValue, 3, 34, 104, // Skip to: 45878
-/* 19220 */   MCD_OPC_CheckPredicate, 0, 30, 104, // Skip to: 45878
-/* 19224 */   MCD_OPC_CheckField, 21, 1, 1, 24, 104, // Skip to: 45878
-/* 19230 */   MCD_OPC_Decode, 230, 18, 110, // Opcode: UABAL2vvv_4s4h
-/* 19234 */   MCD_OPC_FilterValue, 21, 75, 0, // Skip to: 19313
-/* 19238 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19241 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19259
-/* 19245 */   MCD_OPC_CheckPredicate, 0, 5, 104, // Skip to: 45878
-/* 19249 */   MCD_OPC_CheckField, 21, 1, 1, 255, 103, // Skip to: 45878
-/* 19255 */   MCD_OPC_Decode, 189, 15, 78, // Opcode: SRSHLvvv_4H
-/* 19259 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19277
-/* 19263 */   MCD_OPC_CheckPredicate, 0, 243, 103, // Skip to: 45878
-/* 19267 */   MCD_OPC_CheckField, 21, 1, 1, 237, 103, // Skip to: 45878
-/* 19273 */   MCD_OPC_Decode, 226, 20, 78, // Opcode: URSHLvvv_4H
-/* 19277 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19295
-/* 19281 */   MCD_OPC_CheckPredicate, 0, 225, 103, // Skip to: 45878
-/* 19285 */   MCD_OPC_CheckField, 21, 1, 1, 219, 103, // Skip to: 45878
-/* 19291 */   MCD_OPC_Decode, 192, 15, 102, // Opcode: SRSHLvvv_8H
-/* 19295 */   MCD_OPC_FilterValue, 3, 211, 103, // Skip to: 45878
-/* 19299 */   MCD_OPC_CheckPredicate, 0, 207, 103, // Skip to: 45878
-/* 19303 */   MCD_OPC_CheckField, 21, 1, 1, 201, 103, // Skip to: 45878
-/* 19309 */   MCD_OPC_Decode, 229, 20, 102, // Opcode: URSHLvvv_8H
-/* 19313 */   MCD_OPC_FilterValue, 22, 75, 0, // Skip to: 19392
-/* 19317 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19320 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19338
-/* 19324 */   MCD_OPC_CheckPredicate, 0, 182, 103, // Skip to: 45878
-/* 19328 */   MCD_OPC_CheckField, 21, 1, 0, 176, 103, // Skip to: 45878
-/* 19334 */   MCD_OPC_Decode, 187, 21, 78, // Opcode: UZP2vvv_4h
-/* 19338 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19356
-/* 19342 */   MCD_OPC_CheckPredicate, 0, 164, 103, // Skip to: 45878
-/* 19346 */   MCD_OPC_CheckField, 16, 6, 32, 158, 103, // Skip to: 45878
-/* 19352 */   MCD_OPC_Decode, 244, 11, 79, // Opcode: RBIT8b
-/* 19356 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19374
-/* 19360 */   MCD_OPC_CheckPredicate, 0, 146, 103, // Skip to: 45878
-/* 19364 */   MCD_OPC_CheckField, 21, 1, 0, 140, 103, // Skip to: 45878
-/* 19370 */   MCD_OPC_Decode, 190, 21, 102, // Opcode: UZP2vvv_8h
-/* 19374 */   MCD_OPC_FilterValue, 3, 132, 103, // Skip to: 45878
-/* 19378 */   MCD_OPC_CheckPredicate, 0, 128, 103, // Skip to: 45878
-/* 19382 */   MCD_OPC_CheckField, 16, 6, 32, 122, 103, // Skip to: 45878
-/* 19388 */   MCD_OPC_Decode, 243, 11, 107, // Opcode: RBIT16b
-/* 19392 */   MCD_OPC_FilterValue, 23, 75, 0, // Skip to: 19471
-/* 19396 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19399 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19417
-/* 19403 */   MCD_OPC_CheckPredicate, 0, 103, 103, // Skip to: 45878
-/* 19407 */   MCD_OPC_CheckField, 21, 1, 1, 97, 103, // Skip to: 45878
-/* 19413 */   MCD_OPC_Decode, 209, 14, 78, // Opcode: SQRSHLvvv_4H
-/* 19417 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19435
-/* 19421 */   MCD_OPC_CheckPredicate, 0, 85, 103, // Skip to: 45878
-/* 19425 */   MCD_OPC_CheckField, 21, 1, 1, 79, 103, // Skip to: 45878
-/* 19431 */   MCD_OPC_Decode, 150, 20, 78, // Opcode: UQRSHLvvv_4H
-/* 19435 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19453
-/* 19439 */   MCD_OPC_CheckPredicate, 0, 67, 103, // Skip to: 45878
-/* 19443 */   MCD_OPC_CheckField, 21, 1, 1, 61, 103, // Skip to: 45878
-/* 19449 */   MCD_OPC_Decode, 212, 14, 102, // Opcode: SQRSHLvvv_8H
-/* 19453 */   MCD_OPC_FilterValue, 3, 53, 103, // Skip to: 45878
-/* 19457 */   MCD_OPC_CheckPredicate, 0, 49, 103, // Skip to: 45878
-/* 19461 */   MCD_OPC_CheckField, 21, 1, 1, 43, 103, // Skip to: 45878
-/* 19467 */   MCD_OPC_Decode, 153, 20, 102, // Opcode: UQRSHLvvv_8H
-/* 19471 */   MCD_OPC_FilterValue, 24, 75, 0, // Skip to: 19550
-/* 19475 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19478 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19496
-/* 19482 */   MCD_OPC_CheckPredicate, 0, 24, 103, // Skip to: 45878
-/* 19486 */   MCD_OPC_CheckField, 21, 1, 1, 18, 103, // Skip to: 45878
-/* 19492 */   MCD_OPC_Decode, 222, 17, 92, // Opcode: SUBHNvvv_4h4s
-/* 19496 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19514
-/* 19500 */   MCD_OPC_CheckPredicate, 0, 6, 103, // Skip to: 45878
-/* 19504 */   MCD_OPC_CheckField, 21, 1, 1, 0, 103, // Skip to: 45878
-/* 19510 */   MCD_OPC_Decode, 150, 12, 92, // Opcode: RSUBHNvvv_4h4s
-/* 19514 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19532
-/* 19518 */   MCD_OPC_CheckPredicate, 0, 244, 102, // Skip to: 45878
-/* 19522 */   MCD_OPC_CheckField, 21, 1, 1, 238, 102, // Skip to: 45878
-/* 19528 */   MCD_OPC_Decode, 220, 17, 110, // Opcode: SUBHN2vvv_8h4s
-/* 19532 */   MCD_OPC_FilterValue, 3, 230, 102, // Skip to: 45878
-/* 19536 */   MCD_OPC_CheckPredicate, 0, 226, 102, // Skip to: 45878
-/* 19540 */   MCD_OPC_CheckField, 21, 1, 1, 220, 102, // Skip to: 45878
-/* 19546 */   MCD_OPC_Decode, 148, 12, 110, // Opcode: RSUBHN2vvv_8h4s
-/* 19550 */   MCD_OPC_FilterValue, 25, 75, 0, // Skip to: 19629
-/* 19554 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19557 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19575
-/* 19561 */   MCD_OPC_CheckPredicate, 0, 201, 102, // Skip to: 45878
-/* 19565 */   MCD_OPC_CheckField, 21, 1, 1, 195, 102, // Skip to: 45878
-/* 19571 */   MCD_OPC_Decode, 168, 13, 78, // Opcode: SMAXvvv_4H
-/* 19575 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19593
-/* 19579 */   MCD_OPC_CheckPredicate, 0, 183, 102, // Skip to: 45878
-/* 19583 */   MCD_OPC_CheckField, 21, 1, 1, 177, 102, // Skip to: 45878
-/* 19589 */   MCD_OPC_Decode, 203, 19, 78, // Opcode: UMAXvvv_4H
-/* 19593 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19611
-/* 19597 */   MCD_OPC_CheckPredicate, 0, 165, 102, // Skip to: 45878
-/* 19601 */   MCD_OPC_CheckField, 21, 1, 1, 159, 102, // Skip to: 45878
-/* 19607 */   MCD_OPC_Decode, 171, 13, 102, // Opcode: SMAXvvv_8H
-/* 19611 */   MCD_OPC_FilterValue, 3, 151, 102, // Skip to: 45878
-/* 19615 */   MCD_OPC_CheckPredicate, 0, 147, 102, // Skip to: 45878
-/* 19619 */   MCD_OPC_CheckField, 21, 1, 1, 141, 102, // Skip to: 45878
-/* 19625 */   MCD_OPC_Decode, 206, 19, 102, // Opcode: UMAXvvv_8H
-/* 19629 */   MCD_OPC_FilterValue, 26, 165, 0, // Skip to: 19798
-/* 19633 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19636 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 19686
-/* 19640 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 19643 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 19655
-/* 19647 */   MCD_OPC_CheckPredicate, 0, 115, 102, // Skip to: 45878
-/* 19651 */   MCD_OPC_Decode, 217, 18, 78, // Opcode: TRN2vvv_4h
-/* 19655 */   MCD_OPC_FilterValue, 1, 107, 102, // Skip to: 45878
-/* 19659 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 19662 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 19674
-/* 19666 */   MCD_OPC_CheckPredicate, 0, 96, 102, // Skip to: 45878
-/* 19670 */   MCD_OPC_Decode, 178, 12, 88, // Opcode: SADALP4h2s
-/* 19674 */   MCD_OPC_FilterValue, 1, 88, 102, // Skip to: 45878
-/* 19678 */   MCD_OPC_CheckPredicate, 0, 84, 102, // Skip to: 45878
-/* 19682 */   MCD_OPC_Decode, 207, 4, 84, // Opcode: FCVTN2d2s
-/* 19686 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 19717
-/* 19690 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 19693 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19705
-/* 19697 */   MCD_OPC_CheckPredicate, 0, 65, 102, // Skip to: 45878
-/* 19701 */   MCD_OPC_Decode, 255, 18, 88, // Opcode: UADALP4h2s
-/* 19705 */   MCD_OPC_FilterValue, 33, 57, 102, // Skip to: 45878
-/* 19709 */   MCD_OPC_CheckPredicate, 0, 53, 102, // Skip to: 45878
-/* 19713 */   MCD_OPC_Decode, 248, 4, 84, // Opcode: FCVTXN2d2s
-/* 19717 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 19767
-/* 19721 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 19724 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 19736
-/* 19728 */   MCD_OPC_CheckPredicate, 0, 34, 102, // Skip to: 45878
-/* 19732 */   MCD_OPC_Decode, 220, 18, 102, // Opcode: TRN2vvv_8h
-/* 19736 */   MCD_OPC_FilterValue, 1, 26, 102, // Skip to: 45878
-/* 19740 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 19743 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 19755
-/* 19747 */   MCD_OPC_CheckPredicate, 0, 15, 102, // Skip to: 45878
-/* 19751 */   MCD_OPC_Decode, 181, 12, 116, // Opcode: SADALP8h4s
-/* 19755 */   MCD_OPC_FilterValue, 1, 7, 102, // Skip to: 45878
-/* 19759 */   MCD_OPC_CheckPredicate, 0, 3, 102, // Skip to: 45878
-/* 19763 */   MCD_OPC_Decode, 208, 4, 116, // Opcode: FCVTN2d4s
-/* 19767 */   MCD_OPC_FilterValue, 3, 251, 101, // Skip to: 45878
-/* 19771 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 19774 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19786
-/* 19778 */   MCD_OPC_CheckPredicate, 0, 240, 101, // Skip to: 45878
-/* 19782 */   MCD_OPC_Decode, 130, 19, 116, // Opcode: UADALP8h4s
-/* 19786 */   MCD_OPC_FilterValue, 33, 232, 101, // Skip to: 45878
-/* 19790 */   MCD_OPC_CheckPredicate, 0, 228, 101, // Skip to: 45878
-/* 19794 */   MCD_OPC_Decode, 249, 4, 116, // Opcode: FCVTXN2d4s
-/* 19798 */   MCD_OPC_FilterValue, 27, 75, 0, // Skip to: 19877
-/* 19802 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19805 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19823
-/* 19809 */   MCD_OPC_CheckPredicate, 0, 209, 101, // Skip to: 45878
-/* 19813 */   MCD_OPC_CheckField, 21, 1, 1, 203, 101, // Skip to: 45878
-/* 19819 */   MCD_OPC_Decode, 186, 13, 78, // Opcode: SMINvvv_4H
-/* 19823 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19841
-/* 19827 */   MCD_OPC_CheckPredicate, 0, 191, 101, // Skip to: 45878
-/* 19831 */   MCD_OPC_CheckField, 21, 1, 1, 185, 101, // Skip to: 45878
-/* 19837 */   MCD_OPC_Decode, 220, 19, 78, // Opcode: UMINvvv_4H
-/* 19841 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19859
-/* 19845 */   MCD_OPC_CheckPredicate, 0, 173, 101, // Skip to: 45878
-/* 19849 */   MCD_OPC_CheckField, 21, 1, 1, 167, 101, // Skip to: 45878
-/* 19855 */   MCD_OPC_Decode, 189, 13, 102, // Opcode: SMINvvv_8H
-/* 19859 */   MCD_OPC_FilterValue, 3, 159, 101, // Skip to: 45878
-/* 19863 */   MCD_OPC_CheckPredicate, 0, 155, 101, // Skip to: 45878
-/* 19867 */   MCD_OPC_CheckField, 21, 1, 1, 149, 101, // Skip to: 45878
-/* 19873 */   MCD_OPC_Decode, 223, 19, 102, // Opcode: UMINvvv_8H
-/* 19877 */   MCD_OPC_FilterValue, 28, 75, 0, // Skip to: 19956
-/* 19881 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19884 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19902
-/* 19888 */   MCD_OPC_CheckPredicate, 0, 130, 101, // Skip to: 45878
-/* 19892 */   MCD_OPC_CheckField, 21, 1, 1, 124, 101, // Skip to: 45878
-/* 19898 */   MCD_OPC_Decode, 168, 12, 74, // Opcode: SABDLvvv_4s4h
-/* 19902 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19920
-/* 19906 */   MCD_OPC_CheckPredicate, 0, 112, 101, // Skip to: 45878
-/* 19910 */   MCD_OPC_CheckField, 21, 1, 1, 106, 101, // Skip to: 45878
-/* 19916 */   MCD_OPC_Decode, 245, 18, 74, // Opcode: UABDLvvv_4s4h
-/* 19920 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19938
-/* 19924 */   MCD_OPC_CheckPredicate, 0, 94, 101, // Skip to: 45878
-/* 19928 */   MCD_OPC_CheckField, 21, 1, 1, 88, 101, // Skip to: 45878
-/* 19934 */   MCD_OPC_Decode, 165, 12, 102, // Opcode: SABDL2vvv_4s4h
-/* 19938 */   MCD_OPC_FilterValue, 3, 80, 101, // Skip to: 45878
-/* 19942 */   MCD_OPC_CheckPredicate, 0, 76, 101, // Skip to: 45878
-/* 19946 */   MCD_OPC_CheckField, 21, 1, 1, 70, 101, // Skip to: 45878
-/* 19952 */   MCD_OPC_Decode, 242, 18, 102, // Opcode: UABDL2vvv_4s4h
-/* 19956 */   MCD_OPC_FilterValue, 29, 75, 0, // Skip to: 20035
-/* 19960 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 19963 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19981
-/* 19967 */   MCD_OPC_CheckPredicate, 0, 51, 101, // Skip to: 45878
-/* 19971 */   MCD_OPC_CheckField, 21, 1, 1, 45, 101, // Skip to: 45878
-/* 19977 */   MCD_OPC_Decode, 172, 12, 78, // Opcode: SABDvvv_4H
-/* 19981 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19999
-/* 19985 */   MCD_OPC_CheckPredicate, 0, 33, 101, // Skip to: 45878
-/* 19989 */   MCD_OPC_CheckField, 21, 1, 1, 27, 101, // Skip to: 45878
-/* 19995 */   MCD_OPC_Decode, 249, 18, 78, // Opcode: UABDvvv_4H
-/* 19999 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20017
-/* 20003 */   MCD_OPC_CheckPredicate, 0, 15, 101, // Skip to: 45878
-/* 20007 */   MCD_OPC_CheckField, 21, 1, 1, 9, 101, // Skip to: 45878
-/* 20013 */   MCD_OPC_Decode, 175, 12, 102, // Opcode: SABDvvv_8H
-/* 20017 */   MCD_OPC_FilterValue, 3, 1, 101, // Skip to: 45878
-/* 20021 */   MCD_OPC_CheckPredicate, 0, 253, 100, // Skip to: 45878
-/* 20025 */   MCD_OPC_CheckField, 21, 1, 1, 247, 100, // Skip to: 45878
-/* 20031 */   MCD_OPC_Decode, 252, 18, 102, // Opcode: UABDvvv_8H
-/* 20035 */   MCD_OPC_FilterValue, 30, 139, 0, // Skip to: 20178
-/* 20039 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20042 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 20092
-/* 20046 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 20049 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 20061
-/* 20053 */   MCD_OPC_CheckPredicate, 0, 221, 100, // Skip to: 45878
-/* 20057 */   MCD_OPC_Decode, 219, 21, 78, // Opcode: ZIP2vvv_4h
-/* 20061 */   MCD_OPC_FilterValue, 1, 213, 100, // Skip to: 45878
-/* 20065 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 20068 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 20080
-/* 20072 */   MCD_OPC_CheckPredicate, 0, 202, 100, // Skip to: 45878
-/* 20076 */   MCD_OPC_Decode, 230, 13, 79, // Opcode: SQABS4h
-/* 20080 */   MCD_OPC_FilterValue, 1, 194, 100, // Skip to: 45878
-/* 20084 */   MCD_OPC_CheckPredicate, 0, 190, 100, // Skip to: 45878
-/* 20088 */   MCD_OPC_Decode, 185, 4, 97, // Opcode: FCVTL2s2d
-/* 20092 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20110
-/* 20096 */   MCD_OPC_CheckPredicate, 0, 178, 100, // Skip to: 45878
-/* 20100 */   MCD_OPC_CheckField, 16, 6, 32, 172, 100, // Skip to: 45878
-/* 20106 */   MCD_OPC_Decode, 180, 14, 79, // Opcode: SQNEG4h
-/* 20110 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 20160
-/* 20114 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 20117 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 20129
-/* 20121 */   MCD_OPC_CheckPredicate, 0, 153, 100, // Skip to: 45878
-/* 20125 */   MCD_OPC_Decode, 222, 21, 102, // Opcode: ZIP2vvv_8h
-/* 20129 */   MCD_OPC_FilterValue, 1, 145, 100, // Skip to: 45878
-/* 20133 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 20136 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 20148
-/* 20140 */   MCD_OPC_CheckPredicate, 0, 134, 100, // Skip to: 45878
-/* 20144 */   MCD_OPC_Decode, 233, 13, 107, // Opcode: SQABS8h
-/* 20148 */   MCD_OPC_FilterValue, 1, 126, 100, // Skip to: 45878
-/* 20152 */   MCD_OPC_CheckPredicate, 0, 122, 100, // Skip to: 45878
-/* 20156 */   MCD_OPC_Decode, 187, 4, 107, // Opcode: FCVTL4s2d
-/* 20160 */   MCD_OPC_FilterValue, 3, 114, 100, // Skip to: 45878
-/* 20164 */   MCD_OPC_CheckPredicate, 0, 110, 100, // Skip to: 45878
-/* 20168 */   MCD_OPC_CheckField, 16, 6, 32, 104, 100, // Skip to: 45878
-/* 20174 */   MCD_OPC_Decode, 183, 14, 107, // Opcode: SQNEG8h
-/* 20178 */   MCD_OPC_FilterValue, 31, 75, 0, // Skip to: 20257
-/* 20182 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20185 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20203
-/* 20189 */   MCD_OPC_CheckPredicate, 0, 85, 100, // Skip to: 45878
-/* 20193 */   MCD_OPC_CheckField, 21, 1, 1, 79, 100, // Skip to: 45878
-/* 20199 */   MCD_OPC_Decode, 160, 12, 98, // Opcode: SABAvvv_4H
-/* 20203 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20221
-/* 20207 */   MCD_OPC_CheckPredicate, 0, 67, 100, // Skip to: 45878
-/* 20211 */   MCD_OPC_CheckField, 21, 1, 1, 61, 100, // Skip to: 45878
-/* 20217 */   MCD_OPC_Decode, 237, 18, 98, // Opcode: UABAvvv_4H
-/* 20221 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20239
-/* 20225 */   MCD_OPC_CheckPredicate, 0, 49, 100, // Skip to: 45878
-/* 20229 */   MCD_OPC_CheckField, 21, 1, 1, 43, 100, // Skip to: 45878
-/* 20235 */   MCD_OPC_Decode, 163, 12, 110, // Opcode: SABAvvv_8H
-/* 20239 */   MCD_OPC_FilterValue, 3, 35, 100, // Skip to: 45878
-/* 20243 */   MCD_OPC_CheckPredicate, 0, 31, 100, // Skip to: 45878
-/* 20247 */   MCD_OPC_CheckField, 21, 1, 1, 25, 100, // Skip to: 45878
-/* 20253 */   MCD_OPC_Decode, 240, 18, 110, // Opcode: UABAvvv_8H
-/* 20257 */   MCD_OPC_FilterValue, 32, 75, 0, // Skip to: 20336
-/* 20261 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20264 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20282
-/* 20268 */   MCD_OPC_CheckPredicate, 0, 6, 100, // Skip to: 45878
-/* 20272 */   MCD_OPC_CheckField, 21, 1, 1, 0, 100, // Skip to: 45878
-/* 20278 */   MCD_OPC_Decode, 198, 13, 94, // Opcode: SMLALvvv_4s4h
-/* 20282 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20300
-/* 20286 */   MCD_OPC_CheckPredicate, 0, 244, 99, // Skip to: 45878
-/* 20290 */   MCD_OPC_CheckField, 21, 1, 1, 238, 99, // Skip to: 45878
-/* 20296 */   MCD_OPC_Decode, 232, 19, 94, // Opcode: UMLALvvv_4s4h
-/* 20300 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20318
-/* 20304 */   MCD_OPC_CheckPredicate, 0, 226, 99, // Skip to: 45878
-/* 20308 */   MCD_OPC_CheckField, 21, 1, 1, 220, 99, // Skip to: 45878
-/* 20314 */   MCD_OPC_Decode, 191, 13, 110, // Opcode: SMLAL2vvv_4s8h
-/* 20318 */   MCD_OPC_FilterValue, 3, 212, 99, // Skip to: 45878
-/* 20322 */   MCD_OPC_CheckPredicate, 0, 208, 99, // Skip to: 45878
-/* 20326 */   MCD_OPC_CheckField, 21, 1, 1, 202, 99, // Skip to: 45878
-/* 20332 */   MCD_OPC_Decode, 225, 19, 110, // Opcode: UMLAL2vvv_4s8h
-/* 20336 */   MCD_OPC_FilterValue, 33, 73, 0, // Skip to: 20413
-/* 20340 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20343 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 20360
-/* 20347 */   MCD_OPC_CheckPredicate, 0, 183, 99, // Skip to: 45878
-/* 20351 */   MCD_OPC_CheckField, 21, 1, 1, 177, 99, // Skip to: 45878
-/* 20357 */   MCD_OPC_Decode, 76, 78, // Opcode: ADDvvv_4H
-/* 20360 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20378
-/* 20364 */   MCD_OPC_CheckPredicate, 0, 166, 99, // Skip to: 45878
-/* 20368 */   MCD_OPC_CheckField, 21, 1, 1, 160, 99, // Skip to: 45878
-/* 20374 */   MCD_OPC_Decode, 250, 17, 78, // Opcode: SUBvvv_4H
-/* 20378 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 20395
-/* 20382 */   MCD_OPC_CheckPredicate, 0, 148, 99, // Skip to: 45878
-/* 20386 */   MCD_OPC_CheckField, 21, 1, 1, 142, 99, // Skip to: 45878
-/* 20392 */   MCD_OPC_Decode, 79, 102, // Opcode: ADDvvv_8H
-/* 20395 */   MCD_OPC_FilterValue, 3, 135, 99, // Skip to: 45878
-/* 20399 */   MCD_OPC_CheckPredicate, 0, 131, 99, // Skip to: 45878
-/* 20403 */   MCD_OPC_CheckField, 21, 1, 1, 125, 99, // Skip to: 45878
-/* 20409 */   MCD_OPC_Decode, 253, 17, 102, // Opcode: SUBvvv_8H
-/* 20413 */   MCD_OPC_FilterValue, 34, 101, 0, // Skip to: 20518
-/* 20417 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20420 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20438
-/* 20424 */   MCD_OPC_CheckPredicate, 0, 106, 99, // Skip to: 45878
-/* 20428 */   MCD_OPC_CheckField, 16, 6, 32, 100, 99, // Skip to: 45878
-/* 20434 */   MCD_OPC_Decode, 175, 2, 79, // Opcode: CMGTvvi_4H
-/* 20438 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20456
-/* 20442 */   MCD_OPC_CheckPredicate, 0, 88, 99, // Skip to: 45878
-/* 20446 */   MCD_OPC_CheckField, 16, 6, 32, 82, 99, // Skip to: 45878
-/* 20452 */   MCD_OPC_Decode, 159, 2, 79, // Opcode: CMGEvvi_4H
-/* 20456 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 20487
-/* 20460 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 20463 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20475
-/* 20467 */   MCD_OPC_CheckPredicate, 0, 63, 99, // Skip to: 45878
-/* 20471 */   MCD_OPC_Decode, 178, 2, 107, // Opcode: CMGTvvi_8H
-/* 20475 */   MCD_OPC_FilterValue, 33, 55, 99, // Skip to: 45878
-/* 20479 */   MCD_OPC_CheckPredicate, 0, 51, 99, // Skip to: 45878
-/* 20483 */   MCD_OPC_Decode, 170, 6, 107, // Opcode: FRINTN_2d
-/* 20487 */   MCD_OPC_FilterValue, 3, 43, 99, // Skip to: 45878
-/* 20491 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 20494 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20506
-/* 20498 */   MCD_OPC_CheckPredicate, 0, 32, 99, // Skip to: 45878
-/* 20502 */   MCD_OPC_Decode, 162, 2, 107, // Opcode: CMGEvvi_8H
-/* 20506 */   MCD_OPC_FilterValue, 33, 24, 99, // Skip to: 45878
-/* 20510 */   MCD_OPC_CheckPredicate, 0, 20, 99, // Skip to: 45878
-/* 20514 */   MCD_OPC_Decode, 155, 6, 107, // Opcode: FRINTA_2d
-/* 20518 */   MCD_OPC_FilterValue, 35, 75, 0, // Skip to: 20597
-/* 20522 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20525 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20543
-/* 20529 */   MCD_OPC_CheckPredicate, 0, 1, 99, // Skip to: 45878
-/* 20533 */   MCD_OPC_CheckField, 21, 1, 1, 251, 98, // Skip to: 45878
-/* 20539 */   MCD_OPC_Decode, 138, 3, 78, // Opcode: CMTSTvvv_4H
-/* 20543 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20561
-/* 20547 */   MCD_OPC_CheckPredicate, 0, 239, 98, // Skip to: 45878
-/* 20551 */   MCD_OPC_CheckField, 21, 1, 1, 233, 98, // Skip to: 45878
-/* 20557 */   MCD_OPC_Decode, 150, 2, 78, // Opcode: CMEQvvv_4H
-/* 20561 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20579
-/* 20565 */   MCD_OPC_CheckPredicate, 0, 221, 98, // Skip to: 45878
-/* 20569 */   MCD_OPC_CheckField, 21, 1, 1, 215, 98, // Skip to: 45878
-/* 20575 */   MCD_OPC_Decode, 141, 3, 102, // Opcode: CMTSTvvv_8H
-/* 20579 */   MCD_OPC_FilterValue, 3, 207, 98, // Skip to: 45878
-/* 20583 */   MCD_OPC_CheckPredicate, 0, 203, 98, // Skip to: 45878
-/* 20587 */   MCD_OPC_CheckField, 21, 1, 1, 197, 98, // Skip to: 45878
-/* 20593 */   MCD_OPC_Decode, 153, 2, 102, // Opcode: CMEQvvv_8H
-/* 20597 */   MCD_OPC_FilterValue, 36, 39, 0, // Skip to: 20640
-/* 20601 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20604 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20622
-/* 20608 */   MCD_OPC_CheckPredicate, 0, 178, 98, // Skip to: 45878
-/* 20612 */   MCD_OPC_CheckField, 21, 1, 1, 172, 98, // Skip to: 45878
-/* 20618 */   MCD_OPC_Decode, 134, 14, 94, // Opcode: SQDMLALvvv_4s4h
-/* 20622 */   MCD_OPC_FilterValue, 2, 164, 98, // Skip to: 45878
-/* 20626 */   MCD_OPC_CheckPredicate, 0, 160, 98, // Skip to: 45878
-/* 20630 */   MCD_OPC_CheckField, 21, 1, 1, 154, 98, // Skip to: 45878
-/* 20636 */   MCD_OPC_Decode, 250, 13, 110, // Opcode: SQDMLAL2vvv_4s8h
-/* 20640 */   MCD_OPC_FilterValue, 37, 75, 0, // Skip to: 20719
-/* 20644 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20647 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20665
-/* 20651 */   MCD_OPC_CheckPredicate, 0, 135, 98, // Skip to: 45878
-/* 20655 */   MCD_OPC_CheckField, 21, 1, 1, 129, 98, // Skip to: 45878
-/* 20661 */   MCD_OPC_Decode, 247, 10, 98, // Opcode: MLAvvv_4H
-/* 20665 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20683
-/* 20669 */   MCD_OPC_CheckPredicate, 0, 117, 98, // Skip to: 45878
-/* 20673 */   MCD_OPC_CheckField, 21, 1, 1, 111, 98, // Skip to: 45878
-/* 20679 */   MCD_OPC_Decode, 129, 11, 98, // Opcode: MLSvvv_4H
-/* 20683 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20701
-/* 20687 */   MCD_OPC_CheckPredicate, 0, 99, 98, // Skip to: 45878
-/* 20691 */   MCD_OPC_CheckField, 21, 1, 1, 93, 98, // Skip to: 45878
-/* 20697 */   MCD_OPC_Decode, 250, 10, 110, // Opcode: MLAvvv_8H
-/* 20701 */   MCD_OPC_FilterValue, 3, 85, 98, // Skip to: 45878
-/* 20705 */   MCD_OPC_CheckPredicate, 0, 81, 98, // Skip to: 45878
-/* 20709 */   MCD_OPC_CheckField, 21, 1, 1, 75, 98, // Skip to: 45878
-/* 20715 */   MCD_OPC_Decode, 132, 11, 110, // Opcode: MLSvvv_8H
-/* 20719 */   MCD_OPC_FilterValue, 38, 101, 0, // Skip to: 20824
-/* 20723 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20726 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20744
-/* 20730 */   MCD_OPC_CheckPredicate, 0, 56, 98, // Skip to: 45878
-/* 20734 */   MCD_OPC_CheckField, 16, 6, 32, 50, 98, // Skip to: 45878
-/* 20740 */   MCD_OPC_Decode, 143, 2, 79, // Opcode: CMEQvvi_4H
-/* 20744 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20762
-/* 20748 */   MCD_OPC_CheckPredicate, 0, 38, 98, // Skip to: 45878
-/* 20752 */   MCD_OPC_CheckField, 16, 6, 32, 32, 98, // Skip to: 45878
-/* 20758 */   MCD_OPC_Decode, 206, 2, 79, // Opcode: CMLEvvi_4H
-/* 20762 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 20793
-/* 20766 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 20769 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20781
-/* 20773 */   MCD_OPC_CheckPredicate, 0, 13, 98, // Skip to: 45878
-/* 20777 */   MCD_OPC_Decode, 146, 2, 107, // Opcode: CMEQvvi_8H
-/* 20781 */   MCD_OPC_FilterValue, 33, 5, 98, // Skip to: 45878
-/* 20785 */   MCD_OPC_CheckPredicate, 0, 1, 98, // Skip to: 45878
-/* 20789 */   MCD_OPC_Decode, 165, 6, 107, // Opcode: FRINTM_2d
-/* 20793 */   MCD_OPC_FilterValue, 3, 249, 97, // Skip to: 45878
-/* 20797 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 20800 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20812
-/* 20804 */   MCD_OPC_CheckPredicate, 0, 238, 97, // Skip to: 45878
-/* 20808 */   MCD_OPC_Decode, 209, 2, 107, // Opcode: CMLEvvi_8H
-/* 20812 */   MCD_OPC_FilterValue, 33, 230, 97, // Skip to: 45878
-/* 20816 */   MCD_OPC_CheckPredicate, 0, 226, 97, // Skip to: 45878
-/* 20820 */   MCD_OPC_Decode, 180, 6, 107, // Opcode: FRINTX_2d
-/* 20824 */   MCD_OPC_FilterValue, 39, 39, 0, // Skip to: 20867
-/* 20828 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20831 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20849
-/* 20835 */   MCD_OPC_CheckPredicate, 0, 207, 97, // Skip to: 45878
-/* 20839 */   MCD_OPC_CheckField, 21, 1, 1, 201, 97, // Skip to: 45878
-/* 20845 */   MCD_OPC_Decode, 160, 11, 78, // Opcode: MULvvv_4H
-/* 20849 */   MCD_OPC_FilterValue, 2, 193, 97, // Skip to: 45878
-/* 20853 */   MCD_OPC_CheckPredicate, 0, 189, 97, // Skip to: 45878
-/* 20857 */   MCD_OPC_CheckField, 21, 1, 1, 183, 97, // Skip to: 45878
-/* 20863 */   MCD_OPC_Decode, 163, 11, 102, // Opcode: MULvvv_8H
-/* 20867 */   MCD_OPC_FilterValue, 40, 75, 0, // Skip to: 20946
-/* 20871 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20874 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20892
-/* 20878 */   MCD_OPC_CheckPredicate, 0, 164, 97, // Skip to: 45878
-/* 20882 */   MCD_OPC_CheckField, 21, 1, 1, 158, 97, // Skip to: 45878
-/* 20888 */   MCD_OPC_Decode, 208, 13, 94, // Opcode: SMLSLvvv_4s4h
-/* 20892 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20910
-/* 20896 */   MCD_OPC_CheckPredicate, 0, 146, 97, // Skip to: 45878
-/* 20900 */   MCD_OPC_CheckField, 21, 1, 1, 140, 97, // Skip to: 45878
-/* 20906 */   MCD_OPC_Decode, 242, 19, 94, // Opcode: UMLSLvvv_4s4h
-/* 20910 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20928
-/* 20914 */   MCD_OPC_CheckPredicate, 0, 128, 97, // Skip to: 45878
-/* 20918 */   MCD_OPC_CheckField, 21, 1, 1, 122, 97, // Skip to: 45878
-/* 20924 */   MCD_OPC_Decode, 201, 13, 110, // Opcode: SMLSL2vvv_4s8h
-/* 20928 */   MCD_OPC_FilterValue, 3, 114, 97, // Skip to: 45878
-/* 20932 */   MCD_OPC_CheckPredicate, 0, 110, 97, // Skip to: 45878
-/* 20936 */   MCD_OPC_CheckField, 21, 1, 1, 104, 97, // Skip to: 45878
-/* 20942 */   MCD_OPC_Decode, 235, 19, 110, // Opcode: UMLSL2vvv_4s8h
-/* 20946 */   MCD_OPC_FilterValue, 41, 75, 0, // Skip to: 21025
-/* 20950 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 20953 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20971
-/* 20957 */   MCD_OPC_CheckPredicate, 0, 85, 97, // Skip to: 45878
-/* 20961 */   MCD_OPC_CheckField, 21, 1, 1, 79, 97, // Skip to: 45878
-/* 20967 */   MCD_OPC_Decode, 157, 13, 78, // Opcode: SMAXPvvv_4H
-/* 20971 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20989
-/* 20975 */   MCD_OPC_CheckPredicate, 0, 67, 97, // Skip to: 45878
-/* 20979 */   MCD_OPC_CheckField, 21, 1, 1, 61, 97, // Skip to: 45878
-/* 20985 */   MCD_OPC_Decode, 192, 19, 78, // Opcode: UMAXPvvv_4H
-/* 20989 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21007
-/* 20993 */   MCD_OPC_CheckPredicate, 0, 49, 97, // Skip to: 45878
-/* 20997 */   MCD_OPC_CheckField, 21, 1, 1, 43, 97, // Skip to: 45878
-/* 21003 */   MCD_OPC_Decode, 160, 13, 102, // Opcode: SMAXPvvv_8H
-/* 21007 */   MCD_OPC_FilterValue, 3, 35, 97, // Skip to: 45878
-/* 21011 */   MCD_OPC_CheckPredicate, 0, 31, 97, // Skip to: 45878
-/* 21015 */   MCD_OPC_CheckField, 21, 1, 1, 25, 97, // Skip to: 45878
-/* 21021 */   MCD_OPC_Decode, 195, 19, 102, // Opcode: UMAXPvvv_8H
-/* 21025 */   MCD_OPC_FilterValue, 42, 175, 0, // Skip to: 21204
-/* 21029 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 21032 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 21063
-/* 21036 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21039 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 21051
-/* 21043 */   MCD_OPC_CheckPredicate, 0, 255, 96, // Skip to: 45878
-/* 21047 */   MCD_OPC_Decode, 214, 2, 79, // Opcode: CMLTvvi_4H
-/* 21051 */   MCD_OPC_FilterValue, 2, 247, 96, // Skip to: 45878
-/* 21055 */   MCD_OPC_CheckPredicate, 0, 243, 96, // Skip to: 45878
-/* 21059 */   MCD_OPC_Decode, 217, 2, 107, // Opcode: CMLTvvi_8H
-/* 21063 */   MCD_OPC_FilterValue, 33, 27, 0, // Skip to: 21094
-/* 21067 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21070 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 21082
-/* 21074 */   MCD_OPC_CheckPredicate, 0, 224, 96, // Skip to: 45878
-/* 21078 */   MCD_OPC_Decode, 211, 4, 107, // Opcode: FCVTNS_2d
-/* 21082 */   MCD_OPC_FilterValue, 3, 216, 96, // Skip to: 45878
-/* 21086 */   MCD_OPC_CheckPredicate, 0, 212, 96, // Skip to: 45878
-/* 21090 */   MCD_OPC_Decode, 220, 4, 107, // Opcode: FCVTNU_2d
-/* 21094 */   MCD_OPC_FilterValue, 48, 51, 0, // Skip to: 21149
-/* 21098 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21101 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 21113
-/* 21105 */   MCD_OPC_CheckPredicate, 0, 193, 96, // Skip to: 45878
-/* 21109 */   MCD_OPC_Decode, 163, 13, 89, // Opcode: SMAXV_1h4h
-/* 21113 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 21125
-/* 21117 */   MCD_OPC_CheckPredicate, 0, 181, 96, // Skip to: 45878
-/* 21121 */   MCD_OPC_Decode, 198, 19, 89, // Opcode: UMAXV_1h4h
-/* 21125 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 21137
-/* 21129 */   MCD_OPC_CheckPredicate, 0, 169, 96, // Skip to: 45878
-/* 21133 */   MCD_OPC_Decode, 164, 13, 121, // Opcode: SMAXV_1h8h
-/* 21137 */   MCD_OPC_FilterValue, 3, 161, 96, // Skip to: 45878
-/* 21141 */   MCD_OPC_CheckPredicate, 0, 157, 96, // Skip to: 45878
-/* 21145 */   MCD_OPC_Decode, 199, 19, 121, // Opcode: UMAXV_1h8h
-/* 21149 */   MCD_OPC_FilterValue, 49, 149, 96, // Skip to: 45878
-/* 21153 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21156 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 21168
-/* 21160 */   MCD_OPC_CheckPredicate, 0, 138, 96, // Skip to: 45878
-/* 21164 */   MCD_OPC_Decode, 181, 13, 89, // Opcode: SMINV_1h4h
-/* 21168 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 21180
-/* 21172 */   MCD_OPC_CheckPredicate, 0, 126, 96, // Skip to: 45878
-/* 21176 */   MCD_OPC_Decode, 215, 19, 89, // Opcode: UMINV_1h4h
-/* 21180 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 21192
-/* 21184 */   MCD_OPC_CheckPredicate, 0, 114, 96, // Skip to: 45878
-/* 21188 */   MCD_OPC_Decode, 182, 13, 121, // Opcode: SMINV_1h8h
-/* 21192 */   MCD_OPC_FilterValue, 3, 106, 96, // Skip to: 45878
-/* 21196 */   MCD_OPC_CheckPredicate, 0, 102, 96, // Skip to: 45878
-/* 21200 */   MCD_OPC_Decode, 216, 19, 121, // Opcode: UMINV_1h8h
-/* 21204 */   MCD_OPC_FilterValue, 43, 75, 0, // Skip to: 21283
-/* 21208 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21211 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21229
-/* 21215 */   MCD_OPC_CheckPredicate, 0, 83, 96, // Skip to: 45878
-/* 21219 */   MCD_OPC_CheckField, 21, 1, 1, 77, 96, // Skip to: 45878
-/* 21225 */   MCD_OPC_Decode, 175, 13, 78, // Opcode: SMINPvvv_4H
-/* 21229 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21247
-/* 21233 */   MCD_OPC_CheckPredicate, 0, 65, 96, // Skip to: 45878
-/* 21237 */   MCD_OPC_CheckField, 21, 1, 1, 59, 96, // Skip to: 45878
-/* 21243 */   MCD_OPC_Decode, 209, 19, 78, // Opcode: UMINPvvv_4H
-/* 21247 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21265
-/* 21251 */   MCD_OPC_CheckPredicate, 0, 47, 96, // Skip to: 45878
-/* 21255 */   MCD_OPC_CheckField, 21, 1, 1, 41, 96, // Skip to: 45878
-/* 21261 */   MCD_OPC_Decode, 178, 13, 102, // Opcode: SMINPvvv_8H
-/* 21265 */   MCD_OPC_FilterValue, 3, 33, 96, // Skip to: 45878
-/* 21269 */   MCD_OPC_CheckPredicate, 0, 29, 96, // Skip to: 45878
-/* 21273 */   MCD_OPC_CheckField, 21, 1, 1, 23, 96, // Skip to: 45878
-/* 21279 */   MCD_OPC_Decode, 212, 19, 102, // Opcode: UMINPvvv_8H
-/* 21283 */   MCD_OPC_FilterValue, 44, 39, 0, // Skip to: 21326
+/* 2504 */    MCD_OPC_CheckPredicate, 0, 17, 149, // Skip to: 40669
+/* 2508 */    MCD_OPC_CheckField, 21, 1, 0, 11, 149, // Skip to: 40669
+/* 2514 */    MCD_OPC_Decode, 172, 14, 12, // Opcode: ST4Fourv8b_POST
+/* 2518 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2536
+/* 2522 */    MCD_OPC_CheckPredicate, 0, 255, 148, // Skip to: 40669
+/* 2526 */    MCD_OPC_CheckField, 21, 1, 0, 249, 148, // Skip to: 40669
+/* 2532 */    MCD_OPC_Decode, 168, 14, 12, // Opcode: ST4Fourv4h_POST
+/* 2536 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 2554
+/* 2540 */    MCD_OPC_CheckPredicate, 0, 237, 148, // Skip to: 40669
+/* 2544 */    MCD_OPC_CheckField, 21, 1, 0, 231, 148, // Skip to: 40669
+/* 2550 */    MCD_OPC_Decode, 166, 14, 12, // Opcode: ST4Fourv2s_POST
+/* 2554 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 2572
+/* 2558 */    MCD_OPC_CheckPredicate, 0, 219, 148, // Skip to: 40669
+/* 2562 */    MCD_OPC_CheckField, 21, 1, 0, 213, 148, // Skip to: 40669
+/* 2568 */    MCD_OPC_Decode, 186, 13, 12, // Opcode: ST1Fourv8b_POST
+/* 2572 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 2590
+/* 2576 */    MCD_OPC_CheckPredicate, 0, 201, 148, // Skip to: 40669
+/* 2580 */    MCD_OPC_CheckField, 21, 1, 0, 195, 148, // Skip to: 40669
+/* 2586 */    MCD_OPC_Decode, 182, 13, 12, // Opcode: ST1Fourv4h_POST
+/* 2590 */    MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 2608
+/* 2594 */    MCD_OPC_CheckPredicate, 0, 183, 148, // Skip to: 40669
+/* 2598 */    MCD_OPC_CheckField, 21, 1, 0, 177, 148, // Skip to: 40669
+/* 2604 */    MCD_OPC_Decode, 180, 13, 12, // Opcode: ST1Fourv2s_POST
+/* 2608 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 2626
+/* 2612 */    MCD_OPC_CheckPredicate, 0, 165, 148, // Skip to: 40669
+/* 2616 */    MCD_OPC_CheckField, 21, 1, 0, 159, 148, // Skip to: 40669
+/* 2622 */    MCD_OPC_Decode, 176, 13, 12, // Opcode: ST1Fourv1d_POST
+/* 2626 */    MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 2644
+/* 2630 */    MCD_OPC_CheckPredicate, 0, 147, 148, // Skip to: 40669
+/* 2634 */    MCD_OPC_CheckField, 21, 1, 0, 141, 148, // Skip to: 40669
+/* 2640 */    MCD_OPC_Decode, 150, 14, 13, // Opcode: ST3Threev8b_POST
+/* 2644 */    MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 2662
+/* 2648 */    MCD_OPC_CheckPredicate, 0, 129, 148, // Skip to: 40669
+/* 2652 */    MCD_OPC_CheckField, 21, 1, 0, 123, 148, // Skip to: 40669
+/* 2658 */    MCD_OPC_Decode, 146, 14, 13, // Opcode: ST3Threev4h_POST
+/* 2662 */    MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 2680
+/* 2666 */    MCD_OPC_CheckPredicate, 0, 111, 148, // Skip to: 40669
+/* 2670 */    MCD_OPC_CheckField, 21, 1, 0, 105, 148, // Skip to: 40669
+/* 2676 */    MCD_OPC_Decode, 144, 14, 13, // Opcode: ST3Threev2s_POST
+/* 2680 */    MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 2698
+/* 2684 */    MCD_OPC_CheckPredicate, 0, 93, 148, // Skip to: 40669
+/* 2688 */    MCD_OPC_CheckField, 21, 1, 0, 87, 148, // Skip to: 40669
+/* 2694 */    MCD_OPC_Decode, 218, 13, 13, // Opcode: ST1Threev8b_POST
+/* 2698 */    MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 2716
+/* 2702 */    MCD_OPC_CheckPredicate, 0, 75, 148, // Skip to: 40669
+/* 2706 */    MCD_OPC_CheckField, 21, 1, 0, 69, 148, // Skip to: 40669
+/* 2712 */    MCD_OPC_Decode, 214, 13, 13, // Opcode: ST1Threev4h_POST
+/* 2716 */    MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 2734
+/* 2720 */    MCD_OPC_CheckPredicate, 0, 57, 148, // Skip to: 40669
+/* 2724 */    MCD_OPC_CheckField, 21, 1, 0, 51, 148, // Skip to: 40669
+/* 2730 */    MCD_OPC_Decode, 212, 13, 13, // Opcode: ST1Threev2s_POST
+/* 2734 */    MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 2752
+/* 2738 */    MCD_OPC_CheckPredicate, 0, 39, 148, // Skip to: 40669
+/* 2742 */    MCD_OPC_CheckField, 21, 1, 0, 33, 148, // Skip to: 40669
+/* 2748 */    MCD_OPC_Decode, 208, 13, 13, // Opcode: ST1Threev1d_POST
+/* 2752 */    MCD_OPC_FilterValue, 28, 14, 0, // Skip to: 2770
+/* 2756 */    MCD_OPC_CheckPredicate, 0, 21, 148, // Skip to: 40669
+/* 2760 */    MCD_OPC_CheckField, 21, 1, 0, 15, 148, // Skip to: 40669
+/* 2766 */    MCD_OPC_Decode, 202, 13, 14, // Opcode: ST1Onev8b_POST
+/* 2770 */    MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 2788
+/* 2774 */    MCD_OPC_CheckPredicate, 0, 3, 148, // Skip to: 40669
+/* 2778 */    MCD_OPC_CheckField, 21, 1, 0, 253, 147, // Skip to: 40669
+/* 2784 */    MCD_OPC_Decode, 198, 13, 14, // Opcode: ST1Onev4h_POST
+/* 2788 */    MCD_OPC_FilterValue, 30, 14, 0, // Skip to: 2806
+/* 2792 */    MCD_OPC_CheckPredicate, 0, 241, 147, // Skip to: 40669
+/* 2796 */    MCD_OPC_CheckField, 21, 1, 0, 235, 147, // Skip to: 40669
+/* 2802 */    MCD_OPC_Decode, 196, 13, 14, // Opcode: ST1Onev2s_POST
+/* 2806 */    MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 2824
+/* 2810 */    MCD_OPC_CheckPredicate, 0, 223, 147, // Skip to: 40669
+/* 2814 */    MCD_OPC_CheckField, 21, 1, 0, 217, 147, // Skip to: 40669
+/* 2820 */    MCD_OPC_Decode, 192, 13, 14, // Opcode: ST1Onev1d_POST
+/* 2824 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2842
+/* 2828 */    MCD_OPC_CheckPredicate, 0, 205, 147, // Skip to: 40669
+/* 2832 */    MCD_OPC_CheckField, 21, 1, 0, 199, 147, // Skip to: 40669
+/* 2838 */    MCD_OPC_Decode, 128, 14, 15, // Opcode: ST2Twov8b_POST
+/* 2842 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 2860
+/* 2846 */    MCD_OPC_CheckPredicate, 0, 187, 147, // Skip to: 40669
+/* 2850 */    MCD_OPC_CheckField, 21, 1, 0, 181, 147, // Skip to: 40669
+/* 2856 */    MCD_OPC_Decode, 252, 13, 15, // Opcode: ST2Twov4h_POST
+/* 2860 */    MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 2878
+/* 2864 */    MCD_OPC_CheckPredicate, 0, 169, 147, // Skip to: 40669
+/* 2868 */    MCD_OPC_CheckField, 21, 1, 0, 163, 147, // Skip to: 40669
+/* 2874 */    MCD_OPC_Decode, 250, 13, 15, // Opcode: ST2Twov2s_POST
+/* 2878 */    MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 2896
+/* 2882 */    MCD_OPC_CheckPredicate, 0, 151, 147, // Skip to: 40669
+/* 2886 */    MCD_OPC_CheckField, 21, 1, 0, 145, 147, // Skip to: 40669
+/* 2892 */    MCD_OPC_Decode, 234, 13, 15, // Opcode: ST1Twov8b_POST
+/* 2896 */    MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 2914
+/* 2900 */    MCD_OPC_CheckPredicate, 0, 133, 147, // Skip to: 40669
+/* 2904 */    MCD_OPC_CheckField, 21, 1, 0, 127, 147, // Skip to: 40669
+/* 2910 */    MCD_OPC_Decode, 230, 13, 15, // Opcode: ST1Twov4h_POST
+/* 2914 */    MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 2932
+/* 2918 */    MCD_OPC_CheckPredicate, 0, 115, 147, // Skip to: 40669
+/* 2922 */    MCD_OPC_CheckField, 21, 1, 0, 109, 147, // Skip to: 40669
+/* 2928 */    MCD_OPC_Decode, 228, 13, 15, // Opcode: ST1Twov2s_POST
+/* 2932 */    MCD_OPC_FilterValue, 43, 101, 147, // Skip to: 40669
+/* 2936 */    MCD_OPC_CheckPredicate, 0, 97, 147, // Skip to: 40669
+/* 2940 */    MCD_OPC_CheckField, 21, 1, 0, 91, 147, // Skip to: 40669
+/* 2946 */    MCD_OPC_Decode, 224, 13, 15, // Opcode: ST1Twov1d_POST
+/* 2950 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 2958
+/* 2954 */    MCD_OPC_Decode, 205, 14, 3, // Opcode: STPSpost
+/* 2958 */    MCD_OPC_FilterValue, 2, 251, 1, // Skip to: 3469
+/* 2962 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 2965 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2983
+/* 2969 */    MCD_OPC_CheckPredicate, 0, 64, 147, // Skip to: 40669
+/* 2973 */    MCD_OPC_CheckField, 21, 1, 0, 58, 147, // Skip to: 40669
+/* 2979 */    MCD_OPC_Decode, 162, 14, 16, // Opcode: ST4Fourv16b_POST
+/* 2983 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3001
+/* 2987 */    MCD_OPC_CheckPredicate, 0, 46, 147, // Skip to: 40669
+/* 2991 */    MCD_OPC_CheckField, 21, 1, 0, 40, 147, // Skip to: 40669
+/* 2997 */    MCD_OPC_Decode, 174, 14, 16, // Opcode: ST4Fourv8h_POST
+/* 3001 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3019
+/* 3005 */    MCD_OPC_CheckPredicate, 0, 28, 147, // Skip to: 40669
+/* 3009 */    MCD_OPC_CheckField, 21, 1, 0, 22, 147, // Skip to: 40669
+/* 3015 */    MCD_OPC_Decode, 170, 14, 16, // Opcode: ST4Fourv4s_POST
+/* 3019 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 3037
+/* 3023 */    MCD_OPC_CheckPredicate, 0, 10, 147, // Skip to: 40669
+/* 3027 */    MCD_OPC_CheckField, 21, 1, 0, 4, 147, // Skip to: 40669
+/* 3033 */    MCD_OPC_Decode, 164, 14, 16, // Opcode: ST4Fourv2d_POST
+/* 3037 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 3055
+/* 3041 */    MCD_OPC_CheckPredicate, 0, 248, 146, // Skip to: 40669
+/* 3045 */    MCD_OPC_CheckField, 21, 1, 0, 242, 146, // Skip to: 40669
+/* 3051 */    MCD_OPC_Decode, 174, 13, 16, // Opcode: ST1Fourv16b_POST
+/* 3055 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3073
+/* 3059 */    MCD_OPC_CheckPredicate, 0, 230, 146, // Skip to: 40669
+/* 3063 */    MCD_OPC_CheckField, 21, 1, 0, 224, 146, // Skip to: 40669
+/* 3069 */    MCD_OPC_Decode, 188, 13, 16, // Opcode: ST1Fourv8h_POST
+/* 3073 */    MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 3091
+/* 3077 */    MCD_OPC_CheckPredicate, 0, 212, 146, // Skip to: 40669
+/* 3081 */    MCD_OPC_CheckField, 21, 1, 0, 206, 146, // Skip to: 40669
+/* 3087 */    MCD_OPC_Decode, 184, 13, 16, // Opcode: ST1Fourv4s_POST
+/* 3091 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 3109
+/* 3095 */    MCD_OPC_CheckPredicate, 0, 194, 146, // Skip to: 40669
+/* 3099 */    MCD_OPC_CheckField, 21, 1, 0, 188, 146, // Skip to: 40669
+/* 3105 */    MCD_OPC_Decode, 178, 13, 16, // Opcode: ST1Fourv2d_POST
+/* 3109 */    MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 3127
+/* 3113 */    MCD_OPC_CheckPredicate, 0, 176, 146, // Skip to: 40669
+/* 3117 */    MCD_OPC_CheckField, 21, 1, 0, 170, 146, // Skip to: 40669
+/* 3123 */    MCD_OPC_Decode, 140, 14, 17, // Opcode: ST3Threev16b_POST
+/* 3127 */    MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 3145
+/* 3131 */    MCD_OPC_CheckPredicate, 0, 158, 146, // Skip to: 40669
+/* 3135 */    MCD_OPC_CheckField, 21, 1, 0, 152, 146, // Skip to: 40669
+/* 3141 */    MCD_OPC_Decode, 152, 14, 17, // Opcode: ST3Threev8h_POST
+/* 3145 */    MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 3163
+/* 3149 */    MCD_OPC_CheckPredicate, 0, 140, 146, // Skip to: 40669
+/* 3153 */    MCD_OPC_CheckField, 21, 1, 0, 134, 146, // Skip to: 40669
+/* 3159 */    MCD_OPC_Decode, 148, 14, 17, // Opcode: ST3Threev4s_POST
+/* 3163 */    MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 3181
+/* 3167 */    MCD_OPC_CheckPredicate, 0, 122, 146, // Skip to: 40669
+/* 3171 */    MCD_OPC_CheckField, 21, 1, 0, 116, 146, // Skip to: 40669
+/* 3177 */    MCD_OPC_Decode, 142, 14, 17, // Opcode: ST3Threev2d_POST
+/* 3181 */    MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 3199
+/* 3185 */    MCD_OPC_CheckPredicate, 0, 104, 146, // Skip to: 40669
+/* 3189 */    MCD_OPC_CheckField, 21, 1, 0, 98, 146, // Skip to: 40669
+/* 3195 */    MCD_OPC_Decode, 206, 13, 17, // Opcode: ST1Threev16b_POST
+/* 3199 */    MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 3217
+/* 3203 */    MCD_OPC_CheckPredicate, 0, 86, 146, // Skip to: 40669
+/* 3207 */    MCD_OPC_CheckField, 21, 1, 0, 80, 146, // Skip to: 40669
+/* 3213 */    MCD_OPC_Decode, 220, 13, 17, // Opcode: ST1Threev8h_POST
+/* 3217 */    MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 3235
+/* 3221 */    MCD_OPC_CheckPredicate, 0, 68, 146, // Skip to: 40669
+/* 3225 */    MCD_OPC_CheckField, 21, 1, 0, 62, 146, // Skip to: 40669
+/* 3231 */    MCD_OPC_Decode, 216, 13, 17, // Opcode: ST1Threev4s_POST
+/* 3235 */    MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 3253
+/* 3239 */    MCD_OPC_CheckPredicate, 0, 50, 146, // Skip to: 40669
+/* 3243 */    MCD_OPC_CheckField, 21, 1, 0, 44, 146, // Skip to: 40669
+/* 3249 */    MCD_OPC_Decode, 210, 13, 17, // Opcode: ST1Threev2d_POST
+/* 3253 */    MCD_OPC_FilterValue, 28, 14, 0, // Skip to: 3271
+/* 3257 */    MCD_OPC_CheckPredicate, 0, 32, 146, // Skip to: 40669
+/* 3261 */    MCD_OPC_CheckField, 21, 1, 0, 26, 146, // Skip to: 40669
+/* 3267 */    MCD_OPC_Decode, 190, 13, 18, // Opcode: ST1Onev16b_POST
+/* 3271 */    MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 3289
+/* 3275 */    MCD_OPC_CheckPredicate, 0, 14, 146, // Skip to: 40669
+/* 3279 */    MCD_OPC_CheckField, 21, 1, 0, 8, 146, // Skip to: 40669
+/* 3285 */    MCD_OPC_Decode, 204, 13, 18, // Opcode: ST1Onev8h_POST
+/* 3289 */    MCD_OPC_FilterValue, 30, 14, 0, // Skip to: 3307
+/* 3293 */    MCD_OPC_CheckPredicate, 0, 252, 145, // Skip to: 40669
+/* 3297 */    MCD_OPC_CheckField, 21, 1, 0, 246, 145, // Skip to: 40669
+/* 3303 */    MCD_OPC_Decode, 200, 13, 18, // Opcode: ST1Onev4s_POST
+/* 3307 */    MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 3325
+/* 3311 */    MCD_OPC_CheckPredicate, 0, 234, 145, // Skip to: 40669
+/* 3315 */    MCD_OPC_CheckField, 21, 1, 0, 228, 145, // Skip to: 40669
+/* 3321 */    MCD_OPC_Decode, 194, 13, 18, // Opcode: ST1Onev2d_POST
+/* 3325 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 3343
+/* 3329 */    MCD_OPC_CheckPredicate, 0, 216, 145, // Skip to: 40669
+/* 3333 */    MCD_OPC_CheckField, 21, 1, 0, 210, 145, // Skip to: 40669
+/* 3339 */    MCD_OPC_Decode, 246, 13, 19, // Opcode: ST2Twov16b_POST
+/* 3343 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 3361
+/* 3347 */    MCD_OPC_CheckPredicate, 0, 198, 145, // Skip to: 40669
+/* 3351 */    MCD_OPC_CheckField, 21, 1, 0, 192, 145, // Skip to: 40669
+/* 3357 */    MCD_OPC_Decode, 130, 14, 19, // Opcode: ST2Twov8h_POST
+/* 3361 */    MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 3379
+/* 3365 */    MCD_OPC_CheckPredicate, 0, 180, 145, // Skip to: 40669
+/* 3369 */    MCD_OPC_CheckField, 21, 1, 0, 174, 145, // Skip to: 40669
+/* 3375 */    MCD_OPC_Decode, 254, 13, 19, // Opcode: ST2Twov4s_POST
+/* 3379 */    MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 3397
+/* 3383 */    MCD_OPC_CheckPredicate, 0, 162, 145, // Skip to: 40669
+/* 3387 */    MCD_OPC_CheckField, 21, 1, 0, 156, 145, // Skip to: 40669
+/* 3393 */    MCD_OPC_Decode, 248, 13, 19, // Opcode: ST2Twov2d_POST
+/* 3397 */    MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 3415
+/* 3401 */    MCD_OPC_CheckPredicate, 0, 144, 145, // Skip to: 40669
+/* 3405 */    MCD_OPC_CheckField, 21, 1, 0, 138, 145, // Skip to: 40669
+/* 3411 */    MCD_OPC_Decode, 222, 13, 19, // Opcode: ST1Twov16b_POST
+/* 3415 */    MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 3433
+/* 3419 */    MCD_OPC_CheckPredicate, 0, 126, 145, // Skip to: 40669
+/* 3423 */    MCD_OPC_CheckField, 21, 1, 0, 120, 145, // Skip to: 40669
+/* 3429 */    MCD_OPC_Decode, 236, 13, 19, // Opcode: ST1Twov8h_POST
+/* 3433 */    MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 3451
+/* 3437 */    MCD_OPC_CheckPredicate, 0, 108, 145, // Skip to: 40669
+/* 3441 */    MCD_OPC_CheckField, 21, 1, 0, 102, 145, // Skip to: 40669
+/* 3447 */    MCD_OPC_Decode, 232, 13, 19, // Opcode: ST1Twov4s_POST
+/* 3451 */    MCD_OPC_FilterValue, 43, 94, 145, // Skip to: 40669
+/* 3455 */    MCD_OPC_CheckPredicate, 0, 90, 145, // Skip to: 40669
+/* 3459 */    MCD_OPC_CheckField, 21, 1, 0, 84, 145, // Skip to: 40669
+/* 3465 */    MCD_OPC_Decode, 226, 13, 19, // Opcode: ST1Twov2d_POST
+/* 3469 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 3477
+/* 3473 */    MCD_OPC_Decode, 199, 14, 3, // Opcode: STPDpost
+/* 3477 */    MCD_OPC_FilterValue, 5, 68, 145, // Skip to: 40669
+/* 3481 */    MCD_OPC_Decode, 202, 14, 3, // Opcode: STPQpost
+/* 3485 */    MCD_OPC_FilterValue, 3, 227, 3, // Skip to: 4484
+/* 3489 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 3492 */    MCD_OPC_FilterValue, 0, 197, 1, // Skip to: 3949
+/* 3496 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 3499 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3517
+/* 3503 */    MCD_OPC_CheckPredicate, 0, 42, 145, // Skip to: 40669
+/* 3507 */    MCD_OPC_CheckField, 21, 1, 0, 36, 145, // Skip to: 40669
+/* 3513 */    MCD_OPC_Decode, 136, 7, 12, // Opcode: LD4Fourv8b_POST
+/* 3517 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3535
+/* 3521 */    MCD_OPC_CheckPredicate, 0, 24, 145, // Skip to: 40669
+/* 3525 */    MCD_OPC_CheckField, 21, 1, 0, 18, 145, // Skip to: 40669
+/* 3531 */    MCD_OPC_Decode, 132, 7, 12, // Opcode: LD4Fourv4h_POST
+/* 3535 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3553
+/* 3539 */    MCD_OPC_CheckPredicate, 0, 6, 145, // Skip to: 40669
+/* 3543 */    MCD_OPC_CheckField, 21, 1, 0, 0, 145, // Skip to: 40669
+/* 3549 */    MCD_OPC_Decode, 130, 7, 12, // Opcode: LD4Fourv2s_POST
+/* 3553 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 3571
+/* 3557 */    MCD_OPC_CheckPredicate, 0, 244, 144, // Skip to: 40669
+/* 3561 */    MCD_OPC_CheckField, 21, 1, 0, 238, 144, // Skip to: 40669
+/* 3567 */    MCD_OPC_Decode, 230, 5, 12, // Opcode: LD1Fourv8b_POST
+/* 3571 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3589
+/* 3575 */    MCD_OPC_CheckPredicate, 0, 226, 144, // Skip to: 40669
+/* 3579 */    MCD_OPC_CheckField, 21, 1, 0, 220, 144, // Skip to: 40669
+/* 3585 */    MCD_OPC_Decode, 226, 5, 12, // Opcode: LD1Fourv4h_POST
+/* 3589 */    MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 3607
+/* 3593 */    MCD_OPC_CheckPredicate, 0, 208, 144, // Skip to: 40669
+/* 3597 */    MCD_OPC_CheckField, 21, 1, 0, 202, 144, // Skip to: 40669
+/* 3603 */    MCD_OPC_Decode, 224, 5, 12, // Opcode: LD1Fourv2s_POST
+/* 3607 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 3625
+/* 3611 */    MCD_OPC_CheckPredicate, 0, 190, 144, // Skip to: 40669
+/* 3615 */    MCD_OPC_CheckField, 21, 1, 0, 184, 144, // Skip to: 40669
+/* 3621 */    MCD_OPC_Decode, 220, 5, 12, // Opcode: LD1Fourv1d_POST
+/* 3625 */    MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 3643
+/* 3629 */    MCD_OPC_CheckPredicate, 0, 172, 144, // Skip to: 40669
+/* 3633 */    MCD_OPC_CheckField, 21, 1, 0, 166, 144, // Skip to: 40669
+/* 3639 */    MCD_OPC_Decode, 242, 6, 13, // Opcode: LD3Threev8b_POST
+/* 3643 */    MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 3661
+/* 3647 */    MCD_OPC_CheckPredicate, 0, 154, 144, // Skip to: 40669
+/* 3651 */    MCD_OPC_CheckField, 21, 1, 0, 148, 144, // Skip to: 40669
+/* 3657 */    MCD_OPC_Decode, 238, 6, 13, // Opcode: LD3Threev4h_POST
+/* 3661 */    MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 3679
+/* 3665 */    MCD_OPC_CheckPredicate, 0, 136, 144, // Skip to: 40669
+/* 3669 */    MCD_OPC_CheckField, 21, 1, 0, 130, 144, // Skip to: 40669
+/* 3675 */    MCD_OPC_Decode, 236, 6, 13, // Opcode: LD3Threev2s_POST
+/* 3679 */    MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 3697
+/* 3683 */    MCD_OPC_CheckPredicate, 0, 118, 144, // Skip to: 40669
+/* 3687 */    MCD_OPC_CheckField, 21, 1, 0, 112, 144, // Skip to: 40669
+/* 3693 */    MCD_OPC_Decode, 150, 6, 13, // Opcode: LD1Threev8b_POST
+/* 3697 */    MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 3715
+/* 3701 */    MCD_OPC_CheckPredicate, 0, 100, 144, // Skip to: 40669
+/* 3705 */    MCD_OPC_CheckField, 21, 1, 0, 94, 144, // Skip to: 40669
+/* 3711 */    MCD_OPC_Decode, 146, 6, 13, // Opcode: LD1Threev4h_POST
+/* 3715 */    MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 3733
+/* 3719 */    MCD_OPC_CheckPredicate, 0, 82, 144, // Skip to: 40669
+/* 3723 */    MCD_OPC_CheckField, 21, 1, 0, 76, 144, // Skip to: 40669
+/* 3729 */    MCD_OPC_Decode, 144, 6, 13, // Opcode: LD1Threev2s_POST
+/* 3733 */    MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 3751
+/* 3737 */    MCD_OPC_CheckPredicate, 0, 64, 144, // Skip to: 40669
+/* 3741 */    MCD_OPC_CheckField, 21, 1, 0, 58, 144, // Skip to: 40669
+/* 3747 */    MCD_OPC_Decode, 140, 6, 13, // Opcode: LD1Threev1d_POST
+/* 3751 */    MCD_OPC_FilterValue, 28, 14, 0, // Skip to: 3769
+/* 3755 */    MCD_OPC_CheckPredicate, 0, 46, 144, // Skip to: 40669
+/* 3759 */    MCD_OPC_CheckField, 21, 1, 0, 40, 144, // Skip to: 40669
+/* 3765 */    MCD_OPC_Decode, 246, 5, 14, // Opcode: LD1Onev8b_POST
+/* 3769 */    MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 3787
+/* 3773 */    MCD_OPC_CheckPredicate, 0, 28, 144, // Skip to: 40669
+/* 3777 */    MCD_OPC_CheckField, 21, 1, 0, 22, 144, // Skip to: 40669
+/* 3783 */    MCD_OPC_Decode, 242, 5, 14, // Opcode: LD1Onev4h_POST
+/* 3787 */    MCD_OPC_FilterValue, 30, 14, 0, // Skip to: 3805
+/* 3791 */    MCD_OPC_CheckPredicate, 0, 10, 144, // Skip to: 40669
+/* 3795 */    MCD_OPC_CheckField, 21, 1, 0, 4, 144, // Skip to: 40669
+/* 3801 */    MCD_OPC_Decode, 240, 5, 14, // Opcode: LD1Onev2s_POST
+/* 3805 */    MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 3823
+/* 3809 */    MCD_OPC_CheckPredicate, 0, 248, 143, // Skip to: 40669
+/* 3813 */    MCD_OPC_CheckField, 21, 1, 0, 242, 143, // Skip to: 40669
+/* 3819 */    MCD_OPC_Decode, 236, 5, 14, // Opcode: LD1Onev1d_POST
+/* 3823 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 3841
+/* 3827 */    MCD_OPC_CheckPredicate, 0, 230, 143, // Skip to: 40669
+/* 3831 */    MCD_OPC_CheckField, 21, 1, 0, 224, 143, // Skip to: 40669
+/* 3837 */    MCD_OPC_Decode, 204, 6, 15, // Opcode: LD2Twov8b_POST
+/* 3841 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 3859
+/* 3845 */    MCD_OPC_CheckPredicate, 0, 212, 143, // Skip to: 40669
+/* 3849 */    MCD_OPC_CheckField, 21, 1, 0, 206, 143, // Skip to: 40669
+/* 3855 */    MCD_OPC_Decode, 200, 6, 15, // Opcode: LD2Twov4h_POST
+/* 3859 */    MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 3877
+/* 3863 */    MCD_OPC_CheckPredicate, 0, 194, 143, // Skip to: 40669
+/* 3867 */    MCD_OPC_CheckField, 21, 1, 0, 188, 143, // Skip to: 40669
+/* 3873 */    MCD_OPC_Decode, 198, 6, 15, // Opcode: LD2Twov2s_POST
+/* 3877 */    MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 3895
+/* 3881 */    MCD_OPC_CheckPredicate, 0, 176, 143, // Skip to: 40669
+/* 3885 */    MCD_OPC_CheckField, 21, 1, 0, 170, 143, // Skip to: 40669
+/* 3891 */    MCD_OPC_Decode, 166, 6, 15, // Opcode: LD1Twov8b_POST
+/* 3895 */    MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 3913
+/* 3899 */    MCD_OPC_CheckPredicate, 0, 158, 143, // Skip to: 40669
+/* 3903 */    MCD_OPC_CheckField, 21, 1, 0, 152, 143, // Skip to: 40669
+/* 3909 */    MCD_OPC_Decode, 162, 6, 15, // Opcode: LD1Twov4h_POST
+/* 3913 */    MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 3931
+/* 3917 */    MCD_OPC_CheckPredicate, 0, 140, 143, // Skip to: 40669
+/* 3921 */    MCD_OPC_CheckField, 21, 1, 0, 134, 143, // Skip to: 40669
+/* 3927 */    MCD_OPC_Decode, 160, 6, 15, // Opcode: LD1Twov2s_POST
+/* 3931 */    MCD_OPC_FilterValue, 43, 126, 143, // Skip to: 40669
+/* 3935 */    MCD_OPC_CheckPredicate, 0, 122, 143, // Skip to: 40669
+/* 3939 */    MCD_OPC_CheckField, 21, 1, 0, 116, 143, // Skip to: 40669
+/* 3945 */    MCD_OPC_Decode, 156, 6, 15, // Opcode: LD1Twov1d_POST
+/* 3949 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 3957
+/* 3953 */    MCD_OPC_Decode, 188, 7, 3, // Opcode: LDPSpost
+/* 3957 */    MCD_OPC_FilterValue, 2, 251, 1, // Skip to: 4468
+/* 3961 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 3964 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3982
+/* 3968 */    MCD_OPC_CheckPredicate, 0, 89, 143, // Skip to: 40669
+/* 3972 */    MCD_OPC_CheckField, 21, 1, 0, 83, 143, // Skip to: 40669
+/* 3978 */    MCD_OPC_Decode, 254, 6, 16, // Opcode: LD4Fourv16b_POST
+/* 3982 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 4000
+/* 3986 */    MCD_OPC_CheckPredicate, 0, 71, 143, // Skip to: 40669
+/* 3990 */    MCD_OPC_CheckField, 21, 1, 0, 65, 143, // Skip to: 40669
+/* 3996 */    MCD_OPC_Decode, 138, 7, 16, // Opcode: LD4Fourv8h_POST
+/* 4000 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 4018
+/* 4004 */    MCD_OPC_CheckPredicate, 0, 53, 143, // Skip to: 40669
+/* 4008 */    MCD_OPC_CheckField, 21, 1, 0, 47, 143, // Skip to: 40669
+/* 4014 */    MCD_OPC_Decode, 134, 7, 16, // Opcode: LD4Fourv4s_POST
+/* 4018 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 4036
+/* 4022 */    MCD_OPC_CheckPredicate, 0, 35, 143, // Skip to: 40669
+/* 4026 */    MCD_OPC_CheckField, 21, 1, 0, 29, 143, // Skip to: 40669
+/* 4032 */    MCD_OPC_Decode, 128, 7, 16, // Opcode: LD4Fourv2d_POST
+/* 4036 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 4054
+/* 4040 */    MCD_OPC_CheckPredicate, 0, 17, 143, // Skip to: 40669
+/* 4044 */    MCD_OPC_CheckField, 21, 1, 0, 11, 143, // Skip to: 40669
+/* 4050 */    MCD_OPC_Decode, 218, 5, 16, // Opcode: LD1Fourv16b_POST
+/* 4054 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 4072
+/* 4058 */    MCD_OPC_CheckPredicate, 0, 255, 142, // Skip to: 40669
+/* 4062 */    MCD_OPC_CheckField, 21, 1, 0, 249, 142, // Skip to: 40669
+/* 4068 */    MCD_OPC_Decode, 232, 5, 16, // Opcode: LD1Fourv8h_POST
+/* 4072 */    MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 4090
+/* 4076 */    MCD_OPC_CheckPredicate, 0, 237, 142, // Skip to: 40669
+/* 4080 */    MCD_OPC_CheckField, 21, 1, 0, 231, 142, // Skip to: 40669
+/* 4086 */    MCD_OPC_Decode, 228, 5, 16, // Opcode: LD1Fourv4s_POST
+/* 4090 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 4108
+/* 4094 */    MCD_OPC_CheckPredicate, 0, 219, 142, // Skip to: 40669
+/* 4098 */    MCD_OPC_CheckField, 21, 1, 0, 213, 142, // Skip to: 40669
+/* 4104 */    MCD_OPC_Decode, 222, 5, 16, // Opcode: LD1Fourv2d_POST
+/* 4108 */    MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 4126
+/* 4112 */    MCD_OPC_CheckPredicate, 0, 201, 142, // Skip to: 40669
+/* 4116 */    MCD_OPC_CheckField, 21, 1, 0, 195, 142, // Skip to: 40669
+/* 4122 */    MCD_OPC_Decode, 232, 6, 17, // Opcode: LD3Threev16b_POST
+/* 4126 */    MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 4144
+/* 4130 */    MCD_OPC_CheckPredicate, 0, 183, 142, // Skip to: 40669
+/* 4134 */    MCD_OPC_CheckField, 21, 1, 0, 177, 142, // Skip to: 40669
+/* 4140 */    MCD_OPC_Decode, 244, 6, 17, // Opcode: LD3Threev8h_POST
+/* 4144 */    MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 4162
+/* 4148 */    MCD_OPC_CheckPredicate, 0, 165, 142, // Skip to: 40669
+/* 4152 */    MCD_OPC_CheckField, 21, 1, 0, 159, 142, // Skip to: 40669
+/* 4158 */    MCD_OPC_Decode, 240, 6, 17, // Opcode: LD3Threev4s_POST
+/* 4162 */    MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 4180
+/* 4166 */    MCD_OPC_CheckPredicate, 0, 147, 142, // Skip to: 40669
+/* 4170 */    MCD_OPC_CheckField, 21, 1, 0, 141, 142, // Skip to: 40669
+/* 4176 */    MCD_OPC_Decode, 234, 6, 17, // Opcode: LD3Threev2d_POST
+/* 4180 */    MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 4198
+/* 4184 */    MCD_OPC_CheckPredicate, 0, 129, 142, // Skip to: 40669
+/* 4188 */    MCD_OPC_CheckField, 21, 1, 0, 123, 142, // Skip to: 40669
+/* 4194 */    MCD_OPC_Decode, 138, 6, 17, // Opcode: LD1Threev16b_POST
+/* 4198 */    MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 4216
+/* 4202 */    MCD_OPC_CheckPredicate, 0, 111, 142, // Skip to: 40669
+/* 4206 */    MCD_OPC_CheckField, 21, 1, 0, 105, 142, // Skip to: 40669
+/* 4212 */    MCD_OPC_Decode, 152, 6, 17, // Opcode: LD1Threev8h_POST
+/* 4216 */    MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 4234
+/* 4220 */    MCD_OPC_CheckPredicate, 0, 93, 142, // Skip to: 40669
+/* 4224 */    MCD_OPC_CheckField, 21, 1, 0, 87, 142, // Skip to: 40669
+/* 4230 */    MCD_OPC_Decode, 148, 6, 17, // Opcode: LD1Threev4s_POST
+/* 4234 */    MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 4252
+/* 4238 */    MCD_OPC_CheckPredicate, 0, 75, 142, // Skip to: 40669
+/* 4242 */    MCD_OPC_CheckField, 21, 1, 0, 69, 142, // Skip to: 40669
+/* 4248 */    MCD_OPC_Decode, 142, 6, 17, // Opcode: LD1Threev2d_POST
+/* 4252 */    MCD_OPC_FilterValue, 28, 14, 0, // Skip to: 4270
+/* 4256 */    MCD_OPC_CheckPredicate, 0, 57, 142, // Skip to: 40669
+/* 4260 */    MCD_OPC_CheckField, 21, 1, 0, 51, 142, // Skip to: 40669
+/* 4266 */    MCD_OPC_Decode, 234, 5, 18, // Opcode: LD1Onev16b_POST
+/* 4270 */    MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 4288
+/* 4274 */    MCD_OPC_CheckPredicate, 0, 39, 142, // Skip to: 40669
+/* 4278 */    MCD_OPC_CheckField, 21, 1, 0, 33, 142, // Skip to: 40669
+/* 4284 */    MCD_OPC_Decode, 248, 5, 18, // Opcode: LD1Onev8h_POST
+/* 4288 */    MCD_OPC_FilterValue, 30, 14, 0, // Skip to: 4306
+/* 4292 */    MCD_OPC_CheckPredicate, 0, 21, 142, // Skip to: 40669
+/* 4296 */    MCD_OPC_CheckField, 21, 1, 0, 15, 142, // Skip to: 40669
+/* 4302 */    MCD_OPC_Decode, 244, 5, 18, // Opcode: LD1Onev4s_POST
+/* 4306 */    MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 4324
+/* 4310 */    MCD_OPC_CheckPredicate, 0, 3, 142, // Skip to: 40669
+/* 4314 */    MCD_OPC_CheckField, 21, 1, 0, 253, 141, // Skip to: 40669
+/* 4320 */    MCD_OPC_Decode, 238, 5, 18, // Opcode: LD1Onev2d_POST
+/* 4324 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 4342
+/* 4328 */    MCD_OPC_CheckPredicate, 0, 241, 141, // Skip to: 40669
+/* 4332 */    MCD_OPC_CheckField, 21, 1, 0, 235, 141, // Skip to: 40669
+/* 4338 */    MCD_OPC_Decode, 194, 6, 19, // Opcode: LD2Twov16b_POST
+/* 4342 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 4360
+/* 4346 */    MCD_OPC_CheckPredicate, 0, 223, 141, // Skip to: 40669
+/* 4350 */    MCD_OPC_CheckField, 21, 1, 0, 217, 141, // Skip to: 40669
+/* 4356 */    MCD_OPC_Decode, 206, 6, 19, // Opcode: LD2Twov8h_POST
+/* 4360 */    MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 4378
+/* 4364 */    MCD_OPC_CheckPredicate, 0, 205, 141, // Skip to: 40669
+/* 4368 */    MCD_OPC_CheckField, 21, 1, 0, 199, 141, // Skip to: 40669
+/* 4374 */    MCD_OPC_Decode, 202, 6, 19, // Opcode: LD2Twov4s_POST
+/* 4378 */    MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 4396
+/* 4382 */    MCD_OPC_CheckPredicate, 0, 187, 141, // Skip to: 40669
+/* 4386 */    MCD_OPC_CheckField, 21, 1, 0, 181, 141, // Skip to: 40669
+/* 4392 */    MCD_OPC_Decode, 196, 6, 19, // Opcode: LD2Twov2d_POST
+/* 4396 */    MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 4414
+/* 4400 */    MCD_OPC_CheckPredicate, 0, 169, 141, // Skip to: 40669
+/* 4404 */    MCD_OPC_CheckField, 21, 1, 0, 163, 141, // Skip to: 40669
+/* 4410 */    MCD_OPC_Decode, 154, 6, 19, // Opcode: LD1Twov16b_POST
+/* 4414 */    MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 4432
+/* 4418 */    MCD_OPC_CheckPredicate, 0, 151, 141, // Skip to: 40669
+/* 4422 */    MCD_OPC_CheckField, 21, 1, 0, 145, 141, // Skip to: 40669
+/* 4428 */    MCD_OPC_Decode, 168, 6, 19, // Opcode: LD1Twov8h_POST
+/* 4432 */    MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 4450
+/* 4436 */    MCD_OPC_CheckPredicate, 0, 133, 141, // Skip to: 40669
+/* 4440 */    MCD_OPC_CheckField, 21, 1, 0, 127, 141, // Skip to: 40669
+/* 4446 */    MCD_OPC_Decode, 164, 6, 19, // Opcode: LD1Twov4s_POST
+/* 4450 */    MCD_OPC_FilterValue, 43, 119, 141, // Skip to: 40669
+/* 4454 */    MCD_OPC_CheckPredicate, 0, 115, 141, // Skip to: 40669
+/* 4458 */    MCD_OPC_CheckField, 21, 1, 0, 109, 141, // Skip to: 40669
+/* 4464 */    MCD_OPC_Decode, 158, 6, 19, // Opcode: LD1Twov2d_POST
+/* 4468 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 4476
+/* 4472 */    MCD_OPC_Decode, 179, 7, 3, // Opcode: LDPDpost
+/* 4476 */    MCD_OPC_FilterValue, 5, 93, 141, // Skip to: 40669
+/* 4480 */    MCD_OPC_Decode, 182, 7, 3, // Opcode: LDPQpost
+/* 4484 */    MCD_OPC_FilterValue, 4, 155, 1, // Skip to: 4899
+/* 4488 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
+/* 4491 */    MCD_OPC_FilterValue, 0, 117, 1, // Skip to: 4868
+/* 4495 */    MCD_OPC_ExtractField, 13, 9,  // Inst{21-13} ...
+/* 4498 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4516
+/* 4502 */    MCD_OPC_CheckPredicate, 0, 67, 141, // Skip to: 40669
+/* 4506 */    MCD_OPC_CheckField, 31, 1, 0, 61, 141, // Skip to: 40669
+/* 4512 */    MCD_OPC_Decode, 243, 13, 20, // Opcode: ST1i8
+/* 4516 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 4534
+/* 4520 */    MCD_OPC_CheckPredicate, 0, 49, 141, // Skip to: 40669
+/* 4524 */    MCD_OPC_CheckField, 31, 1, 0, 43, 141, // Skip to: 40669
+/* 4530 */    MCD_OPC_Decode, 159, 14, 21, // Opcode: ST3i8
+/* 4534 */    MCD_OPC_FilterValue, 2, 20, 0, // Skip to: 4558
+/* 4538 */    MCD_OPC_CheckPredicate, 0, 31, 141, // Skip to: 40669
+/* 4542 */    MCD_OPC_CheckField, 31, 1, 0, 25, 141, // Skip to: 40669
+/* 4548 */    MCD_OPC_CheckField, 10, 1, 0, 19, 141, // Skip to: 40669
+/* 4554 */    MCD_OPC_Decode, 237, 13, 22, // Opcode: ST1i16
+/* 4558 */    MCD_OPC_FilterValue, 3, 20, 0, // Skip to: 4582
+/* 4562 */    MCD_OPC_CheckPredicate, 0, 7, 141, // Skip to: 40669
+/* 4566 */    MCD_OPC_CheckField, 31, 1, 0, 1, 141, // Skip to: 40669
+/* 4572 */    MCD_OPC_CheckField, 10, 1, 0, 251, 140, // Skip to: 40669
+/* 4578 */    MCD_OPC_Decode, 153, 14, 23, // Opcode: ST3i16
+/* 4582 */    MCD_OPC_FilterValue, 4, 45, 0, // Skip to: 4631
+/* 4586 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 4589 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4607
+/* 4593 */    MCD_OPC_CheckPredicate, 0, 232, 140, // Skip to: 40669
+/* 4597 */    MCD_OPC_CheckField, 31, 1, 0, 226, 140, // Skip to: 40669
+/* 4603 */    MCD_OPC_Decode, 239, 13, 24, // Opcode: ST1i32
+/* 4607 */    MCD_OPC_FilterValue, 1, 218, 140, // Skip to: 40669
+/* 4611 */    MCD_OPC_CheckPredicate, 0, 214, 140, // Skip to: 40669
+/* 4615 */    MCD_OPC_CheckField, 31, 1, 0, 208, 140, // Skip to: 40669
+/* 4621 */    MCD_OPC_CheckField, 12, 1, 0, 202, 140, // Skip to: 40669
+/* 4627 */    MCD_OPC_Decode, 241, 13, 25, // Opcode: ST1i64
+/* 4631 */    MCD_OPC_FilterValue, 5, 45, 0, // Skip to: 4680
+/* 4635 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 4638 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4656
+/* 4642 */    MCD_OPC_CheckPredicate, 0, 183, 140, // Skip to: 40669
+/* 4646 */    MCD_OPC_CheckField, 31, 1, 0, 177, 140, // Skip to: 40669
+/* 4652 */    MCD_OPC_Decode, 155, 14, 26, // Opcode: ST3i32
+/* 4656 */    MCD_OPC_FilterValue, 1, 169, 140, // Skip to: 40669
+/* 4660 */    MCD_OPC_CheckPredicate, 0, 165, 140, // Skip to: 40669
+/* 4664 */    MCD_OPC_CheckField, 31, 1, 0, 159, 140, // Skip to: 40669
+/* 4670 */    MCD_OPC_CheckField, 12, 1, 0, 153, 140, // Skip to: 40669
+/* 4676 */    MCD_OPC_Decode, 157, 14, 27, // Opcode: ST3i64
+/* 4680 */    MCD_OPC_FilterValue, 128, 2, 14, 0, // Skip to: 4699
+/* 4685 */    MCD_OPC_CheckPredicate, 0, 140, 140, // Skip to: 40669
+/* 4689 */    MCD_OPC_CheckField, 31, 1, 0, 134, 140, // Skip to: 40669
+/* 4695 */    MCD_OPC_Decode, 137, 14, 28, // Opcode: ST2i8
+/* 4699 */    MCD_OPC_FilterValue, 129, 2, 14, 0, // Skip to: 4718
+/* 4704 */    MCD_OPC_CheckPredicate, 0, 121, 140, // Skip to: 40669
+/* 4708 */    MCD_OPC_CheckField, 31, 1, 0, 115, 140, // Skip to: 40669
+/* 4714 */    MCD_OPC_Decode, 181, 14, 29, // Opcode: ST4i8
+/* 4718 */    MCD_OPC_FilterValue, 130, 2, 20, 0, // Skip to: 4743
+/* 4723 */    MCD_OPC_CheckPredicate, 0, 102, 140, // Skip to: 40669
+/* 4727 */    MCD_OPC_CheckField, 31, 1, 0, 96, 140, // Skip to: 40669
+/* 4733 */    MCD_OPC_CheckField, 10, 1, 0, 90, 140, // Skip to: 40669
+/* 4739 */    MCD_OPC_Decode, 131, 14, 30, // Opcode: ST2i16
+/* 4743 */    MCD_OPC_FilterValue, 131, 2, 20, 0, // Skip to: 4768
+/* 4748 */    MCD_OPC_CheckPredicate, 0, 77, 140, // Skip to: 40669
+/* 4752 */    MCD_OPC_CheckField, 31, 1, 0, 71, 140, // Skip to: 40669
+/* 4758 */    MCD_OPC_CheckField, 10, 1, 0, 65, 140, // Skip to: 40669
+/* 4764 */    MCD_OPC_Decode, 175, 14, 31, // Opcode: ST4i16
+/* 4768 */    MCD_OPC_FilterValue, 132, 2, 45, 0, // Skip to: 4818
+/* 4773 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 4776 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4794
+/* 4780 */    MCD_OPC_CheckPredicate, 0, 45, 140, // Skip to: 40669
+/* 4784 */    MCD_OPC_CheckField, 31, 1, 0, 39, 140, // Skip to: 40669
+/* 4790 */    MCD_OPC_Decode, 133, 14, 32, // Opcode: ST2i32
+/* 4794 */    MCD_OPC_FilterValue, 1, 31, 140, // Skip to: 40669
+/* 4798 */    MCD_OPC_CheckPredicate, 0, 27, 140, // Skip to: 40669
+/* 4802 */    MCD_OPC_CheckField, 31, 1, 0, 21, 140, // Skip to: 40669
+/* 4808 */    MCD_OPC_CheckField, 12, 1, 0, 15, 140, // Skip to: 40669
+/* 4814 */    MCD_OPC_Decode, 135, 14, 33, // Opcode: ST2i64
+/* 4818 */    MCD_OPC_FilterValue, 133, 2, 6, 140, // Skip to: 40669
+/* 4823 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 4826 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4844
+/* 4830 */    MCD_OPC_CheckPredicate, 0, 251, 139, // Skip to: 40669
+/* 4834 */    MCD_OPC_CheckField, 31, 1, 0, 245, 139, // Skip to: 40669
+/* 4840 */    MCD_OPC_Decode, 177, 14, 34, // Opcode: ST4i32
+/* 4844 */    MCD_OPC_FilterValue, 1, 237, 139, // Skip to: 40669
+/* 4848 */    MCD_OPC_CheckPredicate, 0, 233, 139, // Skip to: 40669
+/* 4852 */    MCD_OPC_CheckField, 31, 1, 0, 227, 139, // Skip to: 40669
+/* 4858 */    MCD_OPC_CheckField, 12, 1, 0, 221, 139, // Skip to: 40669
+/* 4864 */    MCD_OPC_Decode, 179, 14, 35, // Opcode: ST4i64
+/* 4868 */    MCD_OPC_FilterValue, 1, 213, 139, // Skip to: 40669
+/* 4872 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 4875 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4883
+/* 4879 */    MCD_OPC_Decode, 204, 14, 3, // Opcode: STPSi
+/* 4883 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 4891
+/* 4887 */    MCD_OPC_Decode, 198, 14, 3, // Opcode: STPDi
+/* 4891 */    MCD_OPC_FilterValue, 2, 190, 139, // Skip to: 40669
+/* 4895 */    MCD_OPC_Decode, 201, 14, 3, // Opcode: STPQi
+/* 4899 */    MCD_OPC_FilterValue, 5, 169, 3, // Skip to: 5840
+/* 4903 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
+/* 4906 */    MCD_OPC_FilterValue, 0, 131, 3, // Skip to: 5809
+/* 4910 */    MCD_OPC_ExtractField, 13, 9,  // Inst{21-13} ...
+/* 4913 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4931
+/* 4917 */    MCD_OPC_CheckPredicate, 0, 164, 139, // Skip to: 40669
+/* 4921 */    MCD_OPC_CheckField, 31, 1, 0, 158, 139, // Skip to: 40669
+/* 4927 */    MCD_OPC_Decode, 175, 6, 36, // Opcode: LD1i8
+/* 4931 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 4949
+/* 4935 */    MCD_OPC_CheckPredicate, 0, 146, 139, // Skip to: 40669
+/* 4939 */    MCD_OPC_CheckField, 31, 1, 0, 140, 139, // Skip to: 40669
+/* 4945 */    MCD_OPC_Decode, 251, 6, 37, // Opcode: LD3i8
+/* 4949 */    MCD_OPC_FilterValue, 2, 20, 0, // Skip to: 4973
+/* 4953 */    MCD_OPC_CheckPredicate, 0, 128, 139, // Skip to: 40669
+/* 4957 */    MCD_OPC_CheckField, 31, 1, 0, 122, 139, // Skip to: 40669
+/* 4963 */    MCD_OPC_CheckField, 10, 1, 0, 116, 139, // Skip to: 40669
+/* 4969 */    MCD_OPC_Decode, 169, 6, 38, // Opcode: LD1i16
+/* 4973 */    MCD_OPC_FilterValue, 3, 20, 0, // Skip to: 4997
+/* 4977 */    MCD_OPC_CheckPredicate, 0, 104, 139, // Skip to: 40669
+/* 4981 */    MCD_OPC_CheckField, 31, 1, 0, 98, 139, // Skip to: 40669
+/* 4987 */    MCD_OPC_CheckField, 10, 1, 0, 92, 139, // Skip to: 40669
+/* 4993 */    MCD_OPC_Decode, 245, 6, 39, // Opcode: LD3i16
+/* 4997 */    MCD_OPC_FilterValue, 4, 45, 0, // Skip to: 5046
+/* 5001 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 5004 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5022
+/* 5008 */    MCD_OPC_CheckPredicate, 0, 73, 139, // Skip to: 40669
+/* 5012 */    MCD_OPC_CheckField, 31, 1, 0, 67, 139, // Skip to: 40669
+/* 5018 */    MCD_OPC_Decode, 171, 6, 40, // Opcode: LD1i32
+/* 5022 */    MCD_OPC_FilterValue, 1, 59, 139, // Skip to: 40669
+/* 5026 */    MCD_OPC_CheckPredicate, 0, 55, 139, // Skip to: 40669
+/* 5030 */    MCD_OPC_CheckField, 31, 1, 0, 49, 139, // Skip to: 40669
+/* 5036 */    MCD_OPC_CheckField, 12, 1, 0, 43, 139, // Skip to: 40669
+/* 5042 */    MCD_OPC_Decode, 173, 6, 41, // Opcode: LD1i64
+/* 5046 */    MCD_OPC_FilterValue, 5, 45, 0, // Skip to: 5095
+/* 5050 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 5053 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5071
+/* 5057 */    MCD_OPC_CheckPredicate, 0, 24, 139, // Skip to: 40669
+/* 5061 */    MCD_OPC_CheckField, 31, 1, 0, 18, 139, // Skip to: 40669
+/* 5067 */    MCD_OPC_Decode, 247, 6, 42, // Opcode: LD3i32
+/* 5071 */    MCD_OPC_FilterValue, 1, 10, 139, // Skip to: 40669
+/* 5075 */    MCD_OPC_CheckPredicate, 0, 6, 139, // Skip to: 40669
+/* 5079 */    MCD_OPC_CheckField, 31, 1, 0, 0, 139, // Skip to: 40669
+/* 5085 */    MCD_OPC_CheckField, 12, 1, 0, 250, 138, // Skip to: 40669
+/* 5091 */    MCD_OPC_Decode, 249, 6, 43, // Opcode: LD3i64
+/* 5095 */    MCD_OPC_FilterValue, 6, 127, 0, // Skip to: 5226
+/* 5099 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
+/* 5102 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5133
+/* 5106 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5109 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5121
+/* 5113 */    MCD_OPC_CheckPredicate, 0, 224, 138, // Skip to: 40669
+/* 5117 */    MCD_OPC_Decode, 133, 6, 6, // Opcode: LD1Rv8b
+/* 5121 */    MCD_OPC_FilterValue, 1, 216, 138, // Skip to: 40669
+/* 5125 */    MCD_OPC_CheckPredicate, 0, 212, 138, // Skip to: 40669
+/* 5129 */    MCD_OPC_Decode, 249, 5, 10, // Opcode: LD1Rv16b
+/* 5133 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 5164
+/* 5137 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5140 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5152
+/* 5144 */    MCD_OPC_CheckPredicate, 0, 193, 138, // Skip to: 40669
+/* 5148 */    MCD_OPC_Decode, 129, 6, 6, // Opcode: LD1Rv4h
+/* 5152 */    MCD_OPC_FilterValue, 1, 185, 138, // Skip to: 40669
+/* 5156 */    MCD_OPC_CheckPredicate, 0, 181, 138, // Skip to: 40669
+/* 5160 */    MCD_OPC_Decode, 135, 6, 10, // Opcode: LD1Rv8h
+/* 5164 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 5195
+/* 5168 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5171 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5183
+/* 5175 */    MCD_OPC_CheckPredicate, 0, 162, 138, // Skip to: 40669
+/* 5179 */    MCD_OPC_Decode, 255, 5, 6, // Opcode: LD1Rv2s
+/* 5183 */    MCD_OPC_FilterValue, 1, 154, 138, // Skip to: 40669
+/* 5187 */    MCD_OPC_CheckPredicate, 0, 150, 138, // Skip to: 40669
+/* 5191 */    MCD_OPC_Decode, 131, 6, 10, // Opcode: LD1Rv4s
+/* 5195 */    MCD_OPC_FilterValue, 3, 142, 138, // Skip to: 40669
+/* 5199 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5202 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5214
+/* 5206 */    MCD_OPC_CheckPredicate, 0, 131, 138, // Skip to: 40669
+/* 5210 */    MCD_OPC_Decode, 251, 5, 6, // Opcode: LD1Rv1d
+/* 5214 */    MCD_OPC_FilterValue, 1, 123, 138, // Skip to: 40669
+/* 5218 */    MCD_OPC_CheckPredicate, 0, 119, 138, // Skip to: 40669
+/* 5222 */    MCD_OPC_Decode, 253, 5, 10, // Opcode: LD1Rv2d
+/* 5226 */    MCD_OPC_FilterValue, 7, 127, 0, // Skip to: 5357
+/* 5230 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
+/* 5233 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5264
+/* 5237 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5240 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5252
+/* 5244 */    MCD_OPC_CheckPredicate, 0, 93, 138, // Skip to: 40669
+/* 5248 */    MCD_OPC_Decode, 227, 6, 5, // Opcode: LD3Rv8b
+/* 5252 */    MCD_OPC_FilterValue, 1, 85, 138, // Skip to: 40669
+/* 5256 */    MCD_OPC_CheckPredicate, 0, 81, 138, // Skip to: 40669
+/* 5260 */    MCD_OPC_Decode, 215, 6, 9, // Opcode: LD3Rv16b
+/* 5264 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 5295
+/* 5268 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5271 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5283
+/* 5275 */    MCD_OPC_CheckPredicate, 0, 62, 138, // Skip to: 40669
+/* 5279 */    MCD_OPC_Decode, 223, 6, 5, // Opcode: LD3Rv4h
+/* 5283 */    MCD_OPC_FilterValue, 1, 54, 138, // Skip to: 40669
+/* 5287 */    MCD_OPC_CheckPredicate, 0, 50, 138, // Skip to: 40669
+/* 5291 */    MCD_OPC_Decode, 229, 6, 9, // Opcode: LD3Rv8h
+/* 5295 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 5326
+/* 5299 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5302 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5314
+/* 5306 */    MCD_OPC_CheckPredicate, 0, 31, 138, // Skip to: 40669
+/* 5310 */    MCD_OPC_Decode, 221, 6, 5, // Opcode: LD3Rv2s
+/* 5314 */    MCD_OPC_FilterValue, 1, 23, 138, // Skip to: 40669
+/* 5318 */    MCD_OPC_CheckPredicate, 0, 19, 138, // Skip to: 40669
+/* 5322 */    MCD_OPC_Decode, 225, 6, 9, // Opcode: LD3Rv4s
+/* 5326 */    MCD_OPC_FilterValue, 3, 11, 138, // Skip to: 40669
+/* 5330 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5333 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5345
+/* 5337 */    MCD_OPC_CheckPredicate, 0, 0, 138, // Skip to: 40669
+/* 5341 */    MCD_OPC_Decode, 217, 6, 5, // Opcode: LD3Rv1d
+/* 5345 */    MCD_OPC_FilterValue, 1, 248, 137, // Skip to: 40669
+/* 5349 */    MCD_OPC_CheckPredicate, 0, 244, 137, // Skip to: 40669
+/* 5353 */    MCD_OPC_Decode, 219, 6, 9, // Opcode: LD3Rv2d
+/* 5357 */    MCD_OPC_FilterValue, 128, 2, 14, 0, // Skip to: 5376
+/* 5362 */    MCD_OPC_CheckPredicate, 0, 231, 137, // Skip to: 40669
+/* 5366 */    MCD_OPC_CheckField, 31, 1, 0, 225, 137, // Skip to: 40669
+/* 5372 */    MCD_OPC_Decode, 213, 6, 44, // Opcode: LD2i8
+/* 5376 */    MCD_OPC_FilterValue, 129, 2, 14, 0, // Skip to: 5395
+/* 5381 */    MCD_OPC_CheckPredicate, 0, 212, 137, // Skip to: 40669
+/* 5385 */    MCD_OPC_CheckField, 31, 1, 0, 206, 137, // Skip to: 40669
+/* 5391 */    MCD_OPC_Decode, 161, 7, 45, // Opcode: LD4i8
+/* 5395 */    MCD_OPC_FilterValue, 130, 2, 20, 0, // Skip to: 5420
+/* 5400 */    MCD_OPC_CheckPredicate, 0, 193, 137, // Skip to: 40669
+/* 5404 */    MCD_OPC_CheckField, 31, 1, 0, 187, 137, // Skip to: 40669
+/* 5410 */    MCD_OPC_CheckField, 10, 1, 0, 181, 137, // Skip to: 40669
+/* 5416 */    MCD_OPC_Decode, 207, 6, 46, // Opcode: LD2i16
+/* 5420 */    MCD_OPC_FilterValue, 131, 2, 20, 0, // Skip to: 5445
+/* 5425 */    MCD_OPC_CheckPredicate, 0, 168, 137, // Skip to: 40669
+/* 5429 */    MCD_OPC_CheckField, 31, 1, 0, 162, 137, // Skip to: 40669
+/* 5435 */    MCD_OPC_CheckField, 10, 1, 0, 156, 137, // Skip to: 40669
+/* 5441 */    MCD_OPC_Decode, 155, 7, 47, // Opcode: LD4i16
+/* 5445 */    MCD_OPC_FilterValue, 132, 2, 45, 0, // Skip to: 5495
+/* 5450 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 5453 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5471
+/* 5457 */    MCD_OPC_CheckPredicate, 0, 136, 137, // Skip to: 40669
+/* 5461 */    MCD_OPC_CheckField, 31, 1, 0, 130, 137, // Skip to: 40669
+/* 5467 */    MCD_OPC_Decode, 209, 6, 48, // Opcode: LD2i32
+/* 5471 */    MCD_OPC_FilterValue, 1, 122, 137, // Skip to: 40669
+/* 5475 */    MCD_OPC_CheckPredicate, 0, 118, 137, // Skip to: 40669
+/* 5479 */    MCD_OPC_CheckField, 31, 1, 0, 112, 137, // Skip to: 40669
+/* 5485 */    MCD_OPC_CheckField, 12, 1, 0, 106, 137, // Skip to: 40669
+/* 5491 */    MCD_OPC_Decode, 211, 6, 49, // Opcode: LD2i64
+/* 5495 */    MCD_OPC_FilterValue, 133, 2, 45, 0, // Skip to: 5545
+/* 5500 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 5503 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5521
+/* 5507 */    MCD_OPC_CheckPredicate, 0, 86, 137, // Skip to: 40669
+/* 5511 */    MCD_OPC_CheckField, 31, 1, 0, 80, 137, // Skip to: 40669
+/* 5517 */    MCD_OPC_Decode, 157, 7, 50, // Opcode: LD4i32
+/* 5521 */    MCD_OPC_FilterValue, 1, 72, 137, // Skip to: 40669
+/* 5525 */    MCD_OPC_CheckPredicate, 0, 68, 137, // Skip to: 40669
+/* 5529 */    MCD_OPC_CheckField, 31, 1, 0, 62, 137, // Skip to: 40669
+/* 5535 */    MCD_OPC_CheckField, 12, 1, 0, 56, 137, // Skip to: 40669
+/* 5541 */    MCD_OPC_Decode, 159, 7, 51, // Opcode: LD4i64
+/* 5545 */    MCD_OPC_FilterValue, 134, 2, 127, 0, // Skip to: 5677
+/* 5550 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
+/* 5553 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5584
+/* 5557 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5560 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5572
+/* 5564 */    MCD_OPC_CheckPredicate, 0, 29, 137, // Skip to: 40669
+/* 5568 */    MCD_OPC_Decode, 189, 6, 7, // Opcode: LD2Rv8b
+/* 5572 */    MCD_OPC_FilterValue, 1, 21, 137, // Skip to: 40669
+/* 5576 */    MCD_OPC_CheckPredicate, 0, 17, 137, // Skip to: 40669
+/* 5580 */    MCD_OPC_Decode, 177, 6, 11, // Opcode: LD2Rv16b
+/* 5584 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 5615
+/* 5588 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5591 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5603
+/* 5595 */    MCD_OPC_CheckPredicate, 0, 254, 136, // Skip to: 40669
+/* 5599 */    MCD_OPC_Decode, 185, 6, 7, // Opcode: LD2Rv4h
+/* 5603 */    MCD_OPC_FilterValue, 1, 246, 136, // Skip to: 40669
+/* 5607 */    MCD_OPC_CheckPredicate, 0, 242, 136, // Skip to: 40669
+/* 5611 */    MCD_OPC_Decode, 191, 6, 11, // Opcode: LD2Rv8h
+/* 5615 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 5646
+/* 5619 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5622 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5634
+/* 5626 */    MCD_OPC_CheckPredicate, 0, 223, 136, // Skip to: 40669
+/* 5630 */    MCD_OPC_Decode, 183, 6, 7, // Opcode: LD2Rv2s
+/* 5634 */    MCD_OPC_FilterValue, 1, 215, 136, // Skip to: 40669
+/* 5638 */    MCD_OPC_CheckPredicate, 0, 211, 136, // Skip to: 40669
+/* 5642 */    MCD_OPC_Decode, 187, 6, 11, // Opcode: LD2Rv4s
+/* 5646 */    MCD_OPC_FilterValue, 3, 203, 136, // Skip to: 40669
+/* 5650 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5653 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5665
+/* 5657 */    MCD_OPC_CheckPredicate, 0, 192, 136, // Skip to: 40669
+/* 5661 */    MCD_OPC_Decode, 179, 6, 7, // Opcode: LD2Rv1d
+/* 5665 */    MCD_OPC_FilterValue, 1, 184, 136, // Skip to: 40669
+/* 5669 */    MCD_OPC_CheckPredicate, 0, 180, 136, // Skip to: 40669
+/* 5673 */    MCD_OPC_Decode, 181, 6, 11, // Opcode: LD2Rv2d
+/* 5677 */    MCD_OPC_FilterValue, 135, 2, 171, 136, // Skip to: 40669
+/* 5682 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
+/* 5685 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5716
+/* 5689 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5692 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5704
+/* 5696 */    MCD_OPC_CheckPredicate, 0, 153, 136, // Skip to: 40669
+/* 5700 */    MCD_OPC_Decode, 151, 7, 4, // Opcode: LD4Rv8b
+/* 5704 */    MCD_OPC_FilterValue, 1, 145, 136, // Skip to: 40669
+/* 5708 */    MCD_OPC_CheckPredicate, 0, 141, 136, // Skip to: 40669
+/* 5712 */    MCD_OPC_Decode, 139, 7, 8, // Opcode: LD4Rv16b
+/* 5716 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 5747
+/* 5720 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5723 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5735
+/* 5727 */    MCD_OPC_CheckPredicate, 0, 122, 136, // Skip to: 40669
+/* 5731 */    MCD_OPC_Decode, 147, 7, 4, // Opcode: LD4Rv4h
+/* 5735 */    MCD_OPC_FilterValue, 1, 114, 136, // Skip to: 40669
+/* 5739 */    MCD_OPC_CheckPredicate, 0, 110, 136, // Skip to: 40669
+/* 5743 */    MCD_OPC_Decode, 153, 7, 8, // Opcode: LD4Rv8h
+/* 5747 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 5778
+/* 5751 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5754 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5766
+/* 5758 */    MCD_OPC_CheckPredicate, 0, 91, 136, // Skip to: 40669
+/* 5762 */    MCD_OPC_Decode, 145, 7, 4, // Opcode: LD4Rv2s
+/* 5766 */    MCD_OPC_FilterValue, 1, 83, 136, // Skip to: 40669
+/* 5770 */    MCD_OPC_CheckPredicate, 0, 79, 136, // Skip to: 40669
+/* 5774 */    MCD_OPC_Decode, 149, 7, 8, // Opcode: LD4Rv4s
+/* 5778 */    MCD_OPC_FilterValue, 3, 71, 136, // Skip to: 40669
+/* 5782 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5785 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5797
+/* 5789 */    MCD_OPC_CheckPredicate, 0, 60, 136, // Skip to: 40669
+/* 5793 */    MCD_OPC_Decode, 141, 7, 4, // Opcode: LD4Rv1d
+/* 5797 */    MCD_OPC_FilterValue, 1, 52, 136, // Skip to: 40669
+/* 5801 */    MCD_OPC_CheckPredicate, 0, 48, 136, // Skip to: 40669
+/* 5805 */    MCD_OPC_Decode, 143, 7, 8, // Opcode: LD4Rv2d
+/* 5809 */    MCD_OPC_FilterValue, 1, 40, 136, // Skip to: 40669
+/* 5813 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 5816 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5824
+/* 5820 */    MCD_OPC_Decode, 187, 7, 3, // Opcode: LDPSi
+/* 5824 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 5832
+/* 5828 */    MCD_OPC_Decode, 178, 7, 3, // Opcode: LDPDi
+/* 5832 */    MCD_OPC_FilterValue, 2, 17, 136, // Skip to: 40669
+/* 5836 */    MCD_OPC_Decode, 181, 7, 3, // Opcode: LDPQi
+/* 5840 */    MCD_OPC_FilterValue, 6, 191, 1, // Skip to: 6291
+/* 5844 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
+/* 5847 */    MCD_OPC_FilterValue, 0, 153, 1, // Skip to: 6260
+/* 5851 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 5854 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 5897
+/* 5858 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5861 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5879
+/* 5865 */    MCD_OPC_CheckPredicate, 0, 240, 135, // Skip to: 40669
+/* 5869 */    MCD_OPC_CheckField, 31, 1, 0, 234, 135, // Skip to: 40669
+/* 5875 */    MCD_OPC_Decode, 244, 13, 52, // Opcode: ST1i8_POST
+/* 5879 */    MCD_OPC_FilterValue, 1, 226, 135, // Skip to: 40669
+/* 5883 */    MCD_OPC_CheckPredicate, 0, 222, 135, // Skip to: 40669
+/* 5887 */    MCD_OPC_CheckField, 31, 1, 0, 216, 135, // Skip to: 40669
+/* 5893 */    MCD_OPC_Decode, 138, 14, 53, // Opcode: ST2i8_POST
+/* 5897 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 5940
+/* 5901 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5904 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5922
+/* 5908 */    MCD_OPC_CheckPredicate, 0, 197, 135, // Skip to: 40669
+/* 5912 */    MCD_OPC_CheckField, 31, 1, 0, 191, 135, // Skip to: 40669
+/* 5918 */    MCD_OPC_Decode, 160, 14, 54, // Opcode: ST3i8_POST
+/* 5922 */    MCD_OPC_FilterValue, 1, 183, 135, // Skip to: 40669
+/* 5926 */    MCD_OPC_CheckPredicate, 0, 179, 135, // Skip to: 40669
+/* 5930 */    MCD_OPC_CheckField, 31, 1, 0, 173, 135, // Skip to: 40669
+/* 5936 */    MCD_OPC_Decode, 182, 14, 55, // Opcode: ST4i8_POST
+/* 5940 */    MCD_OPC_FilterValue, 2, 51, 0, // Skip to: 5995
+/* 5944 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5947 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 5971
+/* 5951 */    MCD_OPC_CheckPredicate, 0, 154, 135, // Skip to: 40669
+/* 5955 */    MCD_OPC_CheckField, 31, 1, 0, 148, 135, // Skip to: 40669
+/* 5961 */    MCD_OPC_CheckField, 10, 1, 0, 142, 135, // Skip to: 40669
+/* 5967 */    MCD_OPC_Decode, 238, 13, 56, // Opcode: ST1i16_POST
+/* 5971 */    MCD_OPC_FilterValue, 1, 134, 135, // Skip to: 40669
+/* 5975 */    MCD_OPC_CheckPredicate, 0, 130, 135, // Skip to: 40669
+/* 5979 */    MCD_OPC_CheckField, 31, 1, 0, 124, 135, // Skip to: 40669
+/* 5985 */    MCD_OPC_CheckField, 10, 1, 0, 118, 135, // Skip to: 40669
+/* 5991 */    MCD_OPC_Decode, 132, 14, 57, // Opcode: ST2i16_POST
+/* 5995 */    MCD_OPC_FilterValue, 3, 51, 0, // Skip to: 6050
+/* 5999 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6002 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6026
+/* 6006 */    MCD_OPC_CheckPredicate, 0, 99, 135, // Skip to: 40669
+/* 6010 */    MCD_OPC_CheckField, 31, 1, 0, 93, 135, // Skip to: 40669
+/* 6016 */    MCD_OPC_CheckField, 10, 1, 0, 87, 135, // Skip to: 40669
+/* 6022 */    MCD_OPC_Decode, 154, 14, 58, // Opcode: ST3i16_POST
+/* 6026 */    MCD_OPC_FilterValue, 1, 79, 135, // Skip to: 40669
+/* 6030 */    MCD_OPC_CheckPredicate, 0, 75, 135, // Skip to: 40669
+/* 6034 */    MCD_OPC_CheckField, 31, 1, 0, 69, 135, // Skip to: 40669
+/* 6040 */    MCD_OPC_CheckField, 10, 1, 0, 63, 135, // Skip to: 40669
+/* 6046 */    MCD_OPC_Decode, 176, 14, 59, // Opcode: ST4i16_POST
+/* 6050 */    MCD_OPC_FilterValue, 4, 101, 0, // Skip to: 6155
+/* 6054 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 6057 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6100
+/* 6061 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6064 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6082
+/* 6068 */    MCD_OPC_CheckPredicate, 0, 37, 135, // Skip to: 40669
+/* 6072 */    MCD_OPC_CheckField, 31, 1, 0, 31, 135, // Skip to: 40669
+/* 6078 */    MCD_OPC_Decode, 240, 13, 60, // Opcode: ST1i32_POST
+/* 6082 */    MCD_OPC_FilterValue, 1, 23, 135, // Skip to: 40669
+/* 6086 */    MCD_OPC_CheckPredicate, 0, 19, 135, // Skip to: 40669
+/* 6090 */    MCD_OPC_CheckField, 31, 1, 0, 13, 135, // Skip to: 40669
+/* 6096 */    MCD_OPC_Decode, 134, 14, 61, // Opcode: ST2i32_POST
+/* 6100 */    MCD_OPC_FilterValue, 1, 5, 135, // Skip to: 40669
+/* 6104 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6107 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6131
+/* 6111 */    MCD_OPC_CheckPredicate, 0, 250, 134, // Skip to: 40669
+/* 6115 */    MCD_OPC_CheckField, 31, 1, 0, 244, 134, // Skip to: 40669
+/* 6121 */    MCD_OPC_CheckField, 12, 1, 0, 238, 134, // Skip to: 40669
+/* 6127 */    MCD_OPC_Decode, 242, 13, 62, // Opcode: ST1i64_POST
+/* 6131 */    MCD_OPC_FilterValue, 1, 230, 134, // Skip to: 40669
+/* 6135 */    MCD_OPC_CheckPredicate, 0, 226, 134, // Skip to: 40669
+/* 6139 */    MCD_OPC_CheckField, 31, 1, 0, 220, 134, // Skip to: 40669
+/* 6145 */    MCD_OPC_CheckField, 12, 1, 0, 214, 134, // Skip to: 40669
+/* 6151 */    MCD_OPC_Decode, 136, 14, 63, // Opcode: ST2i64_POST
+/* 6155 */    MCD_OPC_FilterValue, 5, 206, 134, // Skip to: 40669
+/* 6159 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 6162 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6205
+/* 6166 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6169 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6187
+/* 6173 */    MCD_OPC_CheckPredicate, 0, 188, 134, // Skip to: 40669
+/* 6177 */    MCD_OPC_CheckField, 31, 1, 0, 182, 134, // Skip to: 40669
+/* 6183 */    MCD_OPC_Decode, 156, 14, 64, // Opcode: ST3i32_POST
+/* 6187 */    MCD_OPC_FilterValue, 1, 174, 134, // Skip to: 40669
+/* 6191 */    MCD_OPC_CheckPredicate, 0, 170, 134, // Skip to: 40669
+/* 6195 */    MCD_OPC_CheckField, 31, 1, 0, 164, 134, // Skip to: 40669
+/* 6201 */    MCD_OPC_Decode, 178, 14, 65, // Opcode: ST4i32_POST
+/* 6205 */    MCD_OPC_FilterValue, 1, 156, 134, // Skip to: 40669
+/* 6209 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6212 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6236
+/* 6216 */    MCD_OPC_CheckPredicate, 0, 145, 134, // Skip to: 40669
+/* 6220 */    MCD_OPC_CheckField, 31, 1, 0, 139, 134, // Skip to: 40669
+/* 6226 */    MCD_OPC_CheckField, 12, 1, 0, 133, 134, // Skip to: 40669
+/* 6232 */    MCD_OPC_Decode, 158, 14, 66, // Opcode: ST3i64_POST
+/* 6236 */    MCD_OPC_FilterValue, 1, 125, 134, // Skip to: 40669
+/* 6240 */    MCD_OPC_CheckPredicate, 0, 121, 134, // Skip to: 40669
+/* 6244 */    MCD_OPC_CheckField, 31, 1, 0, 115, 134, // Skip to: 40669
+/* 6250 */    MCD_OPC_CheckField, 12, 1, 0, 109, 134, // Skip to: 40669
+/* 6256 */    MCD_OPC_Decode, 180, 14, 67, // Opcode: ST4i64_POST
+/* 6260 */    MCD_OPC_FilterValue, 1, 101, 134, // Skip to: 40669
+/* 6264 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6267 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6275
+/* 6271 */    MCD_OPC_Decode, 206, 14, 3, // Opcode: STPSpre
+/* 6275 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 6283
+/* 6279 */    MCD_OPC_Decode, 200, 14, 3, // Opcode: STPDpre
+/* 6283 */    MCD_OPC_FilterValue, 2, 78, 134, // Skip to: 40669
+/* 6287 */    MCD_OPC_Decode, 203, 14, 3, // Opcode: STPQpre
+/* 6291 */    MCD_OPC_FilterValue, 7, 245, 3, // Skip to: 7308
+/* 6295 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
+/* 6298 */    MCD_OPC_FilterValue, 0, 207, 3, // Skip to: 7277
+/* 6302 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 6305 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6348
+/* 6309 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6312 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6330
+/* 6316 */    MCD_OPC_CheckPredicate, 0, 45, 134, // Skip to: 40669
+/* 6320 */    MCD_OPC_CheckField, 31, 1, 0, 39, 134, // Skip to: 40669
+/* 6326 */    MCD_OPC_Decode, 176, 6, 68, // Opcode: LD1i8_POST
+/* 6330 */    MCD_OPC_FilterValue, 1, 31, 134, // Skip to: 40669
+/* 6334 */    MCD_OPC_CheckPredicate, 0, 27, 134, // Skip to: 40669
+/* 6338 */    MCD_OPC_CheckField, 31, 1, 0, 21, 134, // Skip to: 40669
+/* 6344 */    MCD_OPC_Decode, 214, 6, 69, // Opcode: LD2i8_POST
+/* 6348 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 6391
+/* 6352 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6355 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6373
+/* 6359 */    MCD_OPC_CheckPredicate, 0, 2, 134, // Skip to: 40669
+/* 6363 */    MCD_OPC_CheckField, 31, 1, 0, 252, 133, // Skip to: 40669
+/* 6369 */    MCD_OPC_Decode, 252, 6, 70, // Opcode: LD3i8_POST
+/* 6373 */    MCD_OPC_FilterValue, 1, 244, 133, // Skip to: 40669
+/* 6377 */    MCD_OPC_CheckPredicate, 0, 240, 133, // Skip to: 40669
+/* 6381 */    MCD_OPC_CheckField, 31, 1, 0, 234, 133, // Skip to: 40669
+/* 6387 */    MCD_OPC_Decode, 162, 7, 71, // Opcode: LD4i8_POST
+/* 6391 */    MCD_OPC_FilterValue, 2, 51, 0, // Skip to: 6446
+/* 6395 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6398 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6422
+/* 6402 */    MCD_OPC_CheckPredicate, 0, 215, 133, // Skip to: 40669
+/* 6406 */    MCD_OPC_CheckField, 31, 1, 0, 209, 133, // Skip to: 40669
+/* 6412 */    MCD_OPC_CheckField, 10, 1, 0, 203, 133, // Skip to: 40669
+/* 6418 */    MCD_OPC_Decode, 170, 6, 72, // Opcode: LD1i16_POST
+/* 6422 */    MCD_OPC_FilterValue, 1, 195, 133, // Skip to: 40669
+/* 6426 */    MCD_OPC_CheckPredicate, 0, 191, 133, // Skip to: 40669
+/* 6430 */    MCD_OPC_CheckField, 31, 1, 0, 185, 133, // Skip to: 40669
+/* 6436 */    MCD_OPC_CheckField, 10, 1, 0, 179, 133, // Skip to: 40669
+/* 6442 */    MCD_OPC_Decode, 208, 6, 73, // Opcode: LD2i16_POST
+/* 6446 */    MCD_OPC_FilterValue, 3, 51, 0, // Skip to: 6501
+/* 6450 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6453 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6477
+/* 6457 */    MCD_OPC_CheckPredicate, 0, 160, 133, // Skip to: 40669
+/* 6461 */    MCD_OPC_CheckField, 31, 1, 0, 154, 133, // Skip to: 40669
+/* 6467 */    MCD_OPC_CheckField, 10, 1, 0, 148, 133, // Skip to: 40669
+/* 6473 */    MCD_OPC_Decode, 246, 6, 74, // Opcode: LD3i16_POST
+/* 6477 */    MCD_OPC_FilterValue, 1, 140, 133, // Skip to: 40669
+/* 6481 */    MCD_OPC_CheckPredicate, 0, 136, 133, // Skip to: 40669
+/* 6485 */    MCD_OPC_CheckField, 31, 1, 0, 130, 133, // Skip to: 40669
+/* 6491 */    MCD_OPC_CheckField, 10, 1, 0, 124, 133, // Skip to: 40669
+/* 6497 */    MCD_OPC_Decode, 156, 7, 75, // Opcode: LD4i16_POST
+/* 6501 */    MCD_OPC_FilterValue, 4, 101, 0, // Skip to: 6606
+/* 6505 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 6508 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6551
+/* 6512 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6515 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6533
+/* 6519 */    MCD_OPC_CheckPredicate, 0, 98, 133, // Skip to: 40669
+/* 6523 */    MCD_OPC_CheckField, 31, 1, 0, 92, 133, // Skip to: 40669
+/* 6529 */    MCD_OPC_Decode, 172, 6, 76, // Opcode: LD1i32_POST
+/* 6533 */    MCD_OPC_FilterValue, 1, 84, 133, // Skip to: 40669
+/* 6537 */    MCD_OPC_CheckPredicate, 0, 80, 133, // Skip to: 40669
+/* 6541 */    MCD_OPC_CheckField, 31, 1, 0, 74, 133, // Skip to: 40669
+/* 6547 */    MCD_OPC_Decode, 210, 6, 77, // Opcode: LD2i32_POST
+/* 6551 */    MCD_OPC_FilterValue, 1, 66, 133, // Skip to: 40669
+/* 6555 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6558 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6582
+/* 6562 */    MCD_OPC_CheckPredicate, 0, 55, 133, // Skip to: 40669
+/* 6566 */    MCD_OPC_CheckField, 31, 1, 0, 49, 133, // Skip to: 40669
+/* 6572 */    MCD_OPC_CheckField, 12, 1, 0, 43, 133, // Skip to: 40669
+/* 6578 */    MCD_OPC_Decode, 174, 6, 78, // Opcode: LD1i64_POST
+/* 6582 */    MCD_OPC_FilterValue, 1, 35, 133, // Skip to: 40669
+/* 6586 */    MCD_OPC_CheckPredicate, 0, 31, 133, // Skip to: 40669
+/* 6590 */    MCD_OPC_CheckField, 31, 1, 0, 25, 133, // Skip to: 40669
+/* 6596 */    MCD_OPC_CheckField, 12, 1, 0, 19, 133, // Skip to: 40669
+/* 6602 */    MCD_OPC_Decode, 212, 6, 79, // Opcode: LD2i64_POST
+/* 6606 */    MCD_OPC_FilterValue, 5, 101, 0, // Skip to: 6711
+/* 6610 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 6613 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6656
+/* 6617 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6620 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6638
+/* 6624 */    MCD_OPC_CheckPredicate, 0, 249, 132, // Skip to: 40669
+/* 6628 */    MCD_OPC_CheckField, 31, 1, 0, 243, 132, // Skip to: 40669
+/* 6634 */    MCD_OPC_Decode, 248, 6, 80, // Opcode: LD3i32_POST
+/* 6638 */    MCD_OPC_FilterValue, 1, 235, 132, // Skip to: 40669
+/* 6642 */    MCD_OPC_CheckPredicate, 0, 231, 132, // Skip to: 40669
+/* 6646 */    MCD_OPC_CheckField, 31, 1, 0, 225, 132, // Skip to: 40669
+/* 6652 */    MCD_OPC_Decode, 158, 7, 81, // Opcode: LD4i32_POST
+/* 6656 */    MCD_OPC_FilterValue, 1, 217, 132, // Skip to: 40669
+/* 6660 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6663 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 6687
+/* 6667 */    MCD_OPC_CheckPredicate, 0, 206, 132, // Skip to: 40669
+/* 6671 */    MCD_OPC_CheckField, 31, 1, 0, 200, 132, // Skip to: 40669
+/* 6677 */    MCD_OPC_CheckField, 12, 1, 0, 194, 132, // Skip to: 40669
+/* 6683 */    MCD_OPC_Decode, 250, 6, 82, // Opcode: LD3i64_POST
+/* 6687 */    MCD_OPC_FilterValue, 1, 186, 132, // Skip to: 40669
+/* 6691 */    MCD_OPC_CheckPredicate, 0, 182, 132, // Skip to: 40669
+/* 6695 */    MCD_OPC_CheckField, 31, 1, 0, 176, 132, // Skip to: 40669
+/* 6701 */    MCD_OPC_CheckField, 12, 1, 0, 170, 132, // Skip to: 40669
+/* 6707 */    MCD_OPC_Decode, 160, 7, 83, // Opcode: LD4i64_POST
+/* 6711 */    MCD_OPC_FilterValue, 6, 23, 1, // Skip to: 6994
+/* 6715 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
+/* 6718 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 6787
+/* 6722 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6725 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 6756
+/* 6729 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6732 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6744
+/* 6736 */    MCD_OPC_CheckPredicate, 0, 137, 132, // Skip to: 40669
+/* 6740 */    MCD_OPC_Decode, 134, 6, 14, // Opcode: LD1Rv8b_POST
+/* 6744 */    MCD_OPC_FilterValue, 1, 129, 132, // Skip to: 40669
+/* 6748 */    MCD_OPC_CheckPredicate, 0, 125, 132, // Skip to: 40669
+/* 6752 */    MCD_OPC_Decode, 250, 5, 18, // Opcode: LD1Rv16b_POST
+/* 6756 */    MCD_OPC_FilterValue, 1, 117, 132, // Skip to: 40669
+/* 6760 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6763 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6775
+/* 6767 */    MCD_OPC_CheckPredicate, 0, 106, 132, // Skip to: 40669
+/* 6771 */    MCD_OPC_Decode, 190, 6, 15, // Opcode: LD2Rv8b_POST
+/* 6775 */    MCD_OPC_FilterValue, 1, 98, 132, // Skip to: 40669
+/* 6779 */    MCD_OPC_CheckPredicate, 0, 94, 132, // Skip to: 40669
+/* 6783 */    MCD_OPC_Decode, 178, 6, 19, // Opcode: LD2Rv16b_POST
+/* 6787 */    MCD_OPC_FilterValue, 1, 65, 0, // Skip to: 6856
+/* 6791 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6794 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 6825
+/* 6798 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6801 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6813
+/* 6805 */    MCD_OPC_CheckPredicate, 0, 68, 132, // Skip to: 40669
+/* 6809 */    MCD_OPC_Decode, 130, 6, 14, // Opcode: LD1Rv4h_POST
+/* 6813 */    MCD_OPC_FilterValue, 1, 60, 132, // Skip to: 40669
+/* 6817 */    MCD_OPC_CheckPredicate, 0, 56, 132, // Skip to: 40669
+/* 6821 */    MCD_OPC_Decode, 136, 6, 18, // Opcode: LD1Rv8h_POST
+/* 6825 */    MCD_OPC_FilterValue, 1, 48, 132, // Skip to: 40669
+/* 6829 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6832 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6844
+/* 6836 */    MCD_OPC_CheckPredicate, 0, 37, 132, // Skip to: 40669
+/* 6840 */    MCD_OPC_Decode, 186, 6, 15, // Opcode: LD2Rv4h_POST
+/* 6844 */    MCD_OPC_FilterValue, 1, 29, 132, // Skip to: 40669
+/* 6848 */    MCD_OPC_CheckPredicate, 0, 25, 132, // Skip to: 40669
+/* 6852 */    MCD_OPC_Decode, 192, 6, 19, // Opcode: LD2Rv8h_POST
+/* 6856 */    MCD_OPC_FilterValue, 2, 65, 0, // Skip to: 6925
+/* 6860 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6863 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 6894
+/* 6867 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6870 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6882
+/* 6874 */    MCD_OPC_CheckPredicate, 0, 255, 131, // Skip to: 40669
+/* 6878 */    MCD_OPC_Decode, 128, 6, 14, // Opcode: LD1Rv2s_POST
+/* 6882 */    MCD_OPC_FilterValue, 1, 247, 131, // Skip to: 40669
+/* 6886 */    MCD_OPC_CheckPredicate, 0, 243, 131, // Skip to: 40669
+/* 6890 */    MCD_OPC_Decode, 132, 6, 18, // Opcode: LD1Rv4s_POST
+/* 6894 */    MCD_OPC_FilterValue, 1, 235, 131, // Skip to: 40669
+/* 6898 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6901 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6913
+/* 6905 */    MCD_OPC_CheckPredicate, 0, 224, 131, // Skip to: 40669
+/* 6909 */    MCD_OPC_Decode, 184, 6, 15, // Opcode: LD2Rv2s_POST
+/* 6913 */    MCD_OPC_FilterValue, 1, 216, 131, // Skip to: 40669
+/* 6917 */    MCD_OPC_CheckPredicate, 0, 212, 131, // Skip to: 40669
+/* 6921 */    MCD_OPC_Decode, 188, 6, 19, // Opcode: LD2Rv4s_POST
+/* 6925 */    MCD_OPC_FilterValue, 3, 204, 131, // Skip to: 40669
+/* 6929 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 6932 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 6963
+/* 6936 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6939 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6951
+/* 6943 */    MCD_OPC_CheckPredicate, 0, 186, 131, // Skip to: 40669
+/* 6947 */    MCD_OPC_Decode, 252, 5, 14, // Opcode: LD1Rv1d_POST
+/* 6951 */    MCD_OPC_FilterValue, 1, 178, 131, // Skip to: 40669
+/* 6955 */    MCD_OPC_CheckPredicate, 0, 174, 131, // Skip to: 40669
+/* 6959 */    MCD_OPC_Decode, 254, 5, 18, // Opcode: LD1Rv2d_POST
+/* 6963 */    MCD_OPC_FilterValue, 1, 166, 131, // Skip to: 40669
+/* 6967 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 6970 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6982
+/* 6974 */    MCD_OPC_CheckPredicate, 0, 155, 131, // Skip to: 40669
+/* 6978 */    MCD_OPC_Decode, 180, 6, 15, // Opcode: LD2Rv1d_POST
+/* 6982 */    MCD_OPC_FilterValue, 1, 147, 131, // Skip to: 40669
+/* 6986 */    MCD_OPC_CheckPredicate, 0, 143, 131, // Skip to: 40669
+/* 6990 */    MCD_OPC_Decode, 182, 6, 19, // Opcode: LD2Rv2d_POST
+/* 6994 */    MCD_OPC_FilterValue, 7, 135, 131, // Skip to: 40669
+/* 6998 */    MCD_OPC_ExtractField, 10, 3,  // Inst{12-10} ...
+/* 7001 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 7070
+/* 7005 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7008 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 7039
+/* 7012 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7015 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7027
+/* 7019 */    MCD_OPC_CheckPredicate, 0, 110, 131, // Skip to: 40669
+/* 7023 */    MCD_OPC_Decode, 228, 6, 13, // Opcode: LD3Rv8b_POST
+/* 7027 */    MCD_OPC_FilterValue, 1, 102, 131, // Skip to: 40669
+/* 7031 */    MCD_OPC_CheckPredicate, 0, 98, 131, // Skip to: 40669
+/* 7035 */    MCD_OPC_Decode, 216, 6, 17, // Opcode: LD3Rv16b_POST
+/* 7039 */    MCD_OPC_FilterValue, 1, 90, 131, // Skip to: 40669
+/* 7043 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7046 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7058
+/* 7050 */    MCD_OPC_CheckPredicate, 0, 79, 131, // Skip to: 40669
+/* 7054 */    MCD_OPC_Decode, 152, 7, 12, // Opcode: LD4Rv8b_POST
+/* 7058 */    MCD_OPC_FilterValue, 1, 71, 131, // Skip to: 40669
+/* 7062 */    MCD_OPC_CheckPredicate, 0, 67, 131, // Skip to: 40669
+/* 7066 */    MCD_OPC_Decode, 140, 7, 16, // Opcode: LD4Rv16b_POST
+/* 7070 */    MCD_OPC_FilterValue, 1, 65, 0, // Skip to: 7139
+/* 7074 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7077 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 7108
+/* 7081 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7084 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7096
+/* 7088 */    MCD_OPC_CheckPredicate, 0, 41, 131, // Skip to: 40669
+/* 7092 */    MCD_OPC_Decode, 224, 6, 13, // Opcode: LD3Rv4h_POST
+/* 7096 */    MCD_OPC_FilterValue, 1, 33, 131, // Skip to: 40669
+/* 7100 */    MCD_OPC_CheckPredicate, 0, 29, 131, // Skip to: 40669
+/* 7104 */    MCD_OPC_Decode, 230, 6, 17, // Opcode: LD3Rv8h_POST
+/* 7108 */    MCD_OPC_FilterValue, 1, 21, 131, // Skip to: 40669
+/* 7112 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7115 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7127
+/* 7119 */    MCD_OPC_CheckPredicate, 0, 10, 131, // Skip to: 40669
+/* 7123 */    MCD_OPC_Decode, 148, 7, 12, // Opcode: LD4Rv4h_POST
+/* 7127 */    MCD_OPC_FilterValue, 1, 2, 131, // Skip to: 40669
+/* 7131 */    MCD_OPC_CheckPredicate, 0, 254, 130, // Skip to: 40669
+/* 7135 */    MCD_OPC_Decode, 154, 7, 16, // Opcode: LD4Rv8h_POST
+/* 7139 */    MCD_OPC_FilterValue, 2, 65, 0, // Skip to: 7208
+/* 7143 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7146 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 7177
+/* 7150 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7153 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7165
+/* 7157 */    MCD_OPC_CheckPredicate, 0, 228, 130, // Skip to: 40669
+/* 7161 */    MCD_OPC_Decode, 222, 6, 13, // Opcode: LD3Rv2s_POST
+/* 7165 */    MCD_OPC_FilterValue, 1, 220, 130, // Skip to: 40669
+/* 7169 */    MCD_OPC_CheckPredicate, 0, 216, 130, // Skip to: 40669
+/* 7173 */    MCD_OPC_Decode, 226, 6, 17, // Opcode: LD3Rv4s_POST
+/* 7177 */    MCD_OPC_FilterValue, 1, 208, 130, // Skip to: 40669
+/* 7181 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7184 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7196
+/* 7188 */    MCD_OPC_CheckPredicate, 0, 197, 130, // Skip to: 40669
+/* 7192 */    MCD_OPC_Decode, 146, 7, 12, // Opcode: LD4Rv2s_POST
+/* 7196 */    MCD_OPC_FilterValue, 1, 189, 130, // Skip to: 40669
+/* 7200 */    MCD_OPC_CheckPredicate, 0, 185, 130, // Skip to: 40669
+/* 7204 */    MCD_OPC_Decode, 150, 7, 16, // Opcode: LD4Rv4s_POST
+/* 7208 */    MCD_OPC_FilterValue, 3, 177, 130, // Skip to: 40669
+/* 7212 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7215 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 7246
+/* 7219 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7222 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7234
+/* 7226 */    MCD_OPC_CheckPredicate, 0, 159, 130, // Skip to: 40669
+/* 7230 */    MCD_OPC_Decode, 218, 6, 13, // Opcode: LD3Rv1d_POST
+/* 7234 */    MCD_OPC_FilterValue, 1, 151, 130, // Skip to: 40669
+/* 7238 */    MCD_OPC_CheckPredicate, 0, 147, 130, // Skip to: 40669
+/* 7242 */    MCD_OPC_Decode, 220, 6, 17, // Opcode: LD3Rv2d_POST
+/* 7246 */    MCD_OPC_FilterValue, 1, 139, 130, // Skip to: 40669
+/* 7250 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7253 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7265
+/* 7257 */    MCD_OPC_CheckPredicate, 0, 128, 130, // Skip to: 40669
+/* 7261 */    MCD_OPC_Decode, 142, 7, 12, // Opcode: LD4Rv1d_POST
+/* 7265 */    MCD_OPC_FilterValue, 1, 120, 130, // Skip to: 40669
+/* 7269 */    MCD_OPC_CheckPredicate, 0, 116, 130, // Skip to: 40669
+/* 7273 */    MCD_OPC_Decode, 144, 7, 16, // Opcode: LD4Rv2d_POST
+/* 7277 */    MCD_OPC_FilterValue, 1, 108, 130, // Skip to: 40669
+/* 7281 */    MCD_OPC_ExtractField, 30, 2,  // Inst{31-30} ...
+/* 7284 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 7292
+/* 7288 */    MCD_OPC_Decode, 189, 7, 3, // Opcode: LDPSpre
+/* 7292 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 7300
+/* 7296 */    MCD_OPC_Decode, 180, 7, 3, // Opcode: LDPDpre
+/* 7300 */    MCD_OPC_FilterValue, 2, 85, 130, // Skip to: 40669
+/* 7304 */    MCD_OPC_Decode, 183, 7, 3, // Opcode: LDPQpre
+/* 7308 */    MCD_OPC_FilterValue, 8, 171, 21, // Skip to: 12859
+/* 7312 */    MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 7315 */    MCD_OPC_FilterValue, 0, 36, 6, // Skip to: 8891
+/* 7319 */    MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 7322 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 7353
+/* 7326 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7329 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7341
+/* 7333 */    MCD_OPC_CheckPredicate, 0, 52, 130, // Skip to: 40669
+/* 7337 */    MCD_OPC_Decode, 200, 15, 84, // Opcode: TBLv8i8One
+/* 7341 */    MCD_OPC_FilterValue, 1, 44, 130, // Skip to: 40669
+/* 7345 */    MCD_OPC_CheckPredicate, 0, 40, 130, // Skip to: 40669
+/* 7349 */    MCD_OPC_Decode, 245, 9, 85, // Opcode: SADDLv8i8_v8i16
+/* 7353 */    MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 7428
+/* 7357 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7360 */    MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 7416
+/* 7364 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 7367 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 7404
+/* 7371 */    MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 7374 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 7392
+/* 7378 */    MCD_OPC_CheckPredicate, 0, 7, 130, // Skip to: 40669
+/* 7382 */    MCD_OPC_CheckField, 18, 1, 1, 1, 130, // Skip to: 40669
+/* 7388 */    MCD_OPC_Decode, 149, 2, 86, // Opcode: DUPv2i32lane
+/* 7392 */    MCD_OPC_FilterValue, 1, 249, 129, // Skip to: 40669
+/* 7396 */    MCD_OPC_CheckPredicate, 0, 245, 129, // Skip to: 40669
+/* 7400 */    MCD_OPC_Decode, 153, 2, 87, // Opcode: DUPv4i16lane
+/* 7404 */    MCD_OPC_FilterValue, 1, 237, 129, // Skip to: 40669
+/* 7408 */    MCD_OPC_CheckPredicate, 0, 233, 129, // Skip to: 40669
+/* 7412 */    MCD_OPC_Decode, 159, 2, 88, // Opcode: DUPv8i8lane
+/* 7416 */    MCD_OPC_FilterValue, 1, 225, 129, // Skip to: 40669
+/* 7420 */    MCD_OPC_CheckPredicate, 0, 221, 129, // Skip to: 40669
+/* 7424 */    MCD_OPC_Decode, 167, 10, 89, // Opcode: SHADDv8i8
+/* 7428 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 7446
+/* 7432 */    MCD_OPC_CheckPredicate, 0, 209, 129, // Skip to: 40669
+/* 7436 */    MCD_OPC_CheckField, 16, 6, 32, 203, 129, // Skip to: 40669
+/* 7442 */    MCD_OPC_Decode, 182, 9, 90, // Opcode: REV64v8i8
+/* 7446 */    MCD_OPC_FilterValue, 3, 58, 0, // Skip to: 7508
+/* 7450 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7453 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 7496
+/* 7457 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 7460 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7472
+/* 7464 */    MCD_OPC_CheckPredicate, 0, 177, 129, // Skip to: 40669
+/* 7468 */    MCD_OPC_Decode, 158, 2, 91, // Opcode: DUPv8i8gpr
+/* 7472 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7484
+/* 7476 */    MCD_OPC_CheckPredicate, 0, 165, 129, // Skip to: 40669
+/* 7480 */    MCD_OPC_Decode, 152, 2, 91, // Opcode: DUPv4i16gpr
+/* 7484 */    MCD_OPC_FilterValue, 4, 157, 129, // Skip to: 40669
+/* 7488 */    MCD_OPC_CheckPredicate, 0, 153, 129, // Skip to: 40669
+/* 7492 */    MCD_OPC_Decode, 148, 2, 91, // Opcode: DUPv2i32gpr
+/* 7496 */    MCD_OPC_FilterValue, 1, 145, 129, // Skip to: 40669
+/* 7500 */    MCD_OPC_CheckPredicate, 0, 141, 129, // Skip to: 40669
+/* 7504 */    MCD_OPC_Decode, 168, 11, 89, // Opcode: SQADDv8i8
+/* 7508 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 7539
+/* 7512 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7515 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7527
+/* 7519 */    MCD_OPC_CheckPredicate, 0, 122, 129, // Skip to: 40669
+/* 7523 */    MCD_OPC_Decode, 210, 15, 92, // Opcode: TBXv8i8One
+/* 7527 */    MCD_OPC_FilterValue, 1, 114, 129, // Skip to: 40669
+/* 7531 */    MCD_OPC_CheckPredicate, 0, 110, 129, // Skip to: 40669
+/* 7535 */    MCD_OPC_Decode, 251, 9, 93, // Opcode: SADDWv8i8_v8i16
+/* 7539 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 7557
+/* 7543 */    MCD_OPC_CheckPredicate, 0, 98, 129, // Skip to: 40669
+/* 7547 */    MCD_OPC_CheckField, 21, 1, 1, 92, 129, // Skip to: 40669
+/* 7553 */    MCD_OPC_Decode, 226, 12, 89, // Opcode: SRHADDv8i8
+/* 7557 */    MCD_OPC_FilterValue, 6, 33, 0, // Skip to: 7594
+/* 7561 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7564 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7576
+/* 7568 */    MCD_OPC_CheckPredicate, 0, 73, 129, // Skip to: 40669
+/* 7572 */    MCD_OPC_Decode, 184, 18, 89, // Opcode: UZP1v8i8
+/* 7576 */    MCD_OPC_FilterValue, 1, 65, 129, // Skip to: 40669
+/* 7580 */    MCD_OPC_CheckPredicate, 0, 61, 129, // Skip to: 40669
+/* 7584 */    MCD_OPC_CheckField, 16, 5, 0, 55, 129, // Skip to: 40669
+/* 7590 */    MCD_OPC_Decode, 171, 9, 90, // Opcode: REV16v8i8
+/* 7594 */    MCD_OPC_FilterValue, 7, 13, 0, // Skip to: 7611
+/* 7598 */    MCD_OPC_CheckPredicate, 0, 43, 129, // Skip to: 40669
+/* 7602 */    MCD_OPC_CheckField, 21, 1, 1, 37, 129, // Skip to: 40669
+/* 7608 */    MCD_OPC_Decode, 98, 89, // Opcode: ANDv8i8
+/* 7611 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 7642
+/* 7615 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7618 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7630
+/* 7622 */    MCD_OPC_CheckPredicate, 0, 19, 129, // Skip to: 40669
+/* 7626 */    MCD_OPC_Decode, 202, 15, 94, // Opcode: TBLv8i8Two
+/* 7630 */    MCD_OPC_FilterValue, 1, 11, 129, // Skip to: 40669
+/* 7634 */    MCD_OPC_CheckPredicate, 0, 7, 129, // Skip to: 40669
+/* 7638 */    MCD_OPC_Decode, 166, 13, 85, // Opcode: SSUBLv8i8_v8i16
+/* 7642 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 7660
+/* 7646 */    MCD_OPC_CheckPredicate, 0, 251, 128, // Skip to: 40669
+/* 7650 */    MCD_OPC_CheckField, 21, 1, 1, 245, 128, // Skip to: 40669
+/* 7656 */    MCD_OPC_Decode, 193, 10, 89, // Opcode: SHSUBv8i8
+/* 7660 */    MCD_OPC_FilterValue, 10, 46, 0, // Skip to: 7710
+/* 7664 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7667 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7679
+/* 7671 */    MCD_OPC_CheckPredicate, 0, 226, 128, // Skip to: 40669
+/* 7675 */    MCD_OPC_Decode, 225, 15, 89, // Opcode: TRN1v8i8
+/* 7679 */    MCD_OPC_FilterValue, 1, 218, 128, // Skip to: 40669
+/* 7683 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 7686 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7698
+/* 7690 */    MCD_OPC_CheckPredicate, 0, 207, 128, // Skip to: 40669
+/* 7694 */    MCD_OPC_Decode, 234, 9, 90, // Opcode: SADDLPv8i8_v4i16
+/* 7698 */    MCD_OPC_FilterValue, 1, 199, 128, // Skip to: 40669
+/* 7702 */    MCD_OPC_CheckPredicate, 0, 195, 128, // Skip to: 40669
+/* 7706 */    MCD_OPC_Decode, 197, 18, 95, // Opcode: XTNv8i8
+/* 7710 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 7766
+/* 7714 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7717 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 7754
+/* 7721 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 7724 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 7742
+/* 7728 */    MCD_OPC_CheckPredicate, 0, 169, 128, // Skip to: 40669
+/* 7732 */    MCD_OPC_CheckField, 17, 1, 1, 163, 128, // Skip to: 40669
+/* 7738 */    MCD_OPC_Decode, 130, 11, 96, // Opcode: SMOVvi16to32
+/* 7742 */    MCD_OPC_FilterValue, 1, 155, 128, // Skip to: 40669
+/* 7746 */    MCD_OPC_CheckPredicate, 0, 151, 128, // Skip to: 40669
+/* 7750 */    MCD_OPC_Decode, 133, 11, 97, // Opcode: SMOVvi8to32
+/* 7754 */    MCD_OPC_FilterValue, 1, 143, 128, // Skip to: 40669
+/* 7758 */    MCD_OPC_CheckPredicate, 0, 139, 128, // Skip to: 40669
+/* 7762 */    MCD_OPC_Decode, 202, 12, 89, // Opcode: SQSUBv8i8
+/* 7766 */    MCD_OPC_FilterValue, 12, 27, 0, // Skip to: 7797
+/* 7770 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7773 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7785
+/* 7777 */    MCD_OPC_CheckPredicate, 0, 120, 128, // Skip to: 40669
+/* 7781 */    MCD_OPC_Decode, 212, 15, 98, // Opcode: TBXv8i8Two
+/* 7785 */    MCD_OPC_FilterValue, 1, 112, 128, // Skip to: 40669
+/* 7789 */    MCD_OPC_CheckPredicate, 0, 108, 128, // Skip to: 40669
+/* 7793 */    MCD_OPC_Decode, 172, 13, 93, // Opcode: SSUBWv8i8_v8i16
+/* 7797 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 7815
+/* 7801 */    MCD_OPC_CheckPredicate, 0, 96, 128, // Skip to: 40669
+/* 7805 */    MCD_OPC_CheckField, 21, 1, 1, 90, 128, // Skip to: 40669
+/* 7811 */    MCD_OPC_Decode, 204, 1, 89, // Opcode: CMGTv8i8
+/* 7815 */    MCD_OPC_FilterValue, 14, 46, 0, // Skip to: 7865
+/* 7819 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7822 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7834
+/* 7826 */    MCD_OPC_CheckPredicate, 0, 71, 128, // Skip to: 40669
+/* 7830 */    MCD_OPC_Decode, 204, 18, 89, // Opcode: ZIP1v8i8
+/* 7834 */    MCD_OPC_FilterValue, 1, 63, 128, // Skip to: 40669
+/* 7838 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 7841 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7853
+/* 7845 */    MCD_OPC_CheckPredicate, 0, 52, 128, // Skip to: 40669
+/* 7849 */    MCD_OPC_Decode, 191, 15, 99, // Opcode: SUQADDv8i8
+/* 7853 */    MCD_OPC_FilterValue, 16, 44, 128, // Skip to: 40669
+/* 7857 */    MCD_OPC_CheckPredicate, 0, 40, 128, // Skip to: 40669
+/* 7861 */    MCD_OPC_Decode, 239, 9, 100, // Opcode: SADDLVv8i8v
+/* 7865 */    MCD_OPC_FilterValue, 15, 71, 0, // Skip to: 7940
+/* 7869 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7872 */    MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 7928
+/* 7876 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 7879 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 7916
+/* 7883 */    MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 7886 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 7904
+/* 7890 */    MCD_OPC_CheckPredicate, 0, 7, 128, // Skip to: 40669
+/* 7894 */    MCD_OPC_CheckField, 18, 1, 1, 1, 128, // Skip to: 40669
+/* 7900 */    MCD_OPC_Decode, 250, 16, 101, // Opcode: UMOVvi32
+/* 7904 */    MCD_OPC_FilterValue, 1, 249, 127, // Skip to: 40669
+/* 7908 */    MCD_OPC_CheckPredicate, 0, 245, 127, // Skip to: 40669
+/* 7912 */    MCD_OPC_Decode, 249, 16, 96, // Opcode: UMOVvi16
+/* 7916 */    MCD_OPC_FilterValue, 1, 237, 127, // Skip to: 40669
+/* 7920 */    MCD_OPC_CheckPredicate, 0, 233, 127, // Skip to: 40669
+/* 7924 */    MCD_OPC_Decode, 252, 16, 97, // Opcode: UMOVvi8
+/* 7928 */    MCD_OPC_FilterValue, 1, 225, 127, // Skip to: 40669
+/* 7932 */    MCD_OPC_CheckPredicate, 0, 221, 127, // Skip to: 40669
+/* 7936 */    MCD_OPC_Decode, 188, 1, 89, // Opcode: CMGEv8i8
+/* 7940 */    MCD_OPC_FilterValue, 16, 26, 0, // Skip to: 7970
+/* 7944 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 7947 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7959
+/* 7951 */    MCD_OPC_CheckPredicate, 0, 202, 127, // Skip to: 40669
+/* 7955 */    MCD_OPC_Decode, 201, 15, 102, // Opcode: TBLv8i8Three
+/* 7959 */    MCD_OPC_FilterValue, 1, 194, 127, // Skip to: 40669
+/* 7963 */    MCD_OPC_CheckPredicate, 0, 190, 127, // Skip to: 40669
+/* 7967 */    MCD_OPC_Decode, 37, 103, // Opcode: ADDHNv8i16_v8i8
+/* 7970 */    MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 7988
+/* 7974 */    MCD_OPC_CheckPredicate, 0, 179, 127, // Skip to: 40669
+/* 7978 */    MCD_OPC_CheckField, 21, 1, 1, 173, 127, // Skip to: 40669
+/* 7984 */    MCD_OPC_Decode, 144, 13, 89, // Opcode: SSHLv8i8
+/* 7988 */    MCD_OPC_FilterValue, 18, 27, 0, // Skip to: 8019
+/* 7992 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 7995 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 8007
+/* 7999 */    MCD_OPC_CheckPredicate, 0, 154, 127, // Skip to: 40669
+/* 8003 */    MCD_OPC_Decode, 149, 1, 90, // Opcode: CLSv8i8
+/* 8007 */    MCD_OPC_FilterValue, 33, 146, 127, // Skip to: 40669
+/* 8011 */    MCD_OPC_CheckPredicate, 0, 142, 127, // Skip to: 40669
+/* 8015 */    MCD_OPC_Decode, 211, 12, 95, // Opcode: SQXTNv8i8
+/* 8019 */    MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 8037
+/* 8023 */    MCD_OPC_CheckPredicate, 0, 130, 127, // Skip to: 40669
+/* 8027 */    MCD_OPC_CheckField, 21, 1, 1, 124, 127, // Skip to: 40669
+/* 8033 */    MCD_OPC_Decode, 172, 12, 89, // Opcode: SQSHLv8i8
+/* 8037 */    MCD_OPC_FilterValue, 20, 27, 0, // Skip to: 8068
+/* 8041 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8044 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8056
+/* 8048 */    MCD_OPC_CheckPredicate, 0, 105, 127, // Skip to: 40669
+/* 8052 */    MCD_OPC_Decode, 211, 15, 104, // Opcode: TBXv8i8Three
+/* 8056 */    MCD_OPC_FilterValue, 1, 97, 127, // Skip to: 40669
+/* 8060 */    MCD_OPC_CheckPredicate, 0, 93, 127, // Skip to: 40669
+/* 8064 */    MCD_OPC_Decode, 204, 9, 105, // Opcode: SABALv8i8_v8i16
+/* 8068 */    MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 8086
+/* 8072 */    MCD_OPC_CheckPredicate, 0, 81, 127, // Skip to: 40669
+/* 8076 */    MCD_OPC_CheckField, 21, 1, 1, 75, 127, // Skip to: 40669
+/* 8082 */    MCD_OPC_Decode, 242, 12, 89, // Opcode: SRSHLv8i8
+/* 8086 */    MCD_OPC_FilterValue, 22, 33, 0, // Skip to: 8123
+/* 8090 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8093 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8105
+/* 8097 */    MCD_OPC_CheckPredicate, 0, 56, 127, // Skip to: 40669
+/* 8101 */    MCD_OPC_Decode, 191, 18, 89, // Opcode: UZP2v8i8
+/* 8105 */    MCD_OPC_FilterValue, 1, 48, 127, // Skip to: 40669
+/* 8109 */    MCD_OPC_CheckPredicate, 0, 44, 127, // Skip to: 40669
+/* 8113 */    MCD_OPC_CheckField, 16, 5, 0, 38, 127, // Skip to: 40669
+/* 8119 */    MCD_OPC_Decode, 247, 1, 90, // Opcode: CNTv8i8
+/* 8123 */    MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 8141
+/* 8127 */    MCD_OPC_CheckPredicate, 0, 26, 127, // Skip to: 40669
+/* 8131 */    MCD_OPC_CheckField, 21, 1, 1, 20, 127, // Skip to: 40669
+/* 8137 */    MCD_OPC_Decode, 250, 11, 89, // Opcode: SQRSHLv8i8
+/* 8141 */    MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 8172
+/* 8145 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8148 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8160
+/* 8152 */    MCD_OPC_CheckPredicate, 0, 1, 127, // Skip to: 40669
+/* 8156 */    MCD_OPC_Decode, 199, 15, 106, // Opcode: TBLv8i8Four
+/* 8160 */    MCD_OPC_FilterValue, 1, 249, 126, // Skip to: 40669
+/* 8164 */    MCD_OPC_CheckPredicate, 0, 245, 126, // Skip to: 40669
+/* 8168 */    MCD_OPC_Decode, 154, 15, 103, // Opcode: SUBHNv8i16_v8i8
+/* 8172 */    MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 8190
+/* 8176 */    MCD_OPC_CheckPredicate, 0, 233, 126, // Skip to: 40669
+/* 8180 */    MCD_OPC_CheckField, 21, 1, 1, 227, 126, // Skip to: 40669
+/* 8186 */    MCD_OPC_Decode, 219, 10, 89, // Opcode: SMAXv8i8
+/* 8190 */    MCD_OPC_FilterValue, 26, 46, 0, // Skip to: 8240
+/* 8194 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8197 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8209
+/* 8201 */    MCD_OPC_CheckPredicate, 0, 208, 126, // Skip to: 40669
+/* 8205 */    MCD_OPC_Decode, 232, 15, 89, // Opcode: TRN2v8i8
+/* 8209 */    MCD_OPC_FilterValue, 1, 200, 126, // Skip to: 40669
+/* 8213 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 8216 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8228
+/* 8220 */    MCD_OPC_CheckPredicate, 0, 189, 126, // Skip to: 40669
+/* 8224 */    MCD_OPC_Decode, 228, 9, 99, // Opcode: SADALPv8i8_v4i16
+/* 8228 */    MCD_OPC_FilterValue, 1, 181, 126, // Skip to: 40669
+/* 8232 */    MCD_OPC_CheckPredicate, 0, 177, 126, // Skip to: 40669
+/* 8236 */    MCD_OPC_Decode, 197, 3, 95, // Opcode: FCVTNv4i16
+/* 8240 */    MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 8258
+/* 8244 */    MCD_OPC_CheckPredicate, 0, 165, 126, // Skip to: 40669
+/* 8248 */    MCD_OPC_CheckField, 21, 1, 1, 159, 126, // Skip to: 40669
+/* 8254 */    MCD_OPC_Decode, 237, 10, 89, // Opcode: SMINv8i8
+/* 8258 */    MCD_OPC_FilterValue, 28, 27, 0, // Skip to: 8289
+/* 8262 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8265 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8277
+/* 8269 */    MCD_OPC_CheckPredicate, 0, 140, 126, // Skip to: 40669
+/* 8273 */    MCD_OPC_Decode, 209, 15, 107, // Opcode: TBXv8i8Four
+/* 8277 */    MCD_OPC_FilterValue, 1, 132, 126, // Skip to: 40669
+/* 8281 */    MCD_OPC_CheckPredicate, 0, 128, 126, // Skip to: 40669
+/* 8285 */    MCD_OPC_Decode, 216, 9, 85, // Opcode: SABDLv8i8_v8i16
+/* 8289 */    MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 8307
+/* 8293 */    MCD_OPC_CheckPredicate, 0, 116, 126, // Skip to: 40669
+/* 8297 */    MCD_OPC_CheckField, 21, 1, 1, 110, 126, // Skip to: 40669
+/* 8303 */    MCD_OPC_Decode, 222, 9, 89, // Opcode: SABDv8i8
+/* 8307 */    MCD_OPC_FilterValue, 30, 46, 0, // Skip to: 8357
+/* 8311 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8314 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8326
+/* 8318 */    MCD_OPC_CheckPredicate, 0, 91, 126, // Skip to: 40669
+/* 8322 */    MCD_OPC_Decode, 211, 18, 89, // Opcode: ZIP2v8i8
+/* 8326 */    MCD_OPC_FilterValue, 1, 83, 126, // Skip to: 40669
+/* 8330 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 8333 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8345
+/* 8337 */    MCD_OPC_CheckPredicate, 0, 72, 126, // Skip to: 40669
+/* 8341 */    MCD_OPC_Decode, 157, 11, 90, // Opcode: SQABSv8i8
+/* 8345 */    MCD_OPC_FilterValue, 1, 64, 126, // Skip to: 40669
+/* 8349 */    MCD_OPC_CheckPredicate, 0, 60, 126, // Skip to: 40669
+/* 8353 */    MCD_OPC_Decode, 157, 3, 108, // Opcode: FCVTLv4i16
+/* 8357 */    MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 8375
+/* 8361 */    MCD_OPC_CheckPredicate, 0, 48, 126, // Skip to: 40669
+/* 8365 */    MCD_OPC_CheckField, 21, 1, 1, 42, 126, // Skip to: 40669
+/* 8371 */    MCD_OPC_Decode, 210, 9, 109, // Opcode: SABAv8i8
+/* 8375 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 8393
+/* 8379 */    MCD_OPC_CheckPredicate, 0, 30, 126, // Skip to: 40669
+/* 8383 */    MCD_OPC_CheckField, 21, 1, 1, 24, 126, // Skip to: 40669
+/* 8389 */    MCD_OPC_Decode, 247, 10, 105, // Opcode: SMLALv8i8_v8i16
+/* 8393 */    MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 8410
+/* 8397 */    MCD_OPC_CheckPredicate, 0, 12, 126, // Skip to: 40669
+/* 8401 */    MCD_OPC_CheckField, 21, 1, 1, 6, 126, // Skip to: 40669
+/* 8407 */    MCD_OPC_Decode, 76, 89, // Opcode: ADDv8i8
+/* 8410 */    MCD_OPC_FilterValue, 34, 27, 0, // Skip to: 8441
+/* 8414 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 8417 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 8429
+/* 8421 */    MCD_OPC_CheckPredicate, 0, 244, 125, // Skip to: 40669
+/* 8425 */    MCD_OPC_Decode, 205, 1, 90, // Opcode: CMGTv8i8rz
+/* 8429 */    MCD_OPC_FilterValue, 33, 236, 125, // Skip to: 40669
+/* 8433 */    MCD_OPC_CheckPredicate, 0, 232, 125, // Skip to: 40669
+/* 8437 */    MCD_OPC_Decode, 167, 5, 90, // Opcode: FRINTNv2f32
+/* 8441 */    MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 8459
+/* 8445 */    MCD_OPC_CheckPredicate, 0, 220, 125, // Skip to: 40669
+/* 8449 */    MCD_OPC_CheckField, 21, 1, 1, 214, 125, // Skip to: 40669
+/* 8455 */    MCD_OPC_Decode, 245, 1, 89, // Opcode: CMTSTv8i8
+/* 8459 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 8477
+/* 8463 */    MCD_OPC_CheckPredicate, 0, 202, 125, // Skip to: 40669
+/* 8467 */    MCD_OPC_CheckField, 21, 1, 1, 196, 125, // Skip to: 40669
+/* 8473 */    MCD_OPC_Decode, 189, 8, 109, // Opcode: MLAv8i8
+/* 8477 */    MCD_OPC_FilterValue, 38, 27, 0, // Skip to: 8508
+/* 8481 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 8484 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 8496
+/* 8488 */    MCD_OPC_CheckPredicate, 0, 177, 125, // Skip to: 40669
+/* 8492 */    MCD_OPC_Decode, 173, 1, 90, // Opcode: CMEQv8i8rz
+/* 8496 */    MCD_OPC_FilterValue, 33, 169, 125, // Skip to: 40669
+/* 8500 */    MCD_OPC_CheckPredicate, 0, 165, 125, // Skip to: 40669
+/* 8504 */    MCD_OPC_Decode, 162, 5, 90, // Opcode: FRINTMv2f32
+/* 8508 */    MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 8526
+/* 8512 */    MCD_OPC_CheckPredicate, 0, 153, 125, // Skip to: 40669
+/* 8516 */    MCD_OPC_CheckField, 21, 1, 1, 147, 125, // Skip to: 40669
+/* 8522 */    MCD_OPC_Decode, 238, 8, 89, // Opcode: MULv8i8
+/* 8526 */    MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 8544
+/* 8530 */    MCD_OPC_CheckPredicate, 0, 135, 125, // Skip to: 40669
+/* 8534 */    MCD_OPC_CheckField, 21, 1, 1, 129, 125, // Skip to: 40669
+/* 8540 */    MCD_OPC_Decode, 129, 11, 105, // Opcode: SMLSLv8i8_v8i16
+/* 8544 */    MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 8562
+/* 8548 */    MCD_OPC_CheckPredicate, 0, 117, 125, // Skip to: 40669
+/* 8552 */    MCD_OPC_CheckField, 21, 1, 1, 111, 125, // Skip to: 40669
+/* 8558 */    MCD_OPC_Decode, 208, 10, 89, // Opcode: SMAXPv8i8
+/* 8562 */    MCD_OPC_FilterValue, 42, 51, 0, // Skip to: 8617
+/* 8566 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 8569 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 8581
+/* 8573 */    MCD_OPC_CheckPredicate, 0, 92, 125, // Skip to: 40669
+/* 8577 */    MCD_OPC_Decode, 237, 1, 90, // Opcode: CMLTv8i8rz
+/* 8581 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 8593
+/* 8585 */    MCD_OPC_CheckPredicate, 0, 80, 125, // Skip to: 40669
+/* 8589 */    MCD_OPC_Decode, 184, 3, 90, // Opcode: FCVTNSv2f32
+/* 8593 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 8605
+/* 8597 */    MCD_OPC_CheckPredicate, 0, 68, 125, // Skip to: 40669
+/* 8601 */    MCD_OPC_Decode, 213, 10, 110, // Opcode: SMAXVv8i8v
+/* 8605 */    MCD_OPC_FilterValue, 49, 60, 125, // Skip to: 40669
+/* 8609 */    MCD_OPC_CheckPredicate, 0, 56, 125, // Skip to: 40669
+/* 8613 */    MCD_OPC_Decode, 231, 10, 110, // Opcode: SMINVv8i8v
+/* 8617 */    MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 8635
+/* 8621 */    MCD_OPC_CheckPredicate, 0, 44, 125, // Skip to: 40669
+/* 8625 */    MCD_OPC_CheckField, 21, 1, 1, 38, 125, // Skip to: 40669
+/* 8631 */    MCD_OPC_Decode, 226, 10, 89, // Opcode: SMINPv8i8
+/* 8635 */    MCD_OPC_FilterValue, 46, 37, 0, // Skip to: 8676
+/* 8639 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 8642 */    MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 8653
+/* 8646 */    MCD_OPC_CheckPredicate, 0, 19, 125, // Skip to: 40669
+/* 8650 */    MCD_OPC_Decode, 27, 90, // Opcode: ABSv8i8
+/* 8653 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 8665
+/* 8657 */    MCD_OPC_CheckPredicate, 0, 8, 125, // Skip to: 40669
+/* 8661 */    MCD_OPC_Decode, 166, 3, 90, // Opcode: FCVTMSv2f32
+/* 8665 */    MCD_OPC_FilterValue, 49, 0, 125, // Skip to: 40669
+/* 8669 */    MCD_OPC_CheckPredicate, 0, 252, 124, // Skip to: 40669
+/* 8673 */    MCD_OPC_Decode, 59, 110, // Opcode: ADDVv8i8v
+/* 8676 */    MCD_OPC_FilterValue, 47, 13, 0, // Skip to: 8693
+/* 8680 */    MCD_OPC_CheckPredicate, 0, 241, 124, // Skip to: 40669
+/* 8684 */    MCD_OPC_CheckField, 21, 1, 1, 235, 124, // Skip to: 40669
+/* 8690 */    MCD_OPC_Decode, 45, 89, // Opcode: ADDPv8i8
+/* 8693 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 8711
+/* 8697 */    MCD_OPC_CheckPredicate, 0, 224, 124, // Skip to: 40669
+/* 8701 */    MCD_OPC_CheckField, 21, 1, 1, 218, 124, // Skip to: 40669
+/* 8707 */    MCD_OPC_Decode, 146, 11, 85, // Opcode: SMULLv8i8_v8i16
+/* 8711 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 8729
+/* 8715 */    MCD_OPC_CheckPredicate, 0, 206, 124, // Skip to: 40669
+/* 8719 */    MCD_OPC_CheckField, 21, 1, 1, 200, 124, // Skip to: 40669
+/* 8725 */    MCD_OPC_Decode, 169, 4, 89, // Opcode: FMAXNMv2f32
+/* 8729 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 8747
+/* 8733 */    MCD_OPC_CheckPredicate, 0, 188, 124, // Skip to: 40669
+/* 8737 */    MCD_OPC_CheckField, 16, 6, 33, 182, 124, // Skip to: 40669
+/* 8743 */    MCD_OPC_Decode, 140, 3, 90, // Opcode: FCVTASv2f32
+/* 8747 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 8765
+/* 8751 */    MCD_OPC_CheckPredicate, 0, 170, 124, // Skip to: 40669
+/* 8755 */    MCD_OPC_CheckField, 21, 1, 1, 164, 124, // Skip to: 40669
+/* 8761 */    MCD_OPC_Decode, 206, 4, 109, // Opcode: FMLAv2f32
+/* 8765 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 8783
+/* 8769 */    MCD_OPC_CheckPredicate, 0, 152, 124, // Skip to: 40669
+/* 8773 */    MCD_OPC_CheckField, 21, 1, 1, 146, 124, // Skip to: 40669
+/* 8779 */    MCD_OPC_Decode, 205, 2, 89, // Opcode: FADDv2f32
+/* 8783 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 8801
+/* 8787 */    MCD_OPC_CheckPredicate, 0, 134, 124, // Skip to: 40669
+/* 8791 */    MCD_OPC_CheckField, 16, 6, 33, 128, 124, // Skip to: 40669
+/* 8797 */    MCD_OPC_Decode, 142, 10, 90, // Opcode: SCVTFv2f32
+/* 8801 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 8819
+/* 8805 */    MCD_OPC_CheckPredicate, 0, 116, 124, // Skip to: 40669
+/* 8809 */    MCD_OPC_CheckField, 21, 1, 1, 110, 124, // Skip to: 40669
+/* 8815 */    MCD_OPC_Decode, 241, 4, 89, // Opcode: FMULXv2f32
+/* 8819 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 8837
+/* 8823 */    MCD_OPC_CheckPredicate, 0, 98, 124, // Skip to: 40669
+/* 8827 */    MCD_OPC_CheckField, 21, 1, 1, 92, 124, // Skip to: 40669
+/* 8833 */    MCD_OPC_Decode, 148, 9, 85, // Opcode: PMULLv8i8
+/* 8837 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 8855
+/* 8841 */    MCD_OPC_CheckPredicate, 0, 80, 124, // Skip to: 40669
+/* 8845 */    MCD_OPC_CheckField, 21, 1, 1, 74, 124, // Skip to: 40669
+/* 8851 */    MCD_OPC_Decode, 216, 2, 89, // Opcode: FCMEQv2f32
+/* 8855 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 8873
+/* 8859 */    MCD_OPC_CheckPredicate, 0, 62, 124, // Skip to: 40669
+/* 8863 */    MCD_OPC_CheckField, 21, 1, 1, 56, 124, // Skip to: 40669
+/* 8869 */    MCD_OPC_Decode, 179, 4, 89, // Opcode: FMAXv2f32
+/* 8873 */    MCD_OPC_FilterValue, 63, 48, 124, // Skip to: 40669
+/* 8877 */    MCD_OPC_CheckPredicate, 0, 44, 124, // Skip to: 40669
+/* 8881 */    MCD_OPC_CheckField, 21, 1, 1, 38, 124, // Skip to: 40669
+/* 8887 */    MCD_OPC_Decode, 145, 5, 89, // Opcode: FRECPSv2f32
+/* 8891 */    MCD_OPC_FilterValue, 1, 85, 4, // Skip to: 10004
+/* 8895 */    MCD_OPC_ExtractField, 14, 2,  // Inst{15-14} ...
+/* 8898 */    MCD_OPC_FilterValue, 0, 64, 1, // Skip to: 9222
+/* 8902 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 8905 */    MCD_OPC_FilterValue, 0, 162, 0, // Skip to: 9071
+/* 8909 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 8912 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8924
+/* 8916 */    MCD_OPC_CheckPredicate, 0, 5, 124, // Skip to: 40669
+/* 8920 */    MCD_OPC_Decode, 176, 2, 111, // Opcode: EXTv8i8
+/* 8924 */    MCD_OPC_FilterValue, 1, 253, 123, // Skip to: 40669
+/* 8928 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
+/* 8931 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8943
+/* 8935 */    MCD_OPC_CheckPredicate, 0, 242, 123, // Skip to: 40669
+/* 8939 */    MCD_OPC_Decode, 151, 16, 85, // Opcode: UADDLv8i8_v8i16
+/* 8943 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 8961
+/* 8947 */    MCD_OPC_CheckPredicate, 0, 230, 123, // Skip to: 40669
+/* 8951 */    MCD_OPC_CheckField, 16, 5, 0, 224, 123, // Skip to: 40669
+/* 8957 */    MCD_OPC_Decode, 176, 9, 90, // Opcode: REV32v8i8
+/* 8961 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8973
+/* 8965 */    MCD_OPC_CheckPredicate, 0, 212, 123, // Skip to: 40669
+/* 8969 */    MCD_OPC_Decode, 157, 16, 93, // Opcode: UADDWv8i8_v8i16
+/* 8973 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8985
+/* 8977 */    MCD_OPC_CheckPredicate, 0, 200, 123, // Skip to: 40669
+/* 8981 */    MCD_OPC_Decode, 171, 18, 85, // Opcode: USUBLv8i8_v8i16
+/* 8985 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 9016
+/* 8989 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 8992 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9004
+/* 8996 */    MCD_OPC_CheckPredicate, 0, 181, 123, // Skip to: 40669
+/* 9000 */    MCD_OPC_Decode, 140, 16, 90, // Opcode: UADDLPv8i8_v4i16
+/* 9004 */    MCD_OPC_FilterValue, 1, 173, 123, // Skip to: 40669
+/* 9008 */    MCD_OPC_CheckPredicate, 0, 169, 123, // Skip to: 40669
+/* 9012 */    MCD_OPC_Decode, 220, 12, 95, // Opcode: SQXTUNv8i8
+/* 9016 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9028
+/* 9020 */    MCD_OPC_CheckPredicate, 0, 157, 123, // Skip to: 40669
+/* 9024 */    MCD_OPC_Decode, 177, 18, 93, // Opcode: USUBWv8i8_v8i16
+/* 9028 */    MCD_OPC_FilterValue, 7, 149, 123, // Skip to: 40669
+/* 9032 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 9035 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 9047
+/* 9039 */    MCD_OPC_CheckPredicate, 0, 138, 123, // Skip to: 40669
+/* 9043 */    MCD_OPC_Decode, 157, 18, 99, // Opcode: USQADDv8i8
+/* 9047 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9059
+/* 9051 */    MCD_OPC_CheckPredicate, 0, 126, 123, // Skip to: 40669
+/* 9055 */    MCD_OPC_Decode, 173, 10, 108, // Opcode: SHLLv8i8
+/* 9059 */    MCD_OPC_FilterValue, 16, 118, 123, // Skip to: 40669
+/* 9063 */    MCD_OPC_CheckPredicate, 0, 114, 123, // Skip to: 40669
+/* 9067 */    MCD_OPC_Decode, 145, 16, 100, // Opcode: UADDLVv8i8v
+/* 9071 */    MCD_OPC_FilterValue, 1, 106, 123, // Skip to: 40669
+/* 9075 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
+/* 9078 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 9096
+/* 9082 */    MCD_OPC_CheckPredicate, 0, 95, 123, // Skip to: 40669
+/* 9086 */    MCD_OPC_CheckField, 21, 1, 1, 89, 123, // Skip to: 40669
+/* 9092 */    MCD_OPC_Decode, 187, 16, 89, // Opcode: UHADDv8i8
+/* 9096 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 9114
+/* 9100 */    MCD_OPC_CheckPredicate, 0, 77, 123, // Skip to: 40669
+/* 9104 */    MCD_OPC_CheckField, 21, 1, 1, 71, 123, // Skip to: 40669
+/* 9110 */    MCD_OPC_Decode, 147, 17, 89, // Opcode: UQADDv8i8
+/* 9114 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 9132
+/* 9118 */    MCD_OPC_CheckPredicate, 0, 59, 123, // Skip to: 40669
+/* 9122 */    MCD_OPC_CheckField, 21, 1, 1, 53, 123, // Skip to: 40669
+/* 9128 */    MCD_OPC_Decode, 226, 17, 89, // Opcode: URHADDv8i8
+/* 9132 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 9150
+/* 9136 */    MCD_OPC_CheckPredicate, 0, 41, 123, // Skip to: 40669
+/* 9140 */    MCD_OPC_CheckField, 21, 1, 1, 35, 123, // Skip to: 40669
+/* 9146 */    MCD_OPC_Decode, 171, 2, 89, // Opcode: EORv8i8
+/* 9150 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 9168
+/* 9154 */    MCD_OPC_CheckPredicate, 0, 23, 123, // Skip to: 40669
+/* 9158 */    MCD_OPC_CheckField, 21, 1, 1, 17, 123, // Skip to: 40669
+/* 9164 */    MCD_OPC_Decode, 193, 16, 89, // Opcode: UHSUBv8i8
+/* 9168 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 9186
+/* 9172 */    MCD_OPC_CheckPredicate, 0, 5, 123, // Skip to: 40669
+/* 9176 */    MCD_OPC_CheckField, 21, 1, 1, 255, 122, // Skip to: 40669
+/* 9182 */    MCD_OPC_Decode, 209, 17, 89, // Opcode: UQSUBv8i8
+/* 9186 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 9204
+/* 9190 */    MCD_OPC_CheckPredicate, 0, 243, 122, // Skip to: 40669
+/* 9194 */    MCD_OPC_CheckField, 21, 1, 1, 237, 122, // Skip to: 40669
+/* 9200 */    MCD_OPC_Decode, 213, 1, 89, // Opcode: CMHIv8i8
+/* 9204 */    MCD_OPC_FilterValue, 7, 229, 122, // Skip to: 40669
+/* 9208 */    MCD_OPC_CheckPredicate, 0, 225, 122, // Skip to: 40669
+/* 9212 */    MCD_OPC_CheckField, 21, 1, 1, 219, 122, // Skip to: 40669
+/* 9218 */    MCD_OPC_Decode, 221, 1, 89, // Opcode: CMHSv8i8
+/* 9222 */    MCD_OPC_FilterValue, 1, 48, 1, // Skip to: 9530
+/* 9226 */    MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
+/* 9229 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 9247
+/* 9233 */    MCD_OPC_CheckPredicate, 0, 200, 122, // Skip to: 40669
+/* 9237 */    MCD_OPC_CheckField, 21, 1, 1, 194, 122, // Skip to: 40669
+/* 9243 */    MCD_OPC_Decode, 161, 9, 103, // Opcode: RADDHNv8i16_v8i8
+/* 9247 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 9265
+/* 9251 */    MCD_OPC_CheckPredicate, 0, 182, 122, // Skip to: 40669
+/* 9255 */    MCD_OPC_CheckField, 21, 1, 1, 176, 122, // Skip to: 40669
+/* 9261 */    MCD_OPC_Decode, 138, 18, 89, // Opcode: USHLv8i8
+/* 9265 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 9296
+/* 9269 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 9272 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 9284
+/* 9276 */    MCD_OPC_CheckPredicate, 0, 157, 122, // Skip to: 40669
+/* 9280 */    MCD_OPC_Decode, 157, 1, 90, // Opcode: CLZv8i8
+/* 9284 */    MCD_OPC_FilterValue, 33, 149, 122, // Skip to: 40669
+/* 9288 */    MCD_OPC_CheckPredicate, 0, 145, 122, // Skip to: 40669
+/* 9292 */    MCD_OPC_Decode, 218, 17, 95, // Opcode: UQXTNv8i8
+/* 9296 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 9314
+/* 9300 */    MCD_OPC_CheckPredicate, 0, 133, 122, // Skip to: 40669
+/* 9304 */    MCD_OPC_CheckField, 21, 1, 1, 127, 122, // Skip to: 40669
+/* 9310 */    MCD_OPC_Decode, 188, 17, 89, // Opcode: UQSHLv8i8
+/* 9314 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 9332
+/* 9318 */    MCD_OPC_CheckPredicate, 0, 115, 122, // Skip to: 40669
+/* 9322 */    MCD_OPC_CheckField, 21, 1, 1, 109, 122, // Skip to: 40669
+/* 9328 */    MCD_OPC_Decode, 238, 15, 105, // Opcode: UABALv8i8_v8i16
+/* 9332 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 9350
+/* 9336 */    MCD_OPC_CheckPredicate, 0, 97, 122, // Skip to: 40669
+/* 9340 */    MCD_OPC_CheckField, 21, 1, 1, 91, 122, // Skip to: 40669
+/* 9346 */    MCD_OPC_Decode, 234, 17, 89, // Opcode: URSHLv8i8
+/* 9350 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 9368
+/* 9354 */    MCD_OPC_CheckPredicate, 0, 79, 122, // Skip to: 40669
+/* 9358 */    MCD_OPC_CheckField, 16, 6, 32, 73, 122, // Skip to: 40669
+/* 9364 */    MCD_OPC_Decode, 254, 8, 90, // Opcode: NOTv8i8
+/* 9368 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 9386
+/* 9372 */    MCD_OPC_CheckPredicate, 0, 61, 122, // Skip to: 40669
+/* 9376 */    MCD_OPC_CheckField, 21, 1, 1, 55, 122, // Skip to: 40669
+/* 9382 */    MCD_OPC_Decode, 158, 17, 89, // Opcode: UQRSHLv8i8
+/* 9386 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 9404
+/* 9390 */    MCD_OPC_CheckPredicate, 0, 43, 122, // Skip to: 40669
+/* 9394 */    MCD_OPC_CheckField, 21, 1, 1, 37, 122, // Skip to: 40669
+/* 9400 */    MCD_OPC_Decode, 198, 9, 103, // Opcode: RSUBHNv8i16_v8i8
+/* 9404 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 9422
+/* 9408 */    MCD_OPC_CheckPredicate, 0, 25, 122, // Skip to: 40669
+/* 9412 */    MCD_OPC_CheckField, 21, 1, 1, 19, 122, // Skip to: 40669
+/* 9418 */    MCD_OPC_Decode, 211, 16, 89, // Opcode: UMAXv8i8
+/* 9422 */    MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 9440
+/* 9426 */    MCD_OPC_CheckPredicate, 0, 7, 122, // Skip to: 40669
+/* 9430 */    MCD_OPC_CheckField, 16, 6, 32, 1, 122, // Skip to: 40669
+/* 9436 */    MCD_OPC_Decode, 134, 16, 99, // Opcode: UADALPv8i8_v4i16
+/* 9440 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 9458
+/* 9444 */    MCD_OPC_CheckPredicate, 0, 245, 121, // Skip to: 40669
+/* 9448 */    MCD_OPC_CheckField, 21, 1, 1, 239, 121, // Skip to: 40669
+/* 9454 */    MCD_OPC_Decode, 228, 16, 89, // Opcode: UMINv8i8
+/* 9458 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 9476
+/* 9462 */    MCD_OPC_CheckPredicate, 0, 227, 121, // Skip to: 40669
+/* 9466 */    MCD_OPC_CheckField, 21, 1, 1, 221, 121, // Skip to: 40669
+/* 9472 */    MCD_OPC_Decode, 250, 15, 85, // Opcode: UABDLv8i8_v8i16
+/* 9476 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 9494
+/* 9480 */    MCD_OPC_CheckPredicate, 0, 209, 121, // Skip to: 40669
+/* 9484 */    MCD_OPC_CheckField, 21, 1, 1, 203, 121, // Skip to: 40669
+/* 9490 */    MCD_OPC_Decode, 128, 16, 89, // Opcode: UABDv8i8
+/* 9494 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 9512
+/* 9498 */    MCD_OPC_CheckPredicate, 0, 191, 121, // Skip to: 40669
+/* 9502 */    MCD_OPC_CheckField, 16, 6, 32, 185, 121, // Skip to: 40669
+/* 9508 */    MCD_OPC_Decode, 227, 11, 90, // Opcode: SQNEGv8i8
+/* 9512 */    MCD_OPC_FilterValue, 15, 177, 121, // Skip to: 40669
+/* 9516 */    MCD_OPC_CheckPredicate, 0, 173, 121, // Skip to: 40669
+/* 9520 */    MCD_OPC_CheckField, 21, 1, 1, 167, 121, // Skip to: 40669
+/* 9526 */    MCD_OPC_Decode, 244, 15, 109, // Opcode: UABAv8i8
+/* 9530 */    MCD_OPC_FilterValue, 2, 27, 1, // Skip to: 9817
+/* 9534 */    MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
+/* 9537 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 9555
+/* 9541 */    MCD_OPC_CheckPredicate, 0, 148, 121, // Skip to: 40669
+/* 9545 */    MCD_OPC_CheckField, 21, 1, 1, 142, 121, // Skip to: 40669
+/* 9551 */    MCD_OPC_Decode, 238, 16, 105, // Opcode: UMLALv8i8_v8i16
+/* 9555 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 9573
+/* 9559 */    MCD_OPC_CheckPredicate, 0, 130, 121, // Skip to: 40669
+/* 9563 */    MCD_OPC_CheckField, 21, 1, 1, 124, 121, // Skip to: 40669
+/* 9569 */    MCD_OPC_Decode, 180, 15, 89, // Opcode: SUBv8i8
+/* 9573 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 9604
+/* 9577 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 9580 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 9592
+/* 9584 */    MCD_OPC_CheckPredicate, 0, 105, 121, // Skip to: 40669
+/* 9588 */    MCD_OPC_Decode, 189, 1, 90, // Opcode: CMGEv8i8rz
+/* 9592 */    MCD_OPC_FilterValue, 33, 97, 121, // Skip to: 40669
+/* 9596 */    MCD_OPC_CheckPredicate, 0, 93, 121, // Skip to: 40669
+/* 9600 */    MCD_OPC_Decode, 152, 5, 90, // Opcode: FRINTAv2f32
+/* 9604 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 9622
+/* 9608 */    MCD_OPC_CheckPredicate, 0, 81, 121, // Skip to: 40669
+/* 9612 */    MCD_OPC_CheckField, 21, 1, 1, 75, 121, // Skip to: 40669
+/* 9618 */    MCD_OPC_Decode, 172, 1, 89, // Opcode: CMEQv8i8
+/* 9622 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 9640
+/* 9626 */    MCD_OPC_CheckPredicate, 0, 63, 121, // Skip to: 40669
+/* 9630 */    MCD_OPC_CheckField, 21, 1, 1, 57, 121, // Skip to: 40669
+/* 9636 */    MCD_OPC_Decode, 199, 8, 109, // Opcode: MLSv8i8
+/* 9640 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 9671
+/* 9644 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 9647 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 9659
+/* 9651 */    MCD_OPC_CheckPredicate, 0, 38, 121, // Skip to: 40669
+/* 9655 */    MCD_OPC_Decode, 229, 1, 90, // Opcode: CMLEv8i8rz
+/* 9659 */    MCD_OPC_FilterValue, 33, 30, 121, // Skip to: 40669
+/* 9663 */    MCD_OPC_CheckPredicate, 0, 26, 121, // Skip to: 40669
+/* 9667 */    MCD_OPC_Decode, 177, 5, 90, // Opcode: FRINTXv2f32
+/* 9671 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 9689
+/* 9675 */    MCD_OPC_CheckPredicate, 0, 14, 121, // Skip to: 40669
+/* 9679 */    MCD_OPC_CheckField, 21, 1, 1, 8, 121, // Skip to: 40669
+/* 9685 */    MCD_OPC_Decode, 150, 9, 89, // Opcode: PMULv8i8
+/* 9689 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 9707
+/* 9693 */    MCD_OPC_CheckPredicate, 0, 252, 120, // Skip to: 40669
+/* 9697 */    MCD_OPC_CheckField, 21, 1, 1, 246, 120, // Skip to: 40669
+/* 9703 */    MCD_OPC_Decode, 248, 16, 105, // Opcode: UMLSLv8i8_v8i16
+/* 9707 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 9725
+/* 9711 */    MCD_OPC_CheckPredicate, 0, 234, 120, // Skip to: 40669
+/* 9715 */    MCD_OPC_CheckField, 21, 1, 1, 228, 120, // Skip to: 40669
+/* 9721 */    MCD_OPC_Decode, 200, 16, 89, // Opcode: UMAXPv8i8
+/* 9725 */    MCD_OPC_FilterValue, 10, 39, 0, // Skip to: 9768
+/* 9729 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 9732 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 9744
+/* 9736 */    MCD_OPC_CheckPredicate, 0, 209, 120, // Skip to: 40669
+/* 9740 */    MCD_OPC_Decode, 193, 3, 90, // Opcode: FCVTNUv2f32
+/* 9744 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 9756
+/* 9748 */    MCD_OPC_CheckPredicate, 0, 197, 120, // Skip to: 40669
+/* 9752 */    MCD_OPC_Decode, 205, 16, 110, // Opcode: UMAXVv8i8v
+/* 9756 */    MCD_OPC_FilterValue, 49, 189, 120, // Skip to: 40669
+/* 9760 */    MCD_OPC_CheckPredicate, 0, 185, 120, // Skip to: 40669
+/* 9764 */    MCD_OPC_Decode, 222, 16, 110, // Opcode: UMINVv8i8v
+/* 9768 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 9786
+/* 9772 */    MCD_OPC_CheckPredicate, 0, 173, 120, // Skip to: 40669
+/* 9776 */    MCD_OPC_CheckField, 21, 1, 1, 167, 120, // Skip to: 40669
+/* 9782 */    MCD_OPC_Decode, 217, 16, 89, // Opcode: UMINPv8i8
+/* 9786 */    MCD_OPC_FilterValue, 14, 159, 120, // Skip to: 40669
+/* 9790 */    MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 9793 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 9805
+/* 9797 */    MCD_OPC_CheckPredicate, 0, 148, 120, // Skip to: 40669
+/* 9801 */    MCD_OPC_Decode, 252, 8, 90, // Opcode: NEGv8i8
+/* 9805 */    MCD_OPC_FilterValue, 33, 140, 120, // Skip to: 40669
+/* 9809 */    MCD_OPC_CheckPredicate, 0, 136, 120, // Skip to: 40669
+/* 9813 */    MCD_OPC_Decode, 175, 3, 90, // Opcode: FCVTMUv2f32
+/* 9817 */    MCD_OPC_FilterValue, 3, 128, 120, // Skip to: 40669
+/* 9821 */    MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
+/* 9824 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 9842
+/* 9828 */    MCD_OPC_CheckPredicate, 0, 117, 120, // Skip to: 40669
+/* 9832 */    MCD_OPC_CheckField, 21, 1, 1, 111, 120, // Skip to: 40669
+/* 9838 */    MCD_OPC_Decode, 136, 17, 85, // Opcode: UMULLv8i8_v8i16
+/* 9842 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 9860
+/* 9846 */    MCD_OPC_CheckPredicate, 0, 99, 120, // Skip to: 40669
+/* 9850 */    MCD_OPC_CheckField, 21, 1, 1, 93, 120, // Skip to: 40669
+/* 9856 */    MCD_OPC_Decode, 162, 4, 89, // Opcode: FMAXNMPv2f32
+/* 9860 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 9878
+/* 9864 */    MCD_OPC_CheckPredicate, 0, 81, 120, // Skip to: 40669
+/* 9868 */    MCD_OPC_CheckField, 16, 6, 33, 75, 120, // Skip to: 40669
+/* 9874 */    MCD_OPC_Decode, 149, 3, 90, // Opcode: FCVTAUv2f32
+/* 9878 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 9896
+/* 9882 */    MCD_OPC_CheckPredicate, 0, 63, 120, // Skip to: 40669
+/* 9886 */    MCD_OPC_CheckField, 21, 1, 1, 57, 120, // Skip to: 40669
+/* 9892 */    MCD_OPC_Decode, 199, 2, 89, // Opcode: FADDPv2f32
+/* 9896 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 9914
+/* 9900 */    MCD_OPC_CheckPredicate, 0, 45, 120, // Skip to: 40669
+/* 9904 */    MCD_OPC_CheckField, 16, 6, 33, 39, 120, // Skip to: 40669
+/* 9910 */    MCD_OPC_Decode, 172, 16, 90, // Opcode: UCVTFv2f32
+/* 9914 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 9932
+/* 9918 */    MCD_OPC_CheckPredicate, 0, 27, 120, // Skip to: 40669
+/* 9922 */    MCD_OPC_CheckField, 21, 1, 1, 21, 120, // Skip to: 40669
+/* 9928 */    MCD_OPC_Decode, 249, 4, 89, // Opcode: FMULv2f32
+/* 9932 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 9950
+/* 9936 */    MCD_OPC_CheckPredicate, 0, 9, 120, // Skip to: 40669
+/* 9940 */    MCD_OPC_CheckField, 21, 1, 1, 3, 120, // Skip to: 40669
+/* 9946 */    MCD_OPC_Decode, 226, 2, 89, // Opcode: FCMGEv2f32
+/* 9950 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 9968
+/* 9954 */    MCD_OPC_CheckPredicate, 0, 247, 119, // Skip to: 40669
+/* 9958 */    MCD_OPC_CheckField, 21, 1, 1, 241, 119, // Skip to: 40669
+/* 9964 */    MCD_OPC_Decode, 190, 2, 89, // Opcode: FACGEv2f32
+/* 9968 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 9986
+/* 9972 */    MCD_OPC_CheckPredicate, 0, 229, 119, // Skip to: 40669
+/* 9976 */    MCD_OPC_CheckField, 21, 1, 1, 223, 119, // Skip to: 40669
+/* 9982 */    MCD_OPC_Decode, 172, 4, 89, // Opcode: FMAXPv2f32
+/* 9986 */    MCD_OPC_FilterValue, 15, 215, 119, // Skip to: 40669
+/* 9990 */    MCD_OPC_CheckPredicate, 0, 211, 119, // Skip to: 40669
+/* 9994 */    MCD_OPC_CheckField, 21, 1, 1, 205, 119, // Skip to: 40669
+/* 10000 */   MCD_OPC_Decode, 155, 4, 89, // Opcode: FDIVv2f32
+/* 10004 */   MCD_OPC_FilterValue, 2, 181, 6, // Skip to: 11725
+/* 10008 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 10011 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 10042
+/* 10015 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10018 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10030
+/* 10022 */   MCD_OPC_CheckPredicate, 0, 179, 119, // Skip to: 40669
+/* 10026 */   MCD_OPC_Decode, 196, 15, 112, // Opcode: TBLv16i8One
+/* 10030 */   MCD_OPC_FilterValue, 1, 171, 119, // Skip to: 40669
+/* 10034 */   MCD_OPC_CheckPredicate, 0, 167, 119, // Skip to: 40669
+/* 10038 */   MCD_OPC_Decode, 240, 9, 112, // Opcode: SADDLv16i8_v8i16
+/* 10042 */   MCD_OPC_FilterValue, 1, 90, 0, // Skip to: 10136
+/* 10046 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10049 */   MCD_OPC_FilterValue, 0, 71, 0, // Skip to: 10124
+/* 10053 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 10056 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 10112
+/* 10060 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 10063 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 10100
+/* 10067 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
+/* 10070 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 10088
+/* 10074 */   MCD_OPC_CheckPredicate, 0, 127, 119, // Skip to: 40669
+/* 10078 */   MCD_OPC_CheckField, 19, 1, 1, 121, 119, // Skip to: 40669
+/* 10084 */   MCD_OPC_Decode, 151, 2, 113, // Opcode: DUPv2i64lane
+/* 10088 */   MCD_OPC_FilterValue, 1, 113, 119, // Skip to: 40669
+/* 10092 */   MCD_OPC_CheckPredicate, 0, 109, 119, // Skip to: 40669
+/* 10096 */   MCD_OPC_Decode, 155, 2, 114, // Opcode: DUPv4i32lane
+/* 10100 */   MCD_OPC_FilterValue, 1, 101, 119, // Skip to: 40669
+/* 10104 */   MCD_OPC_CheckPredicate, 0, 97, 119, // Skip to: 40669
+/* 10108 */   MCD_OPC_Decode, 157, 2, 115, // Opcode: DUPv8i16lane
+/* 10112 */   MCD_OPC_FilterValue, 1, 89, 119, // Skip to: 40669
+/* 10116 */   MCD_OPC_CheckPredicate, 0, 85, 119, // Skip to: 40669
+/* 10120 */   MCD_OPC_Decode, 147, 2, 116, // Opcode: DUPv16i8lane
+/* 10124 */   MCD_OPC_FilterValue, 1, 77, 119, // Skip to: 40669
+/* 10128 */   MCD_OPC_CheckPredicate, 0, 73, 119, // Skip to: 40669
+/* 10132 */   MCD_OPC_Decode, 162, 10, 112, // Opcode: SHADDv16i8
+/* 10136 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 10154
+/* 10140 */   MCD_OPC_CheckPredicate, 0, 61, 119, // Skip to: 40669
+/* 10144 */   MCD_OPC_CheckField, 16, 6, 32, 55, 119, // Skip to: 40669
+/* 10150 */   MCD_OPC_Decode, 177, 9, 117, // Opcode: REV64v16i8
+/* 10154 */   MCD_OPC_FilterValue, 3, 70, 0, // Skip to: 10228
+/* 10158 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10161 */   MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 10216
+/* 10165 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 10168 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 10180
+/* 10172 */   MCD_OPC_CheckPredicate, 0, 29, 119, // Skip to: 40669
+/* 10176 */   MCD_OPC_Decode, 146, 2, 118, // Opcode: DUPv16i8gpr
+/* 10180 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 10192
+/* 10184 */   MCD_OPC_CheckPredicate, 0, 17, 119, // Skip to: 40669
+/* 10188 */   MCD_OPC_Decode, 156, 2, 118, // Opcode: DUPv8i16gpr
+/* 10192 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10204
+/* 10196 */   MCD_OPC_CheckPredicate, 0, 5, 119, // Skip to: 40669
+/* 10200 */   MCD_OPC_Decode, 154, 2, 118, // Opcode: DUPv4i32gpr
+/* 10204 */   MCD_OPC_FilterValue, 8, 253, 118, // Skip to: 40669
+/* 10208 */   MCD_OPC_CheckPredicate, 0, 249, 118, // Skip to: 40669
+/* 10212 */   MCD_OPC_Decode, 150, 2, 119, // Opcode: DUPv2i64gpr
+/* 10216 */   MCD_OPC_FilterValue, 1, 241, 118, // Skip to: 40669
+/* 10220 */   MCD_OPC_CheckPredicate, 0, 237, 118, // Skip to: 40669
+/* 10224 */   MCD_OPC_Decode, 158, 11, 112, // Opcode: SQADDv16i8
+/* 10228 */   MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 10259
+/* 10232 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10235 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10247
+/* 10239 */   MCD_OPC_CheckPredicate, 0, 218, 118, // Skip to: 40669
+/* 10243 */   MCD_OPC_Decode, 206, 15, 120, // Opcode: TBXv16i8One
+/* 10247 */   MCD_OPC_FilterValue, 1, 210, 118, // Skip to: 40669
+/* 10251 */   MCD_OPC_CheckPredicate, 0, 206, 118, // Skip to: 40669
+/* 10255 */   MCD_OPC_Decode, 246, 9, 112, // Opcode: SADDWv16i8_v8i16
+/* 10259 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 10277
+/* 10263 */   MCD_OPC_CheckPredicate, 0, 194, 118, // Skip to: 40669
+/* 10267 */   MCD_OPC_CheckField, 21, 1, 1, 188, 118, // Skip to: 40669
+/* 10273 */   MCD_OPC_Decode, 221, 12, 112, // Opcode: SRHADDv16i8
+/* 10277 */   MCD_OPC_FilterValue, 6, 33, 0, // Skip to: 10314
+/* 10281 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10284 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10296
+/* 10288 */   MCD_OPC_CheckPredicate, 0, 169, 118, // Skip to: 40669
+/* 10292 */   MCD_OPC_Decode, 178, 18, 112, // Opcode: UZP1v16i8
+/* 10296 */   MCD_OPC_FilterValue, 1, 161, 118, // Skip to: 40669
+/* 10300 */   MCD_OPC_CheckPredicate, 0, 157, 118, // Skip to: 40669
+/* 10304 */   MCD_OPC_CheckField, 16, 5, 0, 151, 118, // Skip to: 40669
+/* 10310 */   MCD_OPC_Decode, 170, 9, 117, // Opcode: REV16v16i8
+/* 10314 */   MCD_OPC_FilterValue, 7, 89, 0, // Skip to: 10407
+/* 10318 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10321 */   MCD_OPC_FilterValue, 0, 71, 0, // Skip to: 10396
+/* 10325 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 10328 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 10384
+/* 10332 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 10335 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 10372
+/* 10339 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
+/* 10342 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 10360
+/* 10346 */   MCD_OPC_CheckPredicate, 0, 111, 118, // Skip to: 40669
+/* 10350 */   MCD_OPC_CheckField, 19, 1, 1, 105, 118, // Skip to: 40669
+/* 10356 */   MCD_OPC_Decode, 212, 5, 121, // Opcode: INSvi64gpr
+/* 10360 */   MCD_OPC_FilterValue, 1, 97, 118, // Skip to: 40669
+/* 10364 */   MCD_OPC_CheckPredicate, 0, 93, 118, // Skip to: 40669
+/* 10368 */   MCD_OPC_Decode, 210, 5, 122, // Opcode: INSvi32gpr
+/* 10372 */   MCD_OPC_FilterValue, 1, 85, 118, // Skip to: 40669
+/* 10376 */   MCD_OPC_CheckPredicate, 0, 81, 118, // Skip to: 40669
+/* 10380 */   MCD_OPC_Decode, 208, 5, 123, // Opcode: INSvi16gpr
+/* 10384 */   MCD_OPC_FilterValue, 1, 73, 118, // Skip to: 40669
+/* 10388 */   MCD_OPC_CheckPredicate, 0, 69, 118, // Skip to: 40669
+/* 10392 */   MCD_OPC_Decode, 214, 5, 124, // Opcode: INSvi8gpr
+/* 10396 */   MCD_OPC_FilterValue, 1, 61, 118, // Skip to: 40669
+/* 10400 */   MCD_OPC_CheckPredicate, 0, 57, 118, // Skip to: 40669
+/* 10404 */   MCD_OPC_Decode, 97, 112, // Opcode: ANDv16i8
+/* 10407 */   MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 10438
+/* 10411 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10414 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10426
+/* 10418 */   MCD_OPC_CheckPredicate, 0, 39, 118, // Skip to: 40669
+/* 10422 */   MCD_OPC_Decode, 198, 15, 125, // Opcode: TBLv16i8Two
+/* 10426 */   MCD_OPC_FilterValue, 1, 31, 118, // Skip to: 40669
+/* 10430 */   MCD_OPC_CheckPredicate, 0, 27, 118, // Skip to: 40669
+/* 10434 */   MCD_OPC_Decode, 161, 13, 112, // Opcode: SSUBLv16i8_v8i16
+/* 10438 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 10456
+/* 10442 */   MCD_OPC_CheckPredicate, 0, 15, 118, // Skip to: 40669
+/* 10446 */   MCD_OPC_CheckField, 21, 1, 1, 9, 118, // Skip to: 40669
+/* 10452 */   MCD_OPC_Decode, 188, 10, 112, // Opcode: SHSUBv16i8
+/* 10456 */   MCD_OPC_FilterValue, 10, 46, 0, // Skip to: 10506
+/* 10460 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10463 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10475
+/* 10467 */   MCD_OPC_CheckPredicate, 0, 246, 117, // Skip to: 40669
+/* 10471 */   MCD_OPC_Decode, 219, 15, 112, // Opcode: TRN1v16i8
+/* 10475 */   MCD_OPC_FilterValue, 1, 238, 117, // Skip to: 40669
+/* 10479 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 10482 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10494
+/* 10486 */   MCD_OPC_CheckPredicate, 0, 227, 117, // Skip to: 40669
+/* 10490 */   MCD_OPC_Decode, 229, 9, 117, // Opcode: SADDLPv16i8_v8i16
+/* 10494 */   MCD_OPC_FilterValue, 1, 219, 117, // Skip to: 40669
+/* 10498 */   MCD_OPC_CheckPredicate, 0, 215, 117, // Skip to: 40669
+/* 10502 */   MCD_OPC_Decode, 192, 18, 126, // Opcode: XTNv16i8
+/* 10506 */   MCD_OPC_FilterValue, 11, 73, 0, // Skip to: 10583
+/* 10510 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10513 */   MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 10571
+/* 10517 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 10520 */   MCD_OPC_FilterValue, 0, 34, 0, // Skip to: 10558
+/* 10524 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 10527 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 10545
+/* 10531 */   MCD_OPC_CheckPredicate, 0, 182, 117, // Skip to: 40669
+/* 10535 */   MCD_OPC_CheckField, 18, 1, 1, 176, 117, // Skip to: 40669
+/* 10541 */   MCD_OPC_Decode, 132, 11, 127, // Opcode: SMOVvi32to64
+/* 10545 */   MCD_OPC_FilterValue, 1, 168, 117, // Skip to: 40669
+/* 10549 */   MCD_OPC_CheckPredicate, 0, 164, 117, // Skip to: 40669
+/* 10553 */   MCD_OPC_Decode, 131, 11, 128, 1, // Opcode: SMOVvi16to64
+/* 10558 */   MCD_OPC_FilterValue, 1, 155, 117, // Skip to: 40669
+/* 10562 */   MCD_OPC_CheckPredicate, 0, 151, 117, // Skip to: 40669
+/* 10566 */   MCD_OPC_Decode, 134, 11, 129, 1, // Opcode: SMOVvi8to64
+/* 10571 */   MCD_OPC_FilterValue, 1, 142, 117, // Skip to: 40669
+/* 10575 */   MCD_OPC_CheckPredicate, 0, 138, 117, // Skip to: 40669
+/* 10579 */   MCD_OPC_Decode, 192, 12, 112, // Opcode: SQSUBv16i8
+/* 10583 */   MCD_OPC_FilterValue, 12, 28, 0, // Skip to: 10615
+/* 10587 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10590 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10603
+/* 10594 */   MCD_OPC_CheckPredicate, 0, 119, 117, // Skip to: 40669
+/* 10598 */   MCD_OPC_Decode, 208, 15, 130, 1, // Opcode: TBXv16i8Two
+/* 10603 */   MCD_OPC_FilterValue, 1, 110, 117, // Skip to: 40669
+/* 10607 */   MCD_OPC_CheckPredicate, 0, 106, 117, // Skip to: 40669
+/* 10611 */   MCD_OPC_Decode, 167, 13, 112, // Opcode: SSUBWv16i8_v8i16
+/* 10615 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 10633
+/* 10619 */   MCD_OPC_CheckPredicate, 0, 94, 117, // Skip to: 40669
+/* 10623 */   MCD_OPC_CheckField, 21, 1, 1, 88, 117, // Skip to: 40669
+/* 10629 */   MCD_OPC_Decode, 190, 1, 112, // Opcode: CMGTv16i8
+/* 10633 */   MCD_OPC_FilterValue, 14, 47, 0, // Skip to: 10684
+/* 10637 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10640 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10652
+/* 10644 */   MCD_OPC_CheckPredicate, 0, 69, 117, // Skip to: 40669
+/* 10648 */   MCD_OPC_Decode, 198, 18, 112, // Opcode: ZIP1v16i8
+/* 10652 */   MCD_OPC_FilterValue, 1, 61, 117, // Skip to: 40669
+/* 10656 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 10659 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10671
+/* 10663 */   MCD_OPC_CheckPredicate, 0, 50, 117, // Skip to: 40669
+/* 10667 */   MCD_OPC_Decode, 181, 15, 126, // Opcode: SUQADDv16i8
+/* 10671 */   MCD_OPC_FilterValue, 16, 42, 117, // Skip to: 40669
+/* 10675 */   MCD_OPC_CheckPredicate, 0, 38, 117, // Skip to: 40669
+/* 10679 */   MCD_OPC_Decode, 235, 9, 131, 1, // Opcode: SADDLVv16i8v
+/* 10684 */   MCD_OPC_FilterValue, 15, 34, 0, // Skip to: 10722
+/* 10688 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10691 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10710
+/* 10695 */   MCD_OPC_CheckPredicate, 0, 18, 117, // Skip to: 40669
+/* 10699 */   MCD_OPC_CheckField, 16, 4, 8, 12, 117, // Skip to: 40669
+/* 10705 */   MCD_OPC_Decode, 251, 16, 132, 1, // Opcode: UMOVvi64
+/* 10710 */   MCD_OPC_FilterValue, 1, 3, 117, // Skip to: 40669
+/* 10714 */   MCD_OPC_CheckPredicate, 0, 255, 116, // Skip to: 40669
+/* 10718 */   MCD_OPC_Decode, 174, 1, 112, // Opcode: CMGEv16i8
+/* 10722 */   MCD_OPC_FilterValue, 16, 27, 0, // Skip to: 10753
+/* 10726 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10729 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10742
+/* 10733 */   MCD_OPC_CheckPredicate, 0, 236, 116, // Skip to: 40669
+/* 10737 */   MCD_OPC_Decode, 197, 15, 133, 1, // Opcode: TBLv16i8Three
+/* 10742 */   MCD_OPC_FilterValue, 1, 227, 116, // Skip to: 40669
+/* 10746 */   MCD_OPC_CheckPredicate, 0, 223, 116, // Skip to: 40669
+/* 10750 */   MCD_OPC_Decode, 36, 120, // Opcode: ADDHNv8i16_v16i8
+/* 10753 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 10771
+/* 10757 */   MCD_OPC_CheckPredicate, 0, 212, 116, // Skip to: 40669
+/* 10761 */   MCD_OPC_CheckField, 21, 1, 1, 206, 116, // Skip to: 40669
+/* 10767 */   MCD_OPC_Decode, 137, 13, 112, // Opcode: SSHLv16i8
+/* 10771 */   MCD_OPC_FilterValue, 18, 38, 0, // Skip to: 10813
+/* 10775 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 10778 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 10790
+/* 10782 */   MCD_OPC_CheckPredicate, 0, 187, 116, // Skip to: 40669
+/* 10786 */   MCD_OPC_Decode, 144, 1, 117, // Opcode: CLSv16i8
+/* 10790 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 10802
+/* 10794 */   MCD_OPC_CheckPredicate, 0, 175, 116, // Skip to: 40669
+/* 10798 */   MCD_OPC_Decode, 203, 12, 126, // Opcode: SQXTNv16i8
+/* 10802 */   MCD_OPC_FilterValue, 40, 167, 116, // Skip to: 40669
+/* 10806 */   MCD_OPC_CheckPredicate, 1, 163, 116, // Skip to: 40669
+/* 10810 */   MCD_OPC_Decode, 82, 126, // Opcode: AESErr
+/* 10813 */   MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 10831
+/* 10817 */   MCD_OPC_CheckPredicate, 0, 152, 116, // Skip to: 40669
+/* 10821 */   MCD_OPC_CheckField, 21, 1, 1, 146, 116, // Skip to: 40669
+/* 10827 */   MCD_OPC_Decode, 156, 12, 112, // Opcode: SQSHLv16i8
+/* 10831 */   MCD_OPC_FilterValue, 20, 28, 0, // Skip to: 10863
+/* 10835 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10838 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10851
+/* 10842 */   MCD_OPC_CheckPredicate, 0, 127, 116, // Skip to: 40669
+/* 10846 */   MCD_OPC_Decode, 207, 15, 134, 1, // Opcode: TBXv16i8Three
+/* 10851 */   MCD_OPC_FilterValue, 1, 118, 116, // Skip to: 40669
+/* 10855 */   MCD_OPC_CheckPredicate, 0, 114, 116, // Skip to: 40669
+/* 10859 */   MCD_OPC_Decode, 199, 9, 120, // Opcode: SABALv16i8_v8i16
+/* 10863 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 10881
+/* 10867 */   MCD_OPC_CheckPredicate, 0, 102, 116, // Skip to: 40669
+/* 10871 */   MCD_OPC_CheckField, 21, 1, 1, 96, 116, // Skip to: 40669
+/* 10877 */   MCD_OPC_Decode, 235, 12, 112, // Opcode: SRSHLv16i8
+/* 10881 */   MCD_OPC_FilterValue, 22, 45, 0, // Skip to: 10930
+/* 10885 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10888 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10900
+/* 10892 */   MCD_OPC_CheckPredicate, 0, 77, 116, // Skip to: 40669
+/* 10896 */   MCD_OPC_Decode, 185, 18, 112, // Opcode: UZP2v16i8
+/* 10900 */   MCD_OPC_FilterValue, 1, 69, 116, // Skip to: 40669
+/* 10904 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 10907 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10919
+/* 10911 */   MCD_OPC_CheckPredicate, 0, 58, 116, // Skip to: 40669
+/* 10915 */   MCD_OPC_Decode, 246, 1, 117, // Opcode: CNTv16i8
+/* 10919 */   MCD_OPC_FilterValue, 8, 50, 116, // Skip to: 40669
+/* 10923 */   MCD_OPC_CheckPredicate, 1, 46, 116, // Skip to: 40669
+/* 10927 */   MCD_OPC_Decode, 81, 126, // Opcode: AESDrr
+/* 10930 */   MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 10948
+/* 10934 */   MCD_OPC_CheckPredicate, 0, 35, 116, // Skip to: 40669
+/* 10938 */   MCD_OPC_CheckField, 21, 1, 1, 29, 116, // Skip to: 40669
+/* 10944 */   MCD_OPC_Decode, 240, 11, 112, // Opcode: SQRSHLv16i8
+/* 10948 */   MCD_OPC_FilterValue, 24, 28, 0, // Skip to: 10980
+/* 10952 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10955 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10968
+/* 10959 */   MCD_OPC_CheckPredicate, 0, 10, 116, // Skip to: 40669
+/* 10963 */   MCD_OPC_Decode, 195, 15, 135, 1, // Opcode: TBLv16i8Four
+/* 10968 */   MCD_OPC_FilterValue, 1, 1, 116, // Skip to: 40669
+/* 10972 */   MCD_OPC_CheckPredicate, 0, 253, 115, // Skip to: 40669
+/* 10976 */   MCD_OPC_Decode, 153, 15, 120, // Opcode: SUBHNv8i16_v16i8
+/* 10980 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 10998
+/* 10984 */   MCD_OPC_CheckPredicate, 0, 241, 115, // Skip to: 40669
+/* 10988 */   MCD_OPC_CheckField, 21, 1, 1, 235, 115, // Skip to: 40669
+/* 10994 */   MCD_OPC_Decode, 214, 10, 112, // Opcode: SMAXv16i8
+/* 10998 */   MCD_OPC_FilterValue, 26, 57, 0, // Skip to: 11059
+/* 11002 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 11005 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11017
+/* 11009 */   MCD_OPC_CheckPredicate, 0, 216, 115, // Skip to: 40669
+/* 11013 */   MCD_OPC_Decode, 226, 15, 112, // Opcode: TRN2v16i8
+/* 11017 */   MCD_OPC_FilterValue, 1, 208, 115, // Skip to: 40669
+/* 11021 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 11024 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11036
+/* 11028 */   MCD_OPC_CheckPredicate, 0, 197, 115, // Skip to: 40669
+/* 11032 */   MCD_OPC_Decode, 223, 9, 126, // Opcode: SADALPv16i8_v8i16
+/* 11036 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 11048
+/* 11040 */   MCD_OPC_CheckPredicate, 0, 185, 115, // Skip to: 40669
+/* 11044 */   MCD_OPC_Decode, 199, 3, 126, // Opcode: FCVTNv8i16
+/* 11048 */   MCD_OPC_FilterValue, 8, 177, 115, // Skip to: 40669
+/* 11052 */   MCD_OPC_CheckPredicate, 1, 173, 115, // Skip to: 40669
+/* 11056 */   MCD_OPC_Decode, 84, 117, // Opcode: AESMCrr
+/* 11059 */   MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 11077
+/* 11063 */   MCD_OPC_CheckPredicate, 0, 162, 115, // Skip to: 40669
+/* 11067 */   MCD_OPC_CheckField, 21, 1, 1, 156, 115, // Skip to: 40669
+/* 11073 */   MCD_OPC_Decode, 232, 10, 112, // Opcode: SMINv16i8
+/* 11077 */   MCD_OPC_FilterValue, 28, 28, 0, // Skip to: 11109
+/* 11081 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 11084 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11097
+/* 11088 */   MCD_OPC_CheckPredicate, 0, 137, 115, // Skip to: 40669
+/* 11092 */   MCD_OPC_Decode, 205, 15, 136, 1, // Opcode: TBXv16i8Four
+/* 11097 */   MCD_OPC_FilterValue, 1, 128, 115, // Skip to: 40669
+/* 11101 */   MCD_OPC_CheckPredicate, 0, 124, 115, // Skip to: 40669
+/* 11105 */   MCD_OPC_Decode, 211, 9, 112, // Opcode: SABDLv16i8_v8i16
+/* 11109 */   MCD_OPC_FilterValue, 29, 14, 0, // Skip to: 11127
+/* 11113 */   MCD_OPC_CheckPredicate, 0, 112, 115, // Skip to: 40669
+/* 11117 */   MCD_OPC_CheckField, 21, 1, 1, 106, 115, // Skip to: 40669
+/* 11123 */   MCD_OPC_Decode, 217, 9, 112, // Opcode: SABDv16i8
+/* 11127 */   MCD_OPC_FilterValue, 30, 57, 0, // Skip to: 11188
+/* 11131 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 11134 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11146
+/* 11138 */   MCD_OPC_CheckPredicate, 0, 87, 115, // Skip to: 40669
+/* 11142 */   MCD_OPC_Decode, 205, 18, 112, // Opcode: ZIP2v16i8
+/* 11146 */   MCD_OPC_FilterValue, 1, 79, 115, // Skip to: 40669
+/* 11150 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 11153 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11165
+/* 11157 */   MCD_OPC_CheckPredicate, 0, 68, 115, // Skip to: 40669
+/* 11161 */   MCD_OPC_Decode, 147, 11, 117, // Opcode: SQABSv16i8
+/* 11165 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 11177
+/* 11169 */   MCD_OPC_CheckPredicate, 0, 56, 115, // Skip to: 40669
+/* 11173 */   MCD_OPC_Decode, 159, 3, 117, // Opcode: FCVTLv8i16
+/* 11177 */   MCD_OPC_FilterValue, 8, 48, 115, // Skip to: 40669
+/* 11181 */   MCD_OPC_CheckPredicate, 1, 44, 115, // Skip to: 40669
+/* 11185 */   MCD_OPC_Decode, 83, 117, // Opcode: AESIMCrr
+/* 11188 */   MCD_OPC_FilterValue, 31, 14, 0, // Skip to: 11206
+/* 11192 */   MCD_OPC_CheckPredicate, 0, 33, 115, // Skip to: 40669
+/* 11196 */   MCD_OPC_CheckField, 21, 1, 1, 27, 115, // Skip to: 40669
+/* 11202 */   MCD_OPC_Decode, 205, 9, 120, // Opcode: SABAv16i8
+/* 11206 */   MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 11224
+/* 11210 */   MCD_OPC_CheckPredicate, 0, 15, 115, // Skip to: 40669
+/* 11214 */   MCD_OPC_CheckField, 21, 1, 1, 9, 115, // Skip to: 40669
+/* 11220 */   MCD_OPC_Decode, 238, 10, 120, // Opcode: SMLALv16i8_v8i16
+/* 11224 */   MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 11241
+/* 11228 */   MCD_OPC_CheckPredicate, 0, 253, 114, // Skip to: 40669
+/* 11232 */   MCD_OPC_CheckField, 21, 1, 1, 247, 114, // Skip to: 40669
+/* 11238 */   MCD_OPC_Decode, 69, 112, // Opcode: ADDv16i8
+/* 11241 */   MCD_OPC_FilterValue, 34, 27, 0, // Skip to: 11272
+/* 11245 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 11248 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 11260
+/* 11252 */   MCD_OPC_CheckPredicate, 0, 229, 114, // Skip to: 40669
+/* 11256 */   MCD_OPC_Decode, 191, 1, 117, // Opcode: CMGTv16i8rz
+/* 11260 */   MCD_OPC_FilterValue, 33, 221, 114, // Skip to: 40669
+/* 11264 */   MCD_OPC_CheckPredicate, 0, 217, 114, // Skip to: 40669
+/* 11268 */   MCD_OPC_Decode, 169, 5, 117, // Opcode: FRINTNv4f32
+/* 11272 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 11290
+/* 11276 */   MCD_OPC_CheckPredicate, 0, 205, 114, // Skip to: 40669
+/* 11280 */   MCD_OPC_CheckField, 21, 1, 1, 199, 114, // Skip to: 40669
+/* 11286 */   MCD_OPC_Decode, 238, 1, 112, // Opcode: CMTSTv16i8
+/* 11290 */   MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 11308
+/* 11294 */   MCD_OPC_CheckPredicate, 0, 187, 114, // Skip to: 40669
+/* 11298 */   MCD_OPC_CheckField, 21, 1, 1, 181, 114, // Skip to: 40669
+/* 11304 */   MCD_OPC_Decode, 180, 8, 120, // Opcode: MLAv16i8
+/* 11308 */   MCD_OPC_FilterValue, 38, 27, 0, // Skip to: 11339
+/* 11312 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 11315 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 11327
+/* 11319 */   MCD_OPC_CheckPredicate, 0, 162, 114, // Skip to: 40669
+/* 11323 */   MCD_OPC_Decode, 159, 1, 117, // Opcode: CMEQv16i8rz
+/* 11327 */   MCD_OPC_FilterValue, 33, 154, 114, // Skip to: 40669
+/* 11331 */   MCD_OPC_CheckPredicate, 0, 150, 114, // Skip to: 40669
+/* 11335 */   MCD_OPC_Decode, 164, 5, 117, // Opcode: FRINTMv4f32
+/* 11339 */   MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 11357
+/* 11343 */   MCD_OPC_CheckPredicate, 0, 138, 114, // Skip to: 40669
+/* 11347 */   MCD_OPC_CheckField, 21, 1, 1, 132, 114, // Skip to: 40669
+/* 11353 */   MCD_OPC_Decode, 229, 8, 112, // Opcode: MULv16i8
+/* 11357 */   MCD_OPC_FilterValue, 40, 14, 0, // Skip to: 11375
+/* 11361 */   MCD_OPC_CheckPredicate, 0, 120, 114, // Skip to: 40669
+/* 11365 */   MCD_OPC_CheckField, 21, 1, 1, 114, 114, // Skip to: 40669
+/* 11371 */   MCD_OPC_Decode, 248, 10, 120, // Opcode: SMLSLv16i8_v8i16
+/* 11375 */   MCD_OPC_FilterValue, 41, 14, 0, // Skip to: 11393
+/* 11379 */   MCD_OPC_CheckPredicate, 0, 102, 114, // Skip to: 40669
+/* 11383 */   MCD_OPC_CheckField, 21, 1, 1, 96, 114, // Skip to: 40669
+/* 11389 */   MCD_OPC_Decode, 203, 10, 112, // Opcode: SMAXPv16i8
+/* 11393 */   MCD_OPC_FilterValue, 42, 53, 0, // Skip to: 11450
+/* 11397 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 11400 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 11412
+/* 11404 */   MCD_OPC_CheckPredicate, 0, 77, 114, // Skip to: 40669
+/* 11408 */   MCD_OPC_Decode, 230, 1, 117, // Opcode: CMLTv16i8rz
+/* 11412 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 11424
+/* 11416 */   MCD_OPC_CheckPredicate, 0, 65, 114, // Skip to: 40669
+/* 11420 */   MCD_OPC_Decode, 186, 3, 117, // Opcode: FCVTNSv4f32
+/* 11424 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 11437
+/* 11428 */   MCD_OPC_CheckPredicate, 0, 53, 114, // Skip to: 40669
+/* 11432 */   MCD_OPC_Decode, 209, 10, 137, 1, // Opcode: SMAXVv16i8v
+/* 11437 */   MCD_OPC_FilterValue, 49, 44, 114, // Skip to: 40669
+/* 11441 */   MCD_OPC_CheckPredicate, 0, 40, 114, // Skip to: 40669
+/* 11445 */   MCD_OPC_Decode, 227, 10, 137, 1, // Opcode: SMINVv16i8v
+/* 11450 */   MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 11468
+/* 11454 */   MCD_OPC_CheckPredicate, 0, 27, 114, // Skip to: 40669
+/* 11458 */   MCD_OPC_CheckField, 21, 1, 1, 21, 114, // Skip to: 40669
+/* 11464 */   MCD_OPC_Decode, 221, 10, 112, // Opcode: SMINPv16i8
+/* 11468 */   MCD_OPC_FilterValue, 46, 38, 0, // Skip to: 11510
+/* 11472 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 11475 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 11486
+/* 11479 */   MCD_OPC_CheckPredicate, 0, 2, 114, // Skip to: 40669
+/* 11483 */   MCD_OPC_Decode, 20, 117, // Opcode: ABSv16i8
+/* 11486 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 11498
+/* 11490 */   MCD_OPC_CheckPredicate, 0, 247, 113, // Skip to: 40669
+/* 11494 */   MCD_OPC_Decode, 168, 3, 117, // Opcode: FCVTMSv4f32
+/* 11498 */   MCD_OPC_FilterValue, 49, 239, 113, // Skip to: 40669
+/* 11502 */   MCD_OPC_CheckPredicate, 0, 235, 113, // Skip to: 40669
+/* 11506 */   MCD_OPC_Decode, 55, 137, 1, // Opcode: ADDVv16i8v
+/* 11510 */   MCD_OPC_FilterValue, 47, 13, 0, // Skip to: 11527
+/* 11514 */   MCD_OPC_CheckPredicate, 0, 223, 113, // Skip to: 40669
+/* 11518 */   MCD_OPC_CheckField, 21, 1, 1, 217, 113, // Skip to: 40669
+/* 11524 */   MCD_OPC_Decode, 38, 112, // Opcode: ADDPv16i8
+/* 11527 */   MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 11545
+/* 11531 */   MCD_OPC_CheckPredicate, 0, 206, 113, // Skip to: 40669
+/* 11535 */   MCD_OPC_CheckField, 21, 1, 1, 200, 113, // Skip to: 40669
+/* 11541 */   MCD_OPC_Decode, 137, 11, 112, // Opcode: SMULLv16i8_v8i16
+/* 11545 */   MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 11563
+/* 11549 */   MCD_OPC_CheckPredicate, 0, 188, 113, // Skip to: 40669
+/* 11553 */   MCD_OPC_CheckField, 21, 1, 1, 182, 113, // Skip to: 40669
+/* 11559 */   MCD_OPC_Decode, 171, 4, 112, // Opcode: FMAXNMv4f32
+/* 11563 */   MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 11581
+/* 11567 */   MCD_OPC_CheckPredicate, 0, 170, 113, // Skip to: 40669
+/* 11571 */   MCD_OPC_CheckField, 16, 6, 33, 164, 113, // Skip to: 40669
+/* 11577 */   MCD_OPC_Decode, 142, 3, 117, // Opcode: FCVTASv4f32
+/* 11581 */   MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 11599
+/* 11585 */   MCD_OPC_CheckPredicate, 0, 152, 113, // Skip to: 40669
+/* 11589 */   MCD_OPC_CheckField, 21, 1, 1, 146, 113, // Skip to: 40669
+/* 11595 */   MCD_OPC_Decode, 210, 4, 120, // Opcode: FMLAv4f32
+/* 11599 */   MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 11617
+/* 11603 */   MCD_OPC_CheckPredicate, 0, 134, 113, // Skip to: 40669
+/* 11607 */   MCD_OPC_CheckField, 21, 1, 1, 128, 113, // Skip to: 40669
+/* 11613 */   MCD_OPC_Decode, 207, 2, 112, // Opcode: FADDv4f32
+/* 11617 */   MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 11635
+/* 11621 */   MCD_OPC_CheckPredicate, 0, 116, 113, // Skip to: 40669
+/* 11625 */   MCD_OPC_CheckField, 16, 6, 33, 110, 113, // Skip to: 40669
+/* 11631 */   MCD_OPC_Decode, 146, 10, 117, // Opcode: SCVTFv4f32
+/* 11635 */   MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 11653
+/* 11639 */   MCD_OPC_CheckPredicate, 0, 98, 113, // Skip to: 40669
+/* 11643 */   MCD_OPC_CheckField, 21, 1, 1, 92, 113, // Skip to: 40669
+/* 11649 */   MCD_OPC_Decode, 245, 4, 112, // Opcode: FMULXv4f32
+/* 11653 */   MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 11671
+/* 11657 */   MCD_OPC_CheckPredicate, 0, 80, 113, // Skip to: 40669
+/* 11661 */   MCD_OPC_CheckField, 21, 1, 1, 74, 113, // Skip to: 40669
+/* 11667 */   MCD_OPC_Decode, 145, 9, 112, // Opcode: PMULLv16i8
+/* 11671 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 11689
+/* 11675 */   MCD_OPC_CheckPredicate, 0, 62, 113, // Skip to: 40669
+/* 11679 */   MCD_OPC_CheckField, 21, 1, 1, 56, 113, // Skip to: 40669
+/* 11685 */   MCD_OPC_Decode, 220, 2, 112, // Opcode: FCMEQv4f32
+/* 11689 */   MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 11707
+/* 11693 */   MCD_OPC_CheckPredicate, 0, 44, 113, // Skip to: 40669
+/* 11697 */   MCD_OPC_CheckField, 21, 1, 1, 38, 113, // Skip to: 40669
+/* 11703 */   MCD_OPC_Decode, 181, 4, 112, // Opcode: FMAXv4f32
+/* 11707 */   MCD_OPC_FilterValue, 63, 30, 113, // Skip to: 40669
+/* 11711 */   MCD_OPC_CheckPredicate, 0, 26, 113, // Skip to: 40669
+/* 11715 */   MCD_OPC_CheckField, 21, 1, 1, 20, 113, // Skip to: 40669
+/* 11721 */   MCD_OPC_Decode, 147, 5, 112, // Opcode: FRECPSv4f32
+/* 11725 */   MCD_OPC_FilterValue, 3, 12, 113, // Skip to: 40669
+/* 11729 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 11732 */   MCD_OPC_FilterValue, 0, 60, 2, // Skip to: 12308
+/* 11736 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 11739 */   MCD_OPC_FilterValue, 0, 41, 1, // Skip to: 12040
+/* 11743 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 11746 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11759
+/* 11750 */   MCD_OPC_CheckPredicate, 0, 243, 112, // Skip to: 40669
+/* 11754 */   MCD_OPC_Decode, 175, 2, 138, 1, // Opcode: EXTv16i8
+/* 11759 */   MCD_OPC_FilterValue, 1, 234, 112, // Skip to: 40669
+/* 11763 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
+/* 11766 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11778
+/* 11770 */   MCD_OPC_CheckPredicate, 0, 223, 112, // Skip to: 40669
+/* 11774 */   MCD_OPC_Decode, 146, 16, 112, // Opcode: UADDLv16i8_v8i16
+/* 11778 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11796
+/* 11782 */   MCD_OPC_CheckPredicate, 0, 211, 112, // Skip to: 40669
+/* 11786 */   MCD_OPC_CheckField, 16, 5, 0, 205, 112, // Skip to: 40669
+/* 11792 */   MCD_OPC_Decode, 173, 9, 117, // Opcode: REV32v16i8
+/* 11796 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11808
+/* 11800 */   MCD_OPC_CheckPredicate, 0, 193, 112, // Skip to: 40669
+/* 11804 */   MCD_OPC_Decode, 152, 16, 112, // Opcode: UADDWv16i8_v8i16
+/* 11808 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 11820
+/* 11812 */   MCD_OPC_CheckPredicate, 0, 181, 112, // Skip to: 40669
+/* 11816 */   MCD_OPC_Decode, 166, 18, 112, // Opcode: USUBLv16i8_v8i16
+/* 11820 */   MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 11851
+/* 11824 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 11827 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11839
+/* 11831 */   MCD_OPC_CheckPredicate, 0, 162, 112, // Skip to: 40669
+/* 11835 */   MCD_OPC_Decode, 135, 16, 117, // Opcode: UADDLPv16i8_v8i16
+/* 11839 */   MCD_OPC_FilterValue, 1, 154, 112, // Skip to: 40669
+/* 11843 */   MCD_OPC_CheckPredicate, 0, 150, 112, // Skip to: 40669
+/* 11847 */   MCD_OPC_Decode, 212, 12, 126, // Opcode: SQXTUNv16i8
+/* 11851 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 11863
+/* 11855 */   MCD_OPC_CheckPredicate, 0, 138, 112, // Skip to: 40669
+/* 11859 */   MCD_OPC_Decode, 172, 18, 112, // Opcode: USUBWv16i8_v8i16
+/* 11863 */   MCD_OPC_FilterValue, 7, 40, 0, // Skip to: 11907
+/* 11867 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 11870 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11882
+/* 11874 */   MCD_OPC_CheckPredicate, 0, 119, 112, // Skip to: 40669
+/* 11878 */   MCD_OPC_Decode, 147, 18, 126, // Opcode: USQADDv16i8
+/* 11882 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 11894
+/* 11886 */   MCD_OPC_CheckPredicate, 0, 107, 112, // Skip to: 40669
+/* 11890 */   MCD_OPC_Decode, 168, 10, 117, // Opcode: SHLLv16i8
+/* 11894 */   MCD_OPC_FilterValue, 16, 99, 112, // Skip to: 40669
+/* 11898 */   MCD_OPC_CheckPredicate, 0, 95, 112, // Skip to: 40669
+/* 11902 */   MCD_OPC_Decode, 141, 16, 131, 1, // Opcode: UADDLVv16i8v
+/* 11907 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 11919
+/* 11911 */   MCD_OPC_CheckPredicate, 0, 82, 112, // Skip to: 40669
+/* 11915 */   MCD_OPC_Decode, 160, 9, 120, // Opcode: RADDHNv8i16_v16i8
+/* 11919 */   MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 11950
+/* 11923 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 11926 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11938
+/* 11930 */   MCD_OPC_CheckPredicate, 0, 63, 112, // Skip to: 40669
+/* 11934 */   MCD_OPC_Decode, 152, 1, 117, // Opcode: CLZv16i8
+/* 11938 */   MCD_OPC_FilterValue, 1, 55, 112, // Skip to: 40669
+/* 11942 */   MCD_OPC_CheckPredicate, 0, 51, 112, // Skip to: 40669
+/* 11946 */   MCD_OPC_Decode, 210, 17, 126, // Opcode: UQXTNv16i8
+/* 11950 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 11962
+/* 11954 */   MCD_OPC_CheckPredicate, 0, 39, 112, // Skip to: 40669
+/* 11958 */   MCD_OPC_Decode, 233, 15, 120, // Opcode: UABALv16i8_v8i16
+/* 11962 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 11980
+/* 11966 */   MCD_OPC_CheckPredicate, 0, 27, 112, // Skip to: 40669
+/* 11970 */   MCD_OPC_CheckField, 16, 5, 0, 21, 112, // Skip to: 40669
+/* 11976 */   MCD_OPC_Decode, 253, 8, 117, // Opcode: NOTv16i8
+/* 11980 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 11992
+/* 11984 */   MCD_OPC_CheckPredicate, 0, 9, 112, // Skip to: 40669
+/* 11988 */   MCD_OPC_Decode, 197, 9, 120, // Opcode: RSUBHNv8i16_v16i8
+/* 11992 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12010
+/* 11996 */   MCD_OPC_CheckPredicate, 0, 253, 111, // Skip to: 40669
+/* 12000 */   MCD_OPC_CheckField, 16, 5, 0, 247, 111, // Skip to: 40669
+/* 12006 */   MCD_OPC_Decode, 129, 16, 126, // Opcode: UADALPv16i8_v8i16
+/* 12010 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 12022
+/* 12014 */   MCD_OPC_CheckPredicate, 0, 235, 111, // Skip to: 40669
+/* 12018 */   MCD_OPC_Decode, 245, 15, 112, // Opcode: UABDLv16i8_v8i16
+/* 12022 */   MCD_OPC_FilterValue, 15, 227, 111, // Skip to: 40669
+/* 12026 */   MCD_OPC_CheckPredicate, 0, 223, 111, // Skip to: 40669
+/* 12030 */   MCD_OPC_CheckField, 16, 5, 0, 217, 111, // Skip to: 40669
+/* 12036 */   MCD_OPC_Decode, 217, 11, 117, // Opcode: SQNEGv16i8
+/* 12040 */   MCD_OPC_FilterValue, 1, 209, 111, // Skip to: 40669
+/* 12044 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
+/* 12047 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12065
+/* 12051 */   MCD_OPC_CheckPredicate, 0, 198, 111, // Skip to: 40669
+/* 12055 */   MCD_OPC_CheckField, 21, 1, 1, 192, 111, // Skip to: 40669
+/* 12061 */   MCD_OPC_Decode, 229, 16, 120, // Opcode: UMLALv16i8_v8i16
+/* 12065 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 12096
+/* 12069 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 12072 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 12084
+/* 12076 */   MCD_OPC_CheckPredicate, 0, 173, 111, // Skip to: 40669
+/* 12080 */   MCD_OPC_Decode, 175, 1, 117, // Opcode: CMGEv16i8rz
+/* 12084 */   MCD_OPC_FilterValue, 33, 165, 111, // Skip to: 40669
+/* 12088 */   MCD_OPC_CheckPredicate, 0, 161, 111, // Skip to: 40669
+/* 12092 */   MCD_OPC_Decode, 154, 5, 117, // Opcode: FRINTAv4f32
+/* 12096 */   MCD_OPC_FilterValue, 3, 27, 0, // Skip to: 12127
+/* 12100 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 12103 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 12115
+/* 12107 */   MCD_OPC_CheckPredicate, 0, 142, 111, // Skip to: 40669
+/* 12111 */   MCD_OPC_Decode, 222, 1, 117, // Opcode: CMLEv16i8rz
+/* 12115 */   MCD_OPC_FilterValue, 33, 134, 111, // Skip to: 40669
+/* 12119 */   MCD_OPC_CheckPredicate, 0, 130, 111, // Skip to: 40669
+/* 12123 */   MCD_OPC_Decode, 179, 5, 117, // Opcode: FRINTXv4f32
+/* 12127 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 12145
+/* 12131 */   MCD_OPC_CheckPredicate, 0, 118, 111, // Skip to: 40669
+/* 12135 */   MCD_OPC_CheckField, 21, 1, 1, 112, 111, // Skip to: 40669
+/* 12141 */   MCD_OPC_Decode, 239, 16, 120, // Opcode: UMLSLv16i8_v8i16
+/* 12145 */   MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 12190
+/* 12149 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 12152 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 12164
+/* 12156 */   MCD_OPC_CheckPredicate, 0, 93, 111, // Skip to: 40669
+/* 12160 */   MCD_OPC_Decode, 195, 3, 117, // Opcode: FCVTNUv4f32
+/* 12164 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 12177
+/* 12168 */   MCD_OPC_CheckPredicate, 0, 81, 111, // Skip to: 40669
+/* 12172 */   MCD_OPC_Decode, 201, 16, 137, 1, // Opcode: UMAXVv16i8v
+/* 12177 */   MCD_OPC_FilterValue, 49, 72, 111, // Skip to: 40669
+/* 12181 */   MCD_OPC_CheckPredicate, 0, 68, 111, // Skip to: 40669
+/* 12185 */   MCD_OPC_Decode, 218, 16, 137, 1, // Opcode: UMINVv16i8v
+/* 12190 */   MCD_OPC_FilterValue, 7, 27, 0, // Skip to: 12221
+/* 12194 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 12197 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 12209
+/* 12201 */   MCD_OPC_CheckPredicate, 0, 48, 111, // Skip to: 40669
+/* 12205 */   MCD_OPC_Decode, 245, 8, 117, // Opcode: NEGv16i8
+/* 12209 */   MCD_OPC_FilterValue, 33, 40, 111, // Skip to: 40669
+/* 12213 */   MCD_OPC_CheckPredicate, 0, 36, 111, // Skip to: 40669
+/* 12217 */   MCD_OPC_Decode, 177, 3, 117, // Opcode: FCVTMUv4f32
+/* 12221 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 12239
+/* 12225 */   MCD_OPC_CheckPredicate, 0, 24, 111, // Skip to: 40669
+/* 12229 */   MCD_OPC_CheckField, 21, 1, 1, 18, 111, // Skip to: 40669
+/* 12235 */   MCD_OPC_Decode, 255, 16, 112, // Opcode: UMULLv16i8_v8i16
+/* 12239 */   MCD_OPC_FilterValue, 9, 28, 0, // Skip to: 12271
+/* 12243 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 12246 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 12258
+/* 12250 */   MCD_OPC_CheckPredicate, 0, 255, 110, // Skip to: 40669
+/* 12254 */   MCD_OPC_Decode, 151, 3, 117, // Opcode: FCVTAUv4f32
+/* 12258 */   MCD_OPC_FilterValue, 48, 247, 110, // Skip to: 40669
+/* 12262 */   MCD_OPC_CheckPredicate, 0, 243, 110, // Skip to: 40669
+/* 12266 */   MCD_OPC_Decode, 168, 4, 139, 1, // Opcode: FMAXNMVv4i32v
+/* 12271 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 12289
+/* 12275 */   MCD_OPC_CheckPredicate, 0, 230, 110, // Skip to: 40669
+/* 12279 */   MCD_OPC_CheckField, 16, 6, 33, 224, 110, // Skip to: 40669
+/* 12285 */   MCD_OPC_Decode, 176, 16, 117, // Opcode: UCVTFv4f32
+/* 12289 */   MCD_OPC_FilterValue, 15, 216, 110, // Skip to: 40669
+/* 12293 */   MCD_OPC_CheckPredicate, 0, 212, 110, // Skip to: 40669
+/* 12297 */   MCD_OPC_CheckField, 16, 6, 48, 206, 110, // Skip to: 40669
+/* 12303 */   MCD_OPC_Decode, 178, 4, 139, 1, // Opcode: FMAXVv4i32v
+/* 12308 */   MCD_OPC_FilterValue, 1, 197, 110, // Skip to: 40669
+/* 12312 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 12315 */   MCD_OPC_FilterValue, 0, 43, 1, // Skip to: 12618
+/* 12319 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 12322 */   MCD_OPC_FilterValue, 0, 93, 0, // Skip to: 12419
+/* 12326 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 12329 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 12406
+/* 12333 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 12336 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 12387
+/* 12340 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
+/* 12343 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 12368
+/* 12347 */   MCD_OPC_CheckPredicate, 0, 158, 110, // Skip to: 40669
+/* 12351 */   MCD_OPC_CheckField, 19, 1, 1, 152, 110, // Skip to: 40669
+/* 12357 */   MCD_OPC_CheckField, 11, 3, 0, 146, 110, // Skip to: 40669
+/* 12363 */   MCD_OPC_Decode, 213, 5, 140, 1, // Opcode: INSvi64lane
+/* 12368 */   MCD_OPC_FilterValue, 1, 137, 110, // Skip to: 40669
+/* 12372 */   MCD_OPC_CheckPredicate, 0, 133, 110, // Skip to: 40669
+/* 12376 */   MCD_OPC_CheckField, 11, 2, 0, 127, 110, // Skip to: 40669
+/* 12382 */   MCD_OPC_Decode, 211, 5, 141, 1, // Opcode: INSvi32lane
+/* 12387 */   MCD_OPC_FilterValue, 1, 118, 110, // Skip to: 40669
+/* 12391 */   MCD_OPC_CheckPredicate, 0, 114, 110, // Skip to: 40669
+/* 12395 */   MCD_OPC_CheckField, 11, 1, 0, 108, 110, // Skip to: 40669
+/* 12401 */   MCD_OPC_Decode, 209, 5, 142, 1, // Opcode: INSvi16lane
+/* 12406 */   MCD_OPC_FilterValue, 1, 99, 110, // Skip to: 40669
+/* 12410 */   MCD_OPC_CheckPredicate, 0, 95, 110, // Skip to: 40669
+/* 12414 */   MCD_OPC_Decode, 215, 5, 143, 1, // Opcode: INSvi8lane
+/* 12419 */   MCD_OPC_FilterValue, 1, 86, 110, // Skip to: 40669
+/* 12423 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
+/* 12426 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12438
+/* 12430 */   MCD_OPC_CheckPredicate, 0, 75, 110, // Skip to: 40669
+/* 12434 */   MCD_OPC_Decode, 182, 16, 112, // Opcode: UHADDv16i8
+/* 12438 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 12450
+/* 12442 */   MCD_OPC_CheckPredicate, 0, 63, 110, // Skip to: 40669
+/* 12446 */   MCD_OPC_Decode, 137, 17, 112, // Opcode: UQADDv16i8
+/* 12450 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 12462
+/* 12454 */   MCD_OPC_CheckPredicate, 0, 51, 110, // Skip to: 40669
+/* 12458 */   MCD_OPC_Decode, 221, 17, 112, // Opcode: URHADDv16i8
+/* 12462 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 12474
+/* 12466 */   MCD_OPC_CheckPredicate, 0, 39, 110, // Skip to: 40669
+/* 12470 */   MCD_OPC_Decode, 170, 2, 112, // Opcode: EORv16i8
+/* 12474 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 12486
+/* 12478 */   MCD_OPC_CheckPredicate, 0, 27, 110, // Skip to: 40669
+/* 12482 */   MCD_OPC_Decode, 188, 16, 112, // Opcode: UHSUBv16i8
+/* 12486 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 12498
+/* 12490 */   MCD_OPC_CheckPredicate, 0, 15, 110, // Skip to: 40669
+/* 12494 */   MCD_OPC_Decode, 199, 17, 112, // Opcode: UQSUBv16i8
+/* 12498 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 12510
+/* 12502 */   MCD_OPC_CheckPredicate, 0, 3, 110, // Skip to: 40669
+/* 12506 */   MCD_OPC_Decode, 206, 1, 112, // Opcode: CMHIv16i8
+/* 12510 */   MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 12522
+/* 12514 */   MCD_OPC_CheckPredicate, 0, 247, 109, // Skip to: 40669
+/* 12518 */   MCD_OPC_Decode, 214, 1, 112, // Opcode: CMHSv16i8
+/* 12522 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 12534
+/* 12526 */   MCD_OPC_CheckPredicate, 0, 235, 109, // Skip to: 40669
+/* 12530 */   MCD_OPC_Decode, 131, 18, 112, // Opcode: USHLv16i8
+/* 12534 */   MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 12546
+/* 12538 */   MCD_OPC_CheckPredicate, 0, 223, 109, // Skip to: 40669
+/* 12542 */   MCD_OPC_Decode, 172, 17, 112, // Opcode: UQSHLv16i8
+/* 12546 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 12558
+/* 12550 */   MCD_OPC_CheckPredicate, 0, 211, 109, // Skip to: 40669
+/* 12554 */   MCD_OPC_Decode, 227, 17, 112, // Opcode: URSHLv16i8
+/* 12558 */   MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 12570
+/* 12562 */   MCD_OPC_CheckPredicate, 0, 199, 109, // Skip to: 40669
+/* 12566 */   MCD_OPC_Decode, 148, 17, 112, // Opcode: UQRSHLv16i8
+/* 12570 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 12582
+/* 12574 */   MCD_OPC_CheckPredicate, 0, 187, 109, // Skip to: 40669
+/* 12578 */   MCD_OPC_Decode, 206, 16, 112, // Opcode: UMAXv16i8
+/* 12582 */   MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 12594
+/* 12586 */   MCD_OPC_CheckPredicate, 0, 175, 109, // Skip to: 40669
+/* 12590 */   MCD_OPC_Decode, 223, 16, 112, // Opcode: UMINv16i8
+/* 12594 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 12606
+/* 12598 */   MCD_OPC_CheckPredicate, 0, 163, 109, // Skip to: 40669
+/* 12602 */   MCD_OPC_Decode, 251, 15, 112, // Opcode: UABDv16i8
+/* 12606 */   MCD_OPC_FilterValue, 15, 155, 109, // Skip to: 40669
+/* 12610 */   MCD_OPC_CheckPredicate, 0, 151, 109, // Skip to: 40669
+/* 12614 */   MCD_OPC_Decode, 239, 15, 120, // Opcode: UABAv16i8
+/* 12618 */   MCD_OPC_FilterValue, 1, 143, 109, // Skip to: 40669
+/* 12622 */   MCD_OPC_ExtractField, 11, 4,  // Inst{14-11} ...
+/* 12625 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12643
+/* 12629 */   MCD_OPC_CheckPredicate, 0, 132, 109, // Skip to: 40669
+/* 12633 */   MCD_OPC_CheckField, 21, 1, 1, 126, 109, // Skip to: 40669
+/* 12639 */   MCD_OPC_Decode, 173, 15, 112, // Opcode: SUBv16i8
+/* 12643 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12661
+/* 12647 */   MCD_OPC_CheckPredicate, 0, 114, 109, // Skip to: 40669
+/* 12651 */   MCD_OPC_CheckField, 21, 1, 1, 108, 109, // Skip to: 40669
+/* 12657 */   MCD_OPC_Decode, 158, 1, 112, // Opcode: CMEQv16i8
+/* 12661 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 12679
+/* 12665 */   MCD_OPC_CheckPredicate, 0, 96, 109, // Skip to: 40669
+/* 12669 */   MCD_OPC_CheckField, 21, 1, 1, 90, 109, // Skip to: 40669
+/* 12675 */   MCD_OPC_Decode, 190, 8, 120, // Opcode: MLSv16i8
+/* 12679 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 12697
+/* 12683 */   MCD_OPC_CheckPredicate, 0, 78, 109, // Skip to: 40669
+/* 12687 */   MCD_OPC_CheckField, 21, 1, 1, 72, 109, // Skip to: 40669
+/* 12693 */   MCD_OPC_Decode, 149, 9, 112, // Opcode: PMULv16i8
+/* 12697 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 12715
+/* 12701 */   MCD_OPC_CheckPredicate, 0, 60, 109, // Skip to: 40669
+/* 12705 */   MCD_OPC_CheckField, 21, 1, 1, 54, 109, // Skip to: 40669
+/* 12711 */   MCD_OPC_Decode, 195, 16, 112, // Opcode: UMAXPv16i8
+/* 12715 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 12733
+/* 12719 */   MCD_OPC_CheckPredicate, 0, 42, 109, // Skip to: 40669
+/* 12723 */   MCD_OPC_CheckField, 21, 1, 1, 36, 109, // Skip to: 40669
+/* 12729 */   MCD_OPC_Decode, 212, 16, 112, // Opcode: UMINPv16i8
+/* 12733 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 12751
+/* 12737 */   MCD_OPC_CheckPredicate, 0, 24, 109, // Skip to: 40669
+/* 12741 */   MCD_OPC_CheckField, 21, 1, 1, 18, 109, // Skip to: 40669
+/* 12747 */   MCD_OPC_Decode, 166, 4, 112, // Opcode: FMAXNMPv4f32
+/* 12751 */   MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 12769
+/* 12755 */   MCD_OPC_CheckPredicate, 0, 6, 109, // Skip to: 40669
+/* 12759 */   MCD_OPC_CheckField, 21, 1, 1, 0, 109, // Skip to: 40669
+/* 12765 */   MCD_OPC_Decode, 203, 2, 112, // Opcode: FADDPv4f32
+/* 12769 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 12787
+/* 12773 */   MCD_OPC_CheckPredicate, 0, 244, 108, // Skip to: 40669
+/* 12777 */   MCD_OPC_CheckField, 21, 1, 1, 238, 108, // Skip to: 40669
+/* 12783 */   MCD_OPC_Decode, 253, 4, 112, // Opcode: FMULv4f32
+/* 12787 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 12805
+/* 12791 */   MCD_OPC_CheckPredicate, 0, 226, 108, // Skip to: 40669
+/* 12795 */   MCD_OPC_CheckField, 21, 1, 1, 220, 108, // Skip to: 40669
+/* 12801 */   MCD_OPC_Decode, 230, 2, 112, // Opcode: FCMGEv4f32
+/* 12805 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12823
+/* 12809 */   MCD_OPC_CheckPredicate, 0, 208, 108, // Skip to: 40669
+/* 12813 */   MCD_OPC_CheckField, 21, 1, 1, 202, 108, // Skip to: 40669
+/* 12819 */   MCD_OPC_Decode, 192, 2, 112, // Opcode: FACGEv4f32
+/* 12823 */   MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 12841
+/* 12827 */   MCD_OPC_CheckPredicate, 0, 190, 108, // Skip to: 40669
+/* 12831 */   MCD_OPC_CheckField, 21, 1, 1, 184, 108, // Skip to: 40669
+/* 12837 */   MCD_OPC_Decode, 176, 4, 112, // Opcode: FMAXPv4f32
+/* 12841 */   MCD_OPC_FilterValue, 15, 176, 108, // Skip to: 40669
+/* 12845 */   MCD_OPC_CheckPredicate, 0, 172, 108, // Skip to: 40669
+/* 12849 */   MCD_OPC_CheckField, 21, 1, 1, 166, 108, // Skip to: 40669
+/* 12855 */   MCD_OPC_Decode, 157, 4, 112, // Opcode: FDIVv4f32
+/* 12859 */   MCD_OPC_FilterValue, 9, 129, 18, // Skip to: 17600
+/* 12863 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 12866 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 12945
+/* 12870 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 12873 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12891
+/* 12877 */   MCD_OPC_CheckPredicate, 0, 140, 108, // Skip to: 40669
+/* 12881 */   MCD_OPC_CheckField, 21, 1, 1, 134, 108, // Skip to: 40669
+/* 12887 */   MCD_OPC_Decode, 242, 9, 85, // Opcode: SADDLv4i16_v4i32
+/* 12891 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12909
+/* 12895 */   MCD_OPC_CheckPredicate, 0, 122, 108, // Skip to: 40669
+/* 12899 */   MCD_OPC_CheckField, 21, 1, 1, 116, 108, // Skip to: 40669
+/* 12905 */   MCD_OPC_Decode, 148, 16, 85, // Opcode: UADDLv4i16_v4i32
+/* 12909 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 12927
+/* 12913 */   MCD_OPC_CheckPredicate, 0, 104, 108, // Skip to: 40669
+/* 12917 */   MCD_OPC_CheckField, 21, 1, 1, 98, 108, // Skip to: 40669
+/* 12923 */   MCD_OPC_Decode, 244, 9, 112, // Opcode: SADDLv8i16_v4i32
+/* 12927 */   MCD_OPC_FilterValue, 3, 90, 108, // Skip to: 40669
+/* 12931 */   MCD_OPC_CheckPredicate, 0, 86, 108, // Skip to: 40669
+/* 12935 */   MCD_OPC_CheckField, 21, 1, 1, 80, 108, // Skip to: 40669
+/* 12941 */   MCD_OPC_Decode, 150, 16, 112, // Opcode: UADDLv8i16_v4i32
+/* 12945 */   MCD_OPC_FilterValue, 1, 75, 0, // Skip to: 13024
+/* 12949 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 12952 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12970
+/* 12956 */   MCD_OPC_CheckPredicate, 0, 61, 108, // Skip to: 40669
+/* 12960 */   MCD_OPC_CheckField, 21, 1, 1, 55, 108, // Skip to: 40669
+/* 12966 */   MCD_OPC_Decode, 164, 10, 89, // Opcode: SHADDv4i16
+/* 12970 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12988
+/* 12974 */   MCD_OPC_CheckPredicate, 0, 43, 108, // Skip to: 40669
+/* 12978 */   MCD_OPC_CheckField, 21, 1, 1, 37, 108, // Skip to: 40669
+/* 12984 */   MCD_OPC_Decode, 184, 16, 89, // Opcode: UHADDv4i16
+/* 12988 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13006
+/* 12992 */   MCD_OPC_CheckPredicate, 0, 25, 108, // Skip to: 40669
+/* 12996 */   MCD_OPC_CheckField, 21, 1, 1, 19, 108, // Skip to: 40669
+/* 13002 */   MCD_OPC_Decode, 166, 10, 112, // Opcode: SHADDv8i16
+/* 13006 */   MCD_OPC_FilterValue, 3, 11, 108, // Skip to: 40669
+/* 13010 */   MCD_OPC_CheckPredicate, 0, 7, 108, // Skip to: 40669
+/* 13014 */   MCD_OPC_CheckField, 21, 1, 1, 1, 108, // Skip to: 40669
+/* 13020 */   MCD_OPC_Decode, 186, 16, 112, // Opcode: UHADDv8i16
+/* 13024 */   MCD_OPC_FilterValue, 2, 75, 0, // Skip to: 13103
+/* 13028 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13031 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13049
+/* 13035 */   MCD_OPC_CheckPredicate, 0, 238, 107, // Skip to: 40669
+/* 13039 */   MCD_OPC_CheckField, 16, 6, 32, 232, 107, // Skip to: 40669
+/* 13045 */   MCD_OPC_Decode, 179, 9, 90, // Opcode: REV64v4i16
+/* 13049 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13067
+/* 13053 */   MCD_OPC_CheckPredicate, 0, 220, 107, // Skip to: 40669
+/* 13057 */   MCD_OPC_CheckField, 16, 6, 32, 214, 107, // Skip to: 40669
+/* 13063 */   MCD_OPC_Decode, 174, 9, 90, // Opcode: REV32v4i16
+/* 13067 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13085
+/* 13071 */   MCD_OPC_CheckPredicate, 0, 202, 107, // Skip to: 40669
+/* 13075 */   MCD_OPC_CheckField, 16, 6, 32, 196, 107, // Skip to: 40669
+/* 13081 */   MCD_OPC_Decode, 181, 9, 117, // Opcode: REV64v8i16
+/* 13085 */   MCD_OPC_FilterValue, 3, 188, 107, // Skip to: 40669
+/* 13089 */   MCD_OPC_CheckPredicate, 0, 184, 107, // Skip to: 40669
+/* 13093 */   MCD_OPC_CheckField, 16, 6, 32, 178, 107, // Skip to: 40669
+/* 13099 */   MCD_OPC_Decode, 175, 9, 117, // Opcode: REV32v8i16
+/* 13103 */   MCD_OPC_FilterValue, 3, 75, 0, // Skip to: 13182
+/* 13107 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13110 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13128
+/* 13114 */   MCD_OPC_CheckPredicate, 0, 159, 107, // Skip to: 40669
+/* 13118 */   MCD_OPC_CheckField, 21, 1, 1, 153, 107, // Skip to: 40669
+/* 13124 */   MCD_OPC_Decode, 165, 11, 89, // Opcode: SQADDv4i16
+/* 13128 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13146
+/* 13132 */   MCD_OPC_CheckPredicate, 0, 141, 107, // Skip to: 40669
+/* 13136 */   MCD_OPC_CheckField, 21, 1, 1, 135, 107, // Skip to: 40669
+/* 13142 */   MCD_OPC_Decode, 144, 17, 89, // Opcode: UQADDv4i16
+/* 13146 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13164
+/* 13150 */   MCD_OPC_CheckPredicate, 0, 123, 107, // Skip to: 40669
+/* 13154 */   MCD_OPC_CheckField, 21, 1, 1, 117, 107, // Skip to: 40669
+/* 13160 */   MCD_OPC_Decode, 167, 11, 112, // Opcode: SQADDv8i16
+/* 13164 */   MCD_OPC_FilterValue, 3, 109, 107, // Skip to: 40669
+/* 13168 */   MCD_OPC_CheckPredicate, 0, 105, 107, // Skip to: 40669
+/* 13172 */   MCD_OPC_CheckField, 21, 1, 1, 99, 107, // Skip to: 40669
+/* 13178 */   MCD_OPC_Decode, 146, 17, 112, // Opcode: UQADDv8i16
+/* 13182 */   MCD_OPC_FilterValue, 4, 75, 0, // Skip to: 13261
+/* 13186 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13189 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13207
+/* 13193 */   MCD_OPC_CheckPredicate, 0, 80, 107, // Skip to: 40669
+/* 13197 */   MCD_OPC_CheckField, 21, 1, 1, 74, 107, // Skip to: 40669
+/* 13203 */   MCD_OPC_Decode, 248, 9, 93, // Opcode: SADDWv4i16_v4i32
+/* 13207 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13225
+/* 13211 */   MCD_OPC_CheckPredicate, 0, 62, 107, // Skip to: 40669
+/* 13215 */   MCD_OPC_CheckField, 21, 1, 1, 56, 107, // Skip to: 40669
+/* 13221 */   MCD_OPC_Decode, 154, 16, 93, // Opcode: UADDWv4i16_v4i32
+/* 13225 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13243
+/* 13229 */   MCD_OPC_CheckPredicate, 0, 44, 107, // Skip to: 40669
+/* 13233 */   MCD_OPC_CheckField, 21, 1, 1, 38, 107, // Skip to: 40669
+/* 13239 */   MCD_OPC_Decode, 250, 9, 112, // Opcode: SADDWv8i16_v4i32
+/* 13243 */   MCD_OPC_FilterValue, 3, 30, 107, // Skip to: 40669
+/* 13247 */   MCD_OPC_CheckPredicate, 0, 26, 107, // Skip to: 40669
+/* 13251 */   MCD_OPC_CheckField, 21, 1, 1, 20, 107, // Skip to: 40669
+/* 13257 */   MCD_OPC_Decode, 156, 16, 112, // Opcode: UADDWv8i16_v4i32
+/* 13261 */   MCD_OPC_FilterValue, 5, 75, 0, // Skip to: 13340
+/* 13265 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13268 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13286
+/* 13272 */   MCD_OPC_CheckPredicate, 0, 1, 107, // Skip to: 40669
+/* 13276 */   MCD_OPC_CheckField, 21, 1, 1, 251, 106, // Skip to: 40669
+/* 13282 */   MCD_OPC_Decode, 223, 12, 89, // Opcode: SRHADDv4i16
+/* 13286 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13304
+/* 13290 */   MCD_OPC_CheckPredicate, 0, 239, 106, // Skip to: 40669
+/* 13294 */   MCD_OPC_CheckField, 21, 1, 1, 233, 106, // Skip to: 40669
+/* 13300 */   MCD_OPC_Decode, 223, 17, 89, // Opcode: URHADDv4i16
+/* 13304 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13322
+/* 13308 */   MCD_OPC_CheckPredicate, 0, 221, 106, // Skip to: 40669
+/* 13312 */   MCD_OPC_CheckField, 21, 1, 1, 215, 106, // Skip to: 40669
+/* 13318 */   MCD_OPC_Decode, 225, 12, 112, // Opcode: SRHADDv8i16
+/* 13322 */   MCD_OPC_FilterValue, 3, 207, 106, // Skip to: 40669
+/* 13326 */   MCD_OPC_CheckPredicate, 0, 203, 106, // Skip to: 40669
+/* 13330 */   MCD_OPC_CheckField, 21, 1, 1, 197, 106, // Skip to: 40669
+/* 13336 */   MCD_OPC_Decode, 225, 17, 112, // Opcode: URHADDv8i16
+/* 13340 */   MCD_OPC_FilterValue, 6, 39, 0, // Skip to: 13383
+/* 13344 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13347 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13365
+/* 13351 */   MCD_OPC_CheckPredicate, 0, 178, 106, // Skip to: 40669
+/* 13355 */   MCD_OPC_CheckField, 21, 1, 0, 172, 106, // Skip to: 40669
+/* 13361 */   MCD_OPC_Decode, 181, 18, 89, // Opcode: UZP1v4i16
+/* 13365 */   MCD_OPC_FilterValue, 2, 164, 106, // Skip to: 40669
+/* 13369 */   MCD_OPC_CheckPredicate, 0, 160, 106, // Skip to: 40669
+/* 13373 */   MCD_OPC_CheckField, 21, 1, 0, 154, 106, // Skip to: 40669
+/* 13379 */   MCD_OPC_Decode, 183, 18, 112, // Opcode: UZP1v8i16
+/* 13383 */   MCD_OPC_FilterValue, 7, 71, 0, // Skip to: 13458
+/* 13387 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13390 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 13407
+/* 13394 */   MCD_OPC_CheckPredicate, 0, 135, 106, // Skip to: 40669
+/* 13398 */   MCD_OPC_CheckField, 21, 1, 1, 129, 106, // Skip to: 40669
+/* 13404 */   MCD_OPC_Decode, 117, 89, // Opcode: BICv8i8
+/* 13407 */   MCD_OPC_FilterValue, 1, 13, 0, // Skip to: 13424
+/* 13411 */   MCD_OPC_CheckPredicate, 0, 118, 106, // Skip to: 40669
+/* 13415 */   MCD_OPC_CheckField, 21, 1, 1, 112, 106, // Skip to: 40669
+/* 13421 */   MCD_OPC_Decode, 127, 109, // Opcode: BSLv8i8
+/* 13424 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 13441
+/* 13428 */   MCD_OPC_CheckPredicate, 0, 101, 106, // Skip to: 40669
+/* 13432 */   MCD_OPC_CheckField, 21, 1, 1, 95, 106, // Skip to: 40669
+/* 13438 */   MCD_OPC_Decode, 112, 112, // Opcode: BICv16i8
+/* 13441 */   MCD_OPC_FilterValue, 3, 88, 106, // Skip to: 40669
+/* 13445 */   MCD_OPC_CheckPredicate, 0, 84, 106, // Skip to: 40669
+/* 13449 */   MCD_OPC_CheckField, 21, 1, 1, 78, 106, // Skip to: 40669
+/* 13455 */   MCD_OPC_Decode, 126, 120, // Opcode: BSLv16i8
+/* 13458 */   MCD_OPC_FilterValue, 8, 75, 0, // Skip to: 13537
+/* 13462 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13465 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13483
+/* 13469 */   MCD_OPC_CheckPredicate, 0, 60, 106, // Skip to: 40669
+/* 13473 */   MCD_OPC_CheckField, 21, 1, 1, 54, 106, // Skip to: 40669
+/* 13479 */   MCD_OPC_Decode, 163, 13, 85, // Opcode: SSUBLv4i16_v4i32
+/* 13483 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13501
+/* 13487 */   MCD_OPC_CheckPredicate, 0, 42, 106, // Skip to: 40669
+/* 13491 */   MCD_OPC_CheckField, 21, 1, 1, 36, 106, // Skip to: 40669
+/* 13497 */   MCD_OPC_Decode, 168, 18, 85, // Opcode: USUBLv4i16_v4i32
+/* 13501 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13519
+/* 13505 */   MCD_OPC_CheckPredicate, 0, 24, 106, // Skip to: 40669
+/* 13509 */   MCD_OPC_CheckField, 21, 1, 1, 18, 106, // Skip to: 40669
+/* 13515 */   MCD_OPC_Decode, 165, 13, 112, // Opcode: SSUBLv8i16_v4i32
+/* 13519 */   MCD_OPC_FilterValue, 3, 10, 106, // Skip to: 40669
+/* 13523 */   MCD_OPC_CheckPredicate, 0, 6, 106, // Skip to: 40669
+/* 13527 */   MCD_OPC_CheckField, 21, 1, 1, 0, 106, // Skip to: 40669
+/* 13533 */   MCD_OPC_Decode, 170, 18, 112, // Opcode: USUBLv8i16_v4i32
+/* 13537 */   MCD_OPC_FilterValue, 9, 75, 0, // Skip to: 13616
+/* 13541 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13544 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13562
+/* 13548 */   MCD_OPC_CheckPredicate, 0, 237, 105, // Skip to: 40669
+/* 13552 */   MCD_OPC_CheckField, 21, 1, 1, 231, 105, // Skip to: 40669
+/* 13558 */   MCD_OPC_Decode, 190, 10, 89, // Opcode: SHSUBv4i16
+/* 13562 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13580
+/* 13566 */   MCD_OPC_CheckPredicate, 0, 219, 105, // Skip to: 40669
+/* 13570 */   MCD_OPC_CheckField, 21, 1, 1, 213, 105, // Skip to: 40669
+/* 13576 */   MCD_OPC_Decode, 190, 16, 89, // Opcode: UHSUBv4i16
+/* 13580 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13598
+/* 13584 */   MCD_OPC_CheckPredicate, 0, 201, 105, // Skip to: 40669
+/* 13588 */   MCD_OPC_CheckField, 21, 1, 1, 195, 105, // Skip to: 40669
+/* 13594 */   MCD_OPC_Decode, 192, 10, 112, // Opcode: SHSUBv8i16
+/* 13598 */   MCD_OPC_FilterValue, 3, 187, 105, // Skip to: 40669
+/* 13602 */   MCD_OPC_CheckPredicate, 0, 183, 105, // Skip to: 40669
+/* 13606 */   MCD_OPC_CheckField, 21, 1, 1, 177, 105, // Skip to: 40669
+/* 13612 */   MCD_OPC_Decode, 192, 16, 112, // Opcode: UHSUBv8i16
+/* 13616 */   MCD_OPC_FilterValue, 10, 165, 0, // Skip to: 13785
+/* 13620 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13623 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 13673
+/* 13627 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13630 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13642
+/* 13634 */   MCD_OPC_CheckPredicate, 0, 151, 105, // Skip to: 40669
+/* 13638 */   MCD_OPC_Decode, 222, 15, 89, // Opcode: TRN1v4i16
+/* 13642 */   MCD_OPC_FilterValue, 1, 143, 105, // Skip to: 40669
+/* 13646 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 13649 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13661
+/* 13653 */   MCD_OPC_CheckPredicate, 0, 132, 105, // Skip to: 40669
+/* 13657 */   MCD_OPC_Decode, 231, 9, 90, // Opcode: SADDLPv4i16_v2i32
+/* 13661 */   MCD_OPC_FilterValue, 1, 124, 105, // Skip to: 40669
+/* 13665 */   MCD_OPC_CheckPredicate, 0, 120, 105, // Skip to: 40669
+/* 13669 */   MCD_OPC_Decode, 194, 18, 95, // Opcode: XTNv4i16
+/* 13673 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 13704
+/* 13677 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 13680 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 13692
+/* 13684 */   MCD_OPC_CheckPredicate, 0, 101, 105, // Skip to: 40669
+/* 13688 */   MCD_OPC_Decode, 137, 16, 90, // Opcode: UADDLPv4i16_v2i32
+/* 13692 */   MCD_OPC_FilterValue, 33, 93, 105, // Skip to: 40669
+/* 13696 */   MCD_OPC_CheckPredicate, 0, 89, 105, // Skip to: 40669
+/* 13700 */   MCD_OPC_Decode, 217, 12, 95, // Opcode: SQXTUNv4i16
+/* 13704 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 13754
+/* 13708 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13711 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13723
+/* 13715 */   MCD_OPC_CheckPredicate, 0, 70, 105, // Skip to: 40669
+/* 13719 */   MCD_OPC_Decode, 224, 15, 112, // Opcode: TRN1v8i16
+/* 13723 */   MCD_OPC_FilterValue, 1, 62, 105, // Skip to: 40669
+/* 13727 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 13730 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 13742
+/* 13734 */   MCD_OPC_CheckPredicate, 0, 51, 105, // Skip to: 40669
+/* 13738 */   MCD_OPC_Decode, 233, 9, 117, // Opcode: SADDLPv8i16_v4i32
+/* 13742 */   MCD_OPC_FilterValue, 1, 43, 105, // Skip to: 40669
+/* 13746 */   MCD_OPC_CheckPredicate, 0, 39, 105, // Skip to: 40669
+/* 13750 */   MCD_OPC_Decode, 196, 18, 126, // Opcode: XTNv8i16
+/* 13754 */   MCD_OPC_FilterValue, 3, 31, 105, // Skip to: 40669
+/* 13758 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 13761 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 13773
+/* 13765 */   MCD_OPC_CheckPredicate, 0, 20, 105, // Skip to: 40669
+/* 13769 */   MCD_OPC_Decode, 139, 16, 117, // Opcode: UADDLPv8i16_v4i32
+/* 13773 */   MCD_OPC_FilterValue, 33, 12, 105, // Skip to: 40669
+/* 13777 */   MCD_OPC_CheckPredicate, 0, 8, 105, // Skip to: 40669
+/* 13781 */   MCD_OPC_Decode, 219, 12, 126, // Opcode: SQXTUNv8i16
+/* 13785 */   MCD_OPC_FilterValue, 11, 75, 0, // Skip to: 13864
+/* 13789 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13792 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13810
+/* 13796 */   MCD_OPC_CheckPredicate, 0, 245, 104, // Skip to: 40669
+/* 13800 */   MCD_OPC_CheckField, 21, 1, 1, 239, 104, // Skip to: 40669
+/* 13806 */   MCD_OPC_Decode, 199, 12, 89, // Opcode: SQSUBv4i16
+/* 13810 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13828
+/* 13814 */   MCD_OPC_CheckPredicate, 0, 227, 104, // Skip to: 40669
+/* 13818 */   MCD_OPC_CheckField, 21, 1, 1, 221, 104, // Skip to: 40669
+/* 13824 */   MCD_OPC_Decode, 206, 17, 89, // Opcode: UQSUBv4i16
+/* 13828 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13846
+/* 13832 */   MCD_OPC_CheckPredicate, 0, 209, 104, // Skip to: 40669
+/* 13836 */   MCD_OPC_CheckField, 21, 1, 1, 203, 104, // Skip to: 40669
+/* 13842 */   MCD_OPC_Decode, 201, 12, 112, // Opcode: SQSUBv8i16
+/* 13846 */   MCD_OPC_FilterValue, 3, 195, 104, // Skip to: 40669
+/* 13850 */   MCD_OPC_CheckPredicate, 0, 191, 104, // Skip to: 40669
+/* 13854 */   MCD_OPC_CheckField, 21, 1, 1, 185, 104, // Skip to: 40669
+/* 13860 */   MCD_OPC_Decode, 208, 17, 112, // Opcode: UQSUBv8i16
+/* 13864 */   MCD_OPC_FilterValue, 12, 75, 0, // Skip to: 13943
+/* 13868 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13871 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13889
+/* 13875 */   MCD_OPC_CheckPredicate, 0, 166, 104, // Skip to: 40669
+/* 13879 */   MCD_OPC_CheckField, 21, 1, 1, 160, 104, // Skip to: 40669
+/* 13885 */   MCD_OPC_Decode, 169, 13, 93, // Opcode: SSUBWv4i16_v4i32
+/* 13889 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13907
+/* 13893 */   MCD_OPC_CheckPredicate, 0, 148, 104, // Skip to: 40669
+/* 13897 */   MCD_OPC_CheckField, 21, 1, 1, 142, 104, // Skip to: 40669
+/* 13903 */   MCD_OPC_Decode, 174, 18, 93, // Opcode: USUBWv4i16_v4i32
+/* 13907 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 13925
+/* 13911 */   MCD_OPC_CheckPredicate, 0, 130, 104, // Skip to: 40669
+/* 13915 */   MCD_OPC_CheckField, 21, 1, 1, 124, 104, // Skip to: 40669
+/* 13921 */   MCD_OPC_Decode, 171, 13, 112, // Opcode: SSUBWv8i16_v4i32
+/* 13925 */   MCD_OPC_FilterValue, 3, 116, 104, // Skip to: 40669
+/* 13929 */   MCD_OPC_CheckPredicate, 0, 112, 104, // Skip to: 40669
+/* 13933 */   MCD_OPC_CheckField, 21, 1, 1, 106, 104, // Skip to: 40669
+/* 13939 */   MCD_OPC_Decode, 176, 18, 112, // Opcode: USUBWv8i16_v4i32
+/* 13943 */   MCD_OPC_FilterValue, 13, 75, 0, // Skip to: 14022
+/* 13947 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 13950 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 13968
+/* 13954 */   MCD_OPC_CheckPredicate, 0, 87, 104, // Skip to: 40669
+/* 13958 */   MCD_OPC_CheckField, 21, 1, 1, 81, 104, // Skip to: 40669
+/* 13964 */   MCD_OPC_Decode, 198, 1, 89, // Opcode: CMGTv4i16
+/* 13968 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 13986
+/* 13972 */   MCD_OPC_CheckPredicate, 0, 69, 104, // Skip to: 40669
+/* 13976 */   MCD_OPC_CheckField, 21, 1, 1, 63, 104, // Skip to: 40669
+/* 13982 */   MCD_OPC_Decode, 210, 1, 89, // Opcode: CMHIv4i16
+/* 13986 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14004
+/* 13990 */   MCD_OPC_CheckPredicate, 0, 51, 104, // Skip to: 40669
+/* 13994 */   MCD_OPC_CheckField, 21, 1, 1, 45, 104, // Skip to: 40669
+/* 14000 */   MCD_OPC_Decode, 202, 1, 112, // Opcode: CMGTv8i16
+/* 14004 */   MCD_OPC_FilterValue, 3, 37, 104, // Skip to: 40669
+/* 14008 */   MCD_OPC_CheckPredicate, 0, 33, 104, // Skip to: 40669
+/* 14012 */   MCD_OPC_CheckField, 21, 1, 1, 27, 104, // Skip to: 40669
+/* 14018 */   MCD_OPC_Decode, 212, 1, 112, // Opcode: CMHIv8i16
+/* 14022 */   MCD_OPC_FilterValue, 14, 193, 0, // Skip to: 14219
+/* 14026 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14029 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 14080
+/* 14033 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 14036 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14048
+/* 14040 */   MCD_OPC_CheckPredicate, 0, 1, 104, // Skip to: 40669
+/* 14044 */   MCD_OPC_Decode, 201, 18, 89, // Opcode: ZIP1v4i16
+/* 14048 */   MCD_OPC_FilterValue, 1, 249, 103, // Skip to: 40669
+/* 14052 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 14055 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14067
+/* 14059 */   MCD_OPC_CheckPredicate, 0, 238, 103, // Skip to: 40669
+/* 14063 */   MCD_OPC_Decode, 188, 15, 99, // Opcode: SUQADDv4i16
+/* 14067 */   MCD_OPC_FilterValue, 16, 230, 103, // Skip to: 40669
+/* 14071 */   MCD_OPC_CheckPredicate, 0, 226, 103, // Skip to: 40669
+/* 14075 */   MCD_OPC_Decode, 236, 9, 144, 1, // Opcode: SADDLVv4i16v
+/* 14080 */   MCD_OPC_FilterValue, 1, 40, 0, // Skip to: 14124
+/* 14084 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 14087 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14099
+/* 14091 */   MCD_OPC_CheckPredicate, 0, 206, 103, // Skip to: 40669
+/* 14095 */   MCD_OPC_Decode, 154, 18, 99, // Opcode: USQADDv4i16
+/* 14099 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 14111
+/* 14103 */   MCD_OPC_CheckPredicate, 0, 194, 103, // Skip to: 40669
+/* 14107 */   MCD_OPC_Decode, 170, 10, 108, // Opcode: SHLLv4i16
+/* 14111 */   MCD_OPC_FilterValue, 48, 186, 103, // Skip to: 40669
+/* 14115 */   MCD_OPC_CheckPredicate, 0, 182, 103, // Skip to: 40669
+/* 14119 */   MCD_OPC_Decode, 142, 16, 144, 1, // Opcode: UADDLVv4i16v
+/* 14124 */   MCD_OPC_FilterValue, 2, 47, 0, // Skip to: 14175
+/* 14128 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 14131 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14143
+/* 14135 */   MCD_OPC_CheckPredicate, 0, 162, 103, // Skip to: 40669
+/* 14139 */   MCD_OPC_Decode, 203, 18, 112, // Opcode: ZIP1v8i16
+/* 14143 */   MCD_OPC_FilterValue, 1, 154, 103, // Skip to: 40669
+/* 14147 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 14150 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 14162
+/* 14154 */   MCD_OPC_CheckPredicate, 0, 143, 103, // Skip to: 40669
+/* 14158 */   MCD_OPC_Decode, 190, 15, 126, // Opcode: SUQADDv8i16
+/* 14162 */   MCD_OPC_FilterValue, 16, 135, 103, // Skip to: 40669
+/* 14166 */   MCD_OPC_CheckPredicate, 0, 131, 103, // Skip to: 40669
+/* 14170 */   MCD_OPC_Decode, 238, 9, 139, 1, // Opcode: SADDLVv8i16v
+/* 14175 */   MCD_OPC_FilterValue, 3, 122, 103, // Skip to: 40669
+/* 14179 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 14182 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14194
+/* 14186 */   MCD_OPC_CheckPredicate, 0, 111, 103, // Skip to: 40669
+/* 14190 */   MCD_OPC_Decode, 156, 18, 126, // Opcode: USQADDv8i16
+/* 14194 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 14206
+/* 14198 */   MCD_OPC_CheckPredicate, 0, 99, 103, // Skip to: 40669
+/* 14202 */   MCD_OPC_Decode, 172, 10, 117, // Opcode: SHLLv8i16
+/* 14206 */   MCD_OPC_FilterValue, 48, 91, 103, // Skip to: 40669
+/* 14210 */   MCD_OPC_CheckPredicate, 0, 87, 103, // Skip to: 40669
+/* 14214 */   MCD_OPC_Decode, 144, 16, 139, 1, // Opcode: UADDLVv8i16v
+/* 14219 */   MCD_OPC_FilterValue, 15, 75, 0, // Skip to: 14298
+/* 14223 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14226 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14244
+/* 14230 */   MCD_OPC_CheckPredicate, 0, 67, 103, // Skip to: 40669
+/* 14234 */   MCD_OPC_CheckField, 21, 1, 1, 61, 103, // Skip to: 40669
+/* 14240 */   MCD_OPC_Decode, 182, 1, 89, // Opcode: CMGEv4i16
+/* 14244 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14262
+/* 14248 */   MCD_OPC_CheckPredicate, 0, 49, 103, // Skip to: 40669
+/* 14252 */   MCD_OPC_CheckField, 21, 1, 1, 43, 103, // Skip to: 40669
+/* 14258 */   MCD_OPC_Decode, 218, 1, 89, // Opcode: CMHSv4i16
+/* 14262 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14280
+/* 14266 */   MCD_OPC_CheckPredicate, 0, 31, 103, // Skip to: 40669
+/* 14270 */   MCD_OPC_CheckField, 21, 1, 1, 25, 103, // Skip to: 40669
+/* 14276 */   MCD_OPC_Decode, 186, 1, 112, // Opcode: CMGEv8i16
+/* 14280 */   MCD_OPC_FilterValue, 3, 17, 103, // Skip to: 40669
+/* 14284 */   MCD_OPC_CheckPredicate, 0, 13, 103, // Skip to: 40669
+/* 14288 */   MCD_OPC_CheckField, 21, 1, 1, 7, 103, // Skip to: 40669
+/* 14294 */   MCD_OPC_Decode, 220, 1, 112, // Opcode: CMHSv8i16
+/* 14298 */   MCD_OPC_FilterValue, 16, 73, 0, // Skip to: 14375
+/* 14302 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14305 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 14322
+/* 14309 */   MCD_OPC_CheckPredicate, 0, 244, 102, // Skip to: 40669
+/* 14313 */   MCD_OPC_CheckField, 21, 1, 1, 238, 102, // Skip to: 40669
+/* 14319 */   MCD_OPC_Decode, 34, 103, // Opcode: ADDHNv4i32_v4i16
+/* 14322 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14340
+/* 14326 */   MCD_OPC_CheckPredicate, 0, 227, 102, // Skip to: 40669
+/* 14330 */   MCD_OPC_CheckField, 21, 1, 1, 221, 102, // Skip to: 40669
+/* 14336 */   MCD_OPC_Decode, 158, 9, 103, // Opcode: RADDHNv4i32_v4i16
+/* 14340 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 14357
+/* 14344 */   MCD_OPC_CheckPredicate, 0, 209, 102, // Skip to: 40669
+/* 14348 */   MCD_OPC_CheckField, 21, 1, 1, 203, 102, // Skip to: 40669
+/* 14354 */   MCD_OPC_Decode, 35, 120, // Opcode: ADDHNv4i32_v8i16
+/* 14357 */   MCD_OPC_FilterValue, 3, 196, 102, // Skip to: 40669
+/* 14361 */   MCD_OPC_CheckPredicate, 0, 192, 102, // Skip to: 40669
+/* 14365 */   MCD_OPC_CheckField, 21, 1, 1, 186, 102, // Skip to: 40669
+/* 14371 */   MCD_OPC_Decode, 159, 9, 120, // Opcode: RADDHNv4i32_v8i16
+/* 14375 */   MCD_OPC_FilterValue, 17, 75, 0, // Skip to: 14454
+/* 14379 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14382 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14400
+/* 14386 */   MCD_OPC_CheckPredicate, 0, 167, 102, // Skip to: 40669
+/* 14390 */   MCD_OPC_CheckField, 21, 1, 1, 161, 102, // Skip to: 40669
+/* 14396 */   MCD_OPC_Decode, 141, 13, 89, // Opcode: SSHLv4i16
+/* 14400 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14418
+/* 14404 */   MCD_OPC_CheckPredicate, 0, 149, 102, // Skip to: 40669
+/* 14408 */   MCD_OPC_CheckField, 21, 1, 1, 143, 102, // Skip to: 40669
+/* 14414 */   MCD_OPC_Decode, 135, 18, 89, // Opcode: USHLv4i16
+/* 14418 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14436
+/* 14422 */   MCD_OPC_CheckPredicate, 0, 131, 102, // Skip to: 40669
+/* 14426 */   MCD_OPC_CheckField, 21, 1, 1, 125, 102, // Skip to: 40669
+/* 14432 */   MCD_OPC_Decode, 143, 13, 112, // Opcode: SSHLv8i16
+/* 14436 */   MCD_OPC_FilterValue, 3, 117, 102, // Skip to: 40669
+/* 14440 */   MCD_OPC_CheckPredicate, 0, 113, 102, // Skip to: 40669
+/* 14444 */   MCD_OPC_CheckField, 21, 1, 1, 107, 102, // Skip to: 40669
+/* 14450 */   MCD_OPC_Decode, 137, 18, 112, // Opcode: USHLv8i16
+/* 14454 */   MCD_OPC_FilterValue, 18, 127, 0, // Skip to: 14585
+/* 14458 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14461 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 14492
+/* 14465 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 14468 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14480
+/* 14472 */   MCD_OPC_CheckPredicate, 0, 81, 102, // Skip to: 40669
+/* 14476 */   MCD_OPC_Decode, 146, 1, 90, // Opcode: CLSv4i16
+/* 14480 */   MCD_OPC_FilterValue, 33, 73, 102, // Skip to: 40669
+/* 14484 */   MCD_OPC_CheckPredicate, 0, 69, 102, // Skip to: 40669
+/* 14488 */   MCD_OPC_Decode, 208, 12, 95, // Opcode: SQXTNv4i16
+/* 14492 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 14523
+/* 14496 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 14499 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14511
+/* 14503 */   MCD_OPC_CheckPredicate, 0, 50, 102, // Skip to: 40669
+/* 14507 */   MCD_OPC_Decode, 154, 1, 90, // Opcode: CLZv4i16
+/* 14511 */   MCD_OPC_FilterValue, 33, 42, 102, // Skip to: 40669
+/* 14515 */   MCD_OPC_CheckPredicate, 0, 38, 102, // Skip to: 40669
+/* 14519 */   MCD_OPC_Decode, 215, 17, 95, // Opcode: UQXTNv4i16
+/* 14523 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 14554
+/* 14527 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 14530 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14542
+/* 14534 */   MCD_OPC_CheckPredicate, 0, 19, 102, // Skip to: 40669
+/* 14538 */   MCD_OPC_Decode, 148, 1, 117, // Opcode: CLSv8i16
+/* 14542 */   MCD_OPC_FilterValue, 33, 11, 102, // Skip to: 40669
+/* 14546 */   MCD_OPC_CheckPredicate, 0, 7, 102, // Skip to: 40669
+/* 14550 */   MCD_OPC_Decode, 210, 12, 126, // Opcode: SQXTNv8i16
+/* 14554 */   MCD_OPC_FilterValue, 3, 255, 101, // Skip to: 40669
+/* 14558 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 14561 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 14573
+/* 14565 */   MCD_OPC_CheckPredicate, 0, 244, 101, // Skip to: 40669
+/* 14569 */   MCD_OPC_Decode, 156, 1, 117, // Opcode: CLZv8i16
+/* 14573 */   MCD_OPC_FilterValue, 33, 236, 101, // Skip to: 40669
+/* 14577 */   MCD_OPC_CheckPredicate, 0, 232, 101, // Skip to: 40669
+/* 14581 */   MCD_OPC_Decode, 217, 17, 126, // Opcode: UQXTNv8i16
+/* 14585 */   MCD_OPC_FilterValue, 19, 75, 0, // Skip to: 14664
+/* 14589 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14592 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14610
+/* 14596 */   MCD_OPC_CheckPredicate, 0, 213, 101, // Skip to: 40669
+/* 14600 */   MCD_OPC_CheckField, 21, 1, 1, 207, 101, // Skip to: 40669
+/* 14606 */   MCD_OPC_Decode, 166, 12, 89, // Opcode: SQSHLv4i16
+/* 14610 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14628
+/* 14614 */   MCD_OPC_CheckPredicate, 0, 195, 101, // Skip to: 40669
+/* 14618 */   MCD_OPC_CheckField, 21, 1, 1, 189, 101, // Skip to: 40669
+/* 14624 */   MCD_OPC_Decode, 182, 17, 89, // Opcode: UQSHLv4i16
+/* 14628 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14646
+/* 14632 */   MCD_OPC_CheckPredicate, 0, 177, 101, // Skip to: 40669
+/* 14636 */   MCD_OPC_CheckField, 21, 1, 1, 171, 101, // Skip to: 40669
+/* 14642 */   MCD_OPC_Decode, 170, 12, 112, // Opcode: SQSHLv8i16
+/* 14646 */   MCD_OPC_FilterValue, 3, 163, 101, // Skip to: 40669
+/* 14650 */   MCD_OPC_CheckPredicate, 0, 159, 101, // Skip to: 40669
+/* 14654 */   MCD_OPC_CheckField, 21, 1, 1, 153, 101, // Skip to: 40669
+/* 14660 */   MCD_OPC_Decode, 186, 17, 112, // Opcode: UQSHLv8i16
+/* 14664 */   MCD_OPC_FilterValue, 20, 75, 0, // Skip to: 14743
+/* 14668 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14671 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14689
+/* 14675 */   MCD_OPC_CheckPredicate, 0, 134, 101, // Skip to: 40669
+/* 14679 */   MCD_OPC_CheckField, 21, 1, 1, 128, 101, // Skip to: 40669
+/* 14685 */   MCD_OPC_Decode, 201, 9, 105, // Opcode: SABALv4i16_v4i32
+/* 14689 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14707
+/* 14693 */   MCD_OPC_CheckPredicate, 0, 116, 101, // Skip to: 40669
+/* 14697 */   MCD_OPC_CheckField, 21, 1, 1, 110, 101, // Skip to: 40669
+/* 14703 */   MCD_OPC_Decode, 235, 15, 105, // Opcode: UABALv4i16_v4i32
+/* 14707 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14725
+/* 14711 */   MCD_OPC_CheckPredicate, 0, 98, 101, // Skip to: 40669
+/* 14715 */   MCD_OPC_CheckField, 21, 1, 1, 92, 101, // Skip to: 40669
+/* 14721 */   MCD_OPC_Decode, 203, 9, 120, // Opcode: SABALv8i16_v4i32
+/* 14725 */   MCD_OPC_FilterValue, 3, 84, 101, // Skip to: 40669
+/* 14729 */   MCD_OPC_CheckPredicate, 0, 80, 101, // Skip to: 40669
+/* 14733 */   MCD_OPC_CheckField, 21, 1, 1, 74, 101, // Skip to: 40669
+/* 14739 */   MCD_OPC_Decode, 237, 15, 120, // Opcode: UABALv8i16_v4i32
+/* 14743 */   MCD_OPC_FilterValue, 21, 75, 0, // Skip to: 14822
+/* 14747 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14750 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14768
+/* 14754 */   MCD_OPC_CheckPredicate, 0, 55, 101, // Skip to: 40669
+/* 14758 */   MCD_OPC_CheckField, 21, 1, 1, 49, 101, // Skip to: 40669
+/* 14764 */   MCD_OPC_Decode, 239, 12, 89, // Opcode: SRSHLv4i16
+/* 14768 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14786
+/* 14772 */   MCD_OPC_CheckPredicate, 0, 37, 101, // Skip to: 40669
+/* 14776 */   MCD_OPC_CheckField, 21, 1, 1, 31, 101, // Skip to: 40669
+/* 14782 */   MCD_OPC_Decode, 231, 17, 89, // Opcode: URSHLv4i16
+/* 14786 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14804
+/* 14790 */   MCD_OPC_CheckPredicate, 0, 19, 101, // Skip to: 40669
+/* 14794 */   MCD_OPC_CheckField, 21, 1, 1, 13, 101, // Skip to: 40669
+/* 14800 */   MCD_OPC_Decode, 241, 12, 112, // Opcode: SRSHLv8i16
+/* 14804 */   MCD_OPC_FilterValue, 3, 5, 101, // Skip to: 40669
+/* 14808 */   MCD_OPC_CheckPredicate, 0, 1, 101, // Skip to: 40669
+/* 14812 */   MCD_OPC_CheckField, 21, 1, 1, 251, 100, // Skip to: 40669
+/* 14818 */   MCD_OPC_Decode, 233, 17, 112, // Opcode: URSHLv8i16
+/* 14822 */   MCD_OPC_FilterValue, 22, 75, 0, // Skip to: 14901
+/* 14826 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14829 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14847
+/* 14833 */   MCD_OPC_CheckPredicate, 0, 232, 100, // Skip to: 40669
+/* 14837 */   MCD_OPC_CheckField, 21, 1, 0, 226, 100, // Skip to: 40669
+/* 14843 */   MCD_OPC_Decode, 188, 18, 89, // Opcode: UZP2v4i16
+/* 14847 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14865
+/* 14851 */   MCD_OPC_CheckPredicate, 0, 214, 100, // Skip to: 40669
+/* 14855 */   MCD_OPC_CheckField, 16, 6, 32, 208, 100, // Skip to: 40669
+/* 14861 */   MCD_OPC_Decode, 165, 9, 90, // Opcode: RBITv8i8
+/* 14865 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14883
+/* 14869 */   MCD_OPC_CheckPredicate, 0, 196, 100, // Skip to: 40669
+/* 14873 */   MCD_OPC_CheckField, 21, 1, 0, 190, 100, // Skip to: 40669
+/* 14879 */   MCD_OPC_Decode, 190, 18, 112, // Opcode: UZP2v8i16
+/* 14883 */   MCD_OPC_FilterValue, 3, 182, 100, // Skip to: 40669
+/* 14887 */   MCD_OPC_CheckPredicate, 0, 178, 100, // Skip to: 40669
+/* 14891 */   MCD_OPC_CheckField, 16, 6, 32, 172, 100, // Skip to: 40669
+/* 14897 */   MCD_OPC_Decode, 164, 9, 117, // Opcode: RBITv16i8
+/* 14901 */   MCD_OPC_FilterValue, 23, 75, 0, // Skip to: 14980
+/* 14905 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14908 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 14926
+/* 14912 */   MCD_OPC_CheckPredicate, 0, 153, 100, // Skip to: 40669
+/* 14916 */   MCD_OPC_CheckField, 21, 1, 1, 147, 100, // Skip to: 40669
+/* 14922 */   MCD_OPC_Decode, 247, 11, 89, // Opcode: SQRSHLv4i16
+/* 14926 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 14944
+/* 14930 */   MCD_OPC_CheckPredicate, 0, 135, 100, // Skip to: 40669
+/* 14934 */   MCD_OPC_CheckField, 21, 1, 1, 129, 100, // Skip to: 40669
+/* 14940 */   MCD_OPC_Decode, 155, 17, 89, // Opcode: UQRSHLv4i16
+/* 14944 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 14962
+/* 14948 */   MCD_OPC_CheckPredicate, 0, 117, 100, // Skip to: 40669
+/* 14952 */   MCD_OPC_CheckField, 21, 1, 1, 111, 100, // Skip to: 40669
+/* 14958 */   MCD_OPC_Decode, 249, 11, 112, // Opcode: SQRSHLv8i16
+/* 14962 */   MCD_OPC_FilterValue, 3, 103, 100, // Skip to: 40669
+/* 14966 */   MCD_OPC_CheckPredicate, 0, 99, 100, // Skip to: 40669
+/* 14970 */   MCD_OPC_CheckField, 21, 1, 1, 93, 100, // Skip to: 40669
+/* 14976 */   MCD_OPC_Decode, 157, 17, 112, // Opcode: UQRSHLv8i16
+/* 14980 */   MCD_OPC_FilterValue, 24, 75, 0, // Skip to: 15059
+/* 14984 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 14987 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15005
+/* 14991 */   MCD_OPC_CheckPredicate, 0, 74, 100, // Skip to: 40669
+/* 14995 */   MCD_OPC_CheckField, 21, 1, 1, 68, 100, // Skip to: 40669
+/* 15001 */   MCD_OPC_Decode, 151, 15, 103, // Opcode: SUBHNv4i32_v4i16
+/* 15005 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15023
+/* 15009 */   MCD_OPC_CheckPredicate, 0, 56, 100, // Skip to: 40669
+/* 15013 */   MCD_OPC_CheckField, 21, 1, 1, 50, 100, // Skip to: 40669
+/* 15019 */   MCD_OPC_Decode, 195, 9, 103, // Opcode: RSUBHNv4i32_v4i16
+/* 15023 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15041
+/* 15027 */   MCD_OPC_CheckPredicate, 0, 38, 100, // Skip to: 40669
+/* 15031 */   MCD_OPC_CheckField, 21, 1, 1, 32, 100, // Skip to: 40669
+/* 15037 */   MCD_OPC_Decode, 152, 15, 120, // Opcode: SUBHNv4i32_v8i16
+/* 15041 */   MCD_OPC_FilterValue, 3, 24, 100, // Skip to: 40669
+/* 15045 */   MCD_OPC_CheckPredicate, 0, 20, 100, // Skip to: 40669
+/* 15049 */   MCD_OPC_CheckField, 21, 1, 1, 14, 100, // Skip to: 40669
+/* 15055 */   MCD_OPC_Decode, 196, 9, 120, // Opcode: RSUBHNv4i32_v8i16
+/* 15059 */   MCD_OPC_FilterValue, 25, 75, 0, // Skip to: 15138
+/* 15063 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15066 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15084
+/* 15070 */   MCD_OPC_CheckPredicate, 0, 251, 99, // Skip to: 40669
+/* 15074 */   MCD_OPC_CheckField, 21, 1, 1, 245, 99, // Skip to: 40669
+/* 15080 */   MCD_OPC_Decode, 216, 10, 89, // Opcode: SMAXv4i16
+/* 15084 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15102
+/* 15088 */   MCD_OPC_CheckPredicate, 0, 233, 99, // Skip to: 40669
+/* 15092 */   MCD_OPC_CheckField, 21, 1, 1, 227, 99, // Skip to: 40669
+/* 15098 */   MCD_OPC_Decode, 208, 16, 89, // Opcode: UMAXv4i16
+/* 15102 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15120
+/* 15106 */   MCD_OPC_CheckPredicate, 0, 215, 99, // Skip to: 40669
+/* 15110 */   MCD_OPC_CheckField, 21, 1, 1, 209, 99, // Skip to: 40669
+/* 15116 */   MCD_OPC_Decode, 218, 10, 112, // Opcode: SMAXv8i16
+/* 15120 */   MCD_OPC_FilterValue, 3, 201, 99, // Skip to: 40669
+/* 15124 */   MCD_OPC_CheckPredicate, 0, 197, 99, // Skip to: 40669
+/* 15128 */   MCD_OPC_CheckField, 21, 1, 1, 191, 99, // Skip to: 40669
+/* 15134 */   MCD_OPC_Decode, 210, 16, 112, // Opcode: UMAXv8i16
+/* 15138 */   MCD_OPC_FilterValue, 26, 165, 0, // Skip to: 15307
+/* 15142 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15145 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 15195
+/* 15149 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 15152 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15164
+/* 15156 */   MCD_OPC_CheckPredicate, 0, 165, 99, // Skip to: 40669
+/* 15160 */   MCD_OPC_Decode, 229, 15, 89, // Opcode: TRN2v4i16
+/* 15164 */   MCD_OPC_FilterValue, 1, 157, 99, // Skip to: 40669
+/* 15168 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 15171 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15183
+/* 15175 */   MCD_OPC_CheckPredicate, 0, 146, 99, // Skip to: 40669
+/* 15179 */   MCD_OPC_Decode, 225, 9, 99, // Opcode: SADALPv4i16_v2i32
+/* 15183 */   MCD_OPC_FilterValue, 1, 138, 99, // Skip to: 40669
+/* 15187 */   MCD_OPC_CheckPredicate, 0, 134, 99, // Skip to: 40669
+/* 15191 */   MCD_OPC_Decode, 196, 3, 95, // Opcode: FCVTNv2i32
+/* 15195 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 15226
+/* 15199 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 15202 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15214
+/* 15206 */   MCD_OPC_CheckPredicate, 0, 115, 99, // Skip to: 40669
+/* 15210 */   MCD_OPC_Decode, 131, 16, 99, // Opcode: UADALPv4i16_v2i32
+/* 15214 */   MCD_OPC_FilterValue, 33, 107, 99, // Skip to: 40669
+/* 15218 */   MCD_OPC_CheckPredicate, 0, 103, 99, // Skip to: 40669
+/* 15222 */   MCD_OPC_Decode, 221, 3, 95, // Opcode: FCVTXNv2f32
+/* 15226 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 15276
+/* 15230 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 15233 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15245
+/* 15237 */   MCD_OPC_CheckPredicate, 0, 84, 99, // Skip to: 40669
+/* 15241 */   MCD_OPC_Decode, 231, 15, 112, // Opcode: TRN2v8i16
+/* 15245 */   MCD_OPC_FilterValue, 1, 76, 99, // Skip to: 40669
+/* 15249 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 15252 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15264
+/* 15256 */   MCD_OPC_CheckPredicate, 0, 65, 99, // Skip to: 40669
+/* 15260 */   MCD_OPC_Decode, 227, 9, 126, // Opcode: SADALPv8i16_v4i32
+/* 15264 */   MCD_OPC_FilterValue, 1, 57, 99, // Skip to: 40669
+/* 15268 */   MCD_OPC_CheckPredicate, 0, 53, 99, // Skip to: 40669
+/* 15272 */   MCD_OPC_Decode, 198, 3, 126, // Opcode: FCVTNv4i32
+/* 15276 */   MCD_OPC_FilterValue, 3, 45, 99, // Skip to: 40669
+/* 15280 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 15283 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15295
+/* 15287 */   MCD_OPC_CheckPredicate, 0, 34, 99, // Skip to: 40669
+/* 15291 */   MCD_OPC_Decode, 133, 16, 126, // Opcode: UADALPv8i16_v4i32
+/* 15295 */   MCD_OPC_FilterValue, 33, 26, 99, // Skip to: 40669
+/* 15299 */   MCD_OPC_CheckPredicate, 0, 22, 99, // Skip to: 40669
+/* 15303 */   MCD_OPC_Decode, 222, 3, 126, // Opcode: FCVTXNv4f32
+/* 15307 */   MCD_OPC_FilterValue, 27, 75, 0, // Skip to: 15386
+/* 15311 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15314 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15332
+/* 15318 */   MCD_OPC_CheckPredicate, 0, 3, 99, // Skip to: 40669
+/* 15322 */   MCD_OPC_CheckField, 21, 1, 1, 253, 98, // Skip to: 40669
+/* 15328 */   MCD_OPC_Decode, 234, 10, 89, // Opcode: SMINv4i16
+/* 15332 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15350
+/* 15336 */   MCD_OPC_CheckPredicate, 0, 241, 98, // Skip to: 40669
+/* 15340 */   MCD_OPC_CheckField, 21, 1, 1, 235, 98, // Skip to: 40669
+/* 15346 */   MCD_OPC_Decode, 225, 16, 89, // Opcode: UMINv4i16
+/* 15350 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15368
+/* 15354 */   MCD_OPC_CheckPredicate, 0, 223, 98, // Skip to: 40669
+/* 15358 */   MCD_OPC_CheckField, 21, 1, 1, 217, 98, // Skip to: 40669
+/* 15364 */   MCD_OPC_Decode, 236, 10, 112, // Opcode: SMINv8i16
+/* 15368 */   MCD_OPC_FilterValue, 3, 209, 98, // Skip to: 40669
+/* 15372 */   MCD_OPC_CheckPredicate, 0, 205, 98, // Skip to: 40669
+/* 15376 */   MCD_OPC_CheckField, 21, 1, 1, 199, 98, // Skip to: 40669
+/* 15382 */   MCD_OPC_Decode, 227, 16, 112, // Opcode: UMINv8i16
+/* 15386 */   MCD_OPC_FilterValue, 28, 75, 0, // Skip to: 15465
+/* 15390 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15393 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15411
+/* 15397 */   MCD_OPC_CheckPredicate, 0, 180, 98, // Skip to: 40669
+/* 15401 */   MCD_OPC_CheckField, 21, 1, 1, 174, 98, // Skip to: 40669
+/* 15407 */   MCD_OPC_Decode, 213, 9, 85, // Opcode: SABDLv4i16_v4i32
+/* 15411 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15429
+/* 15415 */   MCD_OPC_CheckPredicate, 0, 162, 98, // Skip to: 40669
+/* 15419 */   MCD_OPC_CheckField, 21, 1, 1, 156, 98, // Skip to: 40669
+/* 15425 */   MCD_OPC_Decode, 247, 15, 85, // Opcode: UABDLv4i16_v4i32
+/* 15429 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15447
+/* 15433 */   MCD_OPC_CheckPredicate, 0, 144, 98, // Skip to: 40669
+/* 15437 */   MCD_OPC_CheckField, 21, 1, 1, 138, 98, // Skip to: 40669
+/* 15443 */   MCD_OPC_Decode, 215, 9, 112, // Opcode: SABDLv8i16_v4i32
+/* 15447 */   MCD_OPC_FilterValue, 3, 130, 98, // Skip to: 40669
+/* 15451 */   MCD_OPC_CheckPredicate, 0, 126, 98, // Skip to: 40669
+/* 15455 */   MCD_OPC_CheckField, 21, 1, 1, 120, 98, // Skip to: 40669
+/* 15461 */   MCD_OPC_Decode, 249, 15, 112, // Opcode: UABDLv8i16_v4i32
+/* 15465 */   MCD_OPC_FilterValue, 29, 75, 0, // Skip to: 15544
+/* 15469 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15472 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15490
+/* 15476 */   MCD_OPC_CheckPredicate, 0, 101, 98, // Skip to: 40669
+/* 15480 */   MCD_OPC_CheckField, 21, 1, 1, 95, 98, // Skip to: 40669
+/* 15486 */   MCD_OPC_Decode, 219, 9, 89, // Opcode: SABDv4i16
+/* 15490 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15508
+/* 15494 */   MCD_OPC_CheckPredicate, 0, 83, 98, // Skip to: 40669
+/* 15498 */   MCD_OPC_CheckField, 21, 1, 1, 77, 98, // Skip to: 40669
+/* 15504 */   MCD_OPC_Decode, 253, 15, 89, // Opcode: UABDv4i16
+/* 15508 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15526
+/* 15512 */   MCD_OPC_CheckPredicate, 0, 65, 98, // Skip to: 40669
+/* 15516 */   MCD_OPC_CheckField, 21, 1, 1, 59, 98, // Skip to: 40669
+/* 15522 */   MCD_OPC_Decode, 221, 9, 112, // Opcode: SABDv8i16
+/* 15526 */   MCD_OPC_FilterValue, 3, 51, 98, // Skip to: 40669
+/* 15530 */   MCD_OPC_CheckPredicate, 0, 47, 98, // Skip to: 40669
+/* 15534 */   MCD_OPC_CheckField, 21, 1, 1, 41, 98, // Skip to: 40669
+/* 15540 */   MCD_OPC_Decode, 255, 15, 112, // Opcode: UABDv8i16
+/* 15544 */   MCD_OPC_FilterValue, 30, 139, 0, // Skip to: 15687
+/* 15548 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15551 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 15601
+/* 15555 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 15558 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15570
+/* 15562 */   MCD_OPC_CheckPredicate, 0, 15, 98, // Skip to: 40669
+/* 15566 */   MCD_OPC_Decode, 208, 18, 89, // Opcode: ZIP2v4i16
+/* 15570 */   MCD_OPC_FilterValue, 1, 7, 98, // Skip to: 40669
+/* 15574 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 15577 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15589
+/* 15581 */   MCD_OPC_CheckPredicate, 0, 252, 97, // Skip to: 40669
+/* 15585 */   MCD_OPC_Decode, 154, 11, 90, // Opcode: SQABSv4i16
+/* 15589 */   MCD_OPC_FilterValue, 1, 244, 97, // Skip to: 40669
+/* 15593 */   MCD_OPC_CheckPredicate, 0, 240, 97, // Skip to: 40669
+/* 15597 */   MCD_OPC_Decode, 156, 3, 108, // Opcode: FCVTLv2i32
+/* 15601 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15619
+/* 15605 */   MCD_OPC_CheckPredicate, 0, 228, 97, // Skip to: 40669
+/* 15609 */   MCD_OPC_CheckField, 16, 6, 32, 222, 97, // Skip to: 40669
+/* 15615 */   MCD_OPC_Decode, 224, 11, 90, // Opcode: SQNEGv4i16
+/* 15619 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 15669
+/* 15623 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 15626 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15638
+/* 15630 */   MCD_OPC_CheckPredicate, 0, 203, 97, // Skip to: 40669
+/* 15634 */   MCD_OPC_Decode, 210, 18, 112, // Opcode: ZIP2v8i16
+/* 15638 */   MCD_OPC_FilterValue, 1, 195, 97, // Skip to: 40669
+/* 15642 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 15645 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 15657
+/* 15649 */   MCD_OPC_CheckPredicate, 0, 184, 97, // Skip to: 40669
+/* 15653 */   MCD_OPC_Decode, 156, 11, 117, // Opcode: SQABSv8i16
+/* 15657 */   MCD_OPC_FilterValue, 1, 176, 97, // Skip to: 40669
+/* 15661 */   MCD_OPC_CheckPredicate, 0, 172, 97, // Skip to: 40669
+/* 15665 */   MCD_OPC_Decode, 158, 3, 117, // Opcode: FCVTLv4i32
+/* 15669 */   MCD_OPC_FilterValue, 3, 164, 97, // Skip to: 40669
+/* 15673 */   MCD_OPC_CheckPredicate, 0, 160, 97, // Skip to: 40669
+/* 15677 */   MCD_OPC_CheckField, 16, 6, 32, 154, 97, // Skip to: 40669
+/* 15683 */   MCD_OPC_Decode, 226, 11, 117, // Opcode: SQNEGv8i16
+/* 15687 */   MCD_OPC_FilterValue, 31, 75, 0, // Skip to: 15766
+/* 15691 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15694 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15712
+/* 15698 */   MCD_OPC_CheckPredicate, 0, 135, 97, // Skip to: 40669
+/* 15702 */   MCD_OPC_CheckField, 21, 1, 1, 129, 97, // Skip to: 40669
+/* 15708 */   MCD_OPC_Decode, 207, 9, 109, // Opcode: SABAv4i16
+/* 15712 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15730
+/* 15716 */   MCD_OPC_CheckPredicate, 0, 117, 97, // Skip to: 40669
+/* 15720 */   MCD_OPC_CheckField, 21, 1, 1, 111, 97, // Skip to: 40669
+/* 15726 */   MCD_OPC_Decode, 241, 15, 109, // Opcode: UABAv4i16
+/* 15730 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15748
+/* 15734 */   MCD_OPC_CheckPredicate, 0, 99, 97, // Skip to: 40669
+/* 15738 */   MCD_OPC_CheckField, 21, 1, 1, 93, 97, // Skip to: 40669
+/* 15744 */   MCD_OPC_Decode, 209, 9, 120, // Opcode: SABAv8i16
+/* 15748 */   MCD_OPC_FilterValue, 3, 85, 97, // Skip to: 40669
+/* 15752 */   MCD_OPC_CheckPredicate, 0, 81, 97, // Skip to: 40669
+/* 15756 */   MCD_OPC_CheckField, 21, 1, 1, 75, 97, // Skip to: 40669
+/* 15762 */   MCD_OPC_Decode, 243, 15, 120, // Opcode: UABAv8i16
+/* 15766 */   MCD_OPC_FilterValue, 32, 75, 0, // Skip to: 15845
+/* 15770 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15773 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15791
+/* 15777 */   MCD_OPC_CheckPredicate, 0, 56, 97, // Skip to: 40669
+/* 15781 */   MCD_OPC_CheckField, 21, 1, 1, 50, 97, // Skip to: 40669
+/* 15787 */   MCD_OPC_Decode, 242, 10, 105, // Opcode: SMLALv4i16_v4i32
+/* 15791 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15809
+/* 15795 */   MCD_OPC_CheckPredicate, 0, 38, 97, // Skip to: 40669
+/* 15799 */   MCD_OPC_CheckField, 21, 1, 1, 32, 97, // Skip to: 40669
+/* 15805 */   MCD_OPC_Decode, 233, 16, 105, // Opcode: UMLALv4i16_v4i32
+/* 15809 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 15827
+/* 15813 */   MCD_OPC_CheckPredicate, 0, 20, 97, // Skip to: 40669
+/* 15817 */   MCD_OPC_CheckField, 21, 1, 1, 14, 97, // Skip to: 40669
+/* 15823 */   MCD_OPC_Decode, 246, 10, 120, // Opcode: SMLALv8i16_v4i32
+/* 15827 */   MCD_OPC_FilterValue, 3, 6, 97, // Skip to: 40669
+/* 15831 */   MCD_OPC_CheckPredicate, 0, 2, 97, // Skip to: 40669
+/* 15835 */   MCD_OPC_CheckField, 21, 1, 1, 252, 96, // Skip to: 40669
+/* 15841 */   MCD_OPC_Decode, 237, 16, 120, // Opcode: UMLALv8i16_v4i32
+/* 15845 */   MCD_OPC_FilterValue, 33, 73, 0, // Skip to: 15922
+/* 15849 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15852 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 15869
+/* 15856 */   MCD_OPC_CheckPredicate, 0, 233, 96, // Skip to: 40669
+/* 15860 */   MCD_OPC_CheckField, 21, 1, 1, 227, 96, // Skip to: 40669
+/* 15866 */   MCD_OPC_Decode, 73, 89, // Opcode: ADDv4i16
+/* 15869 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15887
+/* 15873 */   MCD_OPC_CheckPredicate, 0, 216, 96, // Skip to: 40669
+/* 15877 */   MCD_OPC_CheckField, 21, 1, 1, 210, 96, // Skip to: 40669
+/* 15883 */   MCD_OPC_Decode, 177, 15, 89, // Opcode: SUBv4i16
+/* 15887 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 15904
+/* 15891 */   MCD_OPC_CheckPredicate, 0, 198, 96, // Skip to: 40669
+/* 15895 */   MCD_OPC_CheckField, 21, 1, 1, 192, 96, // Skip to: 40669
+/* 15901 */   MCD_OPC_Decode, 75, 112, // Opcode: ADDv8i16
+/* 15904 */   MCD_OPC_FilterValue, 3, 185, 96, // Skip to: 40669
+/* 15908 */   MCD_OPC_CheckPredicate, 0, 181, 96, // Skip to: 40669
+/* 15912 */   MCD_OPC_CheckField, 21, 1, 1, 175, 96, // Skip to: 40669
+/* 15918 */   MCD_OPC_Decode, 179, 15, 112, // Opcode: SUBv8i16
+/* 15922 */   MCD_OPC_FilterValue, 34, 101, 0, // Skip to: 16027
+/* 15926 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 15929 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 15947
+/* 15933 */   MCD_OPC_CheckPredicate, 0, 156, 96, // Skip to: 40669
+/* 15937 */   MCD_OPC_CheckField, 16, 6, 32, 150, 96, // Skip to: 40669
+/* 15943 */   MCD_OPC_Decode, 199, 1, 90, // Opcode: CMGTv4i16rz
+/* 15947 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 15965
+/* 15951 */   MCD_OPC_CheckPredicate, 0, 138, 96, // Skip to: 40669
+/* 15955 */   MCD_OPC_CheckField, 16, 6, 32, 132, 96, // Skip to: 40669
+/* 15961 */   MCD_OPC_Decode, 183, 1, 90, // Opcode: CMGEv4i16rz
+/* 15965 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 15996
+/* 15969 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 15972 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 15984
+/* 15976 */   MCD_OPC_CheckPredicate, 0, 113, 96, // Skip to: 40669
+/* 15980 */   MCD_OPC_Decode, 203, 1, 117, // Opcode: CMGTv8i16rz
+/* 15984 */   MCD_OPC_FilterValue, 33, 105, 96, // Skip to: 40669
+/* 15988 */   MCD_OPC_CheckPredicate, 0, 101, 96, // Skip to: 40669
+/* 15992 */   MCD_OPC_Decode, 168, 5, 117, // Opcode: FRINTNv2f64
+/* 15996 */   MCD_OPC_FilterValue, 3, 93, 96, // Skip to: 40669
+/* 16000 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 16003 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 16015
+/* 16007 */   MCD_OPC_CheckPredicate, 0, 82, 96, // Skip to: 40669
+/* 16011 */   MCD_OPC_Decode, 187, 1, 117, // Opcode: CMGEv8i16rz
+/* 16015 */   MCD_OPC_FilterValue, 33, 74, 96, // Skip to: 40669
+/* 16019 */   MCD_OPC_CheckPredicate, 0, 70, 96, // Skip to: 40669
+/* 16023 */   MCD_OPC_Decode, 153, 5, 117, // Opcode: FRINTAv2f64
+/* 16027 */   MCD_OPC_FilterValue, 35, 75, 0, // Skip to: 16106
+/* 16031 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16034 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16052
+/* 16038 */   MCD_OPC_CheckPredicate, 0, 51, 96, // Skip to: 40669
+/* 16042 */   MCD_OPC_CheckField, 21, 1, 1, 45, 96, // Skip to: 40669
+/* 16048 */   MCD_OPC_Decode, 242, 1, 89, // Opcode: CMTSTv4i16
+/* 16052 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16070
+/* 16056 */   MCD_OPC_CheckPredicate, 0, 33, 96, // Skip to: 40669
+/* 16060 */   MCD_OPC_CheckField, 21, 1, 1, 27, 96, // Skip to: 40669
+/* 16066 */   MCD_OPC_Decode, 166, 1, 89, // Opcode: CMEQv4i16
+/* 16070 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 16088
+/* 16074 */   MCD_OPC_CheckPredicate, 0, 15, 96, // Skip to: 40669
+/* 16078 */   MCD_OPC_CheckField, 21, 1, 1, 9, 96, // Skip to: 40669
+/* 16084 */   MCD_OPC_Decode, 244, 1, 112, // Opcode: CMTSTv8i16
+/* 16088 */   MCD_OPC_FilterValue, 3, 1, 96, // Skip to: 40669
+/* 16092 */   MCD_OPC_CheckPredicate, 0, 253, 95, // Skip to: 40669
+/* 16096 */   MCD_OPC_CheckField, 21, 1, 1, 247, 95, // Skip to: 40669
+/* 16102 */   MCD_OPC_Decode, 170, 1, 112, // Opcode: CMEQv8i16
+/* 16106 */   MCD_OPC_FilterValue, 36, 39, 0, // Skip to: 16149
+/* 16110 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16113 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16131
+/* 16117 */   MCD_OPC_CheckPredicate, 0, 228, 95, // Skip to: 40669
+/* 16121 */   MCD_OPC_CheckField, 21, 1, 1, 222, 95, // Skip to: 40669
+/* 16127 */   MCD_OPC_Decode, 176, 11, 105, // Opcode: SQDMLALv4i16_v4i32
+/* 16131 */   MCD_OPC_FilterValue, 2, 214, 95, // Skip to: 40669
+/* 16135 */   MCD_OPC_CheckPredicate, 0, 210, 95, // Skip to: 40669
+/* 16139 */   MCD_OPC_CheckField, 21, 1, 1, 204, 95, // Skip to: 40669
+/* 16145 */   MCD_OPC_Decode, 180, 11, 120, // Opcode: SQDMLALv8i16_v4i32
+/* 16149 */   MCD_OPC_FilterValue, 37, 75, 0, // Skip to: 16228
+/* 16153 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16156 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16174
+/* 16160 */   MCD_OPC_CheckPredicate, 0, 185, 95, // Skip to: 40669
+/* 16164 */   MCD_OPC_CheckField, 21, 1, 1, 179, 95, // Skip to: 40669
+/* 16170 */   MCD_OPC_Decode, 183, 8, 109, // Opcode: MLAv4i16
+/* 16174 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16192
+/* 16178 */   MCD_OPC_CheckPredicate, 0, 167, 95, // Skip to: 40669
+/* 16182 */   MCD_OPC_CheckField, 21, 1, 1, 161, 95, // Skip to: 40669
+/* 16188 */   MCD_OPC_Decode, 193, 8, 109, // Opcode: MLSv4i16
+/* 16192 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 16210
+/* 16196 */   MCD_OPC_CheckPredicate, 0, 149, 95, // Skip to: 40669
+/* 16200 */   MCD_OPC_CheckField, 21, 1, 1, 143, 95, // Skip to: 40669
+/* 16206 */   MCD_OPC_Decode, 187, 8, 120, // Opcode: MLAv8i16
+/* 16210 */   MCD_OPC_FilterValue, 3, 135, 95, // Skip to: 40669
+/* 16214 */   MCD_OPC_CheckPredicate, 0, 131, 95, // Skip to: 40669
+/* 16218 */   MCD_OPC_CheckField, 21, 1, 1, 125, 95, // Skip to: 40669
+/* 16224 */   MCD_OPC_Decode, 197, 8, 120, // Opcode: MLSv8i16
+/* 16228 */   MCD_OPC_FilterValue, 38, 101, 0, // Skip to: 16333
+/* 16232 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16235 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16253
+/* 16239 */   MCD_OPC_CheckPredicate, 0, 106, 95, // Skip to: 40669
+/* 16243 */   MCD_OPC_CheckField, 16, 6, 32, 100, 95, // Skip to: 40669
+/* 16249 */   MCD_OPC_Decode, 167, 1, 90, // Opcode: CMEQv4i16rz
+/* 16253 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16271
+/* 16257 */   MCD_OPC_CheckPredicate, 0, 88, 95, // Skip to: 40669
+/* 16261 */   MCD_OPC_CheckField, 16, 6, 32, 82, 95, // Skip to: 40669
+/* 16267 */   MCD_OPC_Decode, 226, 1, 90, // Opcode: CMLEv4i16rz
+/* 16271 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 16302
+/* 16275 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 16278 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 16290
+/* 16282 */   MCD_OPC_CheckPredicate, 0, 63, 95, // Skip to: 40669
+/* 16286 */   MCD_OPC_Decode, 171, 1, 117, // Opcode: CMEQv8i16rz
+/* 16290 */   MCD_OPC_FilterValue, 33, 55, 95, // Skip to: 40669
+/* 16294 */   MCD_OPC_CheckPredicate, 0, 51, 95, // Skip to: 40669
+/* 16298 */   MCD_OPC_Decode, 163, 5, 117, // Opcode: FRINTMv2f64
+/* 16302 */   MCD_OPC_FilterValue, 3, 43, 95, // Skip to: 40669
+/* 16306 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 16309 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 16321
+/* 16313 */   MCD_OPC_CheckPredicate, 0, 32, 95, // Skip to: 40669
+/* 16317 */   MCD_OPC_Decode, 228, 1, 117, // Opcode: CMLEv8i16rz
+/* 16321 */   MCD_OPC_FilterValue, 33, 24, 95, // Skip to: 40669
+/* 16325 */   MCD_OPC_CheckPredicate, 0, 20, 95, // Skip to: 40669
+/* 16329 */   MCD_OPC_Decode, 178, 5, 117, // Opcode: FRINTXv2f64
+/* 16333 */   MCD_OPC_FilterValue, 39, 39, 0, // Skip to: 16376
+/* 16337 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16340 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16358
+/* 16344 */   MCD_OPC_CheckPredicate, 0, 1, 95, // Skip to: 40669
+/* 16348 */   MCD_OPC_CheckField, 21, 1, 1, 251, 94, // Skip to: 40669
+/* 16354 */   MCD_OPC_Decode, 232, 8, 89, // Opcode: MULv4i16
+/* 16358 */   MCD_OPC_FilterValue, 2, 243, 94, // Skip to: 40669
+/* 16362 */   MCD_OPC_CheckPredicate, 0, 239, 94, // Skip to: 40669
+/* 16366 */   MCD_OPC_CheckField, 21, 1, 1, 233, 94, // Skip to: 40669
+/* 16372 */   MCD_OPC_Decode, 236, 8, 112, // Opcode: MULv8i16
+/* 16376 */   MCD_OPC_FilterValue, 40, 75, 0, // Skip to: 16455
+/* 16380 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16383 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16401
+/* 16387 */   MCD_OPC_CheckPredicate, 0, 214, 94, // Skip to: 40669
+/* 16391 */   MCD_OPC_CheckField, 21, 1, 1, 208, 94, // Skip to: 40669
+/* 16397 */   MCD_OPC_Decode, 252, 10, 105, // Opcode: SMLSLv4i16_v4i32
+/* 16401 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16419
+/* 16405 */   MCD_OPC_CheckPredicate, 0, 196, 94, // Skip to: 40669
+/* 16409 */   MCD_OPC_CheckField, 21, 1, 1, 190, 94, // Skip to: 40669
+/* 16415 */   MCD_OPC_Decode, 243, 16, 105, // Opcode: UMLSLv4i16_v4i32
+/* 16419 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 16437
+/* 16423 */   MCD_OPC_CheckPredicate, 0, 178, 94, // Skip to: 40669
+/* 16427 */   MCD_OPC_CheckField, 21, 1, 1, 172, 94, // Skip to: 40669
+/* 16433 */   MCD_OPC_Decode, 128, 11, 120, // Opcode: SMLSLv8i16_v4i32
+/* 16437 */   MCD_OPC_FilterValue, 3, 164, 94, // Skip to: 40669
+/* 16441 */   MCD_OPC_CheckPredicate, 0, 160, 94, // Skip to: 40669
+/* 16445 */   MCD_OPC_CheckField, 21, 1, 1, 154, 94, // Skip to: 40669
+/* 16451 */   MCD_OPC_Decode, 247, 16, 120, // Opcode: UMLSLv8i16_v4i32
+/* 16455 */   MCD_OPC_FilterValue, 41, 75, 0, // Skip to: 16534
+/* 16459 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16462 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16480
+/* 16466 */   MCD_OPC_CheckPredicate, 0, 135, 94, // Skip to: 40669
+/* 16470 */   MCD_OPC_CheckField, 21, 1, 1, 129, 94, // Skip to: 40669
+/* 16476 */   MCD_OPC_Decode, 205, 10, 89, // Opcode: SMAXPv4i16
+/* 16480 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16498
+/* 16484 */   MCD_OPC_CheckPredicate, 0, 117, 94, // Skip to: 40669
+/* 16488 */   MCD_OPC_CheckField, 21, 1, 1, 111, 94, // Skip to: 40669
+/* 16494 */   MCD_OPC_Decode, 197, 16, 89, // Opcode: UMAXPv4i16
+/* 16498 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 16516
+/* 16502 */   MCD_OPC_CheckPredicate, 0, 99, 94, // Skip to: 40669
+/* 16506 */   MCD_OPC_CheckField, 21, 1, 1, 93, 94, // Skip to: 40669
+/* 16512 */   MCD_OPC_Decode, 207, 10, 112, // Opcode: SMAXPv8i16
+/* 16516 */   MCD_OPC_FilterValue, 3, 85, 94, // Skip to: 40669
+/* 16520 */   MCD_OPC_CheckPredicate, 0, 81, 94, // Skip to: 40669
+/* 16524 */   MCD_OPC_CheckField, 21, 1, 1, 75, 94, // Skip to: 40669
+/* 16530 */   MCD_OPC_Decode, 199, 16, 112, // Opcode: UMAXPv8i16
+/* 16534 */   MCD_OPC_FilterValue, 42, 179, 0, // Skip to: 16717
+/* 16538 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 16541 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 16572
+/* 16545 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16548 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16560
+/* 16552 */   MCD_OPC_CheckPredicate, 0, 49, 94, // Skip to: 40669
+/* 16556 */   MCD_OPC_Decode, 234, 1, 90, // Opcode: CMLTv4i16rz
+/* 16560 */   MCD_OPC_FilterValue, 2, 41, 94, // Skip to: 40669
+/* 16564 */   MCD_OPC_CheckPredicate, 0, 37, 94, // Skip to: 40669
+/* 16568 */   MCD_OPC_Decode, 236, 1, 117, // Opcode: CMLTv8i16rz
+/* 16572 */   MCD_OPC_FilterValue, 33, 27, 0, // Skip to: 16603
+/* 16576 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16579 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 16591
+/* 16583 */   MCD_OPC_CheckPredicate, 0, 18, 94, // Skip to: 40669
+/* 16587 */   MCD_OPC_Decode, 185, 3, 117, // Opcode: FCVTNSv2f64
+/* 16591 */   MCD_OPC_FilterValue, 3, 10, 94, // Skip to: 40669
+/* 16595 */   MCD_OPC_CheckPredicate, 0, 6, 94, // Skip to: 40669
+/* 16599 */   MCD_OPC_Decode, 194, 3, 117, // Opcode: FCVTNUv2f64
+/* 16603 */   MCD_OPC_FilterValue, 48, 53, 0, // Skip to: 16660
+/* 16607 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16610 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16622
+/* 16614 */   MCD_OPC_CheckPredicate, 0, 243, 93, // Skip to: 40669
+/* 16618 */   MCD_OPC_Decode, 210, 10, 100, // Opcode: SMAXVv4i16v
+/* 16622 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 16634
+/* 16626 */   MCD_OPC_CheckPredicate, 0, 231, 93, // Skip to: 40669
+/* 16630 */   MCD_OPC_Decode, 202, 16, 100, // Opcode: UMAXVv4i16v
+/* 16634 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 16647
+/* 16638 */   MCD_OPC_CheckPredicate, 0, 219, 93, // Skip to: 40669
+/* 16642 */   MCD_OPC_Decode, 212, 10, 131, 1, // Opcode: SMAXVv8i16v
+/* 16647 */   MCD_OPC_FilterValue, 3, 210, 93, // Skip to: 40669
+/* 16651 */   MCD_OPC_CheckPredicate, 0, 206, 93, // Skip to: 40669
+/* 16655 */   MCD_OPC_Decode, 204, 16, 131, 1, // Opcode: UMAXVv8i16v
+/* 16660 */   MCD_OPC_FilterValue, 49, 197, 93, // Skip to: 40669
+/* 16664 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16667 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 16679
+/* 16671 */   MCD_OPC_CheckPredicate, 0, 186, 93, // Skip to: 40669
+/* 16675 */   MCD_OPC_Decode, 228, 10, 100, // Opcode: SMINVv4i16v
+/* 16679 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 16691
+/* 16683 */   MCD_OPC_CheckPredicate, 0, 174, 93, // Skip to: 40669
+/* 16687 */   MCD_OPC_Decode, 219, 16, 100, // Opcode: UMINVv4i16v
+/* 16691 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 16704
+/* 16695 */   MCD_OPC_CheckPredicate, 0, 162, 93, // Skip to: 40669
+/* 16699 */   MCD_OPC_Decode, 230, 10, 131, 1, // Opcode: SMINVv8i16v
+/* 16704 */   MCD_OPC_FilterValue, 3, 153, 93, // Skip to: 40669
+/* 16708 */   MCD_OPC_CheckPredicate, 0, 149, 93, // Skip to: 40669
+/* 16712 */   MCD_OPC_Decode, 221, 16, 131, 1, // Opcode: UMINVv8i16v
+/* 16717 */   MCD_OPC_FilterValue, 43, 75, 0, // Skip to: 16796
+/* 16721 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16724 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16742
+/* 16728 */   MCD_OPC_CheckPredicate, 0, 129, 93, // Skip to: 40669
+/* 16732 */   MCD_OPC_CheckField, 21, 1, 1, 123, 93, // Skip to: 40669
+/* 16738 */   MCD_OPC_Decode, 223, 10, 89, // Opcode: SMINPv4i16
+/* 16742 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16760
+/* 16746 */   MCD_OPC_CheckPredicate, 0, 111, 93, // Skip to: 40669
+/* 16750 */   MCD_OPC_CheckField, 21, 1, 1, 105, 93, // Skip to: 40669
+/* 16756 */   MCD_OPC_Decode, 214, 16, 89, // Opcode: UMINPv4i16
+/* 16760 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 16778
+/* 16764 */   MCD_OPC_CheckPredicate, 0, 93, 93, // Skip to: 40669
+/* 16768 */   MCD_OPC_CheckField, 21, 1, 1, 87, 93, // Skip to: 40669
+/* 16774 */   MCD_OPC_Decode, 225, 10, 112, // Opcode: SMINPv8i16
+/* 16778 */   MCD_OPC_FilterValue, 3, 79, 93, // Skip to: 40669
+/* 16782 */   MCD_OPC_CheckPredicate, 0, 75, 93, // Skip to: 40669
+/* 16786 */   MCD_OPC_CheckField, 21, 1, 1, 69, 93, // Skip to: 40669
+/* 16792 */   MCD_OPC_Decode, 216, 16, 112, // Opcode: UMINPv8i16
+/* 16796 */   MCD_OPC_FilterValue, 44, 39, 0, // Skip to: 16839
+/* 16800 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16803 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16821
+/* 16807 */   MCD_OPC_CheckPredicate, 0, 50, 93, // Skip to: 40669
+/* 16811 */   MCD_OPC_CheckField, 21, 1, 1, 44, 93, // Skip to: 40669
+/* 16817 */   MCD_OPC_Decode, 188, 11, 105, // Opcode: SQDMLSLv4i16_v4i32
+/* 16821 */   MCD_OPC_FilterValue, 2, 36, 93, // Skip to: 40669
+/* 16825 */   MCD_OPC_CheckPredicate, 0, 32, 93, // Skip to: 40669
+/* 16829 */   MCD_OPC_CheckField, 21, 1, 1, 26, 93, // Skip to: 40669
+/* 16835 */   MCD_OPC_Decode, 192, 11, 120, // Opcode: SQDMLSLv8i16_v4i32
+/* 16839 */   MCD_OPC_FilterValue, 45, 75, 0, // Skip to: 16918
+/* 16843 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16846 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 16864
+/* 16850 */   MCD_OPC_CheckPredicate, 0, 7, 93, // Skip to: 40669
+/* 16854 */   MCD_OPC_CheckField, 21, 1, 1, 1, 93, // Skip to: 40669
+/* 16860 */   MCD_OPC_Decode, 199, 11, 89, // Opcode: SQDMULHv4i16
+/* 16864 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16882
+/* 16868 */   MCD_OPC_CheckPredicate, 0, 245, 92, // Skip to: 40669
+/* 16872 */   MCD_OPC_CheckField, 21, 1, 1, 239, 92, // Skip to: 40669
+/* 16878 */   MCD_OPC_Decode, 234, 11, 89, // Opcode: SQRDMULHv4i16
+/* 16882 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 16900
+/* 16886 */   MCD_OPC_CheckPredicate, 0, 227, 92, // Skip to: 40669
+/* 16890 */   MCD_OPC_CheckField, 21, 1, 1, 221, 92, // Skip to: 40669
+/* 16896 */   MCD_OPC_Decode, 203, 11, 112, // Opcode: SQDMULHv8i16
+/* 16900 */   MCD_OPC_FilterValue, 3, 213, 92, // Skip to: 40669
+/* 16904 */   MCD_OPC_CheckPredicate, 0, 209, 92, // Skip to: 40669
+/* 16908 */   MCD_OPC_CheckField, 21, 1, 1, 203, 92, // Skip to: 40669
+/* 16914 */   MCD_OPC_Decode, 238, 11, 112, // Opcode: SQRDMULHv8i16
+/* 16918 */   MCD_OPC_FilterValue, 46, 123, 0, // Skip to: 17045
+/* 16922 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 16925 */   MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 16954
+/* 16929 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 16932 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 16943
+/* 16936 */   MCD_OPC_CheckPredicate, 0, 177, 92, // Skip to: 40669
+/* 16940 */   MCD_OPC_Decode, 24, 90, // Opcode: ABSv4i16
+/* 16943 */   MCD_OPC_FilterValue, 49, 170, 92, // Skip to: 40669
+/* 16947 */   MCD_OPC_CheckPredicate, 0, 166, 92, // Skip to: 40669
+/* 16951 */   MCD_OPC_Decode, 56, 100, // Opcode: ADDVv4i16v
+/* 16954 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 16972
+/* 16958 */   MCD_OPC_CheckPredicate, 0, 155, 92, // Skip to: 40669
+/* 16962 */   MCD_OPC_CheckField, 16, 6, 32, 149, 92, // Skip to: 40669
+/* 16968 */   MCD_OPC_Decode, 249, 8, 90, // Opcode: NEGv4i16
+/* 16972 */   MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 17014
+/* 16976 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 16979 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 16990
+/* 16983 */   MCD_OPC_CheckPredicate, 0, 130, 92, // Skip to: 40669
+/* 16987 */   MCD_OPC_Decode, 26, 117, // Opcode: ABSv8i16
+/* 16990 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 17002
+/* 16994 */   MCD_OPC_CheckPredicate, 0, 119, 92, // Skip to: 40669
+/* 16998 */   MCD_OPC_Decode, 167, 3, 117, // Opcode: FCVTMSv2f64
+/* 17002 */   MCD_OPC_FilterValue, 49, 111, 92, // Skip to: 40669
+/* 17006 */   MCD_OPC_CheckPredicate, 0, 107, 92, // Skip to: 40669
+/* 17010 */   MCD_OPC_Decode, 58, 131, 1, // Opcode: ADDVv8i16v
+/* 17014 */   MCD_OPC_FilterValue, 3, 99, 92, // Skip to: 40669
+/* 17018 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 17021 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 17033
+/* 17025 */   MCD_OPC_CheckPredicate, 0, 88, 92, // Skip to: 40669
+/* 17029 */   MCD_OPC_Decode, 251, 8, 117, // Opcode: NEGv8i16
+/* 17033 */   MCD_OPC_FilterValue, 33, 80, 92, // Skip to: 40669
+/* 17037 */   MCD_OPC_CheckPredicate, 0, 76, 92, // Skip to: 40669
+/* 17041 */   MCD_OPC_Decode, 176, 3, 117, // Opcode: FCVTMUv2f64
+/* 17045 */   MCD_OPC_FilterValue, 47, 37, 0, // Skip to: 17086
+/* 17049 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17052 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 17069
+/* 17056 */   MCD_OPC_CheckPredicate, 0, 57, 92, // Skip to: 40669
+/* 17060 */   MCD_OPC_CheckField, 21, 1, 1, 51, 92, // Skip to: 40669
+/* 17066 */   MCD_OPC_Decode, 42, 89, // Opcode: ADDPv4i16
+/* 17069 */   MCD_OPC_FilterValue, 2, 44, 92, // Skip to: 40669
+/* 17073 */   MCD_OPC_CheckPredicate, 0, 40, 92, // Skip to: 40669
+/* 17077 */   MCD_OPC_CheckField, 21, 1, 1, 34, 92, // Skip to: 40669
+/* 17083 */   MCD_OPC_Decode, 44, 112, // Opcode: ADDPv8i16
+/* 17086 */   MCD_OPC_FilterValue, 48, 75, 0, // Skip to: 17165
+/* 17090 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17093 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17111
+/* 17097 */   MCD_OPC_CheckPredicate, 0, 16, 92, // Skip to: 40669
+/* 17101 */   MCD_OPC_CheckField, 21, 1, 1, 10, 92, // Skip to: 40669
+/* 17107 */   MCD_OPC_Decode, 141, 11, 85, // Opcode: SMULLv4i16_v4i32
+/* 17111 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17129
+/* 17115 */   MCD_OPC_CheckPredicate, 0, 254, 91, // Skip to: 40669
+/* 17119 */   MCD_OPC_CheckField, 21, 1, 1, 248, 91, // Skip to: 40669
+/* 17125 */   MCD_OPC_Decode, 131, 17, 85, // Opcode: UMULLv4i16_v4i32
+/* 17129 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17147
+/* 17133 */   MCD_OPC_CheckPredicate, 0, 236, 91, // Skip to: 40669
+/* 17137 */   MCD_OPC_CheckField, 21, 1, 1, 230, 91, // Skip to: 40669
+/* 17143 */   MCD_OPC_Decode, 145, 11, 112, // Opcode: SMULLv8i16_v4i32
+/* 17147 */   MCD_OPC_FilterValue, 3, 222, 91, // Skip to: 40669
+/* 17151 */   MCD_OPC_CheckPredicate, 0, 218, 91, // Skip to: 40669
+/* 17155 */   MCD_OPC_CheckField, 21, 1, 1, 212, 91, // Skip to: 40669
+/* 17161 */   MCD_OPC_Decode, 135, 17, 112, // Opcode: UMULLv8i16_v4i32
+/* 17165 */   MCD_OPC_FilterValue, 49, 39, 0, // Skip to: 17208
+/* 17169 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17172 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17190
+/* 17176 */   MCD_OPC_CheckPredicate, 0, 193, 91, // Skip to: 40669
+/* 17180 */   MCD_OPC_CheckField, 21, 1, 1, 187, 91, // Skip to: 40669
+/* 17186 */   MCD_OPC_Decode, 170, 4, 112, // Opcode: FMAXNMv2f64
+/* 17190 */   MCD_OPC_FilterValue, 3, 179, 91, // Skip to: 40669
+/* 17194 */   MCD_OPC_CheckPredicate, 0, 175, 91, // Skip to: 40669
+/* 17198 */   MCD_OPC_CheckField, 21, 1, 1, 169, 91, // Skip to: 40669
+/* 17204 */   MCD_OPC_Decode, 163, 4, 112, // Opcode: FMAXNMPv2f64
+/* 17208 */   MCD_OPC_FilterValue, 50, 39, 0, // Skip to: 17251
+/* 17212 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17215 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17233
+/* 17219 */   MCD_OPC_CheckPredicate, 0, 150, 91, // Skip to: 40669
+/* 17223 */   MCD_OPC_CheckField, 16, 6, 33, 144, 91, // Skip to: 40669
+/* 17229 */   MCD_OPC_Decode, 141, 3, 117, // Opcode: FCVTASv2f64
+/* 17233 */   MCD_OPC_FilterValue, 3, 136, 91, // Skip to: 40669
+/* 17237 */   MCD_OPC_CheckPredicate, 0, 132, 91, // Skip to: 40669
+/* 17241 */   MCD_OPC_CheckField, 16, 6, 33, 126, 91, // Skip to: 40669
+/* 17247 */   MCD_OPC_Decode, 150, 3, 117, // Opcode: FCVTAUv2f64
+/* 17251 */   MCD_OPC_FilterValue, 51, 20, 0, // Skip to: 17275
+/* 17255 */   MCD_OPC_CheckPredicate, 0, 114, 91, // Skip to: 40669
+/* 17259 */   MCD_OPC_CheckField, 29, 3, 2, 108, 91, // Skip to: 40669
+/* 17265 */   MCD_OPC_CheckField, 21, 1, 1, 102, 91, // Skip to: 40669
+/* 17271 */   MCD_OPC_Decode, 207, 4, 120, // Opcode: FMLAv2f64
+/* 17275 */   MCD_OPC_FilterValue, 52, 39, 0, // Skip to: 17318
+/* 17279 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17282 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17300
+/* 17286 */   MCD_OPC_CheckPredicate, 0, 83, 91, // Skip to: 40669
+/* 17290 */   MCD_OPC_CheckField, 21, 1, 1, 77, 91, // Skip to: 40669
+/* 17296 */   MCD_OPC_Decode, 212, 11, 85, // Opcode: SQDMULLv4i16_v4i32
+/* 17300 */   MCD_OPC_FilterValue, 2, 69, 91, // Skip to: 40669
+/* 17304 */   MCD_OPC_CheckPredicate, 0, 65, 91, // Skip to: 40669
+/* 17308 */   MCD_OPC_CheckField, 21, 1, 1, 59, 91, // Skip to: 40669
+/* 17314 */   MCD_OPC_Decode, 216, 11, 112, // Opcode: SQDMULLv8i16_v4i32
+/* 17318 */   MCD_OPC_FilterValue, 53, 39, 0, // Skip to: 17361
+/* 17322 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17325 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17343
+/* 17329 */   MCD_OPC_CheckPredicate, 0, 40, 91, // Skip to: 40669
+/* 17333 */   MCD_OPC_CheckField, 21, 1, 1, 34, 91, // Skip to: 40669
+/* 17339 */   MCD_OPC_Decode, 206, 2, 112, // Opcode: FADDv2f64
+/* 17343 */   MCD_OPC_FilterValue, 3, 26, 91, // Skip to: 40669
+/* 17347 */   MCD_OPC_CheckPredicate, 0, 22, 91, // Skip to: 40669
+/* 17351 */   MCD_OPC_CheckField, 21, 1, 1, 16, 91, // Skip to: 40669
+/* 17357 */   MCD_OPC_Decode, 200, 2, 112, // Opcode: FADDPv2f64
+/* 17361 */   MCD_OPC_FilterValue, 54, 39, 0, // Skip to: 17404
+/* 17365 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17368 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17386
+/* 17372 */   MCD_OPC_CheckPredicate, 0, 253, 90, // Skip to: 40669
+/* 17376 */   MCD_OPC_CheckField, 16, 6, 33, 247, 90, // Skip to: 40669
+/* 17382 */   MCD_OPC_Decode, 143, 10, 117, // Opcode: SCVTFv2f64
+/* 17386 */   MCD_OPC_FilterValue, 3, 239, 90, // Skip to: 40669
+/* 17390 */   MCD_OPC_CheckPredicate, 0, 235, 90, // Skip to: 40669
+/* 17394 */   MCD_OPC_CheckField, 16, 6, 33, 229, 90, // Skip to: 40669
+/* 17400 */   MCD_OPC_Decode, 173, 16, 117, // Opcode: UCVTFv2f64
+/* 17404 */   MCD_OPC_FilterValue, 55, 39, 0, // Skip to: 17447
+/* 17408 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17411 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17429
+/* 17415 */   MCD_OPC_CheckPredicate, 0, 210, 90, // Skip to: 40669
+/* 17419 */   MCD_OPC_CheckField, 21, 1, 1, 204, 90, // Skip to: 40669
+/* 17425 */   MCD_OPC_Decode, 242, 4, 112, // Opcode: FMULXv2f64
+/* 17429 */   MCD_OPC_FilterValue, 3, 196, 90, // Skip to: 40669
+/* 17433 */   MCD_OPC_CheckPredicate, 0, 192, 90, // Skip to: 40669
+/* 17437 */   MCD_OPC_CheckField, 21, 1, 1, 186, 90, // Skip to: 40669
+/* 17443 */   MCD_OPC_Decode, 250, 4, 112, // Opcode: FMULv2f64
+/* 17447 */   MCD_OPC_FilterValue, 57, 39, 0, // Skip to: 17490
+/* 17451 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17454 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17472
+/* 17458 */   MCD_OPC_CheckPredicate, 0, 167, 90, // Skip to: 40669
+/* 17462 */   MCD_OPC_CheckField, 21, 1, 1, 161, 90, // Skip to: 40669
+/* 17468 */   MCD_OPC_Decode, 217, 2, 112, // Opcode: FCMEQv2f64
+/* 17472 */   MCD_OPC_FilterValue, 3, 153, 90, // Skip to: 40669
+/* 17476 */   MCD_OPC_CheckPredicate, 0, 149, 90, // Skip to: 40669
+/* 17480 */   MCD_OPC_CheckField, 21, 1, 1, 143, 90, // Skip to: 40669
+/* 17486 */   MCD_OPC_Decode, 227, 2, 112, // Opcode: FCMGEv2f64
+/* 17490 */   MCD_OPC_FilterValue, 59, 20, 0, // Skip to: 17514
+/* 17494 */   MCD_OPC_CheckPredicate, 0, 131, 90, // Skip to: 40669
+/* 17498 */   MCD_OPC_CheckField, 29, 3, 3, 125, 90, // Skip to: 40669
+/* 17504 */   MCD_OPC_CheckField, 21, 1, 1, 119, 90, // Skip to: 40669
+/* 17510 */   MCD_OPC_Decode, 191, 2, 112, // Opcode: FACGEv2f64
+/* 17514 */   MCD_OPC_FilterValue, 61, 39, 0, // Skip to: 17557
+/* 17518 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17521 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17539
+/* 17525 */   MCD_OPC_CheckPredicate, 0, 100, 90, // Skip to: 40669
+/* 17529 */   MCD_OPC_CheckField, 21, 1, 1, 94, 90, // Skip to: 40669
+/* 17535 */   MCD_OPC_Decode, 180, 4, 112, // Opcode: FMAXv2f64
+/* 17539 */   MCD_OPC_FilterValue, 3, 86, 90, // Skip to: 40669
+/* 17543 */   MCD_OPC_CheckPredicate, 0, 82, 90, // Skip to: 40669
+/* 17547 */   MCD_OPC_CheckField, 21, 1, 1, 76, 90, // Skip to: 40669
+/* 17553 */   MCD_OPC_Decode, 173, 4, 112, // Opcode: FMAXPv2f64
+/* 17557 */   MCD_OPC_FilterValue, 63, 68, 90, // Skip to: 40669
+/* 17561 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17564 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17582
+/* 17568 */   MCD_OPC_CheckPredicate, 0, 57, 90, // Skip to: 40669
+/* 17572 */   MCD_OPC_CheckField, 21, 1, 1, 51, 90, // Skip to: 40669
+/* 17578 */   MCD_OPC_Decode, 146, 5, 112, // Opcode: FRECPSv2f64
+/* 17582 */   MCD_OPC_FilterValue, 3, 43, 90, // Skip to: 40669
+/* 17586 */   MCD_OPC_CheckPredicate, 0, 39, 90, // Skip to: 40669
+/* 17590 */   MCD_OPC_CheckField, 21, 1, 1, 33, 90, // Skip to: 40669
+/* 17596 */   MCD_OPC_Decode, 156, 4, 112, // Opcode: FDIVv2f64
+/* 17600 */   MCD_OPC_FilterValue, 10, 165, 19, // Skip to: 22633
+/* 17604 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 17607 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 17686
+/* 17611 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17614 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17632
+/* 17618 */   MCD_OPC_CheckPredicate, 0, 7, 90, // Skip to: 40669
+/* 17622 */   MCD_OPC_CheckField, 21, 1, 1, 1, 90, // Skip to: 40669
+/* 17628 */   MCD_OPC_Decode, 241, 9, 85, // Opcode: SADDLv2i32_v2i64
+/* 17632 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17650
+/* 17636 */   MCD_OPC_CheckPredicate, 0, 245, 89, // Skip to: 40669
+/* 17640 */   MCD_OPC_CheckField, 21, 1, 1, 239, 89, // Skip to: 40669
+/* 17646 */   MCD_OPC_Decode, 147, 16, 85, // Opcode: UADDLv2i32_v2i64
+/* 17650 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17668
+/* 17654 */   MCD_OPC_CheckPredicate, 0, 227, 89, // Skip to: 40669
+/* 17658 */   MCD_OPC_CheckField, 21, 1, 1, 221, 89, // Skip to: 40669
+/* 17664 */   MCD_OPC_Decode, 243, 9, 112, // Opcode: SADDLv4i32_v2i64
+/* 17668 */   MCD_OPC_FilterValue, 3, 213, 89, // Skip to: 40669
+/* 17672 */   MCD_OPC_CheckPredicate, 0, 209, 89, // Skip to: 40669
+/* 17676 */   MCD_OPC_CheckField, 21, 1, 1, 203, 89, // Skip to: 40669
+/* 17682 */   MCD_OPC_Decode, 149, 16, 112, // Opcode: UADDLv4i32_v2i64
+/* 17686 */   MCD_OPC_FilterValue, 1, 75, 0, // Skip to: 17765
+/* 17690 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17693 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17711
+/* 17697 */   MCD_OPC_CheckPredicate, 0, 184, 89, // Skip to: 40669
+/* 17701 */   MCD_OPC_CheckField, 21, 1, 1, 178, 89, // Skip to: 40669
+/* 17707 */   MCD_OPC_Decode, 163, 10, 89, // Opcode: SHADDv2i32
+/* 17711 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17729
+/* 17715 */   MCD_OPC_CheckPredicate, 0, 166, 89, // Skip to: 40669
+/* 17719 */   MCD_OPC_CheckField, 21, 1, 1, 160, 89, // Skip to: 40669
+/* 17725 */   MCD_OPC_Decode, 183, 16, 89, // Opcode: UHADDv2i32
+/* 17729 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17747
+/* 17733 */   MCD_OPC_CheckPredicate, 0, 148, 89, // Skip to: 40669
+/* 17737 */   MCD_OPC_CheckField, 21, 1, 1, 142, 89, // Skip to: 40669
+/* 17743 */   MCD_OPC_Decode, 165, 10, 112, // Opcode: SHADDv4i32
+/* 17747 */   MCD_OPC_FilterValue, 3, 134, 89, // Skip to: 40669
+/* 17751 */   MCD_OPC_CheckPredicate, 0, 130, 89, // Skip to: 40669
+/* 17755 */   MCD_OPC_CheckField, 21, 1, 1, 124, 89, // Skip to: 40669
+/* 17761 */   MCD_OPC_Decode, 185, 16, 112, // Opcode: UHADDv4i32
+/* 17765 */   MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 17808
+/* 17769 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17772 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17790
+/* 17776 */   MCD_OPC_CheckPredicate, 0, 105, 89, // Skip to: 40669
+/* 17780 */   MCD_OPC_CheckField, 16, 6, 32, 99, 89, // Skip to: 40669
+/* 17786 */   MCD_OPC_Decode, 178, 9, 90, // Opcode: REV64v2i32
+/* 17790 */   MCD_OPC_FilterValue, 2, 91, 89, // Skip to: 40669
+/* 17794 */   MCD_OPC_CheckPredicate, 0, 87, 89, // Skip to: 40669
+/* 17798 */   MCD_OPC_CheckField, 16, 6, 32, 81, 89, // Skip to: 40669
+/* 17804 */   MCD_OPC_Decode, 180, 9, 117, // Opcode: REV64v4i32
+/* 17808 */   MCD_OPC_FilterValue, 3, 75, 0, // Skip to: 17887
+/* 17812 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17815 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17833
+/* 17819 */   MCD_OPC_CheckPredicate, 0, 62, 89, // Skip to: 40669
+/* 17823 */   MCD_OPC_CheckField, 21, 1, 1, 56, 89, // Skip to: 40669
+/* 17829 */   MCD_OPC_Decode, 163, 11, 89, // Opcode: SQADDv2i32
+/* 17833 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17851
+/* 17837 */   MCD_OPC_CheckPredicate, 0, 44, 89, // Skip to: 40669
+/* 17841 */   MCD_OPC_CheckField, 21, 1, 1, 38, 89, // Skip to: 40669
+/* 17847 */   MCD_OPC_Decode, 142, 17, 89, // Opcode: UQADDv2i32
+/* 17851 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17869
+/* 17855 */   MCD_OPC_CheckPredicate, 0, 26, 89, // Skip to: 40669
+/* 17859 */   MCD_OPC_CheckField, 21, 1, 1, 20, 89, // Skip to: 40669
+/* 17865 */   MCD_OPC_Decode, 166, 11, 112, // Opcode: SQADDv4i32
+/* 17869 */   MCD_OPC_FilterValue, 3, 12, 89, // Skip to: 40669
+/* 17873 */   MCD_OPC_CheckPredicate, 0, 8, 89, // Skip to: 40669
+/* 17877 */   MCD_OPC_CheckField, 21, 1, 1, 2, 89, // Skip to: 40669
+/* 17883 */   MCD_OPC_Decode, 145, 17, 112, // Opcode: UQADDv4i32
+/* 17887 */   MCD_OPC_FilterValue, 4, 75, 0, // Skip to: 17966
+/* 17891 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17894 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17912
+/* 17898 */   MCD_OPC_CheckPredicate, 0, 239, 88, // Skip to: 40669
+/* 17902 */   MCD_OPC_CheckField, 21, 1, 1, 233, 88, // Skip to: 40669
+/* 17908 */   MCD_OPC_Decode, 247, 9, 93, // Opcode: SADDWv2i32_v2i64
+/* 17912 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 17930
+/* 17916 */   MCD_OPC_CheckPredicate, 0, 221, 88, // Skip to: 40669
+/* 17920 */   MCD_OPC_CheckField, 21, 1, 1, 215, 88, // Skip to: 40669
+/* 17926 */   MCD_OPC_Decode, 153, 16, 93, // Opcode: UADDWv2i32_v2i64
+/* 17930 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 17948
+/* 17934 */   MCD_OPC_CheckPredicate, 0, 203, 88, // Skip to: 40669
+/* 17938 */   MCD_OPC_CheckField, 21, 1, 1, 197, 88, // Skip to: 40669
+/* 17944 */   MCD_OPC_Decode, 249, 9, 112, // Opcode: SADDWv4i32_v2i64
+/* 17948 */   MCD_OPC_FilterValue, 3, 189, 88, // Skip to: 40669
+/* 17952 */   MCD_OPC_CheckPredicate, 0, 185, 88, // Skip to: 40669
+/* 17956 */   MCD_OPC_CheckField, 21, 1, 1, 179, 88, // Skip to: 40669
+/* 17962 */   MCD_OPC_Decode, 155, 16, 112, // Opcode: UADDWv4i32_v2i64
+/* 17966 */   MCD_OPC_FilterValue, 5, 75, 0, // Skip to: 18045
+/* 17970 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 17973 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 17991
+/* 17977 */   MCD_OPC_CheckPredicate, 0, 160, 88, // Skip to: 40669
+/* 17981 */   MCD_OPC_CheckField, 21, 1, 1, 154, 88, // Skip to: 40669
+/* 17987 */   MCD_OPC_Decode, 222, 12, 89, // Opcode: SRHADDv2i32
+/* 17991 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18009
+/* 17995 */   MCD_OPC_CheckPredicate, 0, 142, 88, // Skip to: 40669
+/* 17999 */   MCD_OPC_CheckField, 21, 1, 1, 136, 88, // Skip to: 40669
+/* 18005 */   MCD_OPC_Decode, 222, 17, 89, // Opcode: URHADDv2i32
+/* 18009 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18027
+/* 18013 */   MCD_OPC_CheckPredicate, 0, 124, 88, // Skip to: 40669
+/* 18017 */   MCD_OPC_CheckField, 21, 1, 1, 118, 88, // Skip to: 40669
+/* 18023 */   MCD_OPC_Decode, 224, 12, 112, // Opcode: SRHADDv4i32
+/* 18027 */   MCD_OPC_FilterValue, 3, 110, 88, // Skip to: 40669
+/* 18031 */   MCD_OPC_CheckPredicate, 0, 106, 88, // Skip to: 40669
+/* 18035 */   MCD_OPC_CheckField, 21, 1, 1, 100, 88, // Skip to: 40669
+/* 18041 */   MCD_OPC_Decode, 224, 17, 112, // Opcode: URHADDv4i32
+/* 18045 */   MCD_OPC_FilterValue, 6, 39, 0, // Skip to: 18088
+/* 18049 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18052 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18070
+/* 18056 */   MCD_OPC_CheckPredicate, 0, 81, 88, // Skip to: 40669
+/* 18060 */   MCD_OPC_CheckField, 21, 1, 0, 75, 88, // Skip to: 40669
+/* 18066 */   MCD_OPC_Decode, 179, 18, 89, // Opcode: UZP1v2i32
+/* 18070 */   MCD_OPC_FilterValue, 2, 67, 88, // Skip to: 40669
+/* 18074 */   MCD_OPC_CheckPredicate, 0, 63, 88, // Skip to: 40669
+/* 18078 */   MCD_OPC_CheckField, 21, 1, 0, 57, 88, // Skip to: 40669
+/* 18084 */   MCD_OPC_Decode, 182, 18, 112, // Opcode: UZP1v4i32
+/* 18088 */   MCD_OPC_FilterValue, 7, 73, 0, // Skip to: 18165
+/* 18092 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18095 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18113
+/* 18099 */   MCD_OPC_CheckPredicate, 0, 38, 88, // Skip to: 40669
+/* 18103 */   MCD_OPC_CheckField, 21, 1, 1, 32, 88, // Skip to: 40669
+/* 18109 */   MCD_OPC_Decode, 144, 9, 89, // Opcode: ORRv8i8
+/* 18113 */   MCD_OPC_FilterValue, 1, 13, 0, // Skip to: 18130
+/* 18117 */   MCD_OPC_CheckPredicate, 0, 20, 88, // Skip to: 40669
+/* 18121 */   MCD_OPC_CheckField, 21, 1, 1, 14, 88, // Skip to: 40669
+/* 18127 */   MCD_OPC_Decode, 121, 109, // Opcode: BITv8i8
+/* 18130 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18148
+/* 18134 */   MCD_OPC_CheckPredicate, 0, 3, 88, // Skip to: 40669
+/* 18138 */   MCD_OPC_CheckField, 21, 1, 1, 253, 87, // Skip to: 40669
+/* 18144 */   MCD_OPC_Decode, 139, 9, 112, // Opcode: ORRv16i8
+/* 18148 */   MCD_OPC_FilterValue, 3, 245, 87, // Skip to: 40669
+/* 18152 */   MCD_OPC_CheckPredicate, 0, 241, 87, // Skip to: 40669
+/* 18156 */   MCD_OPC_CheckField, 21, 1, 1, 235, 87, // Skip to: 40669
+/* 18162 */   MCD_OPC_Decode, 120, 120, // Opcode: BITv16i8
+/* 18165 */   MCD_OPC_FilterValue, 8, 75, 0, // Skip to: 18244
+/* 18169 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18172 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18190
+/* 18176 */   MCD_OPC_CheckPredicate, 0, 217, 87, // Skip to: 40669
+/* 18180 */   MCD_OPC_CheckField, 21, 1, 1, 211, 87, // Skip to: 40669
+/* 18186 */   MCD_OPC_Decode, 162, 13, 85, // Opcode: SSUBLv2i32_v2i64
+/* 18190 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18208
+/* 18194 */   MCD_OPC_CheckPredicate, 0, 199, 87, // Skip to: 40669
+/* 18198 */   MCD_OPC_CheckField, 21, 1, 1, 193, 87, // Skip to: 40669
+/* 18204 */   MCD_OPC_Decode, 167, 18, 85, // Opcode: USUBLv2i32_v2i64
+/* 18208 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18226
+/* 18212 */   MCD_OPC_CheckPredicate, 0, 181, 87, // Skip to: 40669
+/* 18216 */   MCD_OPC_CheckField, 21, 1, 1, 175, 87, // Skip to: 40669
+/* 18222 */   MCD_OPC_Decode, 164, 13, 112, // Opcode: SSUBLv4i32_v2i64
+/* 18226 */   MCD_OPC_FilterValue, 3, 167, 87, // Skip to: 40669
+/* 18230 */   MCD_OPC_CheckPredicate, 0, 163, 87, // Skip to: 40669
+/* 18234 */   MCD_OPC_CheckField, 21, 1, 1, 157, 87, // Skip to: 40669
+/* 18240 */   MCD_OPC_Decode, 169, 18, 112, // Opcode: USUBLv4i32_v2i64
+/* 18244 */   MCD_OPC_FilterValue, 9, 75, 0, // Skip to: 18323
+/* 18248 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18251 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18269
+/* 18255 */   MCD_OPC_CheckPredicate, 0, 138, 87, // Skip to: 40669
+/* 18259 */   MCD_OPC_CheckField, 21, 1, 1, 132, 87, // Skip to: 40669
+/* 18265 */   MCD_OPC_Decode, 189, 10, 89, // Opcode: SHSUBv2i32
+/* 18269 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18287
+/* 18273 */   MCD_OPC_CheckPredicate, 0, 120, 87, // Skip to: 40669
+/* 18277 */   MCD_OPC_CheckField, 21, 1, 1, 114, 87, // Skip to: 40669
+/* 18283 */   MCD_OPC_Decode, 189, 16, 89, // Opcode: UHSUBv2i32
+/* 18287 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18305
+/* 18291 */   MCD_OPC_CheckPredicate, 0, 102, 87, // Skip to: 40669
+/* 18295 */   MCD_OPC_CheckField, 21, 1, 1, 96, 87, // Skip to: 40669
+/* 18301 */   MCD_OPC_Decode, 191, 10, 112, // Opcode: SHSUBv4i32
+/* 18305 */   MCD_OPC_FilterValue, 3, 88, 87, // Skip to: 40669
+/* 18309 */   MCD_OPC_CheckPredicate, 0, 84, 87, // Skip to: 40669
+/* 18313 */   MCD_OPC_CheckField, 21, 1, 1, 78, 87, // Skip to: 40669
+/* 18319 */   MCD_OPC_Decode, 191, 16, 112, // Opcode: UHSUBv4i32
+/* 18323 */   MCD_OPC_FilterValue, 10, 165, 0, // Skip to: 18492
+/* 18327 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18330 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 18380
+/* 18334 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 18337 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18349
+/* 18341 */   MCD_OPC_CheckPredicate, 0, 52, 87, // Skip to: 40669
+/* 18345 */   MCD_OPC_Decode, 220, 15, 89, // Opcode: TRN1v2i32
+/* 18349 */   MCD_OPC_FilterValue, 1, 44, 87, // Skip to: 40669
+/* 18353 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 18356 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18368
+/* 18360 */   MCD_OPC_CheckPredicate, 0, 33, 87, // Skip to: 40669
+/* 18364 */   MCD_OPC_Decode, 230, 9, 90, // Opcode: SADDLPv2i32_v1i64
+/* 18368 */   MCD_OPC_FilterValue, 1, 25, 87, // Skip to: 40669
+/* 18372 */   MCD_OPC_CheckPredicate, 0, 21, 87, // Skip to: 40669
+/* 18376 */   MCD_OPC_Decode, 193, 18, 95, // Opcode: XTNv2i32
+/* 18380 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 18411
+/* 18384 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 18387 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18399
+/* 18391 */   MCD_OPC_CheckPredicate, 0, 2, 87, // Skip to: 40669
+/* 18395 */   MCD_OPC_Decode, 136, 16, 90, // Opcode: UADDLPv2i32_v1i64
+/* 18399 */   MCD_OPC_FilterValue, 33, 250, 86, // Skip to: 40669
+/* 18403 */   MCD_OPC_CheckPredicate, 0, 246, 86, // Skip to: 40669
+/* 18407 */   MCD_OPC_Decode, 216, 12, 95, // Opcode: SQXTUNv2i32
+/* 18411 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 18461
+/* 18415 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 18418 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18430
+/* 18422 */   MCD_OPC_CheckPredicate, 0, 227, 86, // Skip to: 40669
+/* 18426 */   MCD_OPC_Decode, 223, 15, 112, // Opcode: TRN1v4i32
+/* 18430 */   MCD_OPC_FilterValue, 1, 219, 86, // Skip to: 40669
+/* 18434 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 18437 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18449
+/* 18441 */   MCD_OPC_CheckPredicate, 0, 208, 86, // Skip to: 40669
+/* 18445 */   MCD_OPC_Decode, 232, 9, 117, // Opcode: SADDLPv4i32_v2i64
+/* 18449 */   MCD_OPC_FilterValue, 1, 200, 86, // Skip to: 40669
+/* 18453 */   MCD_OPC_CheckPredicate, 0, 196, 86, // Skip to: 40669
+/* 18457 */   MCD_OPC_Decode, 195, 18, 126, // Opcode: XTNv4i32
+/* 18461 */   MCD_OPC_FilterValue, 3, 188, 86, // Skip to: 40669
+/* 18465 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 18468 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18480
+/* 18472 */   MCD_OPC_CheckPredicate, 0, 177, 86, // Skip to: 40669
+/* 18476 */   MCD_OPC_Decode, 138, 16, 117, // Opcode: UADDLPv4i32_v2i64
+/* 18480 */   MCD_OPC_FilterValue, 33, 169, 86, // Skip to: 40669
+/* 18484 */   MCD_OPC_CheckPredicate, 0, 165, 86, // Skip to: 40669
+/* 18488 */   MCD_OPC_Decode, 218, 12, 126, // Opcode: SQXTUNv4i32
+/* 18492 */   MCD_OPC_FilterValue, 11, 75, 0, // Skip to: 18571
+/* 18496 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18499 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18517
+/* 18503 */   MCD_OPC_CheckPredicate, 0, 146, 86, // Skip to: 40669
+/* 18507 */   MCD_OPC_CheckField, 21, 1, 1, 140, 86, // Skip to: 40669
+/* 18513 */   MCD_OPC_Decode, 197, 12, 89, // Opcode: SQSUBv2i32
+/* 18517 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18535
+/* 18521 */   MCD_OPC_CheckPredicate, 0, 128, 86, // Skip to: 40669
+/* 18525 */   MCD_OPC_CheckField, 21, 1, 1, 122, 86, // Skip to: 40669
+/* 18531 */   MCD_OPC_Decode, 204, 17, 89, // Opcode: UQSUBv2i32
+/* 18535 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18553
+/* 18539 */   MCD_OPC_CheckPredicate, 0, 110, 86, // Skip to: 40669
+/* 18543 */   MCD_OPC_CheckField, 21, 1, 1, 104, 86, // Skip to: 40669
+/* 18549 */   MCD_OPC_Decode, 200, 12, 112, // Opcode: SQSUBv4i32
+/* 18553 */   MCD_OPC_FilterValue, 3, 96, 86, // Skip to: 40669
+/* 18557 */   MCD_OPC_CheckPredicate, 0, 92, 86, // Skip to: 40669
+/* 18561 */   MCD_OPC_CheckField, 21, 1, 1, 86, 86, // Skip to: 40669
+/* 18567 */   MCD_OPC_Decode, 207, 17, 112, // Opcode: UQSUBv4i32
+/* 18571 */   MCD_OPC_FilterValue, 12, 75, 0, // Skip to: 18650
+/* 18575 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18578 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18596
+/* 18582 */   MCD_OPC_CheckPredicate, 0, 67, 86, // Skip to: 40669
+/* 18586 */   MCD_OPC_CheckField, 21, 1, 1, 61, 86, // Skip to: 40669
+/* 18592 */   MCD_OPC_Decode, 168, 13, 93, // Opcode: SSUBWv2i32_v2i64
+/* 18596 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18614
+/* 18600 */   MCD_OPC_CheckPredicate, 0, 49, 86, // Skip to: 40669
+/* 18604 */   MCD_OPC_CheckField, 21, 1, 1, 43, 86, // Skip to: 40669
+/* 18610 */   MCD_OPC_Decode, 173, 18, 93, // Opcode: USUBWv2i32_v2i64
+/* 18614 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18632
+/* 18618 */   MCD_OPC_CheckPredicate, 0, 31, 86, // Skip to: 40669
+/* 18622 */   MCD_OPC_CheckField, 21, 1, 1, 25, 86, // Skip to: 40669
+/* 18628 */   MCD_OPC_Decode, 170, 13, 112, // Opcode: SSUBWv4i32_v2i64
+/* 18632 */   MCD_OPC_FilterValue, 3, 17, 86, // Skip to: 40669
+/* 18636 */   MCD_OPC_CheckPredicate, 0, 13, 86, // Skip to: 40669
+/* 18640 */   MCD_OPC_CheckField, 21, 1, 1, 7, 86, // Skip to: 40669
+/* 18646 */   MCD_OPC_Decode, 175, 18, 112, // Opcode: USUBWv4i32_v2i64
+/* 18650 */   MCD_OPC_FilterValue, 13, 75, 0, // Skip to: 18729
+/* 18654 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18657 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18675
+/* 18661 */   MCD_OPC_CheckPredicate, 0, 244, 85, // Skip to: 40669
+/* 18665 */   MCD_OPC_CheckField, 21, 1, 1, 238, 85, // Skip to: 40669
+/* 18671 */   MCD_OPC_Decode, 194, 1, 89, // Opcode: CMGTv2i32
+/* 18675 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18693
+/* 18679 */   MCD_OPC_CheckPredicate, 0, 226, 85, // Skip to: 40669
+/* 18683 */   MCD_OPC_CheckField, 21, 1, 1, 220, 85, // Skip to: 40669
+/* 18689 */   MCD_OPC_Decode, 208, 1, 89, // Opcode: CMHIv2i32
+/* 18693 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18711
+/* 18697 */   MCD_OPC_CheckPredicate, 0, 208, 85, // Skip to: 40669
+/* 18701 */   MCD_OPC_CheckField, 21, 1, 1, 202, 85, // Skip to: 40669
+/* 18707 */   MCD_OPC_Decode, 200, 1, 112, // Opcode: CMGTv4i32
+/* 18711 */   MCD_OPC_FilterValue, 3, 194, 85, // Skip to: 40669
+/* 18715 */   MCD_OPC_CheckPredicate, 0, 190, 85, // Skip to: 40669
+/* 18719 */   MCD_OPC_CheckField, 21, 1, 1, 184, 85, // Skip to: 40669
+/* 18725 */   MCD_OPC_Decode, 211, 1, 112, // Opcode: CMHIv4i32
+/* 18729 */   MCD_OPC_FilterValue, 14, 164, 0, // Skip to: 18897
+/* 18733 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18736 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 18773
+/* 18740 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 18743 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18755
+/* 18747 */   MCD_OPC_CheckPredicate, 0, 158, 85, // Skip to: 40669
+/* 18751 */   MCD_OPC_Decode, 199, 18, 89, // Opcode: ZIP1v2i32
+/* 18755 */   MCD_OPC_FilterValue, 1, 150, 85, // Skip to: 40669
+/* 18759 */   MCD_OPC_CheckPredicate, 0, 146, 85, // Skip to: 40669
+/* 18763 */   MCD_OPC_CheckField, 16, 5, 0, 140, 85, // Skip to: 40669
+/* 18769 */   MCD_OPC_Decode, 186, 15, 99, // Opcode: SUQADDv2i32
+/* 18773 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 18804
+/* 18777 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 18780 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18792
+/* 18784 */   MCD_OPC_CheckPredicate, 0, 121, 85, // Skip to: 40669
+/* 18788 */   MCD_OPC_Decode, 152, 18, 99, // Opcode: USQADDv2i32
+/* 18792 */   MCD_OPC_FilterValue, 33, 113, 85, // Skip to: 40669
+/* 18796 */   MCD_OPC_CheckPredicate, 0, 109, 85, // Skip to: 40669
+/* 18800 */   MCD_OPC_Decode, 169, 10, 108, // Opcode: SHLLv2i32
+/* 18804 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 18854
+/* 18808 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 18811 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18823
+/* 18815 */   MCD_OPC_CheckPredicate, 0, 90, 85, // Skip to: 40669
+/* 18819 */   MCD_OPC_Decode, 202, 18, 112, // Opcode: ZIP1v4i32
+/* 18823 */   MCD_OPC_FilterValue, 1, 82, 85, // Skip to: 40669
+/* 18827 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 18830 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 18842
+/* 18834 */   MCD_OPC_CheckPredicate, 0, 71, 85, // Skip to: 40669
+/* 18838 */   MCD_OPC_Decode, 189, 15, 126, // Opcode: SUQADDv4i32
+/* 18842 */   MCD_OPC_FilterValue, 16, 63, 85, // Skip to: 40669
+/* 18846 */   MCD_OPC_CheckPredicate, 0, 59, 85, // Skip to: 40669
+/* 18850 */   MCD_OPC_Decode, 237, 9, 95, // Opcode: SADDLVv4i32v
+/* 18854 */   MCD_OPC_FilterValue, 3, 51, 85, // Skip to: 40669
+/* 18858 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 18861 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 18873
+/* 18865 */   MCD_OPC_CheckPredicate, 0, 40, 85, // Skip to: 40669
+/* 18869 */   MCD_OPC_Decode, 155, 18, 126, // Opcode: USQADDv4i32
+/* 18873 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 18885
+/* 18877 */   MCD_OPC_CheckPredicate, 0, 28, 85, // Skip to: 40669
+/* 18881 */   MCD_OPC_Decode, 171, 10, 117, // Opcode: SHLLv4i32
+/* 18885 */   MCD_OPC_FilterValue, 48, 20, 85, // Skip to: 40669
+/* 18889 */   MCD_OPC_CheckPredicate, 0, 16, 85, // Skip to: 40669
+/* 18893 */   MCD_OPC_Decode, 143, 16, 95, // Opcode: UADDLVv4i32v
+/* 18897 */   MCD_OPC_FilterValue, 15, 75, 0, // Skip to: 18976
+/* 18901 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18904 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 18922
+/* 18908 */   MCD_OPC_CheckPredicate, 0, 253, 84, // Skip to: 40669
+/* 18912 */   MCD_OPC_CheckField, 21, 1, 1, 247, 84, // Skip to: 40669
+/* 18918 */   MCD_OPC_Decode, 178, 1, 89, // Opcode: CMGEv2i32
+/* 18922 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 18940
+/* 18926 */   MCD_OPC_CheckPredicate, 0, 235, 84, // Skip to: 40669
+/* 18930 */   MCD_OPC_CheckField, 21, 1, 1, 229, 84, // Skip to: 40669
+/* 18936 */   MCD_OPC_Decode, 216, 1, 89, // Opcode: CMHSv2i32
+/* 18940 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 18958
+/* 18944 */   MCD_OPC_CheckPredicate, 0, 217, 84, // Skip to: 40669
+/* 18948 */   MCD_OPC_CheckField, 21, 1, 1, 211, 84, // Skip to: 40669
+/* 18954 */   MCD_OPC_Decode, 184, 1, 112, // Opcode: CMGEv4i32
+/* 18958 */   MCD_OPC_FilterValue, 3, 203, 84, // Skip to: 40669
+/* 18962 */   MCD_OPC_CheckPredicate, 0, 199, 84, // Skip to: 40669
+/* 18966 */   MCD_OPC_CheckField, 21, 1, 1, 193, 84, // Skip to: 40669
+/* 18972 */   MCD_OPC_Decode, 219, 1, 112, // Opcode: CMHSv4i32
+/* 18976 */   MCD_OPC_FilterValue, 16, 73, 0, // Skip to: 19053
+/* 18980 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 18983 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 19000
+/* 18987 */   MCD_OPC_CheckPredicate, 0, 174, 84, // Skip to: 40669
+/* 18991 */   MCD_OPC_CheckField, 21, 1, 1, 168, 84, // Skip to: 40669
+/* 18997 */   MCD_OPC_Decode, 32, 103, // Opcode: ADDHNv2i64_v2i32
+/* 19000 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19018
+/* 19004 */   MCD_OPC_CheckPredicate, 0, 157, 84, // Skip to: 40669
+/* 19008 */   MCD_OPC_CheckField, 21, 1, 1, 151, 84, // Skip to: 40669
+/* 19014 */   MCD_OPC_Decode, 156, 9, 103, // Opcode: RADDHNv2i64_v2i32
+/* 19018 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 19035
+/* 19022 */   MCD_OPC_CheckPredicate, 0, 139, 84, // Skip to: 40669
+/* 19026 */   MCD_OPC_CheckField, 21, 1, 1, 133, 84, // Skip to: 40669
+/* 19032 */   MCD_OPC_Decode, 33, 120, // Opcode: ADDHNv2i64_v4i32
+/* 19035 */   MCD_OPC_FilterValue, 3, 126, 84, // Skip to: 40669
+/* 19039 */   MCD_OPC_CheckPredicate, 0, 122, 84, // Skip to: 40669
+/* 19043 */   MCD_OPC_CheckField, 21, 1, 1, 116, 84, // Skip to: 40669
+/* 19049 */   MCD_OPC_Decode, 157, 9, 120, // Opcode: RADDHNv2i64_v4i32
+/* 19053 */   MCD_OPC_FilterValue, 17, 75, 0, // Skip to: 19132
+/* 19057 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19060 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19078
+/* 19064 */   MCD_OPC_CheckPredicate, 0, 97, 84, // Skip to: 40669
+/* 19068 */   MCD_OPC_CheckField, 21, 1, 1, 91, 84, // Skip to: 40669
+/* 19074 */   MCD_OPC_Decode, 139, 13, 89, // Opcode: SSHLv2i32
+/* 19078 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19096
+/* 19082 */   MCD_OPC_CheckPredicate, 0, 79, 84, // Skip to: 40669
+/* 19086 */   MCD_OPC_CheckField, 21, 1, 1, 73, 84, // Skip to: 40669
+/* 19092 */   MCD_OPC_Decode, 133, 18, 89, // Opcode: USHLv2i32
+/* 19096 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19114
+/* 19100 */   MCD_OPC_CheckPredicate, 0, 61, 84, // Skip to: 40669
+/* 19104 */   MCD_OPC_CheckField, 21, 1, 1, 55, 84, // Skip to: 40669
+/* 19110 */   MCD_OPC_Decode, 142, 13, 112, // Opcode: SSHLv4i32
+/* 19114 */   MCD_OPC_FilterValue, 3, 47, 84, // Skip to: 40669
+/* 19118 */   MCD_OPC_CheckPredicate, 0, 43, 84, // Skip to: 40669
+/* 19122 */   MCD_OPC_CheckField, 21, 1, 1, 37, 84, // Skip to: 40669
+/* 19128 */   MCD_OPC_Decode, 136, 18, 112, // Opcode: USHLv4i32
+/* 19132 */   MCD_OPC_FilterValue, 18, 127, 0, // Skip to: 19263
+/* 19136 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19139 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 19170
+/* 19143 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 19146 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19158
+/* 19150 */   MCD_OPC_CheckPredicate, 0, 11, 84, // Skip to: 40669
+/* 19154 */   MCD_OPC_Decode, 145, 1, 90, // Opcode: CLSv2i32
+/* 19158 */   MCD_OPC_FilterValue, 33, 3, 84, // Skip to: 40669
+/* 19162 */   MCD_OPC_CheckPredicate, 0, 255, 83, // Skip to: 40669
+/* 19166 */   MCD_OPC_Decode, 207, 12, 95, // Opcode: SQXTNv2i32
+/* 19170 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 19201
+/* 19174 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 19177 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19189
+/* 19181 */   MCD_OPC_CheckPredicate, 0, 236, 83, // Skip to: 40669
+/* 19185 */   MCD_OPC_Decode, 153, 1, 90, // Opcode: CLZv2i32
+/* 19189 */   MCD_OPC_FilterValue, 33, 228, 83, // Skip to: 40669
+/* 19193 */   MCD_OPC_CheckPredicate, 0, 224, 83, // Skip to: 40669
+/* 19197 */   MCD_OPC_Decode, 214, 17, 95, // Opcode: UQXTNv2i32
+/* 19201 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 19232
+/* 19205 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 19208 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19220
+/* 19212 */   MCD_OPC_CheckPredicate, 0, 205, 83, // Skip to: 40669
+/* 19216 */   MCD_OPC_Decode, 147, 1, 117, // Opcode: CLSv4i32
+/* 19220 */   MCD_OPC_FilterValue, 33, 197, 83, // Skip to: 40669
+/* 19224 */   MCD_OPC_CheckPredicate, 0, 193, 83, // Skip to: 40669
+/* 19228 */   MCD_OPC_Decode, 209, 12, 126, // Opcode: SQXTNv4i32
+/* 19232 */   MCD_OPC_FilterValue, 3, 185, 83, // Skip to: 40669
+/* 19236 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 19239 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 19251
+/* 19243 */   MCD_OPC_CheckPredicate, 0, 174, 83, // Skip to: 40669
+/* 19247 */   MCD_OPC_Decode, 155, 1, 117, // Opcode: CLZv4i32
+/* 19251 */   MCD_OPC_FilterValue, 33, 166, 83, // Skip to: 40669
+/* 19255 */   MCD_OPC_CheckPredicate, 0, 162, 83, // Skip to: 40669
+/* 19259 */   MCD_OPC_Decode, 216, 17, 126, // Opcode: UQXTNv4i32
+/* 19263 */   MCD_OPC_FilterValue, 19, 75, 0, // Skip to: 19342
+/* 19267 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19270 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19288
+/* 19274 */   MCD_OPC_CheckPredicate, 0, 143, 83, // Skip to: 40669
+/* 19278 */   MCD_OPC_CheckField, 21, 1, 1, 137, 83, // Skip to: 40669
+/* 19284 */   MCD_OPC_Decode, 162, 12, 89, // Opcode: SQSHLv2i32
+/* 19288 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19306
+/* 19292 */   MCD_OPC_CheckPredicate, 0, 125, 83, // Skip to: 40669
+/* 19296 */   MCD_OPC_CheckField, 21, 1, 1, 119, 83, // Skip to: 40669
+/* 19302 */   MCD_OPC_Decode, 178, 17, 89, // Opcode: UQSHLv2i32
+/* 19306 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19324
+/* 19310 */   MCD_OPC_CheckPredicate, 0, 107, 83, // Skip to: 40669
+/* 19314 */   MCD_OPC_CheckField, 21, 1, 1, 101, 83, // Skip to: 40669
+/* 19320 */   MCD_OPC_Decode, 168, 12, 112, // Opcode: SQSHLv4i32
+/* 19324 */   MCD_OPC_FilterValue, 3, 93, 83, // Skip to: 40669
+/* 19328 */   MCD_OPC_CheckPredicate, 0, 89, 83, // Skip to: 40669
+/* 19332 */   MCD_OPC_CheckField, 21, 1, 1, 83, 83, // Skip to: 40669
+/* 19338 */   MCD_OPC_Decode, 184, 17, 112, // Opcode: UQSHLv4i32
+/* 19342 */   MCD_OPC_FilterValue, 20, 75, 0, // Skip to: 19421
+/* 19346 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19349 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19367
+/* 19353 */   MCD_OPC_CheckPredicate, 0, 64, 83, // Skip to: 40669
+/* 19357 */   MCD_OPC_CheckField, 21, 1, 1, 58, 83, // Skip to: 40669
+/* 19363 */   MCD_OPC_Decode, 200, 9, 105, // Opcode: SABALv2i32_v2i64
+/* 19367 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19385
+/* 19371 */   MCD_OPC_CheckPredicate, 0, 46, 83, // Skip to: 40669
+/* 19375 */   MCD_OPC_CheckField, 21, 1, 1, 40, 83, // Skip to: 40669
+/* 19381 */   MCD_OPC_Decode, 234, 15, 105, // Opcode: UABALv2i32_v2i64
+/* 19385 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19403
+/* 19389 */   MCD_OPC_CheckPredicate, 0, 28, 83, // Skip to: 40669
+/* 19393 */   MCD_OPC_CheckField, 21, 1, 1, 22, 83, // Skip to: 40669
+/* 19399 */   MCD_OPC_Decode, 202, 9, 120, // Opcode: SABALv4i32_v2i64
+/* 19403 */   MCD_OPC_FilterValue, 3, 14, 83, // Skip to: 40669
+/* 19407 */   MCD_OPC_CheckPredicate, 0, 10, 83, // Skip to: 40669
+/* 19411 */   MCD_OPC_CheckField, 21, 1, 1, 4, 83, // Skip to: 40669
+/* 19417 */   MCD_OPC_Decode, 236, 15, 120, // Opcode: UABALv4i32_v2i64
+/* 19421 */   MCD_OPC_FilterValue, 21, 75, 0, // Skip to: 19500
+/* 19425 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19428 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19446
+/* 19432 */   MCD_OPC_CheckPredicate, 0, 241, 82, // Skip to: 40669
+/* 19436 */   MCD_OPC_CheckField, 21, 1, 1, 235, 82, // Skip to: 40669
+/* 19442 */   MCD_OPC_Decode, 237, 12, 89, // Opcode: SRSHLv2i32
+/* 19446 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19464
+/* 19450 */   MCD_OPC_CheckPredicate, 0, 223, 82, // Skip to: 40669
+/* 19454 */   MCD_OPC_CheckField, 21, 1, 1, 217, 82, // Skip to: 40669
+/* 19460 */   MCD_OPC_Decode, 229, 17, 89, // Opcode: URSHLv2i32
+/* 19464 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19482
+/* 19468 */   MCD_OPC_CheckPredicate, 0, 205, 82, // Skip to: 40669
+/* 19472 */   MCD_OPC_CheckField, 21, 1, 1, 199, 82, // Skip to: 40669
+/* 19478 */   MCD_OPC_Decode, 240, 12, 112, // Opcode: SRSHLv4i32
+/* 19482 */   MCD_OPC_FilterValue, 3, 191, 82, // Skip to: 40669
+/* 19486 */   MCD_OPC_CheckPredicate, 0, 187, 82, // Skip to: 40669
+/* 19490 */   MCD_OPC_CheckField, 21, 1, 1, 181, 82, // Skip to: 40669
+/* 19496 */   MCD_OPC_Decode, 232, 17, 112, // Opcode: URSHLv4i32
+/* 19500 */   MCD_OPC_FilterValue, 22, 39, 0, // Skip to: 19543
+/* 19504 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19507 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19525
+/* 19511 */   MCD_OPC_CheckPredicate, 0, 162, 82, // Skip to: 40669
+/* 19515 */   MCD_OPC_CheckField, 21, 1, 0, 156, 82, // Skip to: 40669
+/* 19521 */   MCD_OPC_Decode, 186, 18, 89, // Opcode: UZP2v2i32
+/* 19525 */   MCD_OPC_FilterValue, 2, 148, 82, // Skip to: 40669
+/* 19529 */   MCD_OPC_CheckPredicate, 0, 144, 82, // Skip to: 40669
+/* 19533 */   MCD_OPC_CheckField, 21, 1, 0, 138, 82, // Skip to: 40669
+/* 19539 */   MCD_OPC_Decode, 189, 18, 112, // Opcode: UZP2v4i32
+/* 19543 */   MCD_OPC_FilterValue, 23, 75, 0, // Skip to: 19622
+/* 19547 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19550 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19568
+/* 19554 */   MCD_OPC_CheckPredicate, 0, 119, 82, // Skip to: 40669
+/* 19558 */   MCD_OPC_CheckField, 21, 1, 1, 113, 82, // Skip to: 40669
+/* 19564 */   MCD_OPC_Decode, 245, 11, 89, // Opcode: SQRSHLv2i32
+/* 19568 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19586
+/* 19572 */   MCD_OPC_CheckPredicate, 0, 101, 82, // Skip to: 40669
+/* 19576 */   MCD_OPC_CheckField, 21, 1, 1, 95, 82, // Skip to: 40669
+/* 19582 */   MCD_OPC_Decode, 153, 17, 89, // Opcode: UQRSHLv2i32
+/* 19586 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19604
+/* 19590 */   MCD_OPC_CheckPredicate, 0, 83, 82, // Skip to: 40669
+/* 19594 */   MCD_OPC_CheckField, 21, 1, 1, 77, 82, // Skip to: 40669
+/* 19600 */   MCD_OPC_Decode, 248, 11, 112, // Opcode: SQRSHLv4i32
+/* 19604 */   MCD_OPC_FilterValue, 3, 69, 82, // Skip to: 40669
+/* 19608 */   MCD_OPC_CheckPredicate, 0, 65, 82, // Skip to: 40669
+/* 19612 */   MCD_OPC_CheckField, 21, 1, 1, 59, 82, // Skip to: 40669
+/* 19618 */   MCD_OPC_Decode, 156, 17, 112, // Opcode: UQRSHLv4i32
+/* 19622 */   MCD_OPC_FilterValue, 24, 75, 0, // Skip to: 19701
+/* 19626 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19629 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19647
+/* 19633 */   MCD_OPC_CheckPredicate, 0, 40, 82, // Skip to: 40669
+/* 19637 */   MCD_OPC_CheckField, 21, 1, 1, 34, 82, // Skip to: 40669
+/* 19643 */   MCD_OPC_Decode, 149, 15, 103, // Opcode: SUBHNv2i64_v2i32
+/* 19647 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19665
+/* 19651 */   MCD_OPC_CheckPredicate, 0, 22, 82, // Skip to: 40669
+/* 19655 */   MCD_OPC_CheckField, 21, 1, 1, 16, 82, // Skip to: 40669
+/* 19661 */   MCD_OPC_Decode, 193, 9, 103, // Opcode: RSUBHNv2i64_v2i32
+/* 19665 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19683
+/* 19669 */   MCD_OPC_CheckPredicate, 0, 4, 82, // Skip to: 40669
+/* 19673 */   MCD_OPC_CheckField, 21, 1, 1, 254, 81, // Skip to: 40669
+/* 19679 */   MCD_OPC_Decode, 150, 15, 120, // Opcode: SUBHNv2i64_v4i32
+/* 19683 */   MCD_OPC_FilterValue, 3, 246, 81, // Skip to: 40669
+/* 19687 */   MCD_OPC_CheckPredicate, 0, 242, 81, // Skip to: 40669
+/* 19691 */   MCD_OPC_CheckField, 21, 1, 1, 236, 81, // Skip to: 40669
+/* 19697 */   MCD_OPC_Decode, 194, 9, 120, // Opcode: RSUBHNv2i64_v4i32
+/* 19701 */   MCD_OPC_FilterValue, 25, 75, 0, // Skip to: 19780
+/* 19705 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19708 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19726
+/* 19712 */   MCD_OPC_CheckPredicate, 0, 217, 81, // Skip to: 40669
+/* 19716 */   MCD_OPC_CheckField, 21, 1, 1, 211, 81, // Skip to: 40669
+/* 19722 */   MCD_OPC_Decode, 215, 10, 89, // Opcode: SMAXv2i32
+/* 19726 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19744
+/* 19730 */   MCD_OPC_CheckPredicate, 0, 199, 81, // Skip to: 40669
+/* 19734 */   MCD_OPC_CheckField, 21, 1, 1, 193, 81, // Skip to: 40669
+/* 19740 */   MCD_OPC_Decode, 207, 16, 89, // Opcode: UMAXv2i32
+/* 19744 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19762
+/* 19748 */   MCD_OPC_CheckPredicate, 0, 181, 81, // Skip to: 40669
+/* 19752 */   MCD_OPC_CheckField, 21, 1, 1, 175, 81, // Skip to: 40669
+/* 19758 */   MCD_OPC_Decode, 217, 10, 112, // Opcode: SMAXv4i32
+/* 19762 */   MCD_OPC_FilterValue, 3, 167, 81, // Skip to: 40669
+/* 19766 */   MCD_OPC_CheckPredicate, 0, 163, 81, // Skip to: 40669
+/* 19770 */   MCD_OPC_CheckField, 21, 1, 1, 157, 81, // Skip to: 40669
+/* 19776 */   MCD_OPC_Decode, 209, 16, 112, // Opcode: UMAXv4i32
+/* 19780 */   MCD_OPC_FilterValue, 26, 113, 0, // Skip to: 19897
+/* 19784 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19787 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 19824
+/* 19791 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 19794 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 19806
+/* 19798 */   MCD_OPC_CheckPredicate, 0, 131, 81, // Skip to: 40669
+/* 19802 */   MCD_OPC_Decode, 227, 15, 89, // Opcode: TRN2v2i32
+/* 19806 */   MCD_OPC_FilterValue, 1, 123, 81, // Skip to: 40669
+/* 19810 */   MCD_OPC_CheckPredicate, 0, 119, 81, // Skip to: 40669
+/* 19814 */   MCD_OPC_CheckField, 16, 5, 0, 113, 81, // Skip to: 40669
+/* 19820 */   MCD_OPC_Decode, 224, 9, 99, // Opcode: SADALPv2i32_v1i64
+/* 19824 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19842
+/* 19828 */   MCD_OPC_CheckPredicate, 0, 101, 81, // Skip to: 40669
+/* 19832 */   MCD_OPC_CheckField, 16, 6, 32, 95, 81, // Skip to: 40669
+/* 19838 */   MCD_OPC_Decode, 130, 16, 99, // Opcode: UADALPv2i32_v1i64
+/* 19842 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 19879
+/* 19846 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 19849 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 19861
+/* 19853 */   MCD_OPC_CheckPredicate, 0, 76, 81, // Skip to: 40669
+/* 19857 */   MCD_OPC_Decode, 230, 15, 112, // Opcode: TRN2v4i32
+/* 19861 */   MCD_OPC_FilterValue, 1, 68, 81, // Skip to: 40669
+/* 19865 */   MCD_OPC_CheckPredicate, 0, 64, 81, // Skip to: 40669
+/* 19869 */   MCD_OPC_CheckField, 16, 5, 0, 58, 81, // Skip to: 40669
+/* 19875 */   MCD_OPC_Decode, 226, 9, 126, // Opcode: SADALPv4i32_v2i64
+/* 19879 */   MCD_OPC_FilterValue, 3, 50, 81, // Skip to: 40669
+/* 19883 */   MCD_OPC_CheckPredicate, 0, 46, 81, // Skip to: 40669
+/* 19887 */   MCD_OPC_CheckField, 16, 6, 32, 40, 81, // Skip to: 40669
+/* 19893 */   MCD_OPC_Decode, 132, 16, 126, // Opcode: UADALPv4i32_v2i64
+/* 19897 */   MCD_OPC_FilterValue, 27, 75, 0, // Skip to: 19976
+/* 19901 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19904 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 19922
+/* 19908 */   MCD_OPC_CheckPredicate, 0, 21, 81, // Skip to: 40669
+/* 19912 */   MCD_OPC_CheckField, 21, 1, 1, 15, 81, // Skip to: 40669
+/* 19918 */   MCD_OPC_Decode, 233, 10, 89, // Opcode: SMINv2i32
+/* 19922 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 19940
+/* 19926 */   MCD_OPC_CheckPredicate, 0, 3, 81, // Skip to: 40669
+/* 19930 */   MCD_OPC_CheckField, 21, 1, 1, 253, 80, // Skip to: 40669
+/* 19936 */   MCD_OPC_Decode, 224, 16, 89, // Opcode: UMINv2i32
+/* 19940 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 19958
+/* 19944 */   MCD_OPC_CheckPredicate, 0, 241, 80, // Skip to: 40669
+/* 19948 */   MCD_OPC_CheckField, 21, 1, 1, 235, 80, // Skip to: 40669
+/* 19954 */   MCD_OPC_Decode, 235, 10, 112, // Opcode: SMINv4i32
+/* 19958 */   MCD_OPC_FilterValue, 3, 227, 80, // Skip to: 40669
+/* 19962 */   MCD_OPC_CheckPredicate, 0, 223, 80, // Skip to: 40669
+/* 19966 */   MCD_OPC_CheckField, 21, 1, 1, 217, 80, // Skip to: 40669
+/* 19972 */   MCD_OPC_Decode, 226, 16, 112, // Opcode: UMINv4i32
+/* 19976 */   MCD_OPC_FilterValue, 28, 75, 0, // Skip to: 20055
+/* 19980 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 19983 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20001
+/* 19987 */   MCD_OPC_CheckPredicate, 0, 198, 80, // Skip to: 40669
+/* 19991 */   MCD_OPC_CheckField, 21, 1, 1, 192, 80, // Skip to: 40669
+/* 19997 */   MCD_OPC_Decode, 212, 9, 85, // Opcode: SABDLv2i32_v2i64
+/* 20001 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20019
+/* 20005 */   MCD_OPC_CheckPredicate, 0, 180, 80, // Skip to: 40669
+/* 20009 */   MCD_OPC_CheckField, 21, 1, 1, 174, 80, // Skip to: 40669
+/* 20015 */   MCD_OPC_Decode, 246, 15, 85, // Opcode: UABDLv2i32_v2i64
+/* 20019 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20037
+/* 20023 */   MCD_OPC_CheckPredicate, 0, 162, 80, // Skip to: 40669
+/* 20027 */   MCD_OPC_CheckField, 21, 1, 1, 156, 80, // Skip to: 40669
+/* 20033 */   MCD_OPC_Decode, 214, 9, 112, // Opcode: SABDLv4i32_v2i64
+/* 20037 */   MCD_OPC_FilterValue, 3, 148, 80, // Skip to: 40669
+/* 20041 */   MCD_OPC_CheckPredicate, 0, 144, 80, // Skip to: 40669
+/* 20045 */   MCD_OPC_CheckField, 21, 1, 1, 138, 80, // Skip to: 40669
+/* 20051 */   MCD_OPC_Decode, 248, 15, 112, // Opcode: UABDLv4i32_v2i64
+/* 20055 */   MCD_OPC_FilterValue, 29, 75, 0, // Skip to: 20134
+/* 20059 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20062 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20080
+/* 20066 */   MCD_OPC_CheckPredicate, 0, 119, 80, // Skip to: 40669
+/* 20070 */   MCD_OPC_CheckField, 21, 1, 1, 113, 80, // Skip to: 40669
+/* 20076 */   MCD_OPC_Decode, 218, 9, 89, // Opcode: SABDv2i32
+/* 20080 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20098
+/* 20084 */   MCD_OPC_CheckPredicate, 0, 101, 80, // Skip to: 40669
+/* 20088 */   MCD_OPC_CheckField, 21, 1, 1, 95, 80, // Skip to: 40669
+/* 20094 */   MCD_OPC_Decode, 252, 15, 89, // Opcode: UABDv2i32
+/* 20098 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20116
+/* 20102 */   MCD_OPC_CheckPredicate, 0, 83, 80, // Skip to: 40669
+/* 20106 */   MCD_OPC_CheckField, 21, 1, 1, 77, 80, // Skip to: 40669
+/* 20112 */   MCD_OPC_Decode, 220, 9, 112, // Opcode: SABDv4i32
+/* 20116 */   MCD_OPC_FilterValue, 3, 69, 80, // Skip to: 40669
+/* 20120 */   MCD_OPC_CheckPredicate, 0, 65, 80, // Skip to: 40669
+/* 20124 */   MCD_OPC_CheckField, 21, 1, 1, 59, 80, // Skip to: 40669
+/* 20130 */   MCD_OPC_Decode, 254, 15, 112, // Opcode: UABDv4i32
+/* 20134 */   MCD_OPC_FilterValue, 30, 113, 0, // Skip to: 20251
+/* 20138 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20141 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 20178
+/* 20145 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 20148 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 20160
+/* 20152 */   MCD_OPC_CheckPredicate, 0, 33, 80, // Skip to: 40669
+/* 20156 */   MCD_OPC_Decode, 206, 18, 89, // Opcode: ZIP2v2i32
+/* 20160 */   MCD_OPC_FilterValue, 1, 25, 80, // Skip to: 40669
+/* 20164 */   MCD_OPC_CheckPredicate, 0, 21, 80, // Skip to: 40669
+/* 20168 */   MCD_OPC_CheckField, 16, 5, 0, 15, 80, // Skip to: 40669
+/* 20174 */   MCD_OPC_Decode, 152, 11, 90, // Opcode: SQABSv2i32
+/* 20178 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20196
+/* 20182 */   MCD_OPC_CheckPredicate, 0, 3, 80, // Skip to: 40669
+/* 20186 */   MCD_OPC_CheckField, 16, 6, 32, 253, 79, // Skip to: 40669
+/* 20192 */   MCD_OPC_Decode, 222, 11, 90, // Opcode: SQNEGv2i32
+/* 20196 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 20233
+/* 20200 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 20203 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 20215
+/* 20207 */   MCD_OPC_CheckPredicate, 0, 234, 79, // Skip to: 40669
+/* 20211 */   MCD_OPC_Decode, 209, 18, 112, // Opcode: ZIP2v4i32
+/* 20215 */   MCD_OPC_FilterValue, 1, 226, 79, // Skip to: 40669
+/* 20219 */   MCD_OPC_CheckPredicate, 0, 222, 79, // Skip to: 40669
+/* 20223 */   MCD_OPC_CheckField, 16, 5, 0, 216, 79, // Skip to: 40669
+/* 20229 */   MCD_OPC_Decode, 155, 11, 117, // Opcode: SQABSv4i32
+/* 20233 */   MCD_OPC_FilterValue, 3, 208, 79, // Skip to: 40669
+/* 20237 */   MCD_OPC_CheckPredicate, 0, 204, 79, // Skip to: 40669
+/* 20241 */   MCD_OPC_CheckField, 16, 6, 32, 198, 79, // Skip to: 40669
+/* 20247 */   MCD_OPC_Decode, 225, 11, 117, // Opcode: SQNEGv4i32
+/* 20251 */   MCD_OPC_FilterValue, 31, 75, 0, // Skip to: 20330
+/* 20255 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20258 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20276
+/* 20262 */   MCD_OPC_CheckPredicate, 0, 179, 79, // Skip to: 40669
+/* 20266 */   MCD_OPC_CheckField, 21, 1, 1, 173, 79, // Skip to: 40669
+/* 20272 */   MCD_OPC_Decode, 206, 9, 109, // Opcode: SABAv2i32
+/* 20276 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20294
+/* 20280 */   MCD_OPC_CheckPredicate, 0, 161, 79, // Skip to: 40669
+/* 20284 */   MCD_OPC_CheckField, 21, 1, 1, 155, 79, // Skip to: 40669
+/* 20290 */   MCD_OPC_Decode, 240, 15, 109, // Opcode: UABAv2i32
+/* 20294 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20312
+/* 20298 */   MCD_OPC_CheckPredicate, 0, 143, 79, // Skip to: 40669
+/* 20302 */   MCD_OPC_CheckField, 21, 1, 1, 137, 79, // Skip to: 40669
+/* 20308 */   MCD_OPC_Decode, 208, 9, 120, // Opcode: SABAv4i32
+/* 20312 */   MCD_OPC_FilterValue, 3, 129, 79, // Skip to: 40669
+/* 20316 */   MCD_OPC_CheckPredicate, 0, 125, 79, // Skip to: 40669
+/* 20320 */   MCD_OPC_CheckField, 21, 1, 1, 119, 79, // Skip to: 40669
+/* 20326 */   MCD_OPC_Decode, 242, 15, 120, // Opcode: UABAv4i32
+/* 20330 */   MCD_OPC_FilterValue, 32, 75, 0, // Skip to: 20409
+/* 20334 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20337 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20355
+/* 20341 */   MCD_OPC_CheckPredicate, 0, 100, 79, // Skip to: 40669
+/* 20345 */   MCD_OPC_CheckField, 21, 1, 1, 94, 79, // Skip to: 40669
+/* 20351 */   MCD_OPC_Decode, 240, 10, 105, // Opcode: SMLALv2i32_v2i64
+/* 20355 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20373
+/* 20359 */   MCD_OPC_CheckPredicate, 0, 82, 79, // Skip to: 40669
+/* 20363 */   MCD_OPC_CheckField, 21, 1, 1, 76, 79, // Skip to: 40669
+/* 20369 */   MCD_OPC_Decode, 231, 16, 105, // Opcode: UMLALv2i32_v2i64
+/* 20373 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20391
+/* 20377 */   MCD_OPC_CheckPredicate, 0, 64, 79, // Skip to: 40669
+/* 20381 */   MCD_OPC_CheckField, 21, 1, 1, 58, 79, // Skip to: 40669
+/* 20387 */   MCD_OPC_Decode, 244, 10, 120, // Opcode: SMLALv4i32_v2i64
+/* 20391 */   MCD_OPC_FilterValue, 3, 50, 79, // Skip to: 40669
+/* 20395 */   MCD_OPC_CheckPredicate, 0, 46, 79, // Skip to: 40669
+/* 20399 */   MCD_OPC_CheckField, 21, 1, 1, 40, 79, // Skip to: 40669
+/* 20405 */   MCD_OPC_Decode, 235, 16, 120, // Opcode: UMLALv4i32_v2i64
+/* 20409 */   MCD_OPC_FilterValue, 33, 73, 0, // Skip to: 20486
+/* 20413 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20416 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 20433
+/* 20420 */   MCD_OPC_CheckPredicate, 0, 21, 79, // Skip to: 40669
+/* 20424 */   MCD_OPC_CheckField, 21, 1, 1, 15, 79, // Skip to: 40669
+/* 20430 */   MCD_OPC_Decode, 71, 89, // Opcode: ADDv2i32
+/* 20433 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20451
+/* 20437 */   MCD_OPC_CheckPredicate, 0, 4, 79, // Skip to: 40669
+/* 20441 */   MCD_OPC_CheckField, 21, 1, 1, 254, 78, // Skip to: 40669
+/* 20447 */   MCD_OPC_Decode, 175, 15, 89, // Opcode: SUBv2i32
+/* 20451 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 20468
+/* 20455 */   MCD_OPC_CheckPredicate, 0, 242, 78, // Skip to: 40669
+/* 20459 */   MCD_OPC_CheckField, 21, 1, 1, 236, 78, // Skip to: 40669
+/* 20465 */   MCD_OPC_Decode, 74, 112, // Opcode: ADDv4i32
+/* 20468 */   MCD_OPC_FilterValue, 3, 229, 78, // Skip to: 40669
+/* 20472 */   MCD_OPC_CheckPredicate, 0, 225, 78, // Skip to: 40669
+/* 20476 */   MCD_OPC_CheckField, 21, 1, 1, 219, 78, // Skip to: 40669
+/* 20482 */   MCD_OPC_Decode, 178, 15, 112, // Opcode: SUBv4i32
+/* 20486 */   MCD_OPC_FilterValue, 34, 101, 0, // Skip to: 20591
+/* 20490 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20493 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 20524
+/* 20497 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 20500 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20512
+/* 20504 */   MCD_OPC_CheckPredicate, 0, 193, 78, // Skip to: 40669
+/* 20508 */   MCD_OPC_Decode, 195, 1, 90, // Opcode: CMGTv2i32rz
+/* 20512 */   MCD_OPC_FilterValue, 33, 185, 78, // Skip to: 40669
+/* 20516 */   MCD_OPC_CheckPredicate, 0, 181, 78, // Skip to: 40669
+/* 20520 */   MCD_OPC_Decode, 172, 5, 90, // Opcode: FRINTPv2f32
+/* 20524 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20542
+/* 20528 */   MCD_OPC_CheckPredicate, 0, 169, 78, // Skip to: 40669
+/* 20532 */   MCD_OPC_CheckField, 16, 6, 32, 163, 78, // Skip to: 40669
+/* 20538 */   MCD_OPC_Decode, 179, 1, 90, // Opcode: CMGEv2i32rz
+/* 20542 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 20573
+/* 20546 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 20549 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20561
+/* 20553 */   MCD_OPC_CheckPredicate, 0, 144, 78, // Skip to: 40669
+/* 20557 */   MCD_OPC_Decode, 201, 1, 117, // Opcode: CMGTv4i32rz
+/* 20561 */   MCD_OPC_FilterValue, 33, 136, 78, // Skip to: 40669
+/* 20565 */   MCD_OPC_CheckPredicate, 0, 132, 78, // Skip to: 40669
+/* 20569 */   MCD_OPC_Decode, 174, 5, 117, // Opcode: FRINTPv4f32
+/* 20573 */   MCD_OPC_FilterValue, 3, 124, 78, // Skip to: 40669
+/* 20577 */   MCD_OPC_CheckPredicate, 0, 120, 78, // Skip to: 40669
+/* 20581 */   MCD_OPC_CheckField, 16, 6, 32, 114, 78, // Skip to: 40669
+/* 20587 */   MCD_OPC_Decode, 185, 1, 117, // Opcode: CMGEv4i32rz
+/* 20591 */   MCD_OPC_FilterValue, 35, 75, 0, // Skip to: 20670
+/* 20595 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20598 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20616
+/* 20602 */   MCD_OPC_CheckPredicate, 0, 95, 78, // Skip to: 40669
+/* 20606 */   MCD_OPC_CheckField, 21, 1, 1, 89, 78, // Skip to: 40669
+/* 20612 */   MCD_OPC_Decode, 240, 1, 89, // Opcode: CMTSTv2i32
+/* 20616 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20634
+/* 20620 */   MCD_OPC_CheckPredicate, 0, 77, 78, // Skip to: 40669
+/* 20624 */   MCD_OPC_CheckField, 21, 1, 1, 71, 78, // Skip to: 40669
+/* 20630 */   MCD_OPC_Decode, 162, 1, 89, // Opcode: CMEQv2i32
+/* 20634 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20652
+/* 20638 */   MCD_OPC_CheckPredicate, 0, 59, 78, // Skip to: 40669
+/* 20642 */   MCD_OPC_CheckField, 21, 1, 1, 53, 78, // Skip to: 40669
+/* 20648 */   MCD_OPC_Decode, 243, 1, 112, // Opcode: CMTSTv4i32
+/* 20652 */   MCD_OPC_FilterValue, 3, 45, 78, // Skip to: 40669
+/* 20656 */   MCD_OPC_CheckPredicate, 0, 41, 78, // Skip to: 40669
+/* 20660 */   MCD_OPC_CheckField, 21, 1, 1, 35, 78, // Skip to: 40669
+/* 20666 */   MCD_OPC_Decode, 168, 1, 112, // Opcode: CMEQv4i32
+/* 20670 */   MCD_OPC_FilterValue, 36, 39, 0, // Skip to: 20713
+/* 20674 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20677 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20695
+/* 20681 */   MCD_OPC_CheckPredicate, 0, 16, 78, // Skip to: 40669
+/* 20685 */   MCD_OPC_CheckField, 21, 1, 1, 10, 78, // Skip to: 40669
+/* 20691 */   MCD_OPC_Decode, 174, 11, 105, // Opcode: SQDMLALv2i32_v2i64
+/* 20695 */   MCD_OPC_FilterValue, 2, 2, 78, // Skip to: 40669
+/* 20699 */   MCD_OPC_CheckPredicate, 0, 254, 77, // Skip to: 40669
+/* 20703 */   MCD_OPC_CheckField, 21, 1, 1, 248, 77, // Skip to: 40669
+/* 20709 */   MCD_OPC_Decode, 178, 11, 120, // Opcode: SQDMLALv4i32_v2i64
+/* 20713 */   MCD_OPC_FilterValue, 37, 75, 0, // Skip to: 20792
+/* 20717 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20720 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20738
+/* 20724 */   MCD_OPC_CheckPredicate, 0, 229, 77, // Skip to: 40669
+/* 20728 */   MCD_OPC_CheckField, 21, 1, 1, 223, 77, // Skip to: 40669
+/* 20734 */   MCD_OPC_Decode, 181, 8, 109, // Opcode: MLAv2i32
+/* 20738 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 20756
+/* 20742 */   MCD_OPC_CheckPredicate, 0, 211, 77, // Skip to: 40669
+/* 20746 */   MCD_OPC_CheckField, 21, 1, 1, 205, 77, // Skip to: 40669
+/* 20752 */   MCD_OPC_Decode, 191, 8, 109, // Opcode: MLSv2i32
+/* 20756 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 20774
+/* 20760 */   MCD_OPC_CheckPredicate, 0, 193, 77, // Skip to: 40669
+/* 20764 */   MCD_OPC_CheckField, 21, 1, 1, 187, 77, // Skip to: 40669
+/* 20770 */   MCD_OPC_Decode, 185, 8, 120, // Opcode: MLAv4i32
+/* 20774 */   MCD_OPC_FilterValue, 3, 179, 77, // Skip to: 40669
+/* 20778 */   MCD_OPC_CheckPredicate, 0, 175, 77, // Skip to: 40669
+/* 20782 */   MCD_OPC_CheckField, 21, 1, 1, 169, 77, // Skip to: 40669
+/* 20788 */   MCD_OPC_Decode, 195, 8, 120, // Opcode: MLSv4i32
+/* 20792 */   MCD_OPC_FilterValue, 38, 127, 0, // Skip to: 20923
+/* 20796 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20799 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 20830
+/* 20803 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 20806 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20818
+/* 20810 */   MCD_OPC_CheckPredicate, 0, 143, 77, // Skip to: 40669
+/* 20814 */   MCD_OPC_Decode, 163, 1, 90, // Opcode: CMEQv2i32rz
+/* 20818 */   MCD_OPC_FilterValue, 33, 135, 77, // Skip to: 40669
+/* 20822 */   MCD_OPC_CheckPredicate, 0, 131, 77, // Skip to: 40669
+/* 20826 */   MCD_OPC_Decode, 182, 5, 90, // Opcode: FRINTZv2f32
+/* 20830 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 20861
+/* 20834 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 20837 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20849
+/* 20841 */   MCD_OPC_CheckPredicate, 0, 112, 77, // Skip to: 40669
+/* 20845 */   MCD_OPC_Decode, 224, 1, 90, // Opcode: CMLEv2i32rz
+/* 20849 */   MCD_OPC_FilterValue, 33, 104, 77, // Skip to: 40669
+/* 20853 */   MCD_OPC_CheckPredicate, 0, 100, 77, // Skip to: 40669
+/* 20857 */   MCD_OPC_Decode, 157, 5, 90, // Opcode: FRINTIv2f32
+/* 20861 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 20892
+/* 20865 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 20868 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20880
+/* 20872 */   MCD_OPC_CheckPredicate, 0, 81, 77, // Skip to: 40669
+/* 20876 */   MCD_OPC_Decode, 169, 1, 117, // Opcode: CMEQv4i32rz
+/* 20880 */   MCD_OPC_FilterValue, 33, 73, 77, // Skip to: 40669
+/* 20884 */   MCD_OPC_CheckPredicate, 0, 69, 77, // Skip to: 40669
+/* 20888 */   MCD_OPC_Decode, 184, 5, 117, // Opcode: FRINTZv4f32
+/* 20892 */   MCD_OPC_FilterValue, 3, 61, 77, // Skip to: 40669
+/* 20896 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 20899 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 20911
+/* 20903 */   MCD_OPC_CheckPredicate, 0, 50, 77, // Skip to: 40669
+/* 20907 */   MCD_OPC_Decode, 227, 1, 117, // Opcode: CMLEv4i32rz
+/* 20911 */   MCD_OPC_FilterValue, 33, 42, 77, // Skip to: 40669
+/* 20915 */   MCD_OPC_CheckPredicate, 0, 38, 77, // Skip to: 40669
+/* 20919 */   MCD_OPC_Decode, 159, 5, 117, // Opcode: FRINTIv4f32
+/* 20923 */   MCD_OPC_FilterValue, 39, 39, 0, // Skip to: 20966
+/* 20927 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20930 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20948
+/* 20934 */   MCD_OPC_CheckPredicate, 0, 19, 77, // Skip to: 40669
+/* 20938 */   MCD_OPC_CheckField, 21, 1, 1, 13, 77, // Skip to: 40669
+/* 20944 */   MCD_OPC_Decode, 230, 8, 89, // Opcode: MULv2i32
+/* 20948 */   MCD_OPC_FilterValue, 2, 5, 77, // Skip to: 40669
+/* 20952 */   MCD_OPC_CheckPredicate, 0, 1, 77, // Skip to: 40669
+/* 20956 */   MCD_OPC_CheckField, 21, 1, 1, 251, 76, // Skip to: 40669
+/* 20962 */   MCD_OPC_Decode, 234, 8, 112, // Opcode: MULv4i32
+/* 20966 */   MCD_OPC_FilterValue, 40, 75, 0, // Skip to: 21045
+/* 20970 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 20973 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 20991
+/* 20977 */   MCD_OPC_CheckPredicate, 0, 232, 76, // Skip to: 40669
+/* 20981 */   MCD_OPC_CheckField, 21, 1, 1, 226, 76, // Skip to: 40669
+/* 20987 */   MCD_OPC_Decode, 250, 10, 105, // Opcode: SMLSLv2i32_v2i64
+/* 20991 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21009
+/* 20995 */   MCD_OPC_CheckPredicate, 0, 214, 76, // Skip to: 40669
+/* 20999 */   MCD_OPC_CheckField, 21, 1, 1, 208, 76, // Skip to: 40669
+/* 21005 */   MCD_OPC_Decode, 241, 16, 105, // Opcode: UMLSLv2i32_v2i64
+/* 21009 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21027
+/* 21013 */   MCD_OPC_CheckPredicate, 0, 196, 76, // Skip to: 40669
+/* 21017 */   MCD_OPC_CheckField, 21, 1, 1, 190, 76, // Skip to: 40669
+/* 21023 */   MCD_OPC_Decode, 254, 10, 120, // Opcode: SMLSLv4i32_v2i64
+/* 21027 */   MCD_OPC_FilterValue, 3, 182, 76, // Skip to: 40669
+/* 21031 */   MCD_OPC_CheckPredicate, 0, 178, 76, // Skip to: 40669
+/* 21035 */   MCD_OPC_CheckField, 21, 1, 1, 172, 76, // Skip to: 40669
+/* 21041 */   MCD_OPC_Decode, 245, 16, 120, // Opcode: UMLSLv4i32_v2i64
+/* 21045 */   MCD_OPC_FilterValue, 41, 75, 0, // Skip to: 21124
+/* 21049 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21052 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21070
+/* 21056 */   MCD_OPC_CheckPredicate, 0, 153, 76, // Skip to: 40669
+/* 21060 */   MCD_OPC_CheckField, 21, 1, 1, 147, 76, // Skip to: 40669
+/* 21066 */   MCD_OPC_Decode, 204, 10, 89, // Opcode: SMAXPv2i32
+/* 21070 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21088
+/* 21074 */   MCD_OPC_CheckPredicate, 0, 135, 76, // Skip to: 40669
+/* 21078 */   MCD_OPC_CheckField, 21, 1, 1, 129, 76, // Skip to: 40669
+/* 21084 */   MCD_OPC_Decode, 196, 16, 89, // Opcode: UMAXPv2i32
+/* 21088 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21106
+/* 21092 */   MCD_OPC_CheckPredicate, 0, 117, 76, // Skip to: 40669
+/* 21096 */   MCD_OPC_CheckField, 21, 1, 1, 111, 76, // Skip to: 40669
+/* 21102 */   MCD_OPC_Decode, 206, 10, 112, // Opcode: SMAXPv4i32
+/* 21106 */   MCD_OPC_FilterValue, 3, 103, 76, // Skip to: 40669
+/* 21110 */   MCD_OPC_CheckPredicate, 0, 99, 76, // Skip to: 40669
+/* 21114 */   MCD_OPC_CheckField, 21, 1, 1, 93, 76, // Skip to: 40669
+/* 21120 */   MCD_OPC_Decode, 198, 16, 112, // Opcode: UMAXPv4i32
+/* 21124 */   MCD_OPC_FilterValue, 42, 155, 0, // Skip to: 21283
+/* 21128 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21131 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 21162
+/* 21135 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21138 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 21150
+/* 21142 */   MCD_OPC_CheckPredicate, 0, 67, 76, // Skip to: 40669
+/* 21146 */   MCD_OPC_Decode, 232, 1, 90, // Opcode: CMLTv2i32rz
+/* 21150 */   MCD_OPC_FilterValue, 2, 59, 76, // Skip to: 40669
+/* 21154 */   MCD_OPC_CheckPredicate, 0, 55, 76, // Skip to: 40669
+/* 21158 */   MCD_OPC_Decode, 235, 1, 117, // Opcode: CMLTv4i32rz
+/* 21162 */   MCD_OPC_FilterValue, 33, 51, 0, // Skip to: 21217
+/* 21166 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21169 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 21181
+/* 21173 */   MCD_OPC_CheckPredicate, 0, 36, 76, // Skip to: 40669
+/* 21177 */   MCD_OPC_Decode, 206, 3, 90, // Opcode: FCVTPSv2f32
+/* 21181 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 21193
+/* 21185 */   MCD_OPC_CheckPredicate, 0, 24, 76, // Skip to: 40669
+/* 21189 */   MCD_OPC_Decode, 215, 3, 90, // Opcode: FCVTPUv2f32
+/* 21193 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 21205
+/* 21197 */   MCD_OPC_CheckPredicate, 0, 12, 76, // Skip to: 40669
+/* 21201 */   MCD_OPC_Decode, 208, 3, 117, // Opcode: FCVTPSv4f32
+/* 21205 */   MCD_OPC_FilterValue, 3, 4, 76, // Skip to: 40669
+/* 21209 */   MCD_OPC_CheckPredicate, 0, 0, 76, // Skip to: 40669
+/* 21213 */   MCD_OPC_Decode, 217, 3, 117, // Opcode: FCVTPUv4f32
+/* 21217 */   MCD_OPC_FilterValue, 48, 29, 0, // Skip to: 21250
+/* 21221 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21224 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 21237
+/* 21228 */   MCD_OPC_CheckPredicate, 0, 237, 75, // Skip to: 40669
+/* 21232 */   MCD_OPC_Decode, 211, 10, 139, 1, // Opcode: SMAXVv4i32v
+/* 21237 */   MCD_OPC_FilterValue, 3, 228, 75, // Skip to: 40669
+/* 21241 */   MCD_OPC_CheckPredicate, 0, 224, 75, // Skip to: 40669
+/* 21245 */   MCD_OPC_Decode, 203, 16, 139, 1, // Opcode: UMAXVv4i32v
+/* 21250 */   MCD_OPC_FilterValue, 49, 215, 75, // Skip to: 40669
+/* 21254 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21257 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 21270
+/* 21261 */   MCD_OPC_CheckPredicate, 0, 204, 75, // Skip to: 40669
+/* 21265 */   MCD_OPC_Decode, 229, 10, 139, 1, // Opcode: SMINVv4i32v
+/* 21270 */   MCD_OPC_FilterValue, 3, 195, 75, // Skip to: 40669
+/* 21274 */   MCD_OPC_CheckPredicate, 0, 191, 75, // Skip to: 40669
+/* 21278 */   MCD_OPC_Decode, 220, 16, 139, 1, // Opcode: UMINVv4i32v
+/* 21283 */   MCD_OPC_FilterValue, 43, 75, 0, // Skip to: 21362
 /* 21287 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
 /* 21290 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21308
-/* 21294 */   MCD_OPC_CheckPredicate, 0, 4, 96, // Skip to: 45878
-/* 21298 */   MCD_OPC_CheckField, 21, 1, 1, 254, 95, // Skip to: 45878
-/* 21304 */   MCD_OPC_Decode, 148, 14, 94, // Opcode: SQDMLSLvvv_4s4h
-/* 21308 */   MCD_OPC_FilterValue, 2, 246, 95, // Skip to: 45878
-/* 21312 */   MCD_OPC_CheckPredicate, 0, 242, 95, // Skip to: 45878
-/* 21316 */   MCD_OPC_CheckField, 21, 1, 1, 236, 95, // Skip to: 45878
-/* 21322 */   MCD_OPC_Decode, 136, 14, 110, // Opcode: SQDMLSL2vvv_4s8h
-/* 21326 */   MCD_OPC_FilterValue, 45, 75, 0, // Skip to: 21405
-/* 21330 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21333 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21351
-/* 21337 */   MCD_OPC_CheckPredicate, 0, 217, 95, // Skip to: 45878
-/* 21341 */   MCD_OPC_CheckField, 21, 1, 1, 211, 95, // Skip to: 45878
-/* 21347 */   MCD_OPC_Decode, 160, 14, 78, // Opcode: SQDMULHvvv_4H
-/* 21351 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21369
-/* 21355 */   MCD_OPC_CheckPredicate, 0, 199, 95, // Skip to: 45878
-/* 21359 */   MCD_OPC_CheckField, 21, 1, 1, 193, 95, // Skip to: 45878
-/* 21365 */   MCD_OPC_Decode, 199, 14, 78, // Opcode: SQRDMULHvvv_4H
-/* 21369 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21387
-/* 21373 */   MCD_OPC_CheckPredicate, 0, 181, 95, // Skip to: 45878
-/* 21377 */   MCD_OPC_CheckField, 21, 1, 1, 175, 95, // Skip to: 45878
-/* 21383 */   MCD_OPC_Decode, 162, 14, 102, // Opcode: SQDMULHvvv_8H
-/* 21387 */   MCD_OPC_FilterValue, 3, 167, 95, // Skip to: 45878
-/* 21391 */   MCD_OPC_CheckPredicate, 0, 163, 95, // Skip to: 45878
-/* 21395 */   MCD_OPC_CheckField, 21, 1, 1, 157, 95, // Skip to: 45878
-/* 21401 */   MCD_OPC_Decode, 201, 14, 102, // Opcode: SQRDMULHvvv_8H
-/* 21405 */   MCD_OPC_FilterValue, 46, 122, 0, // Skip to: 21531
+/* 21294 */   MCD_OPC_CheckPredicate, 0, 171, 75, // Skip to: 40669
+/* 21298 */   MCD_OPC_CheckField, 21, 1, 1, 165, 75, // Skip to: 40669
+/* 21304 */   MCD_OPC_Decode, 222, 10, 89, // Opcode: SMINPv2i32
+/* 21308 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21326
+/* 21312 */   MCD_OPC_CheckPredicate, 0, 153, 75, // Skip to: 40669
+/* 21316 */   MCD_OPC_CheckField, 21, 1, 1, 147, 75, // Skip to: 40669
+/* 21322 */   MCD_OPC_Decode, 213, 16, 89, // Opcode: UMINPv2i32
+/* 21326 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21344
+/* 21330 */   MCD_OPC_CheckPredicate, 0, 135, 75, // Skip to: 40669
+/* 21334 */   MCD_OPC_CheckField, 21, 1, 1, 129, 75, // Skip to: 40669
+/* 21340 */   MCD_OPC_Decode, 224, 10, 112, // Opcode: SMINPv4i32
+/* 21344 */   MCD_OPC_FilterValue, 3, 121, 75, // Skip to: 40669
+/* 21348 */   MCD_OPC_CheckPredicate, 0, 117, 75, // Skip to: 40669
+/* 21352 */   MCD_OPC_CheckField, 21, 1, 1, 111, 75, // Skip to: 40669
+/* 21358 */   MCD_OPC_Decode, 215, 16, 112, // Opcode: UMINPv4i32
+/* 21362 */   MCD_OPC_FilterValue, 44, 39, 0, // Skip to: 21405
+/* 21366 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21369 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21387
+/* 21373 */   MCD_OPC_CheckPredicate, 0, 92, 75, // Skip to: 40669
+/* 21377 */   MCD_OPC_CheckField, 21, 1, 1, 86, 75, // Skip to: 40669
+/* 21383 */   MCD_OPC_Decode, 186, 11, 105, // Opcode: SQDMLSLv2i32_v2i64
+/* 21387 */   MCD_OPC_FilterValue, 2, 78, 75, // Skip to: 40669
+/* 21391 */   MCD_OPC_CheckPredicate, 0, 74, 75, // Skip to: 40669
+/* 21395 */   MCD_OPC_CheckField, 21, 1, 1, 68, 75, // Skip to: 40669
+/* 21401 */   MCD_OPC_Decode, 190, 11, 120, // Opcode: SQDMLSLv4i32_v2i64
+/* 21405 */   MCD_OPC_FilterValue, 45, 75, 0, // Skip to: 21484
 /* 21409 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21412 */   MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 21441
-/* 21416 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 21419 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 21430
-/* 21423 */   MCD_OPC_CheckPredicate, 0, 131, 95, // Skip to: 45878
-/* 21427 */   MCD_OPC_Decode, 22, 79, // Opcode: ABS4h
-/* 21430 */   MCD_OPC_FilterValue, 49, 124, 95, // Skip to: 45878
-/* 21434 */   MCD_OPC_CheckPredicate, 0, 120, 95, // Skip to: 45878
-/* 21438 */   MCD_OPC_Decode, 69, 89, // Opcode: ADDV_1h4h
-/* 21441 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21459
-/* 21445 */   MCD_OPC_CheckPredicate, 0, 109, 95, // Skip to: 45878
-/* 21449 */   MCD_OPC_CheckField, 16, 6, 32, 103, 95, // Skip to: 45878
-/* 21455 */   MCD_OPC_Decode, 181, 11, 79, // Opcode: NEG4h
-/* 21459 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 21500
-/* 21463 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 21466 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 21477
-/* 21470 */   MCD_OPC_CheckPredicate, 0, 84, 95, // Skip to: 45878
-/* 21474 */   MCD_OPC_Decode, 25, 107, // Opcode: ABS8h
-/* 21477 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 21489
-/* 21481 */   MCD_OPC_CheckPredicate, 0, 73, 95, // Skip to: 45878
-/* 21485 */   MCD_OPC_Decode, 189, 4, 107, // Opcode: FCVTMS_2d
-/* 21489 */   MCD_OPC_FilterValue, 49, 65, 95, // Skip to: 45878
-/* 21493 */   MCD_OPC_CheckPredicate, 0, 61, 95, // Skip to: 45878
-/* 21497 */   MCD_OPC_Decode, 70, 121, // Opcode: ADDV_1h8h
-/* 21500 */   MCD_OPC_FilterValue, 3, 54, 95, // Skip to: 45878
-/* 21504 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 21507 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21519
-/* 21511 */   MCD_OPC_CheckPredicate, 0, 43, 95, // Skip to: 45878
-/* 21515 */   MCD_OPC_Decode, 184, 11, 107, // Opcode: NEG8h
-/* 21519 */   MCD_OPC_FilterValue, 33, 35, 95, // Skip to: 45878
-/* 21523 */   MCD_OPC_CheckPredicate, 0, 31, 95, // Skip to: 45878
-/* 21527 */   MCD_OPC_Decode, 198, 4, 107, // Opcode: FCVTMU_2d
-/* 21531 */   MCD_OPC_FilterValue, 47, 37, 0, // Skip to: 21572
-/* 21535 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21538 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 21555
-/* 21542 */   MCD_OPC_CheckPredicate, 0, 12, 95, // Skip to: 45878
-/* 21546 */   MCD_OPC_CheckField, 21, 1, 1, 6, 95, // Skip to: 45878
-/* 21552 */   MCD_OPC_Decode, 40, 78, // Opcode: ADDP_4H
-/* 21555 */   MCD_OPC_FilterValue, 2, 255, 94, // Skip to: 45878
-/* 21559 */   MCD_OPC_CheckPredicate, 0, 251, 94, // Skip to: 45878
-/* 21563 */   MCD_OPC_CheckField, 21, 1, 1, 245, 94, // Skip to: 45878
-/* 21569 */   MCD_OPC_Decode, 43, 102, // Opcode: ADDP_8H
-/* 21572 */   MCD_OPC_FilterValue, 48, 75, 0, // Skip to: 21651
-/* 21576 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21579 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21597
-/* 21583 */   MCD_OPC_CheckPredicate, 0, 227, 94, // Skip to: 45878
-/* 21587 */   MCD_OPC_CheckField, 21, 1, 1, 221, 94, // Skip to: 45878
-/* 21593 */   MCD_OPC_Decode, 225, 13, 74, // Opcode: SMULLvvv_4s4h
-/* 21597 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21615
-/* 21601 */   MCD_OPC_CheckPredicate, 0, 209, 94, // Skip to: 45878
-/* 21605 */   MCD_OPC_CheckField, 21, 1, 1, 203, 94, // Skip to: 45878
-/* 21611 */   MCD_OPC_Decode, 130, 20, 74, // Opcode: UMULLvvv_4s4h
-/* 21615 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21633
-/* 21619 */   MCD_OPC_CheckPredicate, 0, 191, 94, // Skip to: 45878
-/* 21623 */   MCD_OPC_CheckField, 21, 1, 1, 185, 94, // Skip to: 45878
-/* 21629 */   MCD_OPC_Decode, 218, 13, 102, // Opcode: SMULL2vvv_4s8h
-/* 21633 */   MCD_OPC_FilterValue, 3, 177, 94, // Skip to: 45878
-/* 21637 */   MCD_OPC_CheckPredicate, 0, 173, 94, // Skip to: 45878
-/* 21641 */   MCD_OPC_CheckField, 21, 1, 1, 167, 94, // Skip to: 45878
-/* 21647 */   MCD_OPC_Decode, 251, 19, 102, // Opcode: UMULL2vvv_4s8h
-/* 21651 */   MCD_OPC_FilterValue, 49, 39, 0, // Skip to: 21694
-/* 21655 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21658 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21676
-/* 21662 */   MCD_OPC_CheckPredicate, 0, 148, 94, // Skip to: 45878
-/* 21666 */   MCD_OPC_CheckField, 21, 1, 1, 142, 94, // Skip to: 45878
-/* 21672 */   MCD_OPC_Decode, 173, 5, 102, // Opcode: FMAXNMvvv_2D
-/* 21676 */   MCD_OPC_FilterValue, 3, 134, 94, // Skip to: 45878
-/* 21680 */   MCD_OPC_CheckPredicate, 0, 130, 94, // Skip to: 45878
-/* 21684 */   MCD_OPC_CheckField, 21, 1, 1, 124, 94, // Skip to: 45878
-/* 21690 */   MCD_OPC_Decode, 167, 5, 102, // Opcode: FMAXNMPvvv_2D
-/* 21694 */   MCD_OPC_FilterValue, 50, 39, 0, // Skip to: 21737
-/* 21698 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21701 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21719
-/* 21705 */   MCD_OPC_CheckPredicate, 0, 105, 94, // Skip to: 45878
-/* 21709 */   MCD_OPC_CheckField, 16, 6, 33, 99, 94, // Skip to: 45878
-/* 21715 */   MCD_OPC_Decode, 167, 4, 107, // Opcode: FCVTAS_2d
-/* 21719 */   MCD_OPC_FilterValue, 3, 91, 94, // Skip to: 45878
-/* 21723 */   MCD_OPC_CheckPredicate, 0, 87, 94, // Skip to: 45878
-/* 21727 */   MCD_OPC_CheckField, 16, 6, 33, 81, 94, // Skip to: 45878
-/* 21733 */   MCD_OPC_Decode, 176, 4, 107, // Opcode: FCVTAU_2d
-/* 21737 */   MCD_OPC_FilterValue, 51, 20, 0, // Skip to: 21761
-/* 21741 */   MCD_OPC_CheckPredicate, 0, 69, 94, // Skip to: 45878
-/* 21745 */   MCD_OPC_CheckField, 29, 3, 2, 63, 94, // Skip to: 45878
-/* 21751 */   MCD_OPC_CheckField, 21, 1, 1, 57, 94, // Skip to: 45878
-/* 21757 */   MCD_OPC_Decode, 214, 5, 110, // Opcode: FMLAvvv_2D
-/* 21761 */   MCD_OPC_FilterValue, 52, 39, 0, // Skip to: 21804
-/* 21765 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21768 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21786
-/* 21772 */   MCD_OPC_CheckPredicate, 0, 38, 94, // Skip to: 45878
-/* 21776 */   MCD_OPC_CheckField, 21, 1, 1, 32, 94, // Skip to: 45878
-/* 21782 */   MCD_OPC_Decode, 176, 14, 74, // Opcode: SQDMULLvvv_4s4h
-/* 21786 */   MCD_OPC_FilterValue, 2, 24, 94, // Skip to: 45878
-/* 21790 */   MCD_OPC_CheckPredicate, 0, 20, 94, // Skip to: 45878
-/* 21794 */   MCD_OPC_CheckField, 21, 1, 1, 14, 94, // Skip to: 45878
-/* 21800 */   MCD_OPC_Decode, 164, 14, 102, // Opcode: SQDMULL2vvv_4s8h
-/* 21804 */   MCD_OPC_FilterValue, 53, 39, 0, // Skip to: 21847
-/* 21808 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21811 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21829
-/* 21815 */   MCD_OPC_CheckPredicate, 0, 251, 93, // Skip to: 45878
-/* 21819 */   MCD_OPC_CheckField, 21, 1, 1, 245, 93, // Skip to: 45878
-/* 21825 */   MCD_OPC_Decode, 238, 3, 102, // Opcode: FADDvvv_2D
-/* 21829 */   MCD_OPC_FilterValue, 3, 237, 93, // Skip to: 45878
-/* 21833 */   MCD_OPC_CheckPredicate, 0, 233, 93, // Skip to: 45878
-/* 21837 */   MCD_OPC_CheckField, 21, 1, 1, 227, 93, // Skip to: 45878
-/* 21843 */   MCD_OPC_Decode, 231, 3, 102, // Opcode: FADDP_2D
-/* 21847 */   MCD_OPC_FilterValue, 54, 39, 0, // Skip to: 21890
-/* 21851 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21854 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21872
-/* 21858 */   MCD_OPC_CheckPredicate, 0, 208, 93, // Skip to: 45878
-/* 21862 */   MCD_OPC_CheckField, 16, 6, 33, 202, 93, // Skip to: 45878
-/* 21868 */   MCD_OPC_Decode, 215, 12, 107, // Opcode: SCVTF_2d
-/* 21872 */   MCD_OPC_FilterValue, 3, 194, 93, // Skip to: 45878
-/* 21876 */   MCD_OPC_CheckPredicate, 0, 190, 93, // Skip to: 45878
-/* 21880 */   MCD_OPC_CheckField, 16, 6, 33, 184, 93, // Skip to: 45878
-/* 21886 */   MCD_OPC_Decode, 160, 19, 107, // Opcode: UCVTF_2d
-/* 21890 */   MCD_OPC_FilterValue, 55, 39, 0, // Skip to: 21933
-/* 21894 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21897 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21915
-/* 21901 */   MCD_OPC_CheckPredicate, 0, 165, 93, // Skip to: 45878
-/* 21905 */   MCD_OPC_CheckField, 21, 1, 1, 159, 93, // Skip to: 45878
-/* 21911 */   MCD_OPC_Decode, 247, 5, 102, // Opcode: FMULXvvv_2D
-/* 21915 */   MCD_OPC_FilterValue, 3, 151, 93, // Skip to: 45878
-/* 21919 */   MCD_OPC_CheckPredicate, 0, 147, 93, // Skip to: 45878
-/* 21923 */   MCD_OPC_CheckField, 21, 1, 1, 141, 93, // Skip to: 45878
-/* 21929 */   MCD_OPC_Decode, 129, 6, 102, // Opcode: FMULvvv_2D
-/* 21933 */   MCD_OPC_FilterValue, 57, 39, 0, // Skip to: 21976
-/* 21937 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 21940 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21958
-/* 21944 */   MCD_OPC_CheckPredicate, 0, 122, 93, // Skip to: 45878
-/* 21948 */   MCD_OPC_CheckField, 21, 1, 1, 116, 93, // Skip to: 45878
-/* 21954 */   MCD_OPC_Decode, 252, 3, 102, // Opcode: FCMEQvvv_2D
-/* 21958 */   MCD_OPC_FilterValue, 3, 108, 93, // Skip to: 45878
-/* 21962 */   MCD_OPC_CheckPredicate, 0, 104, 93, // Skip to: 45878
-/* 21966 */   MCD_OPC_CheckField, 21, 1, 1, 98, 93, // Skip to: 45878
-/* 21972 */   MCD_OPC_Decode, 134, 4, 102, // Opcode: FCMGEvvv_2D
-/* 21976 */   MCD_OPC_FilterValue, 59, 20, 0, // Skip to: 22000
-/* 21980 */   MCD_OPC_CheckPredicate, 0, 86, 93, // Skip to: 45878
-/* 21984 */   MCD_OPC_CheckField, 29, 3, 3, 80, 93, // Skip to: 45878
-/* 21990 */   MCD_OPC_CheckField, 21, 1, 1, 74, 93, // Skip to: 45878
-/* 21996 */   MCD_OPC_Decode, 223, 3, 102, // Opcode: FACGEvvv_2D
-/* 22000 */   MCD_OPC_FilterValue, 61, 39, 0, // Skip to: 22043
-/* 22004 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22007 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22025
-/* 22011 */   MCD_OPC_CheckPredicate, 0, 55, 93, // Skip to: 45878
-/* 22015 */   MCD_OPC_CheckField, 21, 1, 1, 49, 93, // Skip to: 45878
-/* 22021 */   MCD_OPC_Decode, 184, 5, 102, // Opcode: FMAXvvv_2D
-/* 22025 */   MCD_OPC_FilterValue, 3, 41, 93, // Skip to: 45878
-/* 22029 */   MCD_OPC_CheckPredicate, 0, 37, 93, // Skip to: 45878
-/* 22033 */   MCD_OPC_CheckField, 21, 1, 1, 31, 93, // Skip to: 45878
-/* 22039 */   MCD_OPC_Decode, 178, 5, 102, // Opcode: FMAXPvvv_2D
-/* 22043 */   MCD_OPC_FilterValue, 63, 23, 93, // Skip to: 45878
-/* 22047 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22050 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22068
-/* 22054 */   MCD_OPC_CheckPredicate, 0, 12, 93, // Skip to: 45878
-/* 22058 */   MCD_OPC_CheckField, 21, 1, 1, 6, 93, // Skip to: 45878
-/* 22064 */   MCD_OPC_Decode, 150, 6, 102, // Opcode: FRECPSvvv_2D
-/* 22068 */   MCD_OPC_FilterValue, 3, 254, 92, // Skip to: 45878
-/* 22072 */   MCD_OPC_CheckPredicate, 0, 250, 92, // Skip to: 45878
-/* 22076 */   MCD_OPC_CheckField, 21, 1, 1, 244, 92, // Skip to: 45878
-/* 22082 */   MCD_OPC_Decode, 160, 5, 102, // Opcode: FDIVvvv_2D
-/* 22086 */   MCD_OPC_FilterValue, 10, 167, 19, // Skip to: 27121
-/* 22090 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 22093 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 22172
-/* 22097 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22100 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22118
-/* 22104 */   MCD_OPC_CheckPredicate, 0, 218, 92, // Skip to: 45878
-/* 22108 */   MCD_OPC_CheckField, 21, 1, 1, 212, 92, // Skip to: 45878
-/* 22114 */   MCD_OPC_Decode, 196, 12, 74, // Opcode: SADDLvvv_2d2s
-/* 22118 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22136
-/* 22122 */   MCD_OPC_CheckPredicate, 0, 200, 92, // Skip to: 45878
-/* 22126 */   MCD_OPC_CheckField, 21, 1, 1, 194, 92, // Skip to: 45878
-/* 22132 */   MCD_OPC_Decode, 145, 19, 74, // Opcode: UADDLvvv_2d2s
-/* 22136 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22154
-/* 22140 */   MCD_OPC_CheckPredicate, 0, 182, 92, // Skip to: 45878
-/* 22144 */   MCD_OPC_CheckField, 21, 1, 1, 176, 92, // Skip to: 45878
-/* 22150 */   MCD_OPC_Decode, 182, 12, 102, // Opcode: SADDL2vvv_2d4s
-/* 22154 */   MCD_OPC_FilterValue, 3, 168, 92, // Skip to: 45878
-/* 22158 */   MCD_OPC_CheckPredicate, 0, 164, 92, // Skip to: 45878
-/* 22162 */   MCD_OPC_CheckField, 21, 1, 1, 158, 92, // Skip to: 45878
-/* 22168 */   MCD_OPC_Decode, 131, 19, 102, // Opcode: UADDL2vvv_2d4s
-/* 22172 */   MCD_OPC_FilterValue, 1, 75, 0, // Skip to: 22251
-/* 22176 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22179 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22197
-/* 22183 */   MCD_OPC_CheckPredicate, 0, 139, 92, // Skip to: 45878
-/* 22187 */   MCD_OPC_CheckField, 21, 1, 1, 133, 92, // Skip to: 45878
-/* 22193 */   MCD_OPC_Decode, 243, 12, 78, // Opcode: SHADDvvv_2S
-/* 22197 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22215
-/* 22201 */   MCD_OPC_CheckPredicate, 0, 121, 92, // Skip to: 45878
-/* 22205 */   MCD_OPC_CheckField, 21, 1, 1, 115, 92, // Skip to: 45878
-/* 22211 */   MCD_OPC_Decode, 178, 19, 78, // Opcode: UHADDvvv_2S
-/* 22215 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22233
-/* 22219 */   MCD_OPC_CheckPredicate, 0, 103, 92, // Skip to: 45878
-/* 22223 */   MCD_OPC_CheckField, 21, 1, 1, 97, 92, // Skip to: 45878
-/* 22229 */   MCD_OPC_Decode, 245, 12, 102, // Opcode: SHADDvvv_4S
-/* 22233 */   MCD_OPC_FilterValue, 3, 89, 92, // Skip to: 45878
-/* 22237 */   MCD_OPC_CheckPredicate, 0, 85, 92, // Skip to: 45878
-/* 22241 */   MCD_OPC_CheckField, 21, 1, 1, 79, 92, // Skip to: 45878
-/* 22247 */   MCD_OPC_Decode, 180, 19, 102, // Opcode: UHADDvvv_4S
-/* 22251 */   MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 22294
-/* 22255 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22258 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22276
-/* 22262 */   MCD_OPC_CheckPredicate, 0, 60, 92, // Skip to: 45878
-/* 22266 */   MCD_OPC_CheckField, 16, 6, 32, 54, 92, // Skip to: 45878
-/* 22272 */   MCD_OPC_Decode, 131, 12, 79, // Opcode: REV64_2s
-/* 22276 */   MCD_OPC_FilterValue, 2, 46, 92, // Skip to: 45878
-/* 22280 */   MCD_OPC_CheckPredicate, 0, 42, 92, // Skip to: 45878
-/* 22284 */   MCD_OPC_CheckField, 16, 6, 32, 36, 92, // Skip to: 45878
-/* 22290 */   MCD_OPC_Decode, 133, 12, 107, // Opcode: REV64_4s
-/* 22294 */   MCD_OPC_FilterValue, 3, 75, 0, // Skip to: 22373
-/* 22298 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22301 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22319
-/* 22305 */   MCD_OPC_CheckPredicate, 0, 17, 92, // Skip to: 45878
-/* 22309 */   MCD_OPC_CheckField, 21, 1, 1, 11, 92, // Skip to: 45878
-/* 22315 */   MCD_OPC_Decode, 244, 13, 78, // Opcode: SQADDvvv_2S
-/* 22319 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22337
-/* 22323 */   MCD_OPC_CheckPredicate, 0, 255, 91, // Skip to: 45878
-/* 22327 */   MCD_OPC_CheckField, 21, 1, 1, 249, 91, // Skip to: 45878
-/* 22333 */   MCD_OPC_Decode, 138, 20, 78, // Opcode: UQADDvvv_2S
-/* 22337 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22355
-/* 22341 */   MCD_OPC_CheckPredicate, 0, 237, 91, // Skip to: 45878
-/* 22345 */   MCD_OPC_CheckField, 21, 1, 1, 231, 91, // Skip to: 45878
-/* 22351 */   MCD_OPC_Decode, 246, 13, 102, // Opcode: SQADDvvv_4S
-/* 22355 */   MCD_OPC_FilterValue, 3, 223, 91, // Skip to: 45878
-/* 22359 */   MCD_OPC_CheckPredicate, 0, 219, 91, // Skip to: 45878
-/* 22363 */   MCD_OPC_CheckField, 21, 1, 1, 213, 91, // Skip to: 45878
-/* 22369 */   MCD_OPC_Decode, 140, 20, 102, // Opcode: UQADDvvv_4S
-/* 22373 */   MCD_OPC_FilterValue, 4, 75, 0, // Skip to: 22452
-/* 22377 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22380 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22398
-/* 22384 */   MCD_OPC_CheckPredicate, 0, 194, 91, // Skip to: 45878
-/* 22388 */   MCD_OPC_CheckField, 21, 1, 1, 188, 91, // Skip to: 45878
-/* 22394 */   MCD_OPC_Decode, 202, 12, 82, // Opcode: SADDWvvv_2d2s
-/* 22398 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22416
-/* 22402 */   MCD_OPC_CheckPredicate, 0, 176, 91, // Skip to: 45878
-/* 22406 */   MCD_OPC_CheckField, 21, 1, 1, 170, 91, // Skip to: 45878
-/* 22412 */   MCD_OPC_Decode, 151, 19, 82, // Opcode: UADDWvvv_2d2s
-/* 22416 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22434
-/* 22420 */   MCD_OPC_CheckPredicate, 0, 158, 91, // Skip to: 45878
-/* 22424 */   MCD_OPC_CheckField, 21, 1, 1, 152, 91, // Skip to: 45878
-/* 22430 */   MCD_OPC_Decode, 199, 12, 102, // Opcode: SADDW2vvv_2d4s
-/* 22434 */   MCD_OPC_FilterValue, 3, 144, 91, // Skip to: 45878
-/* 22438 */   MCD_OPC_CheckPredicate, 0, 140, 91, // Skip to: 45878
-/* 22442 */   MCD_OPC_CheckField, 21, 1, 1, 134, 91, // Skip to: 45878
-/* 22448 */   MCD_OPC_Decode, 148, 19, 102, // Opcode: UADDW2vvv_2d4s
-/* 22452 */   MCD_OPC_FilterValue, 5, 75, 0, // Skip to: 22531
-/* 22456 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22459 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22477
-/* 22463 */   MCD_OPC_CheckPredicate, 0, 115, 91, // Skip to: 45878
-/* 22467 */   MCD_OPC_CheckField, 21, 1, 1, 109, 91, // Skip to: 45878
-/* 22473 */   MCD_OPC_Decode, 172, 15, 78, // Opcode: SRHADDvvv_2S
-/* 22477 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22495
-/* 22481 */   MCD_OPC_CheckPredicate, 0, 97, 91, // Skip to: 45878
-/* 22485 */   MCD_OPC_CheckField, 21, 1, 1, 91, 91, // Skip to: 45878
-/* 22491 */   MCD_OPC_Decode, 217, 20, 78, // Opcode: URHADDvvv_2S
-/* 22495 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22513
-/* 22499 */   MCD_OPC_CheckPredicate, 0, 79, 91, // Skip to: 45878
-/* 22503 */   MCD_OPC_CheckField, 21, 1, 1, 73, 91, // Skip to: 45878
-/* 22509 */   MCD_OPC_Decode, 174, 15, 102, // Opcode: SRHADDvvv_4S
-/* 22513 */   MCD_OPC_FilterValue, 3, 65, 91, // Skip to: 45878
-/* 22517 */   MCD_OPC_CheckPredicate, 0, 61, 91, // Skip to: 45878
-/* 22521 */   MCD_OPC_CheckField, 21, 1, 1, 55, 91, // Skip to: 45878
-/* 22527 */   MCD_OPC_Decode, 219, 20, 102, // Opcode: URHADDvvv_4S
-/* 22531 */   MCD_OPC_FilterValue, 6, 39, 0, // Skip to: 22574
-/* 22535 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22538 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22556
-/* 22542 */   MCD_OPC_CheckPredicate, 0, 36, 91, // Skip to: 45878
-/* 22546 */   MCD_OPC_CheckField, 21, 1, 0, 30, 91, // Skip to: 45878
-/* 22552 */   MCD_OPC_Decode, 179, 21, 78, // Opcode: UZP1vvv_2s
-/* 22556 */   MCD_OPC_FilterValue, 2, 22, 91, // Skip to: 45878
-/* 22560 */   MCD_OPC_CheckPredicate, 0, 18, 91, // Skip to: 45878
-/* 22564 */   MCD_OPC_CheckField, 21, 1, 0, 12, 91, // Skip to: 45878
-/* 22570 */   MCD_OPC_Decode, 181, 21, 102, // Opcode: UZP1vvv_4s
-/* 22574 */   MCD_OPC_FilterValue, 7, 75, 0, // Skip to: 22653
-/* 22578 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22581 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22599
-/* 22585 */   MCD_OPC_CheckPredicate, 0, 249, 90, // Skip to: 45878
-/* 22589 */   MCD_OPC_CheckField, 21, 1, 1, 243, 90, // Skip to: 45878
-/* 22595 */   MCD_OPC_Decode, 203, 11, 78, // Opcode: ORRvvv_8B
-/* 22599 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22617
-/* 22603 */   MCD_OPC_CheckPredicate, 0, 231, 90, // Skip to: 45878
-/* 22607 */   MCD_OPC_CheckField, 21, 1, 1, 225, 90, // Skip to: 45878
-/* 22613 */   MCD_OPC_Decode, 228, 1, 98, // Opcode: BITvvv_8B
-/* 22617 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22635
-/* 22621 */   MCD_OPC_CheckPredicate, 0, 213, 90, // Skip to: 45878
-/* 22625 */   MCD_OPC_CheckField, 21, 1, 1, 207, 90, // Skip to: 45878
-/* 22631 */   MCD_OPC_Decode, 202, 11, 102, // Opcode: ORRvvv_16B
-/* 22635 */   MCD_OPC_FilterValue, 3, 199, 90, // Skip to: 45878
-/* 22639 */   MCD_OPC_CheckPredicate, 0, 195, 90, // Skip to: 45878
-/* 22643 */   MCD_OPC_CheckField, 21, 1, 1, 189, 90, // Skip to: 45878
-/* 22649 */   MCD_OPC_Decode, 227, 1, 110, // Opcode: BITvvv_16B
-/* 22653 */   MCD_OPC_FilterValue, 8, 75, 0, // Skip to: 22732
-/* 22657 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22660 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22678
-/* 22664 */   MCD_OPC_CheckPredicate, 0, 170, 90, // Skip to: 45878
-/* 22668 */   MCD_OPC_CheckField, 21, 1, 1, 164, 90, // Skip to: 45878
-/* 22674 */   MCD_OPC_Decode, 242, 15, 74, // Opcode: SSUBLvvv_2d2s
-/* 22678 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22696
-/* 22682 */   MCD_OPC_CheckPredicate, 0, 152, 90, // Skip to: 45878
-/* 22686 */   MCD_OPC_CheckField, 21, 1, 1, 146, 90, // Skip to: 45878
-/* 22692 */   MCD_OPC_Decode, 164, 21, 74, // Opcode: USUBLvvv_2d2s
-/* 22696 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22714
-/* 22700 */   MCD_OPC_CheckPredicate, 0, 134, 90, // Skip to: 45878
-/* 22704 */   MCD_OPC_CheckField, 21, 1, 1, 128, 90, // Skip to: 45878
-/* 22710 */   MCD_OPC_Decode, 239, 15, 102, // Opcode: SSUBL2vvv_2d4s
-/* 22714 */   MCD_OPC_FilterValue, 3, 120, 90, // Skip to: 45878
-/* 22718 */   MCD_OPC_CheckPredicate, 0, 116, 90, // Skip to: 45878
-/* 22722 */   MCD_OPC_CheckField, 21, 1, 1, 110, 90, // Skip to: 45878
-/* 22728 */   MCD_OPC_Decode, 161, 21, 102, // Opcode: USUBL2vvv_2d4s
-/* 22732 */   MCD_OPC_FilterValue, 9, 75, 0, // Skip to: 22811
-/* 22736 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22739 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22757
-/* 22743 */   MCD_OPC_CheckPredicate, 0, 91, 90, // Skip to: 45878
-/* 22747 */   MCD_OPC_CheckField, 21, 1, 1, 85, 90, // Skip to: 45878
-/* 22753 */   MCD_OPC_Decode, 141, 13, 78, // Opcode: SHSUBvvv_2S
-/* 22757 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22775
-/* 22761 */   MCD_OPC_CheckPredicate, 0, 73, 90, // Skip to: 45878
-/* 22765 */   MCD_OPC_CheckField, 21, 1, 1, 67, 90, // Skip to: 45878
-/* 22771 */   MCD_OPC_Decode, 184, 19, 78, // Opcode: UHSUBvvv_2S
-/* 22775 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22793
-/* 22779 */   MCD_OPC_CheckPredicate, 0, 55, 90, // Skip to: 45878
-/* 22783 */   MCD_OPC_CheckField, 21, 1, 1, 49, 90, // Skip to: 45878
-/* 22789 */   MCD_OPC_Decode, 143, 13, 102, // Opcode: SHSUBvvv_4S
-/* 22793 */   MCD_OPC_FilterValue, 3, 41, 90, // Skip to: 45878
-/* 22797 */   MCD_OPC_CheckPredicate, 0, 37, 90, // Skip to: 45878
-/* 22801 */   MCD_OPC_CheckField, 21, 1, 1, 31, 90, // Skip to: 45878
-/* 22807 */   MCD_OPC_Decode, 186, 19, 102, // Opcode: UHSUBvvv_4S
-/* 22811 */   MCD_OPC_FilterValue, 10, 165, 0, // Skip to: 22980
-/* 22815 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22818 */   MCD_OPC_FilterValue, 0, 46, 0, // Skip to: 22868
-/* 22822 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 22825 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 22837
-/* 22829 */   MCD_OPC_CheckPredicate, 0, 5, 90, // Skip to: 45878
-/* 22833 */   MCD_OPC_Decode, 209, 18, 78, // Opcode: TRN1vvv_2s
-/* 22837 */   MCD_OPC_FilterValue, 1, 253, 89, // Skip to: 45878
-/* 22841 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 22844 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 22856
-/* 22848 */   MCD_OPC_CheckPredicate, 0, 242, 89, // Skip to: 45878
-/* 22852 */   MCD_OPC_Decode, 186, 12, 79, // Opcode: SADDLP2s1d
-/* 22856 */   MCD_OPC_FilterValue, 1, 234, 89, // Skip to: 45878
-/* 22860 */   MCD_OPC_CheckPredicate, 0, 230, 89, // Skip to: 45878
-/* 22864 */   MCD_OPC_Decode, 203, 21, 84, // Opcode: XTN2d2s
-/* 22868 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 22899
-/* 22872 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 22875 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22887
-/* 22879 */   MCD_OPC_CheckPredicate, 0, 211, 89, // Skip to: 45878
-/* 22883 */   MCD_OPC_Decode, 135, 19, 79, // Opcode: UADDLP2s1d
-/* 22887 */   MCD_OPC_FilterValue, 33, 203, 89, // Skip to: 45878
-/* 22891 */   MCD_OPC_CheckPredicate, 0, 199, 89, // Skip to: 45878
-/* 22895 */   MCD_OPC_Decode, 162, 15, 84, // Opcode: SQXTUN2d2s
-/* 22899 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 22949
-/* 22903 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 22906 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 22918
-/* 22910 */   MCD_OPC_CheckPredicate, 0, 180, 89, // Skip to: 45878
-/* 22914 */   MCD_OPC_Decode, 211, 18, 102, // Opcode: TRN1vvv_4s
-/* 22918 */   MCD_OPC_FilterValue, 1, 172, 89, // Skip to: 45878
-/* 22922 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 22925 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 22937
-/* 22929 */   MCD_OPC_CheckPredicate, 0, 161, 89, // Skip to: 45878
-/* 22933 */   MCD_OPC_Decode, 188, 12, 107, // Opcode: SADDLP4s2d
-/* 22937 */   MCD_OPC_FilterValue, 1, 153, 89, // Skip to: 45878
-/* 22941 */   MCD_OPC_CheckPredicate, 0, 149, 89, // Skip to: 45878
-/* 22945 */   MCD_OPC_Decode, 204, 21, 116, // Opcode: XTN2d4s
-/* 22949 */   MCD_OPC_FilterValue, 3, 141, 89, // Skip to: 45878
-/* 22953 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 22956 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22968
-/* 22960 */   MCD_OPC_CheckPredicate, 0, 130, 89, // Skip to: 45878
-/* 22964 */   MCD_OPC_Decode, 137, 19, 107, // Opcode: UADDLP4s2d
-/* 22968 */   MCD_OPC_FilterValue, 33, 122, 89, // Skip to: 45878
-/* 22972 */   MCD_OPC_CheckPredicate, 0, 118, 89, // Skip to: 45878
-/* 22976 */   MCD_OPC_Decode, 163, 15, 116, // Opcode: SQXTUN2d4s
-/* 22980 */   MCD_OPC_FilterValue, 11, 75, 0, // Skip to: 23059
-/* 22984 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 22987 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23005
-/* 22991 */   MCD_OPC_CheckPredicate, 0, 99, 89, // Skip to: 45878
-/* 22995 */   MCD_OPC_CheckField, 21, 1, 1, 93, 89, // Skip to: 45878
-/* 23001 */   MCD_OPC_Decode, 148, 15, 78, // Opcode: SQSUBvvv_2S
-/* 23005 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23023
-/* 23009 */   MCD_OPC_CheckPredicate, 0, 81, 89, // Skip to: 45878
-/* 23013 */   MCD_OPC_CheckField, 21, 1, 1, 75, 89, // Skip to: 45878
-/* 23019 */   MCD_OPC_Decode, 200, 20, 78, // Opcode: UQSUBvvv_2S
-/* 23023 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23041
-/* 23027 */   MCD_OPC_CheckPredicate, 0, 63, 89, // Skip to: 45878
-/* 23031 */   MCD_OPC_CheckField, 21, 1, 1, 57, 89, // Skip to: 45878
-/* 23037 */   MCD_OPC_Decode, 150, 15, 102, // Opcode: SQSUBvvv_4S
-/* 23041 */   MCD_OPC_FilterValue, 3, 49, 89, // Skip to: 45878
-/* 23045 */   MCD_OPC_CheckPredicate, 0, 45, 89, // Skip to: 45878
-/* 23049 */   MCD_OPC_CheckField, 21, 1, 1, 39, 89, // Skip to: 45878
-/* 23055 */   MCD_OPC_Decode, 202, 20, 102, // Opcode: UQSUBvvv_4S
-/* 23059 */   MCD_OPC_FilterValue, 12, 75, 0, // Skip to: 23138
-/* 23063 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23066 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23084
-/* 23070 */   MCD_OPC_CheckPredicate, 0, 20, 89, // Skip to: 45878
-/* 23074 */   MCD_OPC_CheckField, 21, 1, 1, 14, 89, // Skip to: 45878
-/* 23080 */   MCD_OPC_Decode, 248, 15, 82, // Opcode: SSUBWvvv_2d2s
-/* 23084 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23102
-/* 23088 */   MCD_OPC_CheckPredicate, 0, 2, 89, // Skip to: 45878
-/* 23092 */   MCD_OPC_CheckField, 21, 1, 1, 252, 88, // Skip to: 45878
-/* 23098 */   MCD_OPC_Decode, 170, 21, 82, // Opcode: USUBWvvv_2d2s
-/* 23102 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23120
-/* 23106 */   MCD_OPC_CheckPredicate, 0, 240, 88, // Skip to: 45878
-/* 23110 */   MCD_OPC_CheckField, 21, 1, 1, 234, 88, // Skip to: 45878
-/* 23116 */   MCD_OPC_Decode, 245, 15, 102, // Opcode: SSUBW2vvv_2d4s
-/* 23120 */   MCD_OPC_FilterValue, 3, 226, 88, // Skip to: 45878
-/* 23124 */   MCD_OPC_CheckPredicate, 0, 222, 88, // Skip to: 45878
-/* 23128 */   MCD_OPC_CheckField, 21, 1, 1, 216, 88, // Skip to: 45878
-/* 23134 */   MCD_OPC_Decode, 167, 21, 102, // Opcode: USUBW2vvv_2d4s
-/* 23138 */   MCD_OPC_FilterValue, 13, 75, 0, // Skip to: 23217
-/* 23142 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23145 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23163
-/* 23149 */   MCD_OPC_CheckPredicate, 0, 197, 88, // Skip to: 45878
-/* 23153 */   MCD_OPC_CheckField, 21, 1, 1, 191, 88, // Skip to: 45878
-/* 23159 */   MCD_OPC_Decode, 181, 2, 78, // Opcode: CMGTvvv_2S
-/* 23163 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23181
-/* 23167 */   MCD_OPC_CheckPredicate, 0, 179, 88, // Skip to: 45878
-/* 23171 */   MCD_OPC_CheckField, 21, 1, 1, 173, 88, // Skip to: 45878
-/* 23177 */   MCD_OPC_Decode, 189, 2, 78, // Opcode: CMHIvvv_2S
-/* 23181 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23199
-/* 23185 */   MCD_OPC_CheckPredicate, 0, 161, 88, // Skip to: 45878
-/* 23189 */   MCD_OPC_CheckField, 21, 1, 1, 155, 88, // Skip to: 45878
-/* 23195 */   MCD_OPC_Decode, 183, 2, 102, // Opcode: CMGTvvv_4S
-/* 23199 */   MCD_OPC_FilterValue, 3, 147, 88, // Skip to: 45878
-/* 23203 */   MCD_OPC_CheckPredicate, 0, 143, 88, // Skip to: 45878
-/* 23207 */   MCD_OPC_CheckField, 21, 1, 1, 137, 88, // Skip to: 45878
-/* 23213 */   MCD_OPC_Decode, 191, 2, 102, // Opcode: CMHIvvv_4S
-/* 23217 */   MCD_OPC_FilterValue, 14, 164, 0, // Skip to: 23385
-/* 23221 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23224 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 23261
-/* 23228 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 23231 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 23243
-/* 23235 */   MCD_OPC_CheckPredicate, 0, 111, 88, // Skip to: 45878
-/* 23239 */   MCD_OPC_Decode, 211, 21, 78, // Opcode: ZIP1vvv_2s
-/* 23243 */   MCD_OPC_FilterValue, 1, 103, 88, // Skip to: 45878
-/* 23247 */   MCD_OPC_CheckPredicate, 0, 99, 88, // Skip to: 45878
-/* 23251 */   MCD_OPC_CheckField, 16, 5, 0, 93, 88, // Skip to: 45878
-/* 23257 */   MCD_OPC_Decode, 162, 18, 88, // Opcode: SUQADD2s
-/* 23261 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 23292
-/* 23265 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 23268 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 23280
-/* 23272 */   MCD_OPC_CheckPredicate, 0, 74, 88, // Skip to: 45878
-/* 23276 */   MCD_OPC_Decode, 144, 21, 88, // Opcode: USQADD2s
-/* 23280 */   MCD_OPC_FilterValue, 33, 66, 88, // Skip to: 45878
-/* 23284 */   MCD_OPC_CheckPredicate, 0, 62, 88, // Skip to: 45878
-/* 23288 */   MCD_OPC_Decode, 249, 12, 101, // Opcode: SHLL2s2d
-/* 23292 */   MCD_OPC_FilterValue, 2, 46, 0, // Skip to: 23342
-/* 23296 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 23299 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 23311
-/* 23303 */   MCD_OPC_CheckPredicate, 0, 43, 88, // Skip to: 45878
-/* 23307 */   MCD_OPC_Decode, 213, 21, 102, // Opcode: ZIP1vvv_4s
-/* 23311 */   MCD_OPC_FilterValue, 1, 35, 88, // Skip to: 45878
-/* 23315 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 23318 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 23330
-/* 23322 */   MCD_OPC_CheckPredicate, 0, 24, 88, // Skip to: 45878
-/* 23326 */   MCD_OPC_Decode, 164, 18, 116, // Opcode: SUQADD4s
-/* 23330 */   MCD_OPC_FilterValue, 16, 16, 88, // Skip to: 45878
-/* 23334 */   MCD_OPC_CheckPredicate, 0, 12, 88, // Skip to: 45878
-/* 23338 */   MCD_OPC_Decode, 191, 12, 84, // Opcode: SADDLV_1d4s
-/* 23342 */   MCD_OPC_FilterValue, 3, 4, 88, // Skip to: 45878
-/* 23346 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 23349 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 23361
-/* 23353 */   MCD_OPC_CheckPredicate, 0, 249, 87, // Skip to: 45878
-/* 23357 */   MCD_OPC_Decode, 146, 21, 116, // Opcode: USQADD4s
-/* 23361 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 23373
-/* 23365 */   MCD_OPC_CheckPredicate, 0, 237, 87, // Skip to: 45878
-/* 23369 */   MCD_OPC_Decode, 251, 12, 101, // Opcode: SHLL4s2d
-/* 23373 */   MCD_OPC_FilterValue, 48, 229, 87, // Skip to: 45878
-/* 23377 */   MCD_OPC_CheckPredicate, 0, 225, 87, // Skip to: 45878
-/* 23381 */   MCD_OPC_Decode, 140, 19, 84, // Opcode: UADDLV_1d4s
-/* 23385 */   MCD_OPC_FilterValue, 15, 75, 0, // Skip to: 23464
-/* 23389 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23392 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23410
-/* 23396 */   MCD_OPC_CheckPredicate, 0, 206, 87, // Skip to: 45878
-/* 23400 */   MCD_OPC_CheckField, 21, 1, 1, 200, 87, // Skip to: 45878
-/* 23406 */   MCD_OPC_Decode, 165, 2, 78, // Opcode: CMGEvvv_2S
-/* 23410 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23428
-/* 23414 */   MCD_OPC_CheckPredicate, 0, 188, 87, // Skip to: 45878
-/* 23418 */   MCD_OPC_CheckField, 21, 1, 1, 182, 87, // Skip to: 45878
-/* 23424 */   MCD_OPC_Decode, 197, 2, 78, // Opcode: CMHSvvv_2S
-/* 23428 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23446
-/* 23432 */   MCD_OPC_CheckPredicate, 0, 170, 87, // Skip to: 45878
-/* 23436 */   MCD_OPC_CheckField, 21, 1, 1, 164, 87, // Skip to: 45878
-/* 23442 */   MCD_OPC_Decode, 167, 2, 102, // Opcode: CMGEvvv_4S
-/* 23446 */   MCD_OPC_FilterValue, 3, 156, 87, // Skip to: 45878
-/* 23450 */   MCD_OPC_CheckPredicate, 0, 152, 87, // Skip to: 45878
-/* 23454 */   MCD_OPC_CheckField, 21, 1, 1, 146, 87, // Skip to: 45878
-/* 23460 */   MCD_OPC_Decode, 199, 2, 102, // Opcode: CMHSvvv_4S
-/* 23464 */   MCD_OPC_FilterValue, 16, 73, 0, // Skip to: 23541
-/* 23468 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23471 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 23488
-/* 23475 */   MCD_OPC_CheckPredicate, 0, 127, 87, // Skip to: 45878
-/* 23479 */   MCD_OPC_CheckField, 21, 1, 1, 121, 87, // Skip to: 45878
-/* 23485 */   MCD_OPC_Decode, 34, 92, // Opcode: ADDHNvvv_2s2d
-/* 23488 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23506
-/* 23492 */   MCD_OPC_CheckPredicate, 0, 110, 87, // Skip to: 45878
-/* 23496 */   MCD_OPC_CheckField, 21, 1, 1, 104, 87, // Skip to: 45878
-/* 23502 */   MCD_OPC_Decode, 240, 11, 92, // Opcode: RADDHNvvv_2s2d
-/* 23506 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 23523
-/* 23510 */   MCD_OPC_CheckPredicate, 0, 92, 87, // Skip to: 45878
-/* 23514 */   MCD_OPC_CheckField, 21, 1, 1, 86, 87, // Skip to: 45878
-/* 23520 */   MCD_OPC_Decode, 32, 110, // Opcode: ADDHN2vvv_4s2d
-/* 23523 */   MCD_OPC_FilterValue, 3, 79, 87, // Skip to: 45878
-/* 23527 */   MCD_OPC_CheckPredicate, 0, 75, 87, // Skip to: 45878
-/* 23531 */   MCD_OPC_CheckField, 21, 1, 1, 69, 87, // Skip to: 45878
-/* 23537 */   MCD_OPC_Decode, 238, 11, 110, // Opcode: RADDHN2vvv_4s2d
-/* 23541 */   MCD_OPC_FilterValue, 17, 75, 0, // Skip to: 23620
-/* 23545 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23548 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23566
-/* 23552 */   MCD_OPC_CheckPredicate, 0, 50, 87, // Skip to: 45878
-/* 23556 */   MCD_OPC_CheckField, 21, 1, 1, 44, 87, // Skip to: 45878
-/* 23562 */   MCD_OPC_Decode, 218, 15, 78, // Opcode: SSHLvvv_2S
-/* 23566 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23584
-/* 23570 */   MCD_OPC_CheckPredicate, 0, 32, 87, // Skip to: 45878
-/* 23574 */   MCD_OPC_CheckField, 21, 1, 1, 26, 87, // Skip to: 45878
-/* 23580 */   MCD_OPC_Decode, 129, 21, 78, // Opcode: USHLvvv_2S
-/* 23584 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23602
-/* 23588 */   MCD_OPC_CheckPredicate, 0, 14, 87, // Skip to: 45878
-/* 23592 */   MCD_OPC_CheckField, 21, 1, 1, 8, 87, // Skip to: 45878
-/* 23598 */   MCD_OPC_Decode, 220, 15, 102, // Opcode: SSHLvvv_4S
-/* 23602 */   MCD_OPC_FilterValue, 3, 0, 87, // Skip to: 45878
-/* 23606 */   MCD_OPC_CheckPredicate, 0, 252, 86, // Skip to: 45878
-/* 23610 */   MCD_OPC_CheckField, 21, 1, 1, 246, 86, // Skip to: 45878
-/* 23616 */   MCD_OPC_Decode, 131, 21, 102, // Opcode: USHLvvv_4S
-/* 23620 */   MCD_OPC_FilterValue, 18, 127, 0, // Skip to: 23751
-/* 23624 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23627 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 23658
-/* 23631 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 23634 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 23646
-/* 23638 */   MCD_OPC_CheckPredicate, 0, 220, 86, // Skip to: 45878
-/* 23642 */   MCD_OPC_Decode, 251, 1, 79, // Opcode: CLS2s
-/* 23646 */   MCD_OPC_FilterValue, 33, 212, 86, // Skip to: 45878
-/* 23650 */   MCD_OPC_CheckPredicate, 0, 208, 86, // Skip to: 45878
-/* 23654 */   MCD_OPC_Decode, 153, 15, 84, // Opcode: SQXTN2d2s
-/* 23658 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 23689
-/* 23662 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 23665 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 23677
-/* 23669 */   MCD_OPC_CheckPredicate, 0, 189, 86, // Skip to: 45878
-/* 23673 */   MCD_OPC_Decode, 131, 2, 79, // Opcode: CLZ2s
-/* 23677 */   MCD_OPC_FilterValue, 33, 181, 86, // Skip to: 45878
-/* 23681 */   MCD_OPC_CheckPredicate, 0, 177, 86, // Skip to: 45878
-/* 23685 */   MCD_OPC_Decode, 205, 20, 84, // Opcode: UQXTN2d2s
-/* 23689 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 23720
-/* 23693 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 23696 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 23708
-/* 23700 */   MCD_OPC_CheckPredicate, 0, 158, 86, // Skip to: 45878
-/* 23704 */   MCD_OPC_Decode, 253, 1, 107, // Opcode: CLS4s
-/* 23708 */   MCD_OPC_FilterValue, 33, 150, 86, // Skip to: 45878
-/* 23712 */   MCD_OPC_CheckPredicate, 0, 146, 86, // Skip to: 45878
-/* 23716 */   MCD_OPC_Decode, 154, 15, 116, // Opcode: SQXTN2d4s
-/* 23720 */   MCD_OPC_FilterValue, 3, 138, 86, // Skip to: 45878
-/* 23724 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 23727 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 23739
-/* 23731 */   MCD_OPC_CheckPredicate, 0, 127, 86, // Skip to: 45878
-/* 23735 */   MCD_OPC_Decode, 133, 2, 107, // Opcode: CLZ4s
-/* 23739 */   MCD_OPC_FilterValue, 33, 119, 86, // Skip to: 45878
-/* 23743 */   MCD_OPC_CheckPredicate, 0, 115, 86, // Skip to: 45878
-/* 23747 */   MCD_OPC_Decode, 206, 20, 116, // Opcode: UQXTN2d4s
-/* 23751 */   MCD_OPC_FilterValue, 19, 75, 0, // Skip to: 23830
-/* 23755 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23758 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23776
-/* 23762 */   MCD_OPC_CheckPredicate, 0, 96, 86, // Skip to: 45878
-/* 23766 */   MCD_OPC_CheckField, 21, 1, 1, 90, 86, // Skip to: 45878
-/* 23772 */   MCD_OPC_Decode, 253, 14, 78, // Opcode: SQSHLvvv_2S
-/* 23776 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23794
-/* 23780 */   MCD_OPC_CheckPredicate, 0, 78, 86, // Skip to: 45878
-/* 23784 */   MCD_OPC_CheckField, 21, 1, 1, 72, 86, // Skip to: 45878
-/* 23790 */   MCD_OPC_Decode, 180, 20, 78, // Opcode: UQSHLvvv_2S
-/* 23794 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23812
-/* 23798 */   MCD_OPC_CheckPredicate, 0, 60, 86, // Skip to: 45878
-/* 23802 */   MCD_OPC_CheckField, 21, 1, 1, 54, 86, // Skip to: 45878
-/* 23808 */   MCD_OPC_Decode, 255, 14, 102, // Opcode: SQSHLvvv_4S
-/* 23812 */   MCD_OPC_FilterValue, 3, 46, 86, // Skip to: 45878
-/* 23816 */   MCD_OPC_CheckPredicate, 0, 42, 86, // Skip to: 45878
-/* 23820 */   MCD_OPC_CheckField, 21, 1, 1, 36, 86, // Skip to: 45878
-/* 23826 */   MCD_OPC_Decode, 182, 20, 102, // Opcode: UQSHLvvv_4S
-/* 23830 */   MCD_OPC_FilterValue, 20, 75, 0, // Skip to: 23909
-/* 23834 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23837 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23855
-/* 23841 */   MCD_OPC_CheckPredicate, 0, 17, 86, // Skip to: 45878
-/* 23845 */   MCD_OPC_CheckField, 21, 1, 1, 11, 86, // Skip to: 45878
-/* 23851 */   MCD_OPC_Decode, 155, 12, 94, // Opcode: SABALvvv_2d2s
-/* 23855 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23873
-/* 23859 */   MCD_OPC_CheckPredicate, 0, 255, 85, // Skip to: 45878
-/* 23863 */   MCD_OPC_CheckField, 21, 1, 1, 249, 85, // Skip to: 45878
-/* 23869 */   MCD_OPC_Decode, 232, 18, 94, // Opcode: UABALvvv_2d2s
-/* 23873 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23891
-/* 23877 */   MCD_OPC_CheckPredicate, 0, 237, 85, // Skip to: 45878
-/* 23881 */   MCD_OPC_CheckField, 21, 1, 1, 231, 85, // Skip to: 45878
-/* 23887 */   MCD_OPC_Decode, 152, 12, 110, // Opcode: SABAL2vvv_2d2s
-/* 23891 */   MCD_OPC_FilterValue, 3, 223, 85, // Skip to: 45878
-/* 23895 */   MCD_OPC_CheckPredicate, 0, 219, 85, // Skip to: 45878
-/* 23899 */   MCD_OPC_CheckField, 21, 1, 1, 213, 85, // Skip to: 45878
-/* 23905 */   MCD_OPC_Decode, 229, 18, 110, // Opcode: UABAL2vvv_2d2s
-/* 23909 */   MCD_OPC_FilterValue, 21, 75, 0, // Skip to: 23988
-/* 23913 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23916 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23934
-/* 23920 */   MCD_OPC_CheckPredicate, 0, 194, 85, // Skip to: 45878
-/* 23924 */   MCD_OPC_CheckField, 21, 1, 1, 188, 85, // Skip to: 45878
-/* 23930 */   MCD_OPC_Decode, 188, 15, 78, // Opcode: SRSHLvvv_2S
-/* 23934 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 23952
-/* 23938 */   MCD_OPC_CheckPredicate, 0, 176, 85, // Skip to: 45878
-/* 23942 */   MCD_OPC_CheckField, 21, 1, 1, 170, 85, // Skip to: 45878
-/* 23948 */   MCD_OPC_Decode, 225, 20, 78, // Opcode: URSHLvvv_2S
-/* 23952 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23970
-/* 23956 */   MCD_OPC_CheckPredicate, 0, 158, 85, // Skip to: 45878
-/* 23960 */   MCD_OPC_CheckField, 21, 1, 1, 152, 85, // Skip to: 45878
-/* 23966 */   MCD_OPC_Decode, 190, 15, 102, // Opcode: SRSHLvvv_4S
-/* 23970 */   MCD_OPC_FilterValue, 3, 144, 85, // Skip to: 45878
-/* 23974 */   MCD_OPC_CheckPredicate, 0, 140, 85, // Skip to: 45878
-/* 23978 */   MCD_OPC_CheckField, 21, 1, 1, 134, 85, // Skip to: 45878
-/* 23984 */   MCD_OPC_Decode, 227, 20, 102, // Opcode: URSHLvvv_4S
-/* 23988 */   MCD_OPC_FilterValue, 22, 39, 0, // Skip to: 24031
-/* 23992 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 23995 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24013
-/* 23999 */   MCD_OPC_CheckPredicate, 0, 115, 85, // Skip to: 45878
-/* 24003 */   MCD_OPC_CheckField, 21, 1, 0, 109, 85, // Skip to: 45878
-/* 24009 */   MCD_OPC_Decode, 186, 21, 78, // Opcode: UZP2vvv_2s
-/* 24013 */   MCD_OPC_FilterValue, 2, 101, 85, // Skip to: 45878
-/* 24017 */   MCD_OPC_CheckPredicate, 0, 97, 85, // Skip to: 45878
-/* 24021 */   MCD_OPC_CheckField, 21, 1, 0, 91, 85, // Skip to: 45878
-/* 24027 */   MCD_OPC_Decode, 188, 21, 102, // Opcode: UZP2vvv_4s
-/* 24031 */   MCD_OPC_FilterValue, 23, 75, 0, // Skip to: 24110
-/* 24035 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24038 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24056
-/* 24042 */   MCD_OPC_CheckPredicate, 0, 72, 85, // Skip to: 45878
-/* 24046 */   MCD_OPC_CheckField, 21, 1, 1, 66, 85, // Skip to: 45878
-/* 24052 */   MCD_OPC_Decode, 208, 14, 78, // Opcode: SQRSHLvvv_2S
-/* 24056 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24074
-/* 24060 */   MCD_OPC_CheckPredicate, 0, 54, 85, // Skip to: 45878
-/* 24064 */   MCD_OPC_CheckField, 21, 1, 1, 48, 85, // Skip to: 45878
-/* 24070 */   MCD_OPC_Decode, 149, 20, 78, // Opcode: UQRSHLvvv_2S
-/* 24074 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24092
-/* 24078 */   MCD_OPC_CheckPredicate, 0, 36, 85, // Skip to: 45878
-/* 24082 */   MCD_OPC_CheckField, 21, 1, 1, 30, 85, // Skip to: 45878
-/* 24088 */   MCD_OPC_Decode, 210, 14, 102, // Opcode: SQRSHLvvv_4S
-/* 24092 */   MCD_OPC_FilterValue, 3, 22, 85, // Skip to: 45878
-/* 24096 */   MCD_OPC_CheckPredicate, 0, 18, 85, // Skip to: 45878
-/* 24100 */   MCD_OPC_CheckField, 21, 1, 1, 12, 85, // Skip to: 45878
-/* 24106 */   MCD_OPC_Decode, 151, 20, 102, // Opcode: UQRSHLvvv_4S
-/* 24110 */   MCD_OPC_FilterValue, 24, 75, 0, // Skip to: 24189
+/* 21412 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21430
+/* 21416 */   MCD_OPC_CheckPredicate, 0, 49, 75, // Skip to: 40669
+/* 21420 */   MCD_OPC_CheckField, 21, 1, 1, 43, 75, // Skip to: 40669
+/* 21426 */   MCD_OPC_Decode, 197, 11, 89, // Opcode: SQDMULHv2i32
+/* 21430 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21448
+/* 21434 */   MCD_OPC_CheckPredicate, 0, 31, 75, // Skip to: 40669
+/* 21438 */   MCD_OPC_CheckField, 21, 1, 1, 25, 75, // Skip to: 40669
+/* 21444 */   MCD_OPC_Decode, 232, 11, 89, // Opcode: SQRDMULHv2i32
+/* 21448 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21466
+/* 21452 */   MCD_OPC_CheckPredicate, 0, 13, 75, // Skip to: 40669
+/* 21456 */   MCD_OPC_CheckField, 21, 1, 1, 7, 75, // Skip to: 40669
+/* 21462 */   MCD_OPC_Decode, 201, 11, 112, // Opcode: SQDMULHv4i32
+/* 21466 */   MCD_OPC_FilterValue, 3, 255, 74, // Skip to: 40669
+/* 21470 */   MCD_OPC_CheckPredicate, 0, 251, 74, // Skip to: 40669
+/* 21474 */   MCD_OPC_CheckField, 21, 1, 1, 245, 74, // Skip to: 40669
+/* 21480 */   MCD_OPC_Decode, 236, 11, 112, // Opcode: SQRDMULHv4i32
+/* 21484 */   MCD_OPC_FilterValue, 46, 137, 0, // Skip to: 21625
+/* 21488 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21491 */   MCD_OPC_FilterValue, 0, 26, 0, // Skip to: 21521
+/* 21495 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21498 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 21509
+/* 21502 */   MCD_OPC_CheckPredicate, 0, 219, 74, // Skip to: 40669
+/* 21506 */   MCD_OPC_Decode, 22, 90, // Opcode: ABSv2i32
+/* 21509 */   MCD_OPC_FilterValue, 33, 212, 74, // Skip to: 40669
+/* 21513 */   MCD_OPC_CheckPredicate, 0, 208, 74, // Skip to: 40669
+/* 21517 */   MCD_OPC_Decode, 246, 3, 90, // Opcode: FCVTZSv2f32
+/* 21521 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 21552
+/* 21525 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21528 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21540
+/* 21532 */   MCD_OPC_CheckPredicate, 0, 189, 74, // Skip to: 40669
+/* 21536 */   MCD_OPC_Decode, 247, 8, 90, // Opcode: NEGv2i32
+/* 21540 */   MCD_OPC_FilterValue, 33, 181, 74, // Skip to: 40669
+/* 21544 */   MCD_OPC_CheckPredicate, 0, 177, 74, // Skip to: 40669
+/* 21548 */   MCD_OPC_Decode, 147, 4, 90, // Opcode: FCVTZUv2f32
+/* 21552 */   MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 21594
+/* 21556 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21559 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 21570
+/* 21563 */   MCD_OPC_CheckPredicate, 0, 158, 74, // Skip to: 40669
+/* 21567 */   MCD_OPC_Decode, 25, 117, // Opcode: ABSv4i32
+/* 21570 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 21582
+/* 21574 */   MCD_OPC_CheckPredicate, 0, 147, 74, // Skip to: 40669
+/* 21578 */   MCD_OPC_Decode, 250, 3, 117, // Opcode: FCVTZSv4f32
+/* 21582 */   MCD_OPC_FilterValue, 49, 139, 74, // Skip to: 40669
+/* 21586 */   MCD_OPC_CheckPredicate, 0, 135, 74, // Skip to: 40669
+/* 21590 */   MCD_OPC_Decode, 57, 139, 1, // Opcode: ADDVv4i32v
+/* 21594 */   MCD_OPC_FilterValue, 3, 127, 74, // Skip to: 40669
+/* 21598 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21601 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21613
+/* 21605 */   MCD_OPC_CheckPredicate, 0, 116, 74, // Skip to: 40669
+/* 21609 */   MCD_OPC_Decode, 250, 8, 117, // Opcode: NEGv4i32
+/* 21613 */   MCD_OPC_FilterValue, 33, 108, 74, // Skip to: 40669
+/* 21617 */   MCD_OPC_CheckPredicate, 0, 104, 74, // Skip to: 40669
+/* 21621 */   MCD_OPC_Decode, 151, 4, 117, // Opcode: FCVTZUv4f32
+/* 21625 */   MCD_OPC_FilterValue, 47, 37, 0, // Skip to: 21666
+/* 21629 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21632 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 21649
+/* 21636 */   MCD_OPC_CheckPredicate, 0, 85, 74, // Skip to: 40669
+/* 21640 */   MCD_OPC_CheckField, 21, 1, 1, 79, 74, // Skip to: 40669
+/* 21646 */   MCD_OPC_Decode, 39, 89, // Opcode: ADDPv2i32
+/* 21649 */   MCD_OPC_FilterValue, 2, 72, 74, // Skip to: 40669
+/* 21653 */   MCD_OPC_CheckPredicate, 0, 68, 74, // Skip to: 40669
+/* 21657 */   MCD_OPC_CheckField, 21, 1, 1, 62, 74, // Skip to: 40669
+/* 21663 */   MCD_OPC_Decode, 43, 112, // Opcode: ADDPv4i32
+/* 21666 */   MCD_OPC_FilterValue, 48, 75, 0, // Skip to: 21745
+/* 21670 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21673 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21691
+/* 21677 */   MCD_OPC_CheckPredicate, 0, 44, 74, // Skip to: 40669
+/* 21681 */   MCD_OPC_CheckField, 21, 1, 1, 38, 74, // Skip to: 40669
+/* 21687 */   MCD_OPC_Decode, 139, 11, 85, // Opcode: SMULLv2i32_v2i64
+/* 21691 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21709
+/* 21695 */   MCD_OPC_CheckPredicate, 0, 26, 74, // Skip to: 40669
+/* 21699 */   MCD_OPC_CheckField, 21, 1, 1, 20, 74, // Skip to: 40669
+/* 21705 */   MCD_OPC_Decode, 129, 17, 85, // Opcode: UMULLv2i32_v2i64
+/* 21709 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21727
+/* 21713 */   MCD_OPC_CheckPredicate, 0, 8, 74, // Skip to: 40669
+/* 21717 */   MCD_OPC_CheckField, 21, 1, 1, 2, 74, // Skip to: 40669
+/* 21723 */   MCD_OPC_Decode, 143, 11, 112, // Opcode: SMULLv4i32_v2i64
+/* 21727 */   MCD_OPC_FilterValue, 3, 250, 73, // Skip to: 40669
+/* 21731 */   MCD_OPC_CheckPredicate, 0, 246, 73, // Skip to: 40669
+/* 21735 */   MCD_OPC_CheckField, 21, 1, 1, 240, 73, // Skip to: 40669
+/* 21741 */   MCD_OPC_Decode, 133, 17, 112, // Opcode: UMULLv4i32_v2i64
+/* 21745 */   MCD_OPC_FilterValue, 49, 75, 0, // Skip to: 21824
+/* 21749 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21752 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21770
+/* 21756 */   MCD_OPC_CheckPredicate, 0, 221, 73, // Skip to: 40669
+/* 21760 */   MCD_OPC_CheckField, 21, 1, 1, 215, 73, // Skip to: 40669
+/* 21766 */   MCD_OPC_Decode, 191, 4, 89, // Opcode: FMINNMv2f32
+/* 21770 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 21788
+/* 21774 */   MCD_OPC_CheckPredicate, 0, 203, 73, // Skip to: 40669
+/* 21778 */   MCD_OPC_CheckField, 21, 1, 1, 197, 73, // Skip to: 40669
+/* 21784 */   MCD_OPC_Decode, 184, 4, 89, // Opcode: FMINNMPv2f32
+/* 21788 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 21806
+/* 21792 */   MCD_OPC_CheckPredicate, 0, 185, 73, // Skip to: 40669
+/* 21796 */   MCD_OPC_CheckField, 21, 1, 1, 179, 73, // Skip to: 40669
+/* 21802 */   MCD_OPC_Decode, 193, 4, 112, // Opcode: FMINNMv4f32
+/* 21806 */   MCD_OPC_FilterValue, 3, 171, 73, // Skip to: 40669
+/* 21810 */   MCD_OPC_CheckPredicate, 0, 167, 73, // Skip to: 40669
+/* 21814 */   MCD_OPC_CheckField, 21, 1, 1, 161, 73, // Skip to: 40669
+/* 21820 */   MCD_OPC_Decode, 188, 4, 112, // Opcode: FMINNMPv4f32
+/* 21824 */   MCD_OPC_FilterValue, 50, 140, 0, // Skip to: 21968
+/* 21828 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21831 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 21862
+/* 21835 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21838 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21850
+/* 21842 */   MCD_OPC_CheckPredicate, 0, 135, 73, // Skip to: 40669
+/* 21846 */   MCD_OPC_Decode, 238, 2, 90, // Opcode: FCMGTv2i32rz
+/* 21850 */   MCD_OPC_FilterValue, 33, 127, 73, // Skip to: 40669
+/* 21854 */   MCD_OPC_CheckPredicate, 0, 123, 73, // Skip to: 40669
+/* 21858 */   MCD_OPC_Decode, 219, 17, 90, // Opcode: URECPEv2i32
+/* 21862 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 21893
+/* 21866 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21869 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21881
+/* 21873 */   MCD_OPC_CheckPredicate, 0, 104, 73, // Skip to: 40669
+/* 21877 */   MCD_OPC_Decode, 228, 2, 90, // Opcode: FCMGEv2i32rz
+/* 21881 */   MCD_OPC_FilterValue, 33, 96, 73, // Skip to: 40669
+/* 21885 */   MCD_OPC_CheckPredicate, 0, 92, 73, // Skip to: 40669
+/* 21889 */   MCD_OPC_Decode, 243, 17, 90, // Opcode: URSQRTEv2i32
+/* 21893 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 21924
+/* 21897 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21900 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21912
+/* 21904 */   MCD_OPC_CheckPredicate, 0, 73, 73, // Skip to: 40669
+/* 21908 */   MCD_OPC_Decode, 241, 2, 117, // Opcode: FCMGTv4i32rz
+/* 21912 */   MCD_OPC_FilterValue, 33, 65, 73, // Skip to: 40669
+/* 21916 */   MCD_OPC_CheckPredicate, 0, 61, 73, // Skip to: 40669
+/* 21920 */   MCD_OPC_Decode, 220, 17, 117, // Opcode: URECPEv4i32
+/* 21924 */   MCD_OPC_FilterValue, 3, 53, 73, // Skip to: 40669
+/* 21928 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 21931 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 21943
+/* 21935 */   MCD_OPC_CheckPredicate, 0, 42, 73, // Skip to: 40669
+/* 21939 */   MCD_OPC_Decode, 231, 2, 117, // Opcode: FCMGEv4i32rz
+/* 21943 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 21955
+/* 21947 */   MCD_OPC_CheckPredicate, 0, 30, 73, // Skip to: 40669
+/* 21951 */   MCD_OPC_Decode, 244, 17, 117, // Opcode: URSQRTEv4i32
+/* 21955 */   MCD_OPC_FilterValue, 48, 22, 73, // Skip to: 40669
+/* 21959 */   MCD_OPC_CheckPredicate, 0, 18, 73, // Skip to: 40669
+/* 21963 */   MCD_OPC_Decode, 190, 4, 139, 1, // Opcode: FMINNMVv4i32v
+/* 21968 */   MCD_OPC_FilterValue, 51, 39, 0, // Skip to: 22011
+/* 21972 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 21975 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 21993
+/* 21979 */   MCD_OPC_CheckPredicate, 0, 254, 72, // Skip to: 40669
+/* 21983 */   MCD_OPC_CheckField, 21, 1, 1, 248, 72, // Skip to: 40669
+/* 21989 */   MCD_OPC_Decode, 214, 4, 109, // Opcode: FMLSv2f32
+/* 21993 */   MCD_OPC_FilterValue, 2, 240, 72, // Skip to: 40669
+/* 21997 */   MCD_OPC_CheckPredicate, 0, 236, 72, // Skip to: 40669
+/* 22001 */   MCD_OPC_CheckField, 21, 1, 1, 230, 72, // Skip to: 40669
+/* 22007 */   MCD_OPC_Decode, 218, 4, 120, // Opcode: FMLSv4f32
+/* 22011 */   MCD_OPC_FilterValue, 52, 39, 0, // Skip to: 22054
+/* 22015 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22018 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22036
+/* 22022 */   MCD_OPC_CheckPredicate, 0, 211, 72, // Skip to: 40669
+/* 22026 */   MCD_OPC_CheckField, 21, 1, 1, 205, 72, // Skip to: 40669
+/* 22032 */   MCD_OPC_Decode, 210, 11, 85, // Opcode: SQDMULLv2i32_v2i64
+/* 22036 */   MCD_OPC_FilterValue, 2, 197, 72, // Skip to: 40669
+/* 22040 */   MCD_OPC_CheckPredicate, 0, 193, 72, // Skip to: 40669
+/* 22044 */   MCD_OPC_CheckField, 21, 1, 1, 187, 72, // Skip to: 40669
+/* 22050 */   MCD_OPC_Decode, 214, 11, 112, // Opcode: SQDMULLv4i32_v2i64
+/* 22054 */   MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 22133
+/* 22058 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22061 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22079
+/* 22065 */   MCD_OPC_CheckPredicate, 0, 168, 72, // Skip to: 40669
+/* 22069 */   MCD_OPC_CheckField, 21, 1, 1, 162, 72, // Skip to: 40669
+/* 22075 */   MCD_OPC_Decode, 202, 5, 89, // Opcode: FSUBv2f32
+/* 22079 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22097
+/* 22083 */   MCD_OPC_CheckPredicate, 0, 150, 72, // Skip to: 40669
+/* 22087 */   MCD_OPC_CheckField, 21, 1, 1, 144, 72, // Skip to: 40669
+/* 22093 */   MCD_OPC_Decode, 180, 2, 89, // Opcode: FABDv2f32
+/* 22097 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22115
+/* 22101 */   MCD_OPC_CheckPredicate, 0, 132, 72, // Skip to: 40669
+/* 22105 */   MCD_OPC_CheckField, 21, 1, 1, 126, 72, // Skip to: 40669
+/* 22111 */   MCD_OPC_Decode, 204, 5, 112, // Opcode: FSUBv4f32
+/* 22115 */   MCD_OPC_FilterValue, 3, 118, 72, // Skip to: 40669
+/* 22119 */   MCD_OPC_CheckPredicate, 0, 114, 72, // Skip to: 40669
+/* 22123 */   MCD_OPC_CheckField, 21, 1, 1, 108, 72, // Skip to: 40669
+/* 22129 */   MCD_OPC_Decode, 182, 2, 112, // Opcode: FABDv4f32
+/* 22133 */   MCD_OPC_FilterValue, 54, 127, 0, // Skip to: 22264
+/* 22137 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22140 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 22171
+/* 22144 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 22147 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22159
+/* 22151 */   MCD_OPC_CheckPredicate, 0, 82, 72, // Skip to: 40669
+/* 22155 */   MCD_OPC_Decode, 218, 2, 90, // Opcode: FCMEQv2i32rz
+/* 22159 */   MCD_OPC_FilterValue, 33, 74, 72, // Skip to: 40669
+/* 22163 */   MCD_OPC_CheckPredicate, 0, 70, 72, // Skip to: 40669
+/* 22167 */   MCD_OPC_Decode, 140, 5, 90, // Opcode: FRECPEv2f32
+/* 22171 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 22202
+/* 22175 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 22178 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22190
+/* 22182 */   MCD_OPC_CheckPredicate, 0, 51, 72, // Skip to: 40669
+/* 22186 */   MCD_OPC_Decode, 244, 2, 90, // Opcode: FCMLEv2i32rz
+/* 22190 */   MCD_OPC_FilterValue, 33, 43, 72, // Skip to: 40669
+/* 22194 */   MCD_OPC_CheckPredicate, 0, 39, 72, // Skip to: 40669
+/* 22198 */   MCD_OPC_Decode, 187, 5, 90, // Opcode: FRSQRTEv2f32
+/* 22202 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 22233
+/* 22206 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 22209 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22221
+/* 22213 */   MCD_OPC_CheckPredicate, 0, 20, 72, // Skip to: 40669
+/* 22217 */   MCD_OPC_Decode, 221, 2, 117, // Opcode: FCMEQv4i32rz
+/* 22221 */   MCD_OPC_FilterValue, 33, 12, 72, // Skip to: 40669
+/* 22225 */   MCD_OPC_CheckPredicate, 0, 8, 72, // Skip to: 40669
+/* 22229 */   MCD_OPC_Decode, 142, 5, 117, // Opcode: FRECPEv4f32
+/* 22233 */   MCD_OPC_FilterValue, 3, 0, 72, // Skip to: 40669
+/* 22237 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 22240 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22252
+/* 22244 */   MCD_OPC_CheckPredicate, 0, 245, 71, // Skip to: 40669
+/* 22248 */   MCD_OPC_Decode, 246, 2, 117, // Opcode: FCMLEv4i32rz
+/* 22252 */   MCD_OPC_FilterValue, 33, 237, 71, // Skip to: 40669
+/* 22256 */   MCD_OPC_CheckPredicate, 0, 233, 71, // Skip to: 40669
+/* 22260 */   MCD_OPC_Decode, 189, 5, 117, // Opcode: FRSQRTEv4f32
+/* 22264 */   MCD_OPC_FilterValue, 57, 39, 0, // Skip to: 22307
+/* 22268 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22271 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22289
+/* 22275 */   MCD_OPC_CheckPredicate, 0, 214, 71, // Skip to: 40669
+/* 22279 */   MCD_OPC_CheckField, 21, 1, 1, 208, 71, // Skip to: 40669
+/* 22285 */   MCD_OPC_Decode, 236, 2, 89, // Opcode: FCMGTv2f32
+/* 22289 */   MCD_OPC_FilterValue, 3, 200, 71, // Skip to: 40669
+/* 22293 */   MCD_OPC_CheckPredicate, 0, 196, 71, // Skip to: 40669
+/* 22297 */   MCD_OPC_CheckField, 21, 1, 1, 190, 71, // Skip to: 40669
+/* 22303 */   MCD_OPC_Decode, 240, 2, 112, // Opcode: FCMGTv4f32
+/* 22307 */   MCD_OPC_FilterValue, 58, 39, 0, // Skip to: 22350
+/* 22311 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22314 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22332
+/* 22318 */   MCD_OPC_CheckPredicate, 0, 171, 71, // Skip to: 40669
+/* 22322 */   MCD_OPC_CheckField, 16, 6, 32, 165, 71, // Skip to: 40669
+/* 22328 */   MCD_OPC_Decode, 249, 2, 90, // Opcode: FCMLTv2i32rz
+/* 22332 */   MCD_OPC_FilterValue, 2, 157, 71, // Skip to: 40669
+/* 22336 */   MCD_OPC_CheckPredicate, 0, 153, 71, // Skip to: 40669
+/* 22340 */   MCD_OPC_CheckField, 16, 6, 32, 147, 71, // Skip to: 40669
+/* 22346 */   MCD_OPC_Decode, 251, 2, 117, // Opcode: FCMLTv4i32rz
+/* 22350 */   MCD_OPC_FilterValue, 59, 39, 0, // Skip to: 22393
+/* 22354 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22357 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22375
+/* 22361 */   MCD_OPC_CheckPredicate, 0, 128, 71, // Skip to: 40669
+/* 22365 */   MCD_OPC_CheckField, 21, 1, 1, 122, 71, // Skip to: 40669
+/* 22371 */   MCD_OPC_Decode, 195, 2, 89, // Opcode: FACGTv2f32
+/* 22375 */   MCD_OPC_FilterValue, 3, 114, 71, // Skip to: 40669
+/* 22379 */   MCD_OPC_CheckPredicate, 0, 110, 71, // Skip to: 40669
+/* 22383 */   MCD_OPC_CheckField, 21, 1, 1, 104, 71, // Skip to: 40669
+/* 22389 */   MCD_OPC_Decode, 197, 2, 112, // Opcode: FACGTv4f32
+/* 22393 */   MCD_OPC_FilterValue, 61, 75, 0, // Skip to: 22472
+/* 22397 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22400 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22418
+/* 22404 */   MCD_OPC_CheckPredicate, 0, 85, 71, // Skip to: 40669
+/* 22408 */   MCD_OPC_CheckField, 21, 1, 1, 79, 71, // Skip to: 40669
+/* 22414 */   MCD_OPC_Decode, 201, 4, 89, // Opcode: FMINv2f32
+/* 22418 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 22436
+/* 22422 */   MCD_OPC_CheckPredicate, 0, 67, 71, // Skip to: 40669
+/* 22426 */   MCD_OPC_CheckField, 21, 1, 1, 61, 71, // Skip to: 40669
+/* 22432 */   MCD_OPC_Decode, 194, 4, 89, // Opcode: FMINPv2f32
+/* 22436 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22454
+/* 22440 */   MCD_OPC_CheckPredicate, 0, 49, 71, // Skip to: 40669
+/* 22444 */   MCD_OPC_CheckField, 21, 1, 1, 43, 71, // Skip to: 40669
+/* 22450 */   MCD_OPC_Decode, 203, 4, 112, // Opcode: FMINv4f32
+/* 22454 */   MCD_OPC_FilterValue, 3, 35, 71, // Skip to: 40669
+/* 22458 */   MCD_OPC_CheckPredicate, 0, 31, 71, // Skip to: 40669
+/* 22462 */   MCD_OPC_CheckField, 21, 1, 1, 25, 71, // Skip to: 40669
+/* 22468 */   MCD_OPC_Decode, 198, 4, 112, // Opcode: FMINPv4f32
+/* 22472 */   MCD_OPC_FilterValue, 62, 114, 0, // Skip to: 22590
+/* 22476 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22479 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22497
+/* 22483 */   MCD_OPC_CheckPredicate, 0, 6, 71, // Skip to: 40669
+/* 22487 */   MCD_OPC_CheckField, 16, 6, 32, 0, 71, // Skip to: 40669
+/* 22493 */   MCD_OPC_Decode, 185, 2, 90, // Opcode: FABSv2f32
+/* 22497 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 22528
+/* 22501 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 22504 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22516
+/* 22508 */   MCD_OPC_CheckPredicate, 0, 237, 70, // Skip to: 40669
+/* 22512 */   MCD_OPC_Decode, 129, 5, 90, // Opcode: FNEGv2f32
+/* 22516 */   MCD_OPC_FilterValue, 33, 229, 70, // Skip to: 40669
+/* 22520 */   MCD_OPC_CheckPredicate, 0, 225, 70, // Skip to: 40669
+/* 22524 */   MCD_OPC_Decode, 197, 5, 90, // Opcode: FSQRTv2f32
+/* 22528 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22546
+/* 22532 */   MCD_OPC_CheckPredicate, 0, 213, 70, // Skip to: 40669
+/* 22536 */   MCD_OPC_CheckField, 16, 6, 32, 207, 70, // Skip to: 40669
+/* 22542 */   MCD_OPC_Decode, 187, 2, 117, // Opcode: FABSv4f32
+/* 22546 */   MCD_OPC_FilterValue, 3, 199, 70, // Skip to: 40669
+/* 22550 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 22553 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 22565
+/* 22557 */   MCD_OPC_CheckPredicate, 0, 188, 70, // Skip to: 40669
+/* 22561 */   MCD_OPC_Decode, 131, 5, 117, // Opcode: FNEGv4f32
+/* 22565 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 22577
+/* 22569 */   MCD_OPC_CheckPredicate, 0, 176, 70, // Skip to: 40669
+/* 22573 */   MCD_OPC_Decode, 199, 5, 117, // Opcode: FSQRTv4f32
+/* 22577 */   MCD_OPC_FilterValue, 48, 168, 70, // Skip to: 40669
+/* 22581 */   MCD_OPC_CheckPredicate, 0, 164, 70, // Skip to: 40669
+/* 22585 */   MCD_OPC_Decode, 200, 4, 139, 1, // Opcode: FMINVv4i32v
+/* 22590 */   MCD_OPC_FilterValue, 63, 155, 70, // Skip to: 40669
+/* 22594 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22597 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22615
+/* 22601 */   MCD_OPC_CheckPredicate, 0, 144, 70, // Skip to: 40669
+/* 22605 */   MCD_OPC_CheckField, 21, 1, 1, 138, 70, // Skip to: 40669
+/* 22611 */   MCD_OPC_Decode, 192, 5, 89, // Opcode: FRSQRTSv2f32
+/* 22615 */   MCD_OPC_FilterValue, 2, 130, 70, // Skip to: 40669
+/* 22619 */   MCD_OPC_CheckPredicate, 0, 126, 70, // Skip to: 40669
+/* 22623 */   MCD_OPC_CheckField, 21, 1, 1, 120, 70, // Skip to: 40669
+/* 22629 */   MCD_OPC_Decode, 194, 5, 112, // Opcode: FRSQRTSv4f32
+/* 22633 */   MCD_OPC_FilterValue, 11, 193, 5, // Skip to: 24110
+/* 22637 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 22640 */   MCD_OPC_FilterValue, 3, 39, 0, // Skip to: 22683
+/* 22644 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22647 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22665
+/* 22651 */   MCD_OPC_CheckPredicate, 0, 94, 70, // Skip to: 40669
+/* 22655 */   MCD_OPC_CheckField, 21, 1, 1, 88, 70, // Skip to: 40669
+/* 22661 */   MCD_OPC_Decode, 164, 11, 112, // Opcode: SQADDv2i64
+/* 22665 */   MCD_OPC_FilterValue, 3, 80, 70, // Skip to: 40669
+/* 22669 */   MCD_OPC_CheckPredicate, 0, 76, 70, // Skip to: 40669
+/* 22673 */   MCD_OPC_CheckField, 21, 1, 1, 70, 70, // Skip to: 40669
+/* 22679 */   MCD_OPC_Decode, 143, 17, 112, // Opcode: UQADDv2i64
+/* 22683 */   MCD_OPC_FilterValue, 6, 20, 0, // Skip to: 22707
+/* 22687 */   MCD_OPC_CheckPredicate, 0, 58, 70, // Skip to: 40669
+/* 22691 */   MCD_OPC_CheckField, 29, 3, 2, 52, 70, // Skip to: 40669
+/* 22697 */   MCD_OPC_CheckField, 21, 1, 0, 46, 70, // Skip to: 40669
+/* 22703 */   MCD_OPC_Decode, 180, 18, 112, // Opcode: UZP1v2i64
+/* 22707 */   MCD_OPC_FilterValue, 7, 73, 0, // Skip to: 22784
+/* 22711 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22714 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22732
+/* 22718 */   MCD_OPC_CheckPredicate, 0, 27, 70, // Skip to: 40669
+/* 22722 */   MCD_OPC_CheckField, 21, 1, 1, 21, 70, // Skip to: 40669
+/* 22728 */   MCD_OPC_Decode, 132, 9, 89, // Opcode: ORNv8i8
+/* 22732 */   MCD_OPC_FilterValue, 1, 13, 0, // Skip to: 22749
+/* 22736 */   MCD_OPC_CheckPredicate, 0, 9, 70, // Skip to: 40669
+/* 22740 */   MCD_OPC_CheckField, 21, 1, 1, 3, 70, // Skip to: 40669
+/* 22746 */   MCD_OPC_Decode, 119, 89, // Opcode: BIFv8i8
+/* 22749 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22767
+/* 22753 */   MCD_OPC_CheckPredicate, 0, 248, 69, // Skip to: 40669
+/* 22757 */   MCD_OPC_CheckField, 21, 1, 1, 242, 69, // Skip to: 40669
+/* 22763 */   MCD_OPC_Decode, 131, 9, 112, // Opcode: ORNv16i8
+/* 22767 */   MCD_OPC_FilterValue, 3, 234, 69, // Skip to: 40669
+/* 22771 */   MCD_OPC_CheckPredicate, 0, 230, 69, // Skip to: 40669
+/* 22775 */   MCD_OPC_CheckField, 21, 1, 1, 224, 69, // Skip to: 40669
+/* 22781 */   MCD_OPC_Decode, 118, 112, // Opcode: BIFv16i8
+/* 22784 */   MCD_OPC_FilterValue, 10, 20, 0, // Skip to: 22808
+/* 22788 */   MCD_OPC_CheckPredicate, 0, 213, 69, // Skip to: 40669
+/* 22792 */   MCD_OPC_CheckField, 29, 3, 2, 207, 69, // Skip to: 40669
+/* 22798 */   MCD_OPC_CheckField, 21, 1, 0, 201, 69, // Skip to: 40669
+/* 22804 */   MCD_OPC_Decode, 221, 15, 112, // Opcode: TRN1v2i64
+/* 22808 */   MCD_OPC_FilterValue, 11, 39, 0, // Skip to: 22851
+/* 22812 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22815 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22833
+/* 22819 */   MCD_OPC_CheckPredicate, 0, 182, 69, // Skip to: 40669
+/* 22823 */   MCD_OPC_CheckField, 21, 1, 1, 176, 69, // Skip to: 40669
+/* 22829 */   MCD_OPC_Decode, 198, 12, 112, // Opcode: SQSUBv2i64
+/* 22833 */   MCD_OPC_FilterValue, 3, 168, 69, // Skip to: 40669
+/* 22837 */   MCD_OPC_CheckPredicate, 0, 164, 69, // Skip to: 40669
+/* 22841 */   MCD_OPC_CheckField, 21, 1, 1, 158, 69, // Skip to: 40669
+/* 22847 */   MCD_OPC_Decode, 205, 17, 112, // Opcode: UQSUBv2i64
+/* 22851 */   MCD_OPC_FilterValue, 13, 39, 0, // Skip to: 22894
+/* 22855 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22858 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22876
+/* 22862 */   MCD_OPC_CheckPredicate, 0, 139, 69, // Skip to: 40669
+/* 22866 */   MCD_OPC_CheckField, 21, 1, 1, 133, 69, // Skip to: 40669
+/* 22872 */   MCD_OPC_Decode, 196, 1, 112, // Opcode: CMGTv2i64
+/* 22876 */   MCD_OPC_FilterValue, 3, 125, 69, // Skip to: 40669
+/* 22880 */   MCD_OPC_CheckPredicate, 0, 121, 69, // Skip to: 40669
+/* 22884 */   MCD_OPC_CheckField, 21, 1, 1, 115, 69, // Skip to: 40669
+/* 22890 */   MCD_OPC_Decode, 209, 1, 112, // Opcode: CMHIv2i64
+/* 22894 */   MCD_OPC_FilterValue, 14, 64, 0, // Skip to: 22962
+/* 22898 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 22901 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 22919
+/* 22905 */   MCD_OPC_CheckPredicate, 0, 96, 69, // Skip to: 40669
+/* 22909 */   MCD_OPC_CheckField, 29, 3, 2, 90, 69, // Skip to: 40669
+/* 22915 */   MCD_OPC_Decode, 200, 18, 112, // Opcode: ZIP1v2i64
+/* 22919 */   MCD_OPC_FilterValue, 1, 82, 69, // Skip to: 40669
+/* 22923 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22926 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22944
+/* 22930 */   MCD_OPC_CheckPredicate, 0, 71, 69, // Skip to: 40669
+/* 22934 */   MCD_OPC_CheckField, 16, 5, 0, 65, 69, // Skip to: 40669
+/* 22940 */   MCD_OPC_Decode, 187, 15, 126, // Opcode: SUQADDv2i64
+/* 22944 */   MCD_OPC_FilterValue, 3, 57, 69, // Skip to: 40669
+/* 22948 */   MCD_OPC_CheckPredicate, 0, 53, 69, // Skip to: 40669
+/* 22952 */   MCD_OPC_CheckField, 16, 5, 0, 47, 69, // Skip to: 40669
+/* 22958 */   MCD_OPC_Decode, 153, 18, 126, // Opcode: USQADDv2i64
+/* 22962 */   MCD_OPC_FilterValue, 15, 39, 0, // Skip to: 23005
+/* 22966 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 22969 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 22987
+/* 22973 */   MCD_OPC_CheckPredicate, 0, 28, 69, // Skip to: 40669
+/* 22977 */   MCD_OPC_CheckField, 21, 1, 1, 22, 69, // Skip to: 40669
+/* 22983 */   MCD_OPC_Decode, 180, 1, 112, // Opcode: CMGEv2i64
+/* 22987 */   MCD_OPC_FilterValue, 3, 14, 69, // Skip to: 40669
+/* 22991 */   MCD_OPC_CheckPredicate, 0, 10, 69, // Skip to: 40669
+/* 22995 */   MCD_OPC_CheckField, 21, 1, 1, 4, 69, // Skip to: 40669
+/* 23001 */   MCD_OPC_Decode, 217, 1, 112, // Opcode: CMHSv2i64
+/* 23005 */   MCD_OPC_FilterValue, 17, 39, 0, // Skip to: 23048
+/* 23009 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23012 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23030
+/* 23016 */   MCD_OPC_CheckPredicate, 0, 241, 68, // Skip to: 40669
+/* 23020 */   MCD_OPC_CheckField, 21, 1, 1, 235, 68, // Skip to: 40669
+/* 23026 */   MCD_OPC_Decode, 140, 13, 112, // Opcode: SSHLv2i64
+/* 23030 */   MCD_OPC_FilterValue, 3, 227, 68, // Skip to: 40669
+/* 23034 */   MCD_OPC_CheckPredicate, 0, 223, 68, // Skip to: 40669
+/* 23038 */   MCD_OPC_CheckField, 21, 1, 1, 217, 68, // Skip to: 40669
+/* 23044 */   MCD_OPC_Decode, 134, 18, 112, // Opcode: USHLv2i64
+/* 23048 */   MCD_OPC_FilterValue, 19, 39, 0, // Skip to: 23091
+/* 23052 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23055 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23073
+/* 23059 */   MCD_OPC_CheckPredicate, 0, 198, 68, // Skip to: 40669
+/* 23063 */   MCD_OPC_CheckField, 21, 1, 1, 192, 68, // Skip to: 40669
+/* 23069 */   MCD_OPC_Decode, 164, 12, 112, // Opcode: SQSHLv2i64
+/* 23073 */   MCD_OPC_FilterValue, 3, 184, 68, // Skip to: 40669
+/* 23077 */   MCD_OPC_CheckPredicate, 0, 180, 68, // Skip to: 40669
+/* 23081 */   MCD_OPC_CheckField, 21, 1, 1, 174, 68, // Skip to: 40669
+/* 23087 */   MCD_OPC_Decode, 180, 17, 112, // Opcode: UQSHLv2i64
+/* 23091 */   MCD_OPC_FilterValue, 21, 39, 0, // Skip to: 23134
+/* 23095 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23098 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23116
+/* 23102 */   MCD_OPC_CheckPredicate, 0, 155, 68, // Skip to: 40669
+/* 23106 */   MCD_OPC_CheckField, 21, 1, 1, 149, 68, // Skip to: 40669
+/* 23112 */   MCD_OPC_Decode, 238, 12, 112, // Opcode: SRSHLv2i64
+/* 23116 */   MCD_OPC_FilterValue, 3, 141, 68, // Skip to: 40669
+/* 23120 */   MCD_OPC_CheckPredicate, 0, 137, 68, // Skip to: 40669
+/* 23124 */   MCD_OPC_CheckField, 21, 1, 1, 131, 68, // Skip to: 40669
+/* 23130 */   MCD_OPC_Decode, 230, 17, 112, // Opcode: URSHLv2i64
+/* 23134 */   MCD_OPC_FilterValue, 22, 20, 0, // Skip to: 23158
+/* 23138 */   MCD_OPC_CheckPredicate, 0, 119, 68, // Skip to: 40669
+/* 23142 */   MCD_OPC_CheckField, 29, 3, 2, 113, 68, // Skip to: 40669
+/* 23148 */   MCD_OPC_CheckField, 21, 1, 0, 107, 68, // Skip to: 40669
+/* 23154 */   MCD_OPC_Decode, 187, 18, 112, // Opcode: UZP2v2i64
+/* 23158 */   MCD_OPC_FilterValue, 23, 39, 0, // Skip to: 23201
+/* 23162 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23165 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23183
+/* 23169 */   MCD_OPC_CheckPredicate, 0, 88, 68, // Skip to: 40669
+/* 23173 */   MCD_OPC_CheckField, 21, 1, 1, 82, 68, // Skip to: 40669
+/* 23179 */   MCD_OPC_Decode, 246, 11, 112, // Opcode: SQRSHLv2i64
+/* 23183 */   MCD_OPC_FilterValue, 3, 74, 68, // Skip to: 40669
+/* 23187 */   MCD_OPC_CheckPredicate, 0, 70, 68, // Skip to: 40669
+/* 23191 */   MCD_OPC_CheckField, 21, 1, 1, 64, 68, // Skip to: 40669
+/* 23197 */   MCD_OPC_Decode, 154, 17, 112, // Opcode: UQRSHLv2i64
+/* 23201 */   MCD_OPC_FilterValue, 26, 20, 0, // Skip to: 23225
+/* 23205 */   MCD_OPC_CheckPredicate, 0, 52, 68, // Skip to: 40669
+/* 23209 */   MCD_OPC_CheckField, 29, 3, 2, 46, 68, // Skip to: 40669
+/* 23215 */   MCD_OPC_CheckField, 21, 1, 0, 40, 68, // Skip to: 40669
+/* 23221 */   MCD_OPC_Decode, 228, 15, 112, // Opcode: TRN2v2i64
+/* 23225 */   MCD_OPC_FilterValue, 30, 64, 0, // Skip to: 23293
+/* 23229 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 23232 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23250
+/* 23236 */   MCD_OPC_CheckPredicate, 0, 21, 68, // Skip to: 40669
+/* 23240 */   MCD_OPC_CheckField, 29, 3, 2, 15, 68, // Skip to: 40669
+/* 23246 */   MCD_OPC_Decode, 207, 18, 112, // Opcode: ZIP2v2i64
+/* 23250 */   MCD_OPC_FilterValue, 1, 7, 68, // Skip to: 40669
+/* 23254 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23257 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23275
+/* 23261 */   MCD_OPC_CheckPredicate, 0, 252, 67, // Skip to: 40669
+/* 23265 */   MCD_OPC_CheckField, 16, 5, 0, 246, 67, // Skip to: 40669
+/* 23271 */   MCD_OPC_Decode, 153, 11, 117, // Opcode: SQABSv2i64
+/* 23275 */   MCD_OPC_FilterValue, 3, 238, 67, // Skip to: 40669
+/* 23279 */   MCD_OPC_CheckPredicate, 0, 234, 67, // Skip to: 40669
+/* 23283 */   MCD_OPC_CheckField, 16, 5, 0, 228, 67, // Skip to: 40669
+/* 23289 */   MCD_OPC_Decode, 223, 11, 117, // Opcode: SQNEGv2i64
+/* 23293 */   MCD_OPC_FilterValue, 33, 38, 0, // Skip to: 23335
+/* 23297 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23300 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 23317
+/* 23304 */   MCD_OPC_CheckPredicate, 0, 209, 67, // Skip to: 40669
+/* 23308 */   MCD_OPC_CheckField, 21, 1, 1, 203, 67, // Skip to: 40669
+/* 23314 */   MCD_OPC_Decode, 72, 112, // Opcode: ADDv2i64
+/* 23317 */   MCD_OPC_FilterValue, 3, 196, 67, // Skip to: 40669
+/* 23321 */   MCD_OPC_CheckPredicate, 0, 192, 67, // Skip to: 40669
+/* 23325 */   MCD_OPC_CheckField, 21, 1, 1, 186, 67, // Skip to: 40669
+/* 23331 */   MCD_OPC_Decode, 176, 15, 112, // Opcode: SUBv2i64
+/* 23335 */   MCD_OPC_FilterValue, 34, 52, 0, // Skip to: 23391
+/* 23339 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 23342 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 23373
+/* 23346 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23349 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23361
+/* 23353 */   MCD_OPC_CheckPredicate, 0, 160, 67, // Skip to: 40669
+/* 23357 */   MCD_OPC_Decode, 197, 1, 117, // Opcode: CMGTv2i64rz
+/* 23361 */   MCD_OPC_FilterValue, 3, 152, 67, // Skip to: 40669
+/* 23365 */   MCD_OPC_CheckPredicate, 0, 148, 67, // Skip to: 40669
+/* 23369 */   MCD_OPC_Decode, 181, 1, 117, // Opcode: CMGEv2i64rz
+/* 23373 */   MCD_OPC_FilterValue, 33, 140, 67, // Skip to: 40669
+/* 23377 */   MCD_OPC_CheckPredicate, 0, 136, 67, // Skip to: 40669
+/* 23381 */   MCD_OPC_CheckField, 29, 3, 2, 130, 67, // Skip to: 40669
+/* 23387 */   MCD_OPC_Decode, 173, 5, 117, // Opcode: FRINTPv2f64
+/* 23391 */   MCD_OPC_FilterValue, 35, 39, 0, // Skip to: 23434
+/* 23395 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23398 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23416
+/* 23402 */   MCD_OPC_CheckPredicate, 0, 111, 67, // Skip to: 40669
+/* 23406 */   MCD_OPC_CheckField, 21, 1, 1, 105, 67, // Skip to: 40669
+/* 23412 */   MCD_OPC_Decode, 241, 1, 112, // Opcode: CMTSTv2i64
+/* 23416 */   MCD_OPC_FilterValue, 3, 97, 67, // Skip to: 40669
+/* 23420 */   MCD_OPC_CheckPredicate, 0, 93, 67, // Skip to: 40669
+/* 23424 */   MCD_OPC_CheckField, 21, 1, 1, 87, 67, // Skip to: 40669
+/* 23430 */   MCD_OPC_Decode, 164, 1, 112, // Opcode: CMEQv2i64
+/* 23434 */   MCD_OPC_FilterValue, 38, 65, 0, // Skip to: 23503
+/* 23438 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 23441 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 23472
+/* 23445 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23448 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23460
+/* 23452 */   MCD_OPC_CheckPredicate, 0, 61, 67, // Skip to: 40669
+/* 23456 */   MCD_OPC_Decode, 165, 1, 117, // Opcode: CMEQv2i64rz
+/* 23460 */   MCD_OPC_FilterValue, 3, 53, 67, // Skip to: 40669
+/* 23464 */   MCD_OPC_CheckPredicate, 0, 49, 67, // Skip to: 40669
+/* 23468 */   MCD_OPC_Decode, 225, 1, 117, // Opcode: CMLEv2i64rz
+/* 23472 */   MCD_OPC_FilterValue, 33, 41, 67, // Skip to: 40669
+/* 23476 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23479 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23491
+/* 23483 */   MCD_OPC_CheckPredicate, 0, 30, 67, // Skip to: 40669
+/* 23487 */   MCD_OPC_Decode, 183, 5, 117, // Opcode: FRINTZv2f64
+/* 23491 */   MCD_OPC_FilterValue, 3, 22, 67, // Skip to: 40669
+/* 23495 */   MCD_OPC_CheckPredicate, 0, 18, 67, // Skip to: 40669
+/* 23499 */   MCD_OPC_Decode, 158, 5, 117, // Opcode: FRINTIv2f64
+/* 23503 */   MCD_OPC_FilterValue, 42, 52, 0, // Skip to: 23559
+/* 23507 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 23510 */   MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 23528
+/* 23514 */   MCD_OPC_CheckPredicate, 0, 255, 66, // Skip to: 40669
+/* 23518 */   MCD_OPC_CheckField, 29, 3, 2, 249, 66, // Skip to: 40669
+/* 23524 */   MCD_OPC_Decode, 233, 1, 117, // Opcode: CMLTv2i64rz
+/* 23528 */   MCD_OPC_FilterValue, 33, 241, 66, // Skip to: 40669
+/* 23532 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23535 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23547
+/* 23539 */   MCD_OPC_CheckPredicate, 0, 230, 66, // Skip to: 40669
+/* 23543 */   MCD_OPC_Decode, 207, 3, 117, // Opcode: FCVTPSv2f64
+/* 23547 */   MCD_OPC_FilterValue, 3, 222, 66, // Skip to: 40669
+/* 23551 */   MCD_OPC_CheckPredicate, 0, 218, 66, // Skip to: 40669
+/* 23555 */   MCD_OPC_Decode, 216, 3, 117, // Opcode: FCVTPUv2f64
+/* 23559 */   MCD_OPC_FilterValue, 46, 64, 0, // Skip to: 23627
+/* 23563 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 23566 */   MCD_OPC_FilterValue, 32, 26, 0, // Skip to: 23596
+/* 23570 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23573 */   MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 23584
+/* 23577 */   MCD_OPC_CheckPredicate, 0, 192, 66, // Skip to: 40669
+/* 23581 */   MCD_OPC_Decode, 23, 117, // Opcode: ABSv2i64
+/* 23584 */   MCD_OPC_FilterValue, 3, 185, 66, // Skip to: 40669
+/* 23588 */   MCD_OPC_CheckPredicate, 0, 181, 66, // Skip to: 40669
+/* 23592 */   MCD_OPC_Decode, 248, 8, 117, // Opcode: NEGv2i64
+/* 23596 */   MCD_OPC_FilterValue, 33, 173, 66, // Skip to: 40669
+/* 23600 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23603 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23615
+/* 23607 */   MCD_OPC_CheckPredicate, 0, 162, 66, // Skip to: 40669
+/* 23611 */   MCD_OPC_Decode, 247, 3, 117, // Opcode: FCVTZSv2f64
+/* 23615 */   MCD_OPC_FilterValue, 3, 154, 66, // Skip to: 40669
+/* 23619 */   MCD_OPC_CheckPredicate, 0, 150, 66, // Skip to: 40669
+/* 23623 */   MCD_OPC_Decode, 148, 4, 117, // Opcode: FCVTZUv2f64
+/* 23627 */   MCD_OPC_FilterValue, 47, 19, 0, // Skip to: 23650
+/* 23631 */   MCD_OPC_CheckPredicate, 0, 138, 66, // Skip to: 40669
+/* 23635 */   MCD_OPC_CheckField, 29, 3, 2, 132, 66, // Skip to: 40669
+/* 23641 */   MCD_OPC_CheckField, 21, 1, 1, 126, 66, // Skip to: 40669
+/* 23647 */   MCD_OPC_Decode, 40, 112, // Opcode: ADDPv2i64
+/* 23650 */   MCD_OPC_FilterValue, 49, 39, 0, // Skip to: 23693
+/* 23654 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23657 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23675
+/* 23661 */   MCD_OPC_CheckPredicate, 0, 108, 66, // Skip to: 40669
+/* 23665 */   MCD_OPC_CheckField, 21, 1, 1, 102, 66, // Skip to: 40669
+/* 23671 */   MCD_OPC_Decode, 192, 4, 112, // Opcode: FMINNMv2f64
+/* 23675 */   MCD_OPC_FilterValue, 3, 94, 66, // Skip to: 40669
+/* 23679 */   MCD_OPC_CheckPredicate, 0, 90, 66, // Skip to: 40669
+/* 23683 */   MCD_OPC_CheckField, 21, 1, 1, 84, 66, // Skip to: 40669
+/* 23689 */   MCD_OPC_Decode, 185, 4, 112, // Opcode: FMINNMPv2f64
+/* 23693 */   MCD_OPC_FilterValue, 50, 39, 0, // Skip to: 23736
+/* 23697 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23700 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23718
+/* 23704 */   MCD_OPC_CheckPredicate, 0, 65, 66, // Skip to: 40669
+/* 23708 */   MCD_OPC_CheckField, 16, 6, 32, 59, 66, // Skip to: 40669
+/* 23714 */   MCD_OPC_Decode, 239, 2, 117, // Opcode: FCMGTv2i64rz
+/* 23718 */   MCD_OPC_FilterValue, 3, 51, 66, // Skip to: 40669
+/* 23722 */   MCD_OPC_CheckPredicate, 0, 47, 66, // Skip to: 40669
+/* 23726 */   MCD_OPC_CheckField, 16, 6, 32, 41, 66, // Skip to: 40669
+/* 23732 */   MCD_OPC_Decode, 229, 2, 117, // Opcode: FCMGEv2i64rz
+/* 23736 */   MCD_OPC_FilterValue, 51, 20, 0, // Skip to: 23760
+/* 23740 */   MCD_OPC_CheckPredicate, 0, 29, 66, // Skip to: 40669
+/* 23744 */   MCD_OPC_CheckField, 29, 3, 2, 23, 66, // Skip to: 40669
+/* 23750 */   MCD_OPC_CheckField, 21, 1, 1, 17, 66, // Skip to: 40669
+/* 23756 */   MCD_OPC_Decode, 215, 4, 120, // Opcode: FMLSv2f64
+/* 23760 */   MCD_OPC_FilterValue, 53, 39, 0, // Skip to: 23803
+/* 23764 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23767 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 23785
+/* 23771 */   MCD_OPC_CheckPredicate, 0, 254, 65, // Skip to: 40669
+/* 23775 */   MCD_OPC_CheckField, 21, 1, 1, 248, 65, // Skip to: 40669
+/* 23781 */   MCD_OPC_Decode, 203, 5, 112, // Opcode: FSUBv2f64
+/* 23785 */   MCD_OPC_FilterValue, 3, 240, 65, // Skip to: 40669
+/* 23789 */   MCD_OPC_CheckPredicate, 0, 236, 65, // Skip to: 40669
+/* 23793 */   MCD_OPC_CheckField, 21, 1, 1, 230, 65, // Skip to: 40669
+/* 23799 */   MCD_OPC_Decode, 181, 2, 112, // Opcode: FABDv2f64
+/* 23803 */   MCD_OPC_FilterValue, 54, 65, 0, // Skip to: 23872
+/* 23807 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 23810 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 23841
+/* 23814 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23817 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23829
+/* 23821 */   MCD_OPC_CheckPredicate, 0, 204, 65, // Skip to: 40669
+/* 23825 */   MCD_OPC_Decode, 219, 2, 117, // Opcode: FCMEQv2i64rz
+/* 23829 */   MCD_OPC_FilterValue, 3, 196, 65, // Skip to: 40669
+/* 23833 */   MCD_OPC_CheckPredicate, 0, 192, 65, // Skip to: 40669
+/* 23837 */   MCD_OPC_Decode, 245, 2, 117, // Opcode: FCMLEv2i64rz
+/* 23841 */   MCD_OPC_FilterValue, 33, 184, 65, // Skip to: 40669
+/* 23845 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23848 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 23860
+/* 23852 */   MCD_OPC_CheckPredicate, 0, 173, 65, // Skip to: 40669
+/* 23856 */   MCD_OPC_Decode, 141, 5, 117, // Opcode: FRECPEv2f64
+/* 23860 */   MCD_OPC_FilterValue, 3, 165, 65, // Skip to: 40669
+/* 23864 */   MCD_OPC_CheckPredicate, 0, 161, 65, // Skip to: 40669
+/* 23868 */   MCD_OPC_Decode, 188, 5, 117, // Opcode: FRSQRTEv2f64
+/* 23872 */   MCD_OPC_FilterValue, 56, 39, 0, // Skip to: 23915
+/* 23876 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23879 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 23897
+/* 23883 */   MCD_OPC_CheckPredicate, 1, 142, 65, // Skip to: 40669
+/* 23887 */   MCD_OPC_CheckField, 21, 1, 1, 136, 65, // Skip to: 40669
+/* 23893 */   MCD_OPC_Decode, 146, 9, 85, // Opcode: PMULLv1i64
+/* 23897 */   MCD_OPC_FilterValue, 2, 128, 65, // Skip to: 40669
+/* 23901 */   MCD_OPC_CheckPredicate, 1, 124, 65, // Skip to: 40669
+/* 23905 */   MCD_OPC_CheckField, 21, 1, 1, 118, 65, // Skip to: 40669
+/* 23911 */   MCD_OPC_Decode, 147, 9, 112, // Opcode: PMULLv2i64
+/* 23915 */   MCD_OPC_FilterValue, 57, 20, 0, // Skip to: 23939
+/* 23919 */   MCD_OPC_CheckPredicate, 0, 106, 65, // Skip to: 40669
+/* 23923 */   MCD_OPC_CheckField, 29, 3, 3, 100, 65, // Skip to: 40669
+/* 23929 */   MCD_OPC_CheckField, 21, 1, 1, 94, 65, // Skip to: 40669
+/* 23935 */   MCD_OPC_Decode, 237, 2, 112, // Opcode: FCMGTv2f64
+/* 23939 */   MCD_OPC_FilterValue, 58, 20, 0, // Skip to: 23963
+/* 23943 */   MCD_OPC_CheckPredicate, 0, 82, 65, // Skip to: 40669
+/* 23947 */   MCD_OPC_CheckField, 29, 3, 2, 76, 65, // Skip to: 40669
+/* 23953 */   MCD_OPC_CheckField, 16, 6, 32, 70, 65, // Skip to: 40669
+/* 23959 */   MCD_OPC_Decode, 250, 2, 117, // Opcode: FCMLTv2i64rz
+/* 23963 */   MCD_OPC_FilterValue, 59, 20, 0, // Skip to: 23987
+/* 23967 */   MCD_OPC_CheckPredicate, 0, 58, 65, // Skip to: 40669
+/* 23971 */   MCD_OPC_CheckField, 29, 3, 3, 52, 65, // Skip to: 40669
+/* 23977 */   MCD_OPC_CheckField, 21, 1, 1, 46, 65, // Skip to: 40669
+/* 23983 */   MCD_OPC_Decode, 196, 2, 112, // Opcode: FACGTv2f64
+/* 23987 */   MCD_OPC_FilterValue, 61, 39, 0, // Skip to: 24030
+/* 23991 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 23994 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24012
+/* 23998 */   MCD_OPC_CheckPredicate, 0, 27, 65, // Skip to: 40669
+/* 24002 */   MCD_OPC_CheckField, 21, 1, 1, 21, 65, // Skip to: 40669
+/* 24008 */   MCD_OPC_Decode, 202, 4, 112, // Opcode: FMINv2f64
+/* 24012 */   MCD_OPC_FilterValue, 3, 13, 65, // Skip to: 40669
+/* 24016 */   MCD_OPC_CheckPredicate, 0, 9, 65, // Skip to: 40669
+/* 24020 */   MCD_OPC_CheckField, 21, 1, 1, 3, 65, // Skip to: 40669
+/* 24026 */   MCD_OPC_Decode, 195, 4, 112, // Opcode: FMINPv2f64
+/* 24030 */   MCD_OPC_FilterValue, 62, 52, 0, // Skip to: 24086
+/* 24034 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 24037 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 24068
+/* 24041 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 24044 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 24056
+/* 24048 */   MCD_OPC_CheckPredicate, 0, 233, 64, // Skip to: 40669
+/* 24052 */   MCD_OPC_Decode, 186, 2, 117, // Opcode: FABSv2f64
+/* 24056 */   MCD_OPC_FilterValue, 3, 225, 64, // Skip to: 40669
+/* 24060 */   MCD_OPC_CheckPredicate, 0, 221, 64, // Skip to: 40669
+/* 24064 */   MCD_OPC_Decode, 130, 5, 117, // Opcode: FNEGv2f64
+/* 24068 */   MCD_OPC_FilterValue, 33, 213, 64, // Skip to: 40669
+/* 24072 */   MCD_OPC_CheckPredicate, 0, 209, 64, // Skip to: 40669
+/* 24076 */   MCD_OPC_CheckField, 29, 3, 3, 203, 64, // Skip to: 40669
+/* 24082 */   MCD_OPC_Decode, 198, 5, 117, // Opcode: FSQRTv2f64
+/* 24086 */   MCD_OPC_FilterValue, 63, 195, 64, // Skip to: 40669
+/* 24090 */   MCD_OPC_CheckPredicate, 0, 191, 64, // Skip to: 40669
+/* 24094 */   MCD_OPC_CheckField, 29, 3, 2, 185, 64, // Skip to: 40669
+/* 24100 */   MCD_OPC_CheckField, 21, 1, 1, 179, 64, // Skip to: 40669
+/* 24106 */   MCD_OPC_Decode, 193, 5, 112, // Opcode: FRSQRTSv2f64
+/* 24110 */   MCD_OPC_FilterValue, 12, 165, 13, // Skip to: 27607
 /* 24114 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24117 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24135
-/* 24121 */   MCD_OPC_CheckPredicate, 0, 249, 84, // Skip to: 45878
-/* 24125 */   MCD_OPC_CheckField, 21, 1, 1, 243, 84, // Skip to: 45878
-/* 24131 */   MCD_OPC_Decode, 221, 17, 92, // Opcode: SUBHNvvv_2s2d
-/* 24135 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24153
-/* 24139 */   MCD_OPC_CheckPredicate, 0, 231, 84, // Skip to: 45878
-/* 24143 */   MCD_OPC_CheckField, 21, 1, 1, 225, 84, // Skip to: 45878
-/* 24149 */   MCD_OPC_Decode, 149, 12, 92, // Opcode: RSUBHNvvv_2s2d
-/* 24153 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24171
-/* 24157 */   MCD_OPC_CheckPredicate, 0, 213, 84, // Skip to: 45878
-/* 24161 */   MCD_OPC_CheckField, 21, 1, 1, 207, 84, // Skip to: 45878
-/* 24167 */   MCD_OPC_Decode, 219, 17, 110, // Opcode: SUBHN2vvv_4s2d
-/* 24171 */   MCD_OPC_FilterValue, 3, 199, 84, // Skip to: 45878
-/* 24175 */   MCD_OPC_CheckPredicate, 0, 195, 84, // Skip to: 45878
-/* 24179 */   MCD_OPC_CheckField, 21, 1, 1, 189, 84, // Skip to: 45878
-/* 24185 */   MCD_OPC_Decode, 147, 12, 110, // Opcode: RSUBHN2vvv_4s2d
-/* 24189 */   MCD_OPC_FilterValue, 25, 75, 0, // Skip to: 24268
-/* 24193 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24196 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24214
-/* 24200 */   MCD_OPC_CheckPredicate, 0, 170, 84, // Skip to: 45878
-/* 24204 */   MCD_OPC_CheckField, 21, 1, 1, 164, 84, // Skip to: 45878
-/* 24210 */   MCD_OPC_Decode, 167, 13, 78, // Opcode: SMAXvvv_2S
-/* 24214 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24232
-/* 24218 */   MCD_OPC_CheckPredicate, 0, 152, 84, // Skip to: 45878
-/* 24222 */   MCD_OPC_CheckField, 21, 1, 1, 146, 84, // Skip to: 45878
-/* 24228 */   MCD_OPC_Decode, 202, 19, 78, // Opcode: UMAXvvv_2S
-/* 24232 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24250
-/* 24236 */   MCD_OPC_CheckPredicate, 0, 134, 84, // Skip to: 45878
-/* 24240 */   MCD_OPC_CheckField, 21, 1, 1, 128, 84, // Skip to: 45878
-/* 24246 */   MCD_OPC_Decode, 169, 13, 102, // Opcode: SMAXvvv_4S
-/* 24250 */   MCD_OPC_FilterValue, 3, 120, 84, // Skip to: 45878
-/* 24254 */   MCD_OPC_CheckPredicate, 0, 116, 84, // Skip to: 45878
-/* 24258 */   MCD_OPC_CheckField, 21, 1, 1, 110, 84, // Skip to: 45878
-/* 24264 */   MCD_OPC_Decode, 204, 19, 102, // Opcode: UMAXvvv_4S
-/* 24268 */   MCD_OPC_FilterValue, 26, 113, 0, // Skip to: 24385
-/* 24272 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24275 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 24312
-/* 24279 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 24282 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 24294
-/* 24286 */   MCD_OPC_CheckPredicate, 0, 84, 84, // Skip to: 45878
-/* 24290 */   MCD_OPC_Decode, 216, 18, 78, // Opcode: TRN2vvv_2s
-/* 24294 */   MCD_OPC_FilterValue, 1, 76, 84, // Skip to: 45878
-/* 24298 */   MCD_OPC_CheckPredicate, 0, 72, 84, // Skip to: 45878
-/* 24302 */   MCD_OPC_CheckField, 16, 5, 0, 66, 84, // Skip to: 45878
-/* 24308 */   MCD_OPC_Decode, 177, 12, 88, // Opcode: SADALP2s1d
-/* 24312 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24330
-/* 24316 */   MCD_OPC_CheckPredicate, 0, 54, 84, // Skip to: 45878
-/* 24320 */   MCD_OPC_CheckField, 16, 6, 32, 48, 84, // Skip to: 45878
-/* 24326 */   MCD_OPC_Decode, 254, 18, 88, // Opcode: UADALP2s1d
-/* 24330 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 24367
-/* 24334 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 24337 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 24349
-/* 24341 */   MCD_OPC_CheckPredicate, 0, 29, 84, // Skip to: 45878
-/* 24345 */   MCD_OPC_Decode, 218, 18, 102, // Opcode: TRN2vvv_4s
-/* 24349 */   MCD_OPC_FilterValue, 1, 21, 84, // Skip to: 45878
-/* 24353 */   MCD_OPC_CheckPredicate, 0, 17, 84, // Skip to: 45878
-/* 24357 */   MCD_OPC_CheckField, 16, 5, 0, 11, 84, // Skip to: 45878
-/* 24363 */   MCD_OPC_Decode, 179, 12, 116, // Opcode: SADALP4s2d
-/* 24367 */   MCD_OPC_FilterValue, 3, 3, 84, // Skip to: 45878
-/* 24371 */   MCD_OPC_CheckPredicate, 0, 255, 83, // Skip to: 45878
-/* 24375 */   MCD_OPC_CheckField, 16, 6, 32, 249, 83, // Skip to: 45878
-/* 24381 */   MCD_OPC_Decode, 128, 19, 116, // Opcode: UADALP4s2d
-/* 24385 */   MCD_OPC_FilterValue, 27, 75, 0, // Skip to: 24464
-/* 24389 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24392 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24410
-/* 24396 */   MCD_OPC_CheckPredicate, 0, 230, 83, // Skip to: 45878
-/* 24400 */   MCD_OPC_CheckField, 21, 1, 1, 224, 83, // Skip to: 45878
-/* 24406 */   MCD_OPC_Decode, 185, 13, 78, // Opcode: SMINvvv_2S
-/* 24410 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24428
-/* 24414 */   MCD_OPC_CheckPredicate, 0, 212, 83, // Skip to: 45878
-/* 24418 */   MCD_OPC_CheckField, 21, 1, 1, 206, 83, // Skip to: 45878
-/* 24424 */   MCD_OPC_Decode, 219, 19, 78, // Opcode: UMINvvv_2S
-/* 24428 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24446
-/* 24432 */   MCD_OPC_CheckPredicate, 0, 194, 83, // Skip to: 45878
-/* 24436 */   MCD_OPC_CheckField, 21, 1, 1, 188, 83, // Skip to: 45878
-/* 24442 */   MCD_OPC_Decode, 187, 13, 102, // Opcode: SMINvvv_4S
-/* 24446 */   MCD_OPC_FilterValue, 3, 180, 83, // Skip to: 45878
-/* 24450 */   MCD_OPC_CheckPredicate, 0, 176, 83, // Skip to: 45878
-/* 24454 */   MCD_OPC_CheckField, 21, 1, 1, 170, 83, // Skip to: 45878
-/* 24460 */   MCD_OPC_Decode, 221, 19, 102, // Opcode: UMINvvv_4S
-/* 24464 */   MCD_OPC_FilterValue, 28, 75, 0, // Skip to: 24543
-/* 24468 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24471 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24489
-/* 24475 */   MCD_OPC_CheckPredicate, 0, 151, 83, // Skip to: 45878
-/* 24479 */   MCD_OPC_CheckField, 21, 1, 1, 145, 83, // Skip to: 45878
-/* 24485 */   MCD_OPC_Decode, 167, 12, 74, // Opcode: SABDLvvv_2d2s
-/* 24489 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24507
-/* 24493 */   MCD_OPC_CheckPredicate, 0, 133, 83, // Skip to: 45878
-/* 24497 */   MCD_OPC_CheckField, 21, 1, 1, 127, 83, // Skip to: 45878
-/* 24503 */   MCD_OPC_Decode, 244, 18, 74, // Opcode: UABDLvvv_2d2s
-/* 24507 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24525
-/* 24511 */   MCD_OPC_CheckPredicate, 0, 115, 83, // Skip to: 45878
-/* 24515 */   MCD_OPC_CheckField, 21, 1, 1, 109, 83, // Skip to: 45878
-/* 24521 */   MCD_OPC_Decode, 164, 12, 102, // Opcode: SABDL2vvv_2d2s
-/* 24525 */   MCD_OPC_FilterValue, 3, 101, 83, // Skip to: 45878
-/* 24529 */   MCD_OPC_CheckPredicate, 0, 97, 83, // Skip to: 45878
-/* 24533 */   MCD_OPC_CheckField, 21, 1, 1, 91, 83, // Skip to: 45878
-/* 24539 */   MCD_OPC_Decode, 241, 18, 102, // Opcode: UABDL2vvv_2d2s
-/* 24543 */   MCD_OPC_FilterValue, 29, 75, 0, // Skip to: 24622
-/* 24547 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24550 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24568
-/* 24554 */   MCD_OPC_CheckPredicate, 0, 72, 83, // Skip to: 45878
-/* 24558 */   MCD_OPC_CheckField, 21, 1, 1, 66, 83, // Skip to: 45878
-/* 24564 */   MCD_OPC_Decode, 171, 12, 78, // Opcode: SABDvvv_2S
-/* 24568 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24586
-/* 24572 */   MCD_OPC_CheckPredicate, 0, 54, 83, // Skip to: 45878
-/* 24576 */   MCD_OPC_CheckField, 21, 1, 1, 48, 83, // Skip to: 45878
-/* 24582 */   MCD_OPC_Decode, 248, 18, 78, // Opcode: UABDvvv_2S
-/* 24586 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24604
-/* 24590 */   MCD_OPC_CheckPredicate, 0, 36, 83, // Skip to: 45878
-/* 24594 */   MCD_OPC_CheckField, 21, 1, 1, 30, 83, // Skip to: 45878
-/* 24600 */   MCD_OPC_Decode, 173, 12, 102, // Opcode: SABDvvv_4S
-/* 24604 */   MCD_OPC_FilterValue, 3, 22, 83, // Skip to: 45878
-/* 24608 */   MCD_OPC_CheckPredicate, 0, 18, 83, // Skip to: 45878
-/* 24612 */   MCD_OPC_CheckField, 21, 1, 1, 12, 83, // Skip to: 45878
-/* 24618 */   MCD_OPC_Decode, 250, 18, 102, // Opcode: UABDvvv_4S
-/* 24622 */   MCD_OPC_FilterValue, 30, 113, 0, // Skip to: 24739
-/* 24626 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24629 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 24666
+/* 24117 */   MCD_OPC_FilterValue, 0, 66, 3, // Skip to: 24955
+/* 24121 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 24124 */   MCD_OPC_FilterValue, 1, 171, 2, // Skip to: 24811
+/* 24128 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 24131 */   MCD_OPC_FilterValue, 0, 91, 1, // Skip to: 24482
+/* 24135 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 24138 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 24271
+/* 24142 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24145 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 24238
+/* 24149 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24152 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 24205
+/* 24156 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 24159 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24172
+/* 24163 */   MCD_OPC_CheckPredicate, 0, 118, 64, // Skip to: 40669
+/* 24167 */   MCD_OPC_Decode, 203, 8, 145, 1, // Opcode: MOVIv2i32
+/* 24172 */   MCD_OPC_FilterValue, 1, 109, 64, // Skip to: 40669
+/* 24176 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 24179 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24192
+/* 24183 */   MCD_OPC_CheckPredicate, 0, 98, 64, // Skip to: 40669
+/* 24187 */   MCD_OPC_Decode, 152, 13, 146, 1, // Opcode: SSHRv8i8_shift
+/* 24192 */   MCD_OPC_FilterValue, 1, 89, 64, // Skip to: 40669
+/* 24196 */   MCD_OPC_CheckPredicate, 0, 85, 64, // Skip to: 40669
+/* 24200 */   MCD_OPC_Decode, 250, 12, 146, 1, // Opcode: SRSHRv8i8_shift
+/* 24205 */   MCD_OPC_FilterValue, 1, 76, 64, // Skip to: 40669
+/* 24209 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 24212 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24225
+/* 24216 */   MCD_OPC_CheckPredicate, 0, 65, 64, // Skip to: 40669
+/* 24220 */   MCD_OPC_Decode, 149, 13, 147, 1, // Opcode: SSHRv4i16_shift
+/* 24225 */   MCD_OPC_FilterValue, 1, 56, 64, // Skip to: 40669
+/* 24229 */   MCD_OPC_CheckPredicate, 0, 52, 64, // Skip to: 40669
+/* 24233 */   MCD_OPC_Decode, 247, 12, 147, 1, // Opcode: SRSHRv4i16_shift
+/* 24238 */   MCD_OPC_FilterValue, 1, 43, 64, // Skip to: 40669
+/* 24242 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 24245 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24258
+/* 24249 */   MCD_OPC_CheckPredicate, 0, 32, 64, // Skip to: 40669
+/* 24253 */   MCD_OPC_Decode, 147, 13, 148, 1, // Opcode: SSHRv2i32_shift
+/* 24258 */   MCD_OPC_FilterValue, 1, 23, 64, // Skip to: 40669
+/* 24262 */   MCD_OPC_CheckPredicate, 0, 19, 64, // Skip to: 40669
+/* 24266 */   MCD_OPC_Decode, 245, 12, 148, 1, // Opcode: SRSHRv2i32_shift
+/* 24271 */   MCD_OPC_FilterValue, 1, 10, 64, // Skip to: 40669
+/* 24275 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24278 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 24423
+/* 24282 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24285 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 24364
+/* 24289 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 24292 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24305
+/* 24296 */   MCD_OPC_CheckPredicate, 0, 241, 63, // Skip to: 40669
+/* 24300 */   MCD_OPC_Decode, 140, 9, 149, 1, // Opcode: ORRv2i32
+/* 24305 */   MCD_OPC_FilterValue, 1, 232, 63, // Skip to: 40669
+/* 24309 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 24312 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24325
+/* 24316 */   MCD_OPC_CheckPredicate, 0, 221, 63, // Skip to: 40669
+/* 24320 */   MCD_OPC_Decode, 160, 13, 150, 1, // Opcode: SSRAv8i8_shift
+/* 24325 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 24338
+/* 24329 */   MCD_OPC_CheckPredicate, 0, 208, 63, // Skip to: 40669
+/* 24333 */   MCD_OPC_Decode, 130, 13, 150, 1, // Opcode: SRSRAv8i8_shift
+/* 24338 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 24351
+/* 24342 */   MCD_OPC_CheckPredicate, 0, 195, 63, // Skip to: 40669
+/* 24346 */   MCD_OPC_Decode, 181, 10, 151, 1, // Opcode: SHLv8i8_shift
+/* 24351 */   MCD_OPC_FilterValue, 3, 186, 63, // Skip to: 40669
+/* 24355 */   MCD_OPC_CheckPredicate, 0, 182, 63, // Skip to: 40669
+/* 24359 */   MCD_OPC_Decode, 173, 12, 151, 1, // Opcode: SQSHLv8i8_shift
+/* 24364 */   MCD_OPC_FilterValue, 1, 173, 63, // Skip to: 40669
+/* 24368 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 24371 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24384
+/* 24375 */   MCD_OPC_CheckPredicate, 0, 162, 63, // Skip to: 40669
+/* 24379 */   MCD_OPC_Decode, 157, 13, 152, 1, // Opcode: SSRAv4i16_shift
+/* 24384 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 24397
+/* 24388 */   MCD_OPC_CheckPredicate, 0, 149, 63, // Skip to: 40669
+/* 24392 */   MCD_OPC_Decode, 255, 12, 152, 1, // Opcode: SRSRAv4i16_shift
+/* 24397 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 24410
+/* 24401 */   MCD_OPC_CheckPredicate, 0, 136, 63, // Skip to: 40669
+/* 24405 */   MCD_OPC_Decode, 178, 10, 153, 1, // Opcode: SHLv4i16_shift
+/* 24410 */   MCD_OPC_FilterValue, 3, 127, 63, // Skip to: 40669
+/* 24414 */   MCD_OPC_CheckPredicate, 0, 123, 63, // Skip to: 40669
+/* 24418 */   MCD_OPC_Decode, 167, 12, 153, 1, // Opcode: SQSHLv4i16_shift
+/* 24423 */   MCD_OPC_FilterValue, 1, 114, 63, // Skip to: 40669
+/* 24427 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 24430 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24443
+/* 24434 */   MCD_OPC_CheckPredicate, 0, 103, 63, // Skip to: 40669
+/* 24438 */   MCD_OPC_Decode, 155, 13, 154, 1, // Opcode: SSRAv2i32_shift
+/* 24443 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 24456
+/* 24447 */   MCD_OPC_CheckPredicate, 0, 90, 63, // Skip to: 40669
+/* 24451 */   MCD_OPC_Decode, 253, 12, 154, 1, // Opcode: SRSRAv2i32_shift
+/* 24456 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 24469
+/* 24460 */   MCD_OPC_CheckPredicate, 0, 77, 63, // Skip to: 40669
+/* 24464 */   MCD_OPC_Decode, 176, 10, 155, 1, // Opcode: SHLv2i32_shift
+/* 24469 */   MCD_OPC_FilterValue, 3, 68, 63, // Skip to: 40669
+/* 24473 */   MCD_OPC_CheckPredicate, 0, 64, 63, // Skip to: 40669
+/* 24477 */   MCD_OPC_Decode, 163, 12, 155, 1, // Opcode: SQSHLv2i32_shift
+/* 24482 */   MCD_OPC_FilterValue, 1, 55, 63, // Skip to: 40669
+/* 24486 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
+/* 24489 */   MCD_OPC_FilterValue, 0, 227, 0, // Skip to: 24720
+/* 24493 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 24496 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 24629
+/* 24500 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24503 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 24596
+/* 24507 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24510 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 24563
+/* 24514 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 24517 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24530
+/* 24521 */   MCD_OPC_CheckPredicate, 0, 16, 63, // Skip to: 40669
+/* 24525 */   MCD_OPC_Decode, 205, 8, 145, 1, // Opcode: MOVIv4i16
+/* 24530 */   MCD_OPC_FilterValue, 1, 7, 63, // Skip to: 40669
+/* 24534 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 24537 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24550
+/* 24541 */   MCD_OPC_CheckPredicate, 0, 252, 62, // Skip to: 40669
+/* 24545 */   MCD_OPC_Decode, 187, 10, 156, 1, // Opcode: SHRNv8i8_shift
+/* 24550 */   MCD_OPC_FilterValue, 1, 243, 62, // Skip to: 40669
+/* 24554 */   MCD_OPC_CheckPredicate, 0, 239, 62, // Skip to: 40669
+/* 24558 */   MCD_OPC_Decode, 136, 13, 157, 1, // Opcode: SSHLLv8i8_shift
+/* 24563 */   MCD_OPC_FilterValue, 1, 230, 62, // Skip to: 40669
+/* 24567 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 24570 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24583
+/* 24574 */   MCD_OPC_CheckPredicate, 0, 219, 62, // Skip to: 40669
+/* 24578 */   MCD_OPC_Decode, 184, 10, 158, 1, // Opcode: SHRNv4i16_shift
+/* 24583 */   MCD_OPC_FilterValue, 1, 210, 62, // Skip to: 40669
+/* 24587 */   MCD_OPC_CheckPredicate, 0, 206, 62, // Skip to: 40669
+/* 24591 */   MCD_OPC_Decode, 133, 13, 159, 1, // Opcode: SSHLLv4i16_shift
+/* 24596 */   MCD_OPC_FilterValue, 1, 197, 62, // Skip to: 40669
+/* 24600 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 24603 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24616
+/* 24607 */   MCD_OPC_CheckPredicate, 0, 186, 62, // Skip to: 40669
+/* 24611 */   MCD_OPC_Decode, 183, 10, 160, 1, // Opcode: SHRNv2i32_shift
+/* 24616 */   MCD_OPC_FilterValue, 1, 177, 62, // Skip to: 40669
+/* 24620 */   MCD_OPC_CheckPredicate, 0, 173, 62, // Skip to: 40669
+/* 24624 */   MCD_OPC_Decode, 132, 13, 161, 1, // Opcode: SSHLLv2i32_shift
+/* 24629 */   MCD_OPC_FilterValue, 1, 164, 62, // Skip to: 40669
 /* 24633 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 24636 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 24648
-/* 24640 */   MCD_OPC_CheckPredicate, 0, 242, 82, // Skip to: 45878
-/* 24644 */   MCD_OPC_Decode, 218, 21, 78, // Opcode: ZIP2vvv_2s
-/* 24648 */   MCD_OPC_FilterValue, 1, 234, 82, // Skip to: 45878
-/* 24652 */   MCD_OPC_CheckPredicate, 0, 230, 82, // Skip to: 45878
-/* 24656 */   MCD_OPC_CheckField, 16, 5, 0, 224, 82, // Skip to: 45878
-/* 24662 */   MCD_OPC_Decode, 229, 13, 79, // Opcode: SQABS2s
-/* 24666 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24684
-/* 24670 */   MCD_OPC_CheckPredicate, 0, 212, 82, // Skip to: 45878
-/* 24674 */   MCD_OPC_CheckField, 16, 6, 32, 206, 82, // Skip to: 45878
-/* 24680 */   MCD_OPC_Decode, 179, 14, 79, // Opcode: SQNEG2s
-/* 24684 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 24721
-/* 24688 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 24691 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 24703
-/* 24695 */   MCD_OPC_CheckPredicate, 0, 187, 82, // Skip to: 45878
-/* 24699 */   MCD_OPC_Decode, 220, 21, 102, // Opcode: ZIP2vvv_4s
-/* 24703 */   MCD_OPC_FilterValue, 1, 179, 82, // Skip to: 45878
-/* 24707 */   MCD_OPC_CheckPredicate, 0, 175, 82, // Skip to: 45878
-/* 24711 */   MCD_OPC_CheckField, 16, 5, 0, 169, 82, // Skip to: 45878
-/* 24717 */   MCD_OPC_Decode, 231, 13, 107, // Opcode: SQABS4s
-/* 24721 */   MCD_OPC_FilterValue, 3, 161, 82, // Skip to: 45878
-/* 24725 */   MCD_OPC_CheckPredicate, 0, 157, 82, // Skip to: 45878
-/* 24729 */   MCD_OPC_CheckField, 16, 6, 32, 151, 82, // Skip to: 45878
-/* 24735 */   MCD_OPC_Decode, 181, 14, 107, // Opcode: SQNEG4s
-/* 24739 */   MCD_OPC_FilterValue, 31, 75, 0, // Skip to: 24818
-/* 24743 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24746 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24764
-/* 24750 */   MCD_OPC_CheckPredicate, 0, 132, 82, // Skip to: 45878
-/* 24754 */   MCD_OPC_CheckField, 21, 1, 1, 126, 82, // Skip to: 45878
-/* 24760 */   MCD_OPC_Decode, 159, 12, 98, // Opcode: SABAvvv_2S
-/* 24764 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24782
-/* 24768 */   MCD_OPC_CheckPredicate, 0, 114, 82, // Skip to: 45878
-/* 24772 */   MCD_OPC_CheckField, 21, 1, 1, 108, 82, // Skip to: 45878
-/* 24778 */   MCD_OPC_Decode, 236, 18, 98, // Opcode: UABAvvv_2S
-/* 24782 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24800
-/* 24786 */   MCD_OPC_CheckPredicate, 0, 96, 82, // Skip to: 45878
-/* 24790 */   MCD_OPC_CheckField, 21, 1, 1, 90, 82, // Skip to: 45878
-/* 24796 */   MCD_OPC_Decode, 161, 12, 110, // Opcode: SABAvvv_4S
-/* 24800 */   MCD_OPC_FilterValue, 3, 82, 82, // Skip to: 45878
-/* 24804 */   MCD_OPC_CheckPredicate, 0, 78, 82, // Skip to: 45878
-/* 24808 */   MCD_OPC_CheckField, 21, 1, 1, 72, 82, // Skip to: 45878
-/* 24814 */   MCD_OPC_Decode, 238, 18, 110, // Opcode: UABAvvv_4S
-/* 24818 */   MCD_OPC_FilterValue, 32, 75, 0, // Skip to: 24897
-/* 24822 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24825 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 24843
-/* 24829 */   MCD_OPC_CheckPredicate, 0, 53, 82, // Skip to: 45878
-/* 24833 */   MCD_OPC_CheckField, 21, 1, 1, 47, 82, // Skip to: 45878
-/* 24839 */   MCD_OPC_Decode, 197, 13, 94, // Opcode: SMLALvvv_2d2s
-/* 24843 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24861
-/* 24847 */   MCD_OPC_CheckPredicate, 0, 35, 82, // Skip to: 45878
-/* 24851 */   MCD_OPC_CheckField, 21, 1, 1, 29, 82, // Skip to: 45878
-/* 24857 */   MCD_OPC_Decode, 231, 19, 94, // Opcode: UMLALvvv_2d2s
-/* 24861 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 24879
-/* 24865 */   MCD_OPC_CheckPredicate, 0, 17, 82, // Skip to: 45878
-/* 24869 */   MCD_OPC_CheckField, 21, 1, 1, 11, 82, // Skip to: 45878
-/* 24875 */   MCD_OPC_Decode, 190, 13, 110, // Opcode: SMLAL2vvv_2d4s
-/* 24879 */   MCD_OPC_FilterValue, 3, 3, 82, // Skip to: 45878
-/* 24883 */   MCD_OPC_CheckPredicate, 0, 255, 81, // Skip to: 45878
-/* 24887 */   MCD_OPC_CheckField, 21, 1, 1, 249, 81, // Skip to: 45878
-/* 24893 */   MCD_OPC_Decode, 224, 19, 110, // Opcode: UMLAL2vvv_2d4s
-/* 24897 */   MCD_OPC_FilterValue, 33, 73, 0, // Skip to: 24974
-/* 24901 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24904 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 24921
-/* 24908 */   MCD_OPC_CheckPredicate, 0, 230, 81, // Skip to: 45878
-/* 24912 */   MCD_OPC_CheckField, 21, 1, 1, 224, 81, // Skip to: 45878
-/* 24918 */   MCD_OPC_Decode, 75, 78, // Opcode: ADDvvv_2S
-/* 24921 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 24939
-/* 24925 */   MCD_OPC_CheckPredicate, 0, 213, 81, // Skip to: 45878
-/* 24929 */   MCD_OPC_CheckField, 21, 1, 1, 207, 81, // Skip to: 45878
-/* 24935 */   MCD_OPC_Decode, 249, 17, 78, // Opcode: SUBvvv_2S
-/* 24939 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 24956
-/* 24943 */   MCD_OPC_CheckPredicate, 0, 195, 81, // Skip to: 45878
-/* 24947 */   MCD_OPC_CheckField, 21, 1, 1, 189, 81, // Skip to: 45878
-/* 24953 */   MCD_OPC_Decode, 77, 102, // Opcode: ADDvvv_4S
-/* 24956 */   MCD_OPC_FilterValue, 3, 182, 81, // Skip to: 45878
-/* 24960 */   MCD_OPC_CheckPredicate, 0, 178, 81, // Skip to: 45878
-/* 24964 */   MCD_OPC_CheckField, 21, 1, 1, 172, 81, // Skip to: 45878
-/* 24970 */   MCD_OPC_Decode, 251, 17, 102, // Opcode: SUBvvv_4S
-/* 24974 */   MCD_OPC_FilterValue, 34, 101, 0, // Skip to: 25079
-/* 24978 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 24981 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 25012
-/* 24985 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 24988 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 25000
-/* 24992 */   MCD_OPC_CheckPredicate, 0, 146, 81, // Skip to: 45878
-/* 24996 */   MCD_OPC_Decode, 174, 2, 79, // Opcode: CMGTvvi_2S
-/* 25000 */   MCD_OPC_FilterValue, 33, 138, 81, // Skip to: 45878
-/* 25004 */   MCD_OPC_CheckPredicate, 0, 134, 81, // Skip to: 45878
-/* 25008 */   MCD_OPC_Decode, 176, 6, 79, // Opcode: FRINTP_2s
-/* 25012 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25030
-/* 25016 */   MCD_OPC_CheckPredicate, 0, 122, 81, // Skip to: 45878
-/* 25020 */   MCD_OPC_CheckField, 16, 6, 32, 116, 81, // Skip to: 45878
-/* 25026 */   MCD_OPC_Decode, 158, 2, 79, // Opcode: CMGEvvi_2S
-/* 25030 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 25061
-/* 25034 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25037 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 25049
-/* 25041 */   MCD_OPC_CheckPredicate, 0, 97, 81, // Skip to: 45878
-/* 25045 */   MCD_OPC_Decode, 176, 2, 107, // Opcode: CMGTvvi_4S
-/* 25049 */   MCD_OPC_FilterValue, 33, 89, 81, // Skip to: 45878
-/* 25053 */   MCD_OPC_CheckPredicate, 0, 85, 81, // Skip to: 45878
-/* 25057 */   MCD_OPC_Decode, 177, 6, 107, // Opcode: FRINTP_4s
-/* 25061 */   MCD_OPC_FilterValue, 3, 77, 81, // Skip to: 45878
-/* 25065 */   MCD_OPC_CheckPredicate, 0, 73, 81, // Skip to: 45878
-/* 25069 */   MCD_OPC_CheckField, 16, 6, 32, 67, 81, // Skip to: 45878
-/* 25075 */   MCD_OPC_Decode, 160, 2, 107, // Opcode: CMGEvvi_4S
-/* 25079 */   MCD_OPC_FilterValue, 35, 75, 0, // Skip to: 25158
-/* 25083 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25086 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25104
-/* 25090 */   MCD_OPC_CheckPredicate, 0, 48, 81, // Skip to: 45878
-/* 25094 */   MCD_OPC_CheckField, 21, 1, 1, 42, 81, // Skip to: 45878
-/* 25100 */   MCD_OPC_Decode, 137, 3, 78, // Opcode: CMTSTvvv_2S
-/* 25104 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25122
-/* 25108 */   MCD_OPC_CheckPredicate, 0, 30, 81, // Skip to: 45878
-/* 25112 */   MCD_OPC_CheckField, 21, 1, 1, 24, 81, // Skip to: 45878
-/* 25118 */   MCD_OPC_Decode, 149, 2, 78, // Opcode: CMEQvvv_2S
-/* 25122 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 25140
-/* 25126 */   MCD_OPC_CheckPredicate, 0, 12, 81, // Skip to: 45878
-/* 25130 */   MCD_OPC_CheckField, 21, 1, 1, 6, 81, // Skip to: 45878
-/* 25136 */   MCD_OPC_Decode, 139, 3, 102, // Opcode: CMTSTvvv_4S
-/* 25140 */   MCD_OPC_FilterValue, 3, 254, 80, // Skip to: 45878
-/* 25144 */   MCD_OPC_CheckPredicate, 0, 250, 80, // Skip to: 45878
-/* 25148 */   MCD_OPC_CheckField, 21, 1, 1, 244, 80, // Skip to: 45878
-/* 25154 */   MCD_OPC_Decode, 151, 2, 102, // Opcode: CMEQvvv_4S
-/* 25158 */   MCD_OPC_FilterValue, 36, 39, 0, // Skip to: 25201
-/* 25162 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25165 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25183
-/* 25169 */   MCD_OPC_CheckPredicate, 0, 225, 80, // Skip to: 45878
-/* 25173 */   MCD_OPC_CheckField, 21, 1, 1, 219, 80, // Skip to: 45878
-/* 25179 */   MCD_OPC_Decode, 133, 14, 94, // Opcode: SQDMLALvvv_2d2s
-/* 25183 */   MCD_OPC_FilterValue, 2, 211, 80, // Skip to: 45878
-/* 25187 */   MCD_OPC_CheckPredicate, 0, 207, 80, // Skip to: 45878
-/* 25191 */   MCD_OPC_CheckField, 21, 1, 1, 201, 80, // Skip to: 45878
-/* 25197 */   MCD_OPC_Decode, 249, 13, 110, // Opcode: SQDMLAL2vvv_2d4s
-/* 25201 */   MCD_OPC_FilterValue, 37, 75, 0, // Skip to: 25280
-/* 25205 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25208 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25226
-/* 25212 */   MCD_OPC_CheckPredicate, 0, 182, 80, // Skip to: 45878
-/* 25216 */   MCD_OPC_CheckField, 21, 1, 1, 176, 80, // Skip to: 45878
-/* 25222 */   MCD_OPC_Decode, 246, 10, 98, // Opcode: MLAvvv_2S
-/* 25226 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25244
-/* 25230 */   MCD_OPC_CheckPredicate, 0, 164, 80, // Skip to: 45878
-/* 25234 */   MCD_OPC_CheckField, 21, 1, 1, 158, 80, // Skip to: 45878
-/* 25240 */   MCD_OPC_Decode, 128, 11, 98, // Opcode: MLSvvv_2S
-/* 25244 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 25262
-/* 25248 */   MCD_OPC_CheckPredicate, 0, 146, 80, // Skip to: 45878
-/* 25252 */   MCD_OPC_CheckField, 21, 1, 1, 140, 80, // Skip to: 45878
-/* 25258 */   MCD_OPC_Decode, 248, 10, 110, // Opcode: MLAvvv_4S
-/* 25262 */   MCD_OPC_FilterValue, 3, 132, 80, // Skip to: 45878
-/* 25266 */   MCD_OPC_CheckPredicate, 0, 128, 80, // Skip to: 45878
-/* 25270 */   MCD_OPC_CheckField, 21, 1, 1, 122, 80, // Skip to: 45878
-/* 25276 */   MCD_OPC_Decode, 130, 11, 110, // Opcode: MLSvvv_4S
-/* 25280 */   MCD_OPC_FilterValue, 38, 127, 0, // Skip to: 25411
-/* 25284 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25287 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 25318
-/* 25291 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25294 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 25306
-/* 25298 */   MCD_OPC_CheckPredicate, 0, 96, 80, // Skip to: 45878
-/* 25302 */   MCD_OPC_Decode, 142, 2, 79, // Opcode: CMEQvvi_2S
-/* 25306 */   MCD_OPC_FilterValue, 33, 88, 80, // Skip to: 45878
-/* 25310 */   MCD_OPC_CheckPredicate, 0, 84, 80, // Skip to: 45878
-/* 25314 */   MCD_OPC_Decode, 186, 6, 79, // Opcode: FRINTZ_2s
-/* 25318 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 25349
-/* 25322 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25325 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 25337
-/* 25329 */   MCD_OPC_CheckPredicate, 0, 65, 80, // Skip to: 45878
-/* 25333 */   MCD_OPC_Decode, 205, 2, 79, // Opcode: CMLEvvi_2S
-/* 25337 */   MCD_OPC_FilterValue, 33, 57, 80, // Skip to: 45878
-/* 25341 */   MCD_OPC_CheckPredicate, 0, 53, 80, // Skip to: 45878
-/* 25345 */   MCD_OPC_Decode, 161, 6, 79, // Opcode: FRINTI_2s
-/* 25349 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 25380
-/* 25353 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25356 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 25368
-/* 25360 */   MCD_OPC_CheckPredicate, 0, 34, 80, // Skip to: 45878
-/* 25364 */   MCD_OPC_Decode, 144, 2, 107, // Opcode: CMEQvvi_4S
-/* 25368 */   MCD_OPC_FilterValue, 33, 26, 80, // Skip to: 45878
-/* 25372 */   MCD_OPC_CheckPredicate, 0, 22, 80, // Skip to: 45878
-/* 25376 */   MCD_OPC_Decode, 187, 6, 107, // Opcode: FRINTZ_4s
-/* 25380 */   MCD_OPC_FilterValue, 3, 14, 80, // Skip to: 45878
-/* 25384 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25387 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 25399
-/* 25391 */   MCD_OPC_CheckPredicate, 0, 3, 80, // Skip to: 45878
-/* 25395 */   MCD_OPC_Decode, 207, 2, 107, // Opcode: CMLEvvi_4S
-/* 25399 */   MCD_OPC_FilterValue, 33, 251, 79, // Skip to: 45878
-/* 25403 */   MCD_OPC_CheckPredicate, 0, 247, 79, // Skip to: 45878
-/* 25407 */   MCD_OPC_Decode, 162, 6, 107, // Opcode: FRINTI_4s
-/* 25411 */   MCD_OPC_FilterValue, 39, 39, 0, // Skip to: 25454
-/* 25415 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25418 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25436
-/* 25422 */   MCD_OPC_CheckPredicate, 0, 228, 79, // Skip to: 45878
-/* 25426 */   MCD_OPC_CheckField, 21, 1, 1, 222, 79, // Skip to: 45878
-/* 25432 */   MCD_OPC_Decode, 159, 11, 78, // Opcode: MULvvv_2S
-/* 25436 */   MCD_OPC_FilterValue, 2, 214, 79, // Skip to: 45878
-/* 25440 */   MCD_OPC_CheckPredicate, 0, 210, 79, // Skip to: 45878
-/* 25444 */   MCD_OPC_CheckField, 21, 1, 1, 204, 79, // Skip to: 45878
-/* 25450 */   MCD_OPC_Decode, 161, 11, 102, // Opcode: MULvvv_4S
-/* 25454 */   MCD_OPC_FilterValue, 40, 75, 0, // Skip to: 25533
-/* 25458 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25461 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25479
-/* 25465 */   MCD_OPC_CheckPredicate, 0, 185, 79, // Skip to: 45878
-/* 25469 */   MCD_OPC_CheckField, 21, 1, 1, 179, 79, // Skip to: 45878
-/* 25475 */   MCD_OPC_Decode, 207, 13, 94, // Opcode: SMLSLvvv_2d2s
-/* 25479 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25497
-/* 25483 */   MCD_OPC_CheckPredicate, 0, 167, 79, // Skip to: 45878
-/* 25487 */   MCD_OPC_CheckField, 21, 1, 1, 161, 79, // Skip to: 45878
-/* 25493 */   MCD_OPC_Decode, 241, 19, 94, // Opcode: UMLSLvvv_2d2s
-/* 25497 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 25515
-/* 25501 */   MCD_OPC_CheckPredicate, 0, 149, 79, // Skip to: 45878
-/* 25505 */   MCD_OPC_CheckField, 21, 1, 1, 143, 79, // Skip to: 45878
-/* 25511 */   MCD_OPC_Decode, 200, 13, 110, // Opcode: SMLSL2vvv_2d4s
-/* 25515 */   MCD_OPC_FilterValue, 3, 135, 79, // Skip to: 45878
-/* 25519 */   MCD_OPC_CheckPredicate, 0, 131, 79, // Skip to: 45878
-/* 25523 */   MCD_OPC_CheckField, 21, 1, 1, 125, 79, // Skip to: 45878
-/* 25529 */   MCD_OPC_Decode, 234, 19, 110, // Opcode: UMLSL2vvv_2d4s
-/* 25533 */   MCD_OPC_FilterValue, 41, 75, 0, // Skip to: 25612
-/* 25537 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25540 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25558
-/* 25544 */   MCD_OPC_CheckPredicate, 0, 106, 79, // Skip to: 45878
-/* 25548 */   MCD_OPC_CheckField, 21, 1, 1, 100, 79, // Skip to: 45878
-/* 25554 */   MCD_OPC_Decode, 156, 13, 78, // Opcode: SMAXPvvv_2S
-/* 25558 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25576
-/* 25562 */   MCD_OPC_CheckPredicate, 0, 88, 79, // Skip to: 45878
-/* 25566 */   MCD_OPC_CheckField, 21, 1, 1, 82, 79, // Skip to: 45878
-/* 25572 */   MCD_OPC_Decode, 191, 19, 78, // Opcode: UMAXPvvv_2S
-/* 25576 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 25594
-/* 25580 */   MCD_OPC_CheckPredicate, 0, 70, 79, // Skip to: 45878
-/* 25584 */   MCD_OPC_CheckField, 21, 1, 1, 64, 79, // Skip to: 45878
-/* 25590 */   MCD_OPC_Decode, 158, 13, 102, // Opcode: SMAXPvvv_4S
-/* 25594 */   MCD_OPC_FilterValue, 3, 56, 79, // Skip to: 45878
-/* 25598 */   MCD_OPC_CheckPredicate, 0, 52, 79, // Skip to: 45878
-/* 25602 */   MCD_OPC_CheckField, 21, 1, 1, 46, 79, // Skip to: 45878
-/* 25608 */   MCD_OPC_Decode, 193, 19, 102, // Opcode: UMAXPvvv_4S
-/* 25612 */   MCD_OPC_FilterValue, 42, 155, 0, // Skip to: 25771
-/* 25616 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25619 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 25650
-/* 25623 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25626 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 25638
-/* 25630 */   MCD_OPC_CheckPredicate, 0, 20, 79, // Skip to: 45878
-/* 25634 */   MCD_OPC_Decode, 213, 2, 79, // Opcode: CMLTvvi_2S
-/* 25638 */   MCD_OPC_FilterValue, 2, 12, 79, // Skip to: 45878
-/* 25642 */   MCD_OPC_CheckPredicate, 0, 8, 79, // Skip to: 45878
-/* 25646 */   MCD_OPC_Decode, 215, 2, 107, // Opcode: CMLTvvi_4S
-/* 25650 */   MCD_OPC_FilterValue, 33, 51, 0, // Skip to: 25705
-/* 25654 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25657 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 25669
-/* 25661 */   MCD_OPC_CheckPredicate, 0, 245, 78, // Skip to: 45878
-/* 25665 */   MCD_OPC_Decode, 230, 4, 79, // Opcode: FCVTPS_2s
-/* 25669 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 25681
-/* 25673 */   MCD_OPC_CheckPredicate, 0, 233, 78, // Skip to: 45878
-/* 25677 */   MCD_OPC_Decode, 239, 4, 79, // Opcode: FCVTPU_2s
-/* 25681 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 25693
-/* 25685 */   MCD_OPC_CheckPredicate, 0, 221, 78, // Skip to: 45878
-/* 25689 */   MCD_OPC_Decode, 231, 4, 107, // Opcode: FCVTPS_4s
-/* 25693 */   MCD_OPC_FilterValue, 3, 213, 78, // Skip to: 45878
-/* 25697 */   MCD_OPC_CheckPredicate, 0, 209, 78, // Skip to: 45878
-/* 25701 */   MCD_OPC_Decode, 240, 4, 107, // Opcode: FCVTPU_4s
-/* 25705 */   MCD_OPC_FilterValue, 48, 29, 0, // Skip to: 25738
-/* 25709 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25712 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25725
-/* 25716 */   MCD_OPC_CheckPredicate, 0, 190, 78, // Skip to: 45878
-/* 25720 */   MCD_OPC_Decode, 165, 13, 129, 1, // Opcode: SMAXV_1s4s
-/* 25725 */   MCD_OPC_FilterValue, 3, 181, 78, // Skip to: 45878
-/* 25729 */   MCD_OPC_CheckPredicate, 0, 177, 78, // Skip to: 45878
-/* 25733 */   MCD_OPC_Decode, 200, 19, 129, 1, // Opcode: UMAXV_1s4s
-/* 25738 */   MCD_OPC_FilterValue, 49, 168, 78, // Skip to: 45878
-/* 25742 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25745 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25758
-/* 25749 */   MCD_OPC_CheckPredicate, 0, 157, 78, // Skip to: 45878
-/* 25753 */   MCD_OPC_Decode, 183, 13, 129, 1, // Opcode: SMINV_1s4s
-/* 25758 */   MCD_OPC_FilterValue, 3, 148, 78, // Skip to: 45878
-/* 25762 */   MCD_OPC_CheckPredicate, 0, 144, 78, // Skip to: 45878
-/* 25766 */   MCD_OPC_Decode, 217, 19, 129, 1, // Opcode: UMINV_1s4s
-/* 25771 */   MCD_OPC_FilterValue, 43, 75, 0, // Skip to: 25850
-/* 25775 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25778 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25796
-/* 25782 */   MCD_OPC_CheckPredicate, 0, 124, 78, // Skip to: 45878
-/* 25786 */   MCD_OPC_CheckField, 21, 1, 1, 118, 78, // Skip to: 45878
-/* 25792 */   MCD_OPC_Decode, 174, 13, 78, // Opcode: SMINPvvv_2S
-/* 25796 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25814
-/* 25800 */   MCD_OPC_CheckPredicate, 0, 106, 78, // Skip to: 45878
-/* 25804 */   MCD_OPC_CheckField, 21, 1, 1, 100, 78, // Skip to: 45878
-/* 25810 */   MCD_OPC_Decode, 208, 19, 78, // Opcode: UMINPvvv_2S
-/* 25814 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 25832
-/* 25818 */   MCD_OPC_CheckPredicate, 0, 88, 78, // Skip to: 45878
-/* 25822 */   MCD_OPC_CheckField, 21, 1, 1, 82, 78, // Skip to: 45878
-/* 25828 */   MCD_OPC_Decode, 176, 13, 102, // Opcode: SMINPvvv_4S
-/* 25832 */   MCD_OPC_FilterValue, 3, 74, 78, // Skip to: 45878
-/* 25836 */   MCD_OPC_CheckPredicate, 0, 70, 78, // Skip to: 45878
-/* 25840 */   MCD_OPC_CheckField, 21, 1, 1, 64, 78, // Skip to: 45878
-/* 25846 */   MCD_OPC_Decode, 210, 19, 102, // Opcode: UMINPvvv_4S
-/* 25850 */   MCD_OPC_FilterValue, 44, 39, 0, // Skip to: 25893
-/* 25854 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25857 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25875
-/* 25861 */   MCD_OPC_CheckPredicate, 0, 45, 78, // Skip to: 45878
-/* 25865 */   MCD_OPC_CheckField, 21, 1, 1, 39, 78, // Skip to: 45878
-/* 25871 */   MCD_OPC_Decode, 147, 14, 94, // Opcode: SQDMLSLvvv_2d2s
-/* 25875 */   MCD_OPC_FilterValue, 2, 31, 78, // Skip to: 45878
-/* 25879 */   MCD_OPC_CheckPredicate, 0, 27, 78, // Skip to: 45878
-/* 25883 */   MCD_OPC_CheckField, 21, 1, 1, 21, 78, // Skip to: 45878
-/* 25889 */   MCD_OPC_Decode, 135, 14, 110, // Opcode: SQDMLSL2vvv_2d4s
-/* 25893 */   MCD_OPC_FilterValue, 45, 75, 0, // Skip to: 25972
-/* 25897 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25900 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 25918
-/* 25904 */   MCD_OPC_CheckPredicate, 0, 2, 78, // Skip to: 45878
-/* 25908 */   MCD_OPC_CheckField, 21, 1, 1, 252, 77, // Skip to: 45878
-/* 25914 */   MCD_OPC_Decode, 159, 14, 78, // Opcode: SQDMULHvvv_2S
-/* 25918 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 25936
-/* 25922 */   MCD_OPC_CheckPredicate, 0, 240, 77, // Skip to: 45878
-/* 25926 */   MCD_OPC_CheckField, 21, 1, 1, 234, 77, // Skip to: 45878
-/* 25932 */   MCD_OPC_Decode, 198, 14, 78, // Opcode: SQRDMULHvvv_2S
-/* 25936 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 25954
-/* 25940 */   MCD_OPC_CheckPredicate, 0, 222, 77, // Skip to: 45878
-/* 25944 */   MCD_OPC_CheckField, 21, 1, 1, 216, 77, // Skip to: 45878
-/* 25950 */   MCD_OPC_Decode, 161, 14, 102, // Opcode: SQDMULHvvv_4S
-/* 25954 */   MCD_OPC_FilterValue, 3, 208, 77, // Skip to: 45878
-/* 25958 */   MCD_OPC_CheckPredicate, 0, 204, 77, // Skip to: 45878
-/* 25962 */   MCD_OPC_CheckField, 21, 1, 1, 198, 77, // Skip to: 45878
-/* 25968 */   MCD_OPC_Decode, 200, 14, 102, // Opcode: SQRDMULHvvv_4S
-/* 25972 */   MCD_OPC_FilterValue, 46, 137, 0, // Skip to: 26113
-/* 25976 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 25979 */   MCD_OPC_FilterValue, 0, 26, 0, // Skip to: 26009
-/* 25983 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 25986 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 25997
-/* 25990 */   MCD_OPC_CheckPredicate, 0, 172, 77, // Skip to: 45878
-/* 25994 */   MCD_OPC_Decode, 21, 79, // Opcode: ABS2s
-/* 25997 */   MCD_OPC_FilterValue, 33, 165, 77, // Skip to: 45878
-/* 26001 */   MCD_OPC_CheckPredicate, 0, 161, 77, // Skip to: 45878
-/* 26005 */   MCD_OPC_Decode, 251, 4, 79, // Opcode: FCVTZS_2s
-/* 26009 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 26040
-/* 26013 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26016 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26028
-/* 26020 */   MCD_OPC_CheckPredicate, 0, 142, 77, // Skip to: 45878
-/* 26024 */   MCD_OPC_Decode, 180, 11, 79, // Opcode: NEG2s
-/* 26028 */   MCD_OPC_FilterValue, 33, 134, 77, // Skip to: 45878
-/* 26032 */   MCD_OPC_CheckPredicate, 0, 130, 77, // Skip to: 45878
-/* 26036 */   MCD_OPC_Decode, 138, 5, 79, // Opcode: FCVTZU_2s
-/* 26040 */   MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 26082
-/* 26044 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26047 */   MCD_OPC_FilterValue, 32, 7, 0, // Skip to: 26058
-/* 26051 */   MCD_OPC_CheckPredicate, 0, 111, 77, // Skip to: 45878
-/* 26055 */   MCD_OPC_Decode, 23, 107, // Opcode: ABS4s
-/* 26058 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 26070
-/* 26062 */   MCD_OPC_CheckPredicate, 0, 100, 77, // Skip to: 45878
-/* 26066 */   MCD_OPC_Decode, 252, 4, 107, // Opcode: FCVTZS_4s
-/* 26070 */   MCD_OPC_FilterValue, 49, 92, 77, // Skip to: 45878
-/* 26074 */   MCD_OPC_CheckPredicate, 0, 88, 77, // Skip to: 45878
-/* 26078 */   MCD_OPC_Decode, 71, 129, 1, // Opcode: ADDV_1s4s
-/* 26082 */   MCD_OPC_FilterValue, 3, 80, 77, // Skip to: 45878
-/* 26086 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26089 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26101
-/* 26093 */   MCD_OPC_CheckPredicate, 0, 69, 77, // Skip to: 45878
-/* 26097 */   MCD_OPC_Decode, 182, 11, 107, // Opcode: NEG4s
-/* 26101 */   MCD_OPC_FilterValue, 33, 61, 77, // Skip to: 45878
-/* 26105 */   MCD_OPC_CheckPredicate, 0, 57, 77, // Skip to: 45878
-/* 26109 */   MCD_OPC_Decode, 139, 5, 107, // Opcode: FCVTZU_4s
-/* 26113 */   MCD_OPC_FilterValue, 47, 37, 0, // Skip to: 26154
-/* 26117 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26120 */   MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 26137
-/* 26124 */   MCD_OPC_CheckPredicate, 0, 38, 77, // Skip to: 45878
-/* 26128 */   MCD_OPC_CheckField, 21, 1, 1, 32, 77, // Skip to: 45878
-/* 26134 */   MCD_OPC_Decode, 39, 78, // Opcode: ADDP_2S
-/* 26137 */   MCD_OPC_FilterValue, 2, 25, 77, // Skip to: 45878
-/* 26141 */   MCD_OPC_CheckPredicate, 0, 21, 77, // Skip to: 45878
-/* 26145 */   MCD_OPC_CheckField, 21, 1, 1, 15, 77, // Skip to: 45878
-/* 26151 */   MCD_OPC_Decode, 41, 102, // Opcode: ADDP_4S
-/* 26154 */   MCD_OPC_FilterValue, 48, 75, 0, // Skip to: 26233
-/* 26158 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26161 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26179
-/* 26165 */   MCD_OPC_CheckPredicate, 0, 253, 76, // Skip to: 45878
-/* 26169 */   MCD_OPC_CheckField, 21, 1, 1, 247, 76, // Skip to: 45878
-/* 26175 */   MCD_OPC_Decode, 224, 13, 74, // Opcode: SMULLvvv_2d2s
-/* 26179 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 26197
-/* 26183 */   MCD_OPC_CheckPredicate, 0, 235, 76, // Skip to: 45878
-/* 26187 */   MCD_OPC_CheckField, 21, 1, 1, 229, 76, // Skip to: 45878
-/* 26193 */   MCD_OPC_Decode, 129, 20, 74, // Opcode: UMULLvvv_2d2s
-/* 26197 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 26215
-/* 26201 */   MCD_OPC_CheckPredicate, 0, 217, 76, // Skip to: 45878
-/* 26205 */   MCD_OPC_CheckField, 21, 1, 1, 211, 76, // Skip to: 45878
-/* 26211 */   MCD_OPC_Decode, 217, 13, 102, // Opcode: SMULL2vvv_2d4s
-/* 26215 */   MCD_OPC_FilterValue, 3, 203, 76, // Skip to: 45878
-/* 26219 */   MCD_OPC_CheckPredicate, 0, 199, 76, // Skip to: 45878
-/* 26223 */   MCD_OPC_CheckField, 21, 1, 1, 193, 76, // Skip to: 45878
-/* 26229 */   MCD_OPC_Decode, 250, 19, 102, // Opcode: UMULL2vvv_2d4s
-/* 26233 */   MCD_OPC_FilterValue, 49, 75, 0, // Skip to: 26312
-/* 26237 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26240 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26258
-/* 26244 */   MCD_OPC_CheckPredicate, 0, 174, 76, // Skip to: 45878
-/* 26248 */   MCD_OPC_CheckField, 21, 1, 1, 168, 76, // Skip to: 45878
-/* 26254 */   MCD_OPC_Decode, 196, 5, 78, // Opcode: FMINNMvvv_2S
-/* 26258 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 26276
-/* 26262 */   MCD_OPC_CheckPredicate, 0, 156, 76, // Skip to: 45878
-/* 26266 */   MCD_OPC_CheckField, 21, 1, 1, 150, 76, // Skip to: 45878
-/* 26272 */   MCD_OPC_Decode, 190, 5, 78, // Opcode: FMINNMPvvv_2S
-/* 26276 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 26294
-/* 26280 */   MCD_OPC_CheckPredicate, 0, 138, 76, // Skip to: 45878
-/* 26284 */   MCD_OPC_CheckField, 21, 1, 1, 132, 76, // Skip to: 45878
-/* 26290 */   MCD_OPC_Decode, 197, 5, 102, // Opcode: FMINNMvvv_4S
-/* 26294 */   MCD_OPC_FilterValue, 3, 124, 76, // Skip to: 45878
-/* 26298 */   MCD_OPC_CheckPredicate, 0, 120, 76, // Skip to: 45878
-/* 26302 */   MCD_OPC_CheckField, 21, 1, 1, 114, 76, // Skip to: 45878
-/* 26308 */   MCD_OPC_Decode, 191, 5, 102, // Opcode: FMINNMPvvv_4S
-/* 26312 */   MCD_OPC_FilterValue, 50, 140, 0, // Skip to: 26456
-/* 26316 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26319 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 26350
-/* 26323 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26326 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26338
-/* 26330 */   MCD_OPC_CheckPredicate, 0, 88, 76, // Skip to: 45878
-/* 26334 */   MCD_OPC_Decode, 142, 4, 79, // Opcode: FCMGTvvi_2S
-/* 26338 */   MCD_OPC_FilterValue, 33, 80, 76, // Skip to: 45878
-/* 26342 */   MCD_OPC_CheckPredicate, 0, 76, 76, // Skip to: 45878
-/* 26346 */   MCD_OPC_Decode, 214, 20, 79, // Opcode: URECPE2s
-/* 26350 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 26381
-/* 26354 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26357 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26369
-/* 26361 */   MCD_OPC_CheckPredicate, 0, 57, 76, // Skip to: 45878
-/* 26365 */   MCD_OPC_Decode, 132, 4, 79, // Opcode: FCMGEvvi_2S
-/* 26369 */   MCD_OPC_FilterValue, 33, 49, 76, // Skip to: 45878
-/* 26373 */   MCD_OPC_CheckPredicate, 0, 45, 76, // Skip to: 45878
-/* 26377 */   MCD_OPC_Decode, 238, 20, 79, // Opcode: URSQRTE2s
-/* 26381 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 26412
-/* 26385 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26388 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26400
-/* 26392 */   MCD_OPC_CheckPredicate, 0, 26, 76, // Skip to: 45878
-/* 26396 */   MCD_OPC_Decode, 143, 4, 107, // Opcode: FCMGTvvi_4S
-/* 26400 */   MCD_OPC_FilterValue, 33, 18, 76, // Skip to: 45878
-/* 26404 */   MCD_OPC_CheckPredicate, 0, 14, 76, // Skip to: 45878
-/* 26408 */   MCD_OPC_Decode, 215, 20, 107, // Opcode: URECPE4s
-/* 26412 */   MCD_OPC_FilterValue, 3, 6, 76, // Skip to: 45878
-/* 26416 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26419 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26431
-/* 26423 */   MCD_OPC_CheckPredicate, 0, 251, 75, // Skip to: 45878
-/* 26427 */   MCD_OPC_Decode, 133, 4, 107, // Opcode: FCMGEvvi_4S
-/* 26431 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 26443
-/* 26435 */   MCD_OPC_CheckPredicate, 0, 239, 75, // Skip to: 45878
-/* 26439 */   MCD_OPC_Decode, 239, 20, 107, // Opcode: URSQRTE4s
-/* 26443 */   MCD_OPC_FilterValue, 48, 231, 75, // Skip to: 45878
-/* 26447 */   MCD_OPC_CheckPredicate, 0, 227, 75, // Skip to: 45878
-/* 26451 */   MCD_OPC_Decode, 192, 5, 129, 1, // Opcode: FMINNMV_1s4s
-/* 26456 */   MCD_OPC_FilterValue, 51, 39, 0, // Skip to: 26499
-/* 26460 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26463 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26481
-/* 26467 */   MCD_OPC_CheckPredicate, 0, 207, 75, // Skip to: 45878
-/* 26471 */   MCD_OPC_CheckField, 21, 1, 1, 201, 75, // Skip to: 45878
-/* 26477 */   MCD_OPC_Decode, 223, 5, 98, // Opcode: FMLSvvv_2S
-/* 26481 */   MCD_OPC_FilterValue, 2, 193, 75, // Skip to: 45878
-/* 26485 */   MCD_OPC_CheckPredicate, 0, 189, 75, // Skip to: 45878
-/* 26489 */   MCD_OPC_CheckField, 21, 1, 1, 183, 75, // Skip to: 45878
-/* 26495 */   MCD_OPC_Decode, 224, 5, 110, // Opcode: FMLSvvv_4S
-/* 26499 */   MCD_OPC_FilterValue, 52, 39, 0, // Skip to: 26542
-/* 26503 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26506 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26524
-/* 26510 */   MCD_OPC_CheckPredicate, 0, 164, 75, // Skip to: 45878
-/* 26514 */   MCD_OPC_CheckField, 21, 1, 1, 158, 75, // Skip to: 45878
-/* 26520 */   MCD_OPC_Decode, 175, 14, 74, // Opcode: SQDMULLvvv_2d2s
-/* 26524 */   MCD_OPC_FilterValue, 2, 150, 75, // Skip to: 45878
-/* 26528 */   MCD_OPC_CheckPredicate, 0, 146, 75, // Skip to: 45878
-/* 26532 */   MCD_OPC_CheckField, 21, 1, 1, 140, 75, // Skip to: 45878
-/* 26538 */   MCD_OPC_Decode, 163, 14, 102, // Opcode: SQDMULL2vvv_2d4s
-/* 26542 */   MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 26621
-/* 26546 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26549 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26567
-/* 26553 */   MCD_OPC_CheckPredicate, 0, 121, 75, // Skip to: 45878
-/* 26557 */   MCD_OPC_CheckField, 21, 1, 1, 115, 75, // Skip to: 45878
-/* 26563 */   MCD_OPC_Decode, 208, 6, 78, // Opcode: FSUBvvv_2S
-/* 26567 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 26585
-/* 26571 */   MCD_OPC_CheckPredicate, 0, 103, 75, // Skip to: 45878
-/* 26575 */   MCD_OPC_CheckField, 21, 1, 1, 97, 75, // Skip to: 45878
-/* 26581 */   MCD_OPC_Decode, 214, 3, 78, // Opcode: FABDvvv_2S
-/* 26585 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 26603
-/* 26589 */   MCD_OPC_CheckPredicate, 0, 85, 75, // Skip to: 45878
-/* 26593 */   MCD_OPC_CheckField, 21, 1, 1, 79, 75, // Skip to: 45878
-/* 26599 */   MCD_OPC_Decode, 209, 6, 102, // Opcode: FSUBvvv_4S
-/* 26603 */   MCD_OPC_FilterValue, 3, 71, 75, // Skip to: 45878
-/* 26607 */   MCD_OPC_CheckPredicate, 0, 67, 75, // Skip to: 45878
-/* 26611 */   MCD_OPC_CheckField, 21, 1, 1, 61, 75, // Skip to: 45878
-/* 26617 */   MCD_OPC_Decode, 215, 3, 102, // Opcode: FABDvvv_4S
-/* 26621 */   MCD_OPC_FilterValue, 54, 127, 0, // Skip to: 26752
-/* 26625 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26628 */   MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 26659
-/* 26632 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26635 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26647
-/* 26639 */   MCD_OPC_CheckPredicate, 0, 35, 75, // Skip to: 45878
-/* 26643 */   MCD_OPC_Decode, 250, 3, 79, // Opcode: FCMEQvvi_2S
-/* 26647 */   MCD_OPC_FilterValue, 33, 27, 75, // Skip to: 45878
-/* 26651 */   MCD_OPC_CheckPredicate, 0, 23, 75, // Skip to: 45878
-/* 26655 */   MCD_OPC_Decode, 144, 6, 79, // Opcode: FRECPE_2s
-/* 26659 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 26690
-/* 26663 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26666 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26678
-/* 26670 */   MCD_OPC_CheckPredicate, 0, 4, 75, // Skip to: 45878
-/* 26674 */   MCD_OPC_Decode, 150, 4, 79, // Opcode: FCMLEvvi_2S
-/* 26678 */   MCD_OPC_FilterValue, 33, 252, 74, // Skip to: 45878
-/* 26682 */   MCD_OPC_CheckPredicate, 0, 248, 74, // Skip to: 45878
-/* 26686 */   MCD_OPC_Decode, 191, 6, 79, // Opcode: FRSQRTE_2s
-/* 26690 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 26721
-/* 26694 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26697 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26709
-/* 26701 */   MCD_OPC_CheckPredicate, 0, 229, 74, // Skip to: 45878
-/* 26705 */   MCD_OPC_Decode, 251, 3, 107, // Opcode: FCMEQvvi_4S
-/* 26709 */   MCD_OPC_FilterValue, 33, 221, 74, // Skip to: 45878
-/* 26713 */   MCD_OPC_CheckPredicate, 0, 217, 74, // Skip to: 45878
-/* 26717 */   MCD_OPC_Decode, 145, 6, 107, // Opcode: FRECPE_4s
-/* 26721 */   MCD_OPC_FilterValue, 3, 209, 74, // Skip to: 45878
-/* 26725 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26728 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 26740
-/* 26732 */   MCD_OPC_CheckPredicate, 0, 198, 74, // Skip to: 45878
-/* 26736 */   MCD_OPC_Decode, 151, 4, 107, // Opcode: FCMLEvvi_4S
-/* 26740 */   MCD_OPC_FilterValue, 33, 190, 74, // Skip to: 45878
-/* 26744 */   MCD_OPC_CheckPredicate, 0, 186, 74, // Skip to: 45878
-/* 26748 */   MCD_OPC_Decode, 192, 6, 107, // Opcode: FRSQRTE_4s
-/* 26752 */   MCD_OPC_FilterValue, 57, 39, 0, // Skip to: 26795
-/* 26756 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26759 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 26777
-/* 26763 */   MCD_OPC_CheckPredicate, 0, 167, 74, // Skip to: 45878
-/* 26767 */   MCD_OPC_CheckField, 21, 1, 1, 161, 74, // Skip to: 45878
-/* 26773 */   MCD_OPC_Decode, 145, 4, 78, // Opcode: FCMGTvvv_2S
-/* 26777 */   MCD_OPC_FilterValue, 3, 153, 74, // Skip to: 45878
-/* 26781 */   MCD_OPC_CheckPredicate, 0, 149, 74, // Skip to: 45878
-/* 26785 */   MCD_OPC_CheckField, 21, 1, 1, 143, 74, // Skip to: 45878
-/* 26791 */   MCD_OPC_Decode, 146, 4, 102, // Opcode: FCMGTvvv_4S
-/* 26795 */   MCD_OPC_FilterValue, 58, 39, 0, // Skip to: 26838
-/* 26799 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26802 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26820
-/* 26806 */   MCD_OPC_CheckPredicate, 0, 124, 74, // Skip to: 45878
-/* 26810 */   MCD_OPC_CheckField, 16, 6, 32, 118, 74, // Skip to: 45878
-/* 26816 */   MCD_OPC_Decode, 155, 4, 79, // Opcode: FCMLTvvi_2S
-/* 26820 */   MCD_OPC_FilterValue, 2, 110, 74, // Skip to: 45878
-/* 26824 */   MCD_OPC_CheckPredicate, 0, 106, 74, // Skip to: 45878
-/* 26828 */   MCD_OPC_CheckField, 16, 6, 32, 100, 74, // Skip to: 45878
-/* 26834 */   MCD_OPC_Decode, 156, 4, 107, // Opcode: FCMLTvvi_4S
-/* 26838 */   MCD_OPC_FilterValue, 59, 39, 0, // Skip to: 26881
-/* 26842 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26845 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 26863
-/* 26849 */   MCD_OPC_CheckPredicate, 0, 81, 74, // Skip to: 45878
-/* 26853 */   MCD_OPC_CheckField, 21, 1, 1, 75, 74, // Skip to: 45878
-/* 26859 */   MCD_OPC_Decode, 229, 3, 78, // Opcode: FACGTvvv_2S
-/* 26863 */   MCD_OPC_FilterValue, 3, 67, 74, // Skip to: 45878
-/* 26867 */   MCD_OPC_CheckPredicate, 0, 63, 74, // Skip to: 45878
-/* 26871 */   MCD_OPC_CheckField, 21, 1, 1, 57, 74, // Skip to: 45878
-/* 26877 */   MCD_OPC_Decode, 230, 3, 102, // Opcode: FACGTvvv_4S
-/* 26881 */   MCD_OPC_FilterValue, 61, 75, 0, // Skip to: 26960
-/* 26885 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26888 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26906
-/* 26892 */   MCD_OPC_CheckPredicate, 0, 38, 74, // Skip to: 45878
-/* 26896 */   MCD_OPC_CheckField, 21, 1, 1, 32, 74, // Skip to: 45878
-/* 26902 */   MCD_OPC_Decode, 207, 5, 78, // Opcode: FMINvvv_2S
-/* 26906 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 26924
-/* 26910 */   MCD_OPC_CheckPredicate, 0, 20, 74, // Skip to: 45878
-/* 26914 */   MCD_OPC_CheckField, 21, 1, 1, 14, 74, // Skip to: 45878
-/* 26920 */   MCD_OPC_Decode, 201, 5, 78, // Opcode: FMINPvvv_2S
-/* 26924 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 26942
-/* 26928 */   MCD_OPC_CheckPredicate, 0, 2, 74, // Skip to: 45878
-/* 26932 */   MCD_OPC_CheckField, 21, 1, 1, 252, 73, // Skip to: 45878
-/* 26938 */   MCD_OPC_Decode, 208, 5, 102, // Opcode: FMINvvv_4S
-/* 26942 */   MCD_OPC_FilterValue, 3, 244, 73, // Skip to: 45878
-/* 26946 */   MCD_OPC_CheckPredicate, 0, 240, 73, // Skip to: 45878
-/* 26950 */   MCD_OPC_CheckField, 21, 1, 1, 234, 73, // Skip to: 45878
-/* 26956 */   MCD_OPC_Decode, 202, 5, 102, // Opcode: FMINPvvv_4S
-/* 26960 */   MCD_OPC_FilterValue, 62, 114, 0, // Skip to: 27078
-/* 26964 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 26967 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 26985
-/* 26971 */   MCD_OPC_CheckPredicate, 0, 215, 73, // Skip to: 45878
-/* 26975 */   MCD_OPC_CheckField, 16, 6, 32, 209, 73, // Skip to: 45878
-/* 26981 */   MCD_OPC_Decode, 217, 3, 79, // Opcode: FABS2s
-/* 26985 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 27016
-/* 26989 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 26992 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 27004
-/* 26996 */   MCD_OPC_CheckPredicate, 0, 190, 73, // Skip to: 45878
-/* 27000 */   MCD_OPC_Decode, 133, 6, 79, // Opcode: FNEG2s
-/* 27004 */   MCD_OPC_FilterValue, 33, 182, 73, // Skip to: 45878
-/* 27008 */   MCD_OPC_CheckPredicate, 0, 178, 73, // Skip to: 45878
-/* 27012 */   MCD_OPC_Decode, 201, 6, 79, // Opcode: FSQRT_2s
-/* 27016 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27034
-/* 27020 */   MCD_OPC_CheckPredicate, 0, 166, 73, // Skip to: 45878
-/* 27024 */   MCD_OPC_CheckField, 16, 6, 32, 160, 73, // Skip to: 45878
-/* 27030 */   MCD_OPC_Decode, 218, 3, 107, // Opcode: FABS4s
-/* 27034 */   MCD_OPC_FilterValue, 3, 152, 73, // Skip to: 45878
-/* 27038 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 27041 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 27053
-/* 27045 */   MCD_OPC_CheckPredicate, 0, 141, 73, // Skip to: 45878
-/* 27049 */   MCD_OPC_Decode, 134, 6, 107, // Opcode: FNEG4s
-/* 27053 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 27065
-/* 27057 */   MCD_OPC_CheckPredicate, 0, 129, 73, // Skip to: 45878
-/* 27061 */   MCD_OPC_Decode, 202, 6, 107, // Opcode: FSQRT_4s
-/* 27065 */   MCD_OPC_FilterValue, 48, 121, 73, // Skip to: 45878
-/* 27069 */   MCD_OPC_CheckPredicate, 0, 117, 73, // Skip to: 45878
-/* 27073 */   MCD_OPC_Decode, 203, 5, 129, 1, // Opcode: FMINV_1s4s
-/* 27078 */   MCD_OPC_FilterValue, 63, 108, 73, // Skip to: 45878
-/* 27082 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27085 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 27103
-/* 27089 */   MCD_OPC_CheckPredicate, 0, 97, 73, // Skip to: 45878
-/* 27093 */   MCD_OPC_CheckField, 21, 1, 1, 91, 73, // Skip to: 45878
-/* 27099 */   MCD_OPC_Decode, 198, 6, 78, // Opcode: FRSQRTSvvv_2S
-/* 27103 */   MCD_OPC_FilterValue, 2, 83, 73, // Skip to: 45878
-/* 27107 */   MCD_OPC_CheckPredicate, 0, 79, 73, // Skip to: 45878
-/* 27111 */   MCD_OPC_CheckField, 21, 1, 1, 73, 73, // Skip to: 45878
-/* 27117 */   MCD_OPC_Decode, 199, 6, 102, // Opcode: FRSQRTSvvv_4S
-/* 27121 */   MCD_OPC_FilterValue, 11, 195, 5, // Skip to: 28600
-/* 27125 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 27128 */   MCD_OPC_FilterValue, 3, 39, 0, // Skip to: 27171
-/* 27132 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27135 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27153
-/* 27139 */   MCD_OPC_CheckPredicate, 0, 47, 73, // Skip to: 45878
-/* 27143 */   MCD_OPC_CheckField, 21, 1, 1, 41, 73, // Skip to: 45878
-/* 27149 */   MCD_OPC_Decode, 243, 13, 102, // Opcode: SQADDvvv_2D
-/* 27153 */   MCD_OPC_FilterValue, 3, 33, 73, // Skip to: 45878
-/* 27157 */   MCD_OPC_CheckPredicate, 0, 29, 73, // Skip to: 45878
-/* 27161 */   MCD_OPC_CheckField, 21, 1, 1, 23, 73, // Skip to: 45878
-/* 27167 */   MCD_OPC_Decode, 137, 20, 102, // Opcode: UQADDvvv_2D
-/* 27171 */   MCD_OPC_FilterValue, 6, 20, 0, // Skip to: 27195
-/* 27175 */   MCD_OPC_CheckPredicate, 0, 11, 73, // Skip to: 45878
-/* 27179 */   MCD_OPC_CheckField, 29, 3, 2, 5, 73, // Skip to: 45878
-/* 27185 */   MCD_OPC_CheckField, 21, 1, 0, 255, 72, // Skip to: 45878
-/* 27191 */   MCD_OPC_Decode, 178, 21, 102, // Opcode: UZP1vvv_2d
-/* 27195 */   MCD_OPC_FilterValue, 7, 75, 0, // Skip to: 27274
-/* 27199 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27202 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 27220
-/* 27206 */   MCD_OPC_CheckPredicate, 0, 236, 72, // Skip to: 45878
-/* 27210 */   MCD_OPC_CheckField, 21, 1, 1, 230, 72, // Skip to: 45878
-/* 27216 */   MCD_OPC_Decode, 189, 11, 78, // Opcode: ORNvvv_8B
-/* 27220 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 27238
-/* 27224 */   MCD_OPC_CheckPredicate, 0, 218, 72, // Skip to: 45878
-/* 27228 */   MCD_OPC_CheckField, 21, 1, 1, 212, 72, // Skip to: 45878
-/* 27234 */   MCD_OPC_Decode, 226, 1, 98, // Opcode: BIFvvv_8B
-/* 27238 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27256
-/* 27242 */   MCD_OPC_CheckPredicate, 0, 200, 72, // Skip to: 45878
-/* 27246 */   MCD_OPC_CheckField, 21, 1, 1, 194, 72, // Skip to: 45878
-/* 27252 */   MCD_OPC_Decode, 188, 11, 102, // Opcode: ORNvvv_16B
-/* 27256 */   MCD_OPC_FilterValue, 3, 186, 72, // Skip to: 45878
-/* 27260 */   MCD_OPC_CheckPredicate, 0, 182, 72, // Skip to: 45878
-/* 27264 */   MCD_OPC_CheckField, 21, 1, 1, 176, 72, // Skip to: 45878
-/* 27270 */   MCD_OPC_Decode, 225, 1, 110, // Opcode: BIFvvv_16B
-/* 27274 */   MCD_OPC_FilterValue, 10, 20, 0, // Skip to: 27298
-/* 27278 */   MCD_OPC_CheckPredicate, 0, 164, 72, // Skip to: 45878
-/* 27282 */   MCD_OPC_CheckField, 29, 3, 2, 158, 72, // Skip to: 45878
-/* 27288 */   MCD_OPC_CheckField, 21, 1, 0, 152, 72, // Skip to: 45878
-/* 27294 */   MCD_OPC_Decode, 208, 18, 102, // Opcode: TRN1vvv_2d
-/* 27298 */   MCD_OPC_FilterValue, 11, 39, 0, // Skip to: 27341
-/* 27302 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27305 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27323
-/* 27309 */   MCD_OPC_CheckPredicate, 0, 133, 72, // Skip to: 45878
-/* 27313 */   MCD_OPC_CheckField, 21, 1, 1, 127, 72, // Skip to: 45878
-/* 27319 */   MCD_OPC_Decode, 147, 15, 102, // Opcode: SQSUBvvv_2D
-/* 27323 */   MCD_OPC_FilterValue, 3, 119, 72, // Skip to: 45878
-/* 27327 */   MCD_OPC_CheckPredicate, 0, 115, 72, // Skip to: 45878
-/* 27331 */   MCD_OPC_CheckField, 21, 1, 1, 109, 72, // Skip to: 45878
-/* 27337 */   MCD_OPC_Decode, 199, 20, 102, // Opcode: UQSUBvvv_2D
-/* 27341 */   MCD_OPC_FilterValue, 13, 39, 0, // Skip to: 27384
-/* 27345 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27348 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27366
-/* 27352 */   MCD_OPC_CheckPredicate, 0, 90, 72, // Skip to: 45878
-/* 27356 */   MCD_OPC_CheckField, 21, 1, 1, 84, 72, // Skip to: 45878
-/* 27362 */   MCD_OPC_Decode, 180, 2, 102, // Opcode: CMGTvvv_2D
-/* 27366 */   MCD_OPC_FilterValue, 3, 76, 72, // Skip to: 45878
-/* 27370 */   MCD_OPC_CheckPredicate, 0, 72, 72, // Skip to: 45878
-/* 27374 */   MCD_OPC_CheckField, 21, 1, 1, 66, 72, // Skip to: 45878
-/* 27380 */   MCD_OPC_Decode, 188, 2, 102, // Opcode: CMHIvvv_2D
-/* 27384 */   MCD_OPC_FilterValue, 14, 64, 0, // Skip to: 27452
-/* 27388 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 27391 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 27409
-/* 27395 */   MCD_OPC_CheckPredicate, 0, 47, 72, // Skip to: 45878
-/* 27399 */   MCD_OPC_CheckField, 29, 3, 2, 41, 72, // Skip to: 45878
-/* 27405 */   MCD_OPC_Decode, 210, 21, 102, // Opcode: ZIP1vvv_2d
-/* 27409 */   MCD_OPC_FilterValue, 1, 33, 72, // Skip to: 45878
-/* 27413 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27416 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27434
-/* 27420 */   MCD_OPC_CheckPredicate, 0, 22, 72, // Skip to: 45878
-/* 27424 */   MCD_OPC_CheckField, 16, 5, 0, 16, 72, // Skip to: 45878
-/* 27430 */   MCD_OPC_Decode, 161, 18, 116, // Opcode: SUQADD2d
-/* 27434 */   MCD_OPC_FilterValue, 3, 8, 72, // Skip to: 45878
-/* 27438 */   MCD_OPC_CheckPredicate, 0, 4, 72, // Skip to: 45878
-/* 27442 */   MCD_OPC_CheckField, 16, 5, 0, 254, 71, // Skip to: 45878
-/* 27448 */   MCD_OPC_Decode, 143, 21, 116, // Opcode: USQADD2d
-/* 27452 */   MCD_OPC_FilterValue, 15, 39, 0, // Skip to: 27495
-/* 27456 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27459 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27477
-/* 27463 */   MCD_OPC_CheckPredicate, 0, 235, 71, // Skip to: 45878
-/* 27467 */   MCD_OPC_CheckField, 21, 1, 1, 229, 71, // Skip to: 45878
-/* 27473 */   MCD_OPC_Decode, 164, 2, 102, // Opcode: CMGEvvv_2D
-/* 27477 */   MCD_OPC_FilterValue, 3, 221, 71, // Skip to: 45878
-/* 27481 */   MCD_OPC_CheckPredicate, 0, 217, 71, // Skip to: 45878
-/* 27485 */   MCD_OPC_CheckField, 21, 1, 1, 211, 71, // Skip to: 45878
-/* 27491 */   MCD_OPC_Decode, 196, 2, 102, // Opcode: CMHSvvv_2D
-/* 27495 */   MCD_OPC_FilterValue, 17, 39, 0, // Skip to: 27538
-/* 27499 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27502 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27520
-/* 27506 */   MCD_OPC_CheckPredicate, 0, 192, 71, // Skip to: 45878
-/* 27510 */   MCD_OPC_CheckField, 21, 1, 1, 186, 71, // Skip to: 45878
-/* 27516 */   MCD_OPC_Decode, 217, 15, 102, // Opcode: SSHLvvv_2D
-/* 27520 */   MCD_OPC_FilterValue, 3, 178, 71, // Skip to: 45878
-/* 27524 */   MCD_OPC_CheckPredicate, 0, 174, 71, // Skip to: 45878
-/* 27528 */   MCD_OPC_CheckField, 21, 1, 1, 168, 71, // Skip to: 45878
-/* 27534 */   MCD_OPC_Decode, 128, 21, 102, // Opcode: USHLvvv_2D
-/* 27538 */   MCD_OPC_FilterValue, 19, 39, 0, // Skip to: 27581
-/* 27542 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27545 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27563
-/* 27549 */   MCD_OPC_CheckPredicate, 0, 149, 71, // Skip to: 45878
-/* 27553 */   MCD_OPC_CheckField, 21, 1, 1, 143, 71, // Skip to: 45878
-/* 27559 */   MCD_OPC_Decode, 252, 14, 102, // Opcode: SQSHLvvv_2D
-/* 27563 */   MCD_OPC_FilterValue, 3, 135, 71, // Skip to: 45878
-/* 27567 */   MCD_OPC_CheckPredicate, 0, 131, 71, // Skip to: 45878
-/* 27571 */   MCD_OPC_CheckField, 21, 1, 1, 125, 71, // Skip to: 45878
-/* 27577 */   MCD_OPC_Decode, 179, 20, 102, // Opcode: UQSHLvvv_2D
-/* 27581 */   MCD_OPC_FilterValue, 21, 39, 0, // Skip to: 27624
-/* 27585 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27588 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27606
-/* 27592 */   MCD_OPC_CheckPredicate, 0, 106, 71, // Skip to: 45878
-/* 27596 */   MCD_OPC_CheckField, 21, 1, 1, 100, 71, // Skip to: 45878
-/* 27602 */   MCD_OPC_Decode, 187, 15, 102, // Opcode: SRSHLvvv_2D
-/* 27606 */   MCD_OPC_FilterValue, 3, 92, 71, // Skip to: 45878
-/* 27610 */   MCD_OPC_CheckPredicate, 0, 88, 71, // Skip to: 45878
-/* 27614 */   MCD_OPC_CheckField, 21, 1, 1, 82, 71, // Skip to: 45878
-/* 27620 */   MCD_OPC_Decode, 224, 20, 102, // Opcode: URSHLvvv_2D
-/* 27624 */   MCD_OPC_FilterValue, 22, 20, 0, // Skip to: 27648
-/* 27628 */   MCD_OPC_CheckPredicate, 0, 70, 71, // Skip to: 45878
-/* 27632 */   MCD_OPC_CheckField, 29, 3, 2, 64, 71, // Skip to: 45878
-/* 27638 */   MCD_OPC_CheckField, 21, 1, 0, 58, 71, // Skip to: 45878
-/* 27644 */   MCD_OPC_Decode, 185, 21, 102, // Opcode: UZP2vvv_2d
-/* 27648 */   MCD_OPC_FilterValue, 23, 39, 0, // Skip to: 27691
-/* 27652 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27655 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27673
-/* 27659 */   MCD_OPC_CheckPredicate, 0, 39, 71, // Skip to: 45878
-/* 27663 */   MCD_OPC_CheckField, 21, 1, 1, 33, 71, // Skip to: 45878
-/* 27669 */   MCD_OPC_Decode, 207, 14, 102, // Opcode: SQRSHLvvv_2D
-/* 27673 */   MCD_OPC_FilterValue, 3, 25, 71, // Skip to: 45878
-/* 27677 */   MCD_OPC_CheckPredicate, 0, 21, 71, // Skip to: 45878
-/* 27681 */   MCD_OPC_CheckField, 21, 1, 1, 15, 71, // Skip to: 45878
-/* 27687 */   MCD_OPC_Decode, 148, 20, 102, // Opcode: UQRSHLvvv_2D
-/* 27691 */   MCD_OPC_FilterValue, 26, 20, 0, // Skip to: 27715
-/* 27695 */   MCD_OPC_CheckPredicate, 0, 3, 71, // Skip to: 45878
-/* 27699 */   MCD_OPC_CheckField, 29, 3, 2, 253, 70, // Skip to: 45878
-/* 27705 */   MCD_OPC_CheckField, 21, 1, 0, 247, 70, // Skip to: 45878
-/* 27711 */   MCD_OPC_Decode, 215, 18, 102, // Opcode: TRN2vvv_2d
-/* 27715 */   MCD_OPC_FilterValue, 30, 64, 0, // Skip to: 27783
-/* 27719 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 27722 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 27740
-/* 27726 */   MCD_OPC_CheckPredicate, 0, 228, 70, // Skip to: 45878
-/* 27730 */   MCD_OPC_CheckField, 29, 3, 2, 222, 70, // Skip to: 45878
-/* 27736 */   MCD_OPC_Decode, 217, 21, 102, // Opcode: ZIP2vvv_2d
-/* 27740 */   MCD_OPC_FilterValue, 1, 214, 70, // Skip to: 45878
-/* 27744 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27747 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27765
-/* 27751 */   MCD_OPC_CheckPredicate, 0, 203, 70, // Skip to: 45878
-/* 27755 */   MCD_OPC_CheckField, 16, 5, 0, 197, 70, // Skip to: 45878
-/* 27761 */   MCD_OPC_Decode, 228, 13, 107, // Opcode: SQABS2d
-/* 27765 */   MCD_OPC_FilterValue, 3, 189, 70, // Skip to: 45878
-/* 27769 */   MCD_OPC_CheckPredicate, 0, 185, 70, // Skip to: 45878
-/* 27773 */   MCD_OPC_CheckField, 16, 5, 0, 179, 70, // Skip to: 45878
-/* 27779 */   MCD_OPC_Decode, 178, 14, 107, // Opcode: SQNEG2d
-/* 27783 */   MCD_OPC_FilterValue, 33, 38, 0, // Skip to: 27825
-/* 27787 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27790 */   MCD_OPC_FilterValue, 2, 13, 0, // Skip to: 27807
-/* 27794 */   MCD_OPC_CheckPredicate, 0, 160, 70, // Skip to: 45878
-/* 27798 */   MCD_OPC_CheckField, 21, 1, 1, 154, 70, // Skip to: 45878
-/* 27804 */   MCD_OPC_Decode, 74, 102, // Opcode: ADDvvv_2D
-/* 27807 */   MCD_OPC_FilterValue, 3, 147, 70, // Skip to: 45878
-/* 27811 */   MCD_OPC_CheckPredicate, 0, 143, 70, // Skip to: 45878
-/* 27815 */   MCD_OPC_CheckField, 21, 1, 1, 137, 70, // Skip to: 45878
-/* 27821 */   MCD_OPC_Decode, 248, 17, 102, // Opcode: SUBvvv_2D
-/* 27825 */   MCD_OPC_FilterValue, 34, 52, 0, // Skip to: 27881
-/* 27829 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 27832 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 27863
-/* 27836 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27839 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 27851
-/* 27843 */   MCD_OPC_CheckPredicate, 0, 111, 70, // Skip to: 45878
-/* 27847 */   MCD_OPC_Decode, 173, 2, 107, // Opcode: CMGTvvi_2D
-/* 27851 */   MCD_OPC_FilterValue, 3, 103, 70, // Skip to: 45878
-/* 27855 */   MCD_OPC_CheckPredicate, 0, 99, 70, // Skip to: 45878
-/* 27859 */   MCD_OPC_Decode, 157, 2, 107, // Opcode: CMGEvvi_2D
-/* 27863 */   MCD_OPC_FilterValue, 33, 91, 70, // Skip to: 45878
-/* 27867 */   MCD_OPC_CheckPredicate, 0, 87, 70, // Skip to: 45878
-/* 27871 */   MCD_OPC_CheckField, 29, 3, 2, 81, 70, // Skip to: 45878
-/* 27877 */   MCD_OPC_Decode, 175, 6, 107, // Opcode: FRINTP_2d
-/* 27881 */   MCD_OPC_FilterValue, 35, 39, 0, // Skip to: 27924
-/* 27885 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27888 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 27906
-/* 27892 */   MCD_OPC_CheckPredicate, 0, 62, 70, // Skip to: 45878
-/* 27896 */   MCD_OPC_CheckField, 21, 1, 1, 56, 70, // Skip to: 45878
-/* 27902 */   MCD_OPC_Decode, 136, 3, 102, // Opcode: CMTSTvvv_2D
-/* 27906 */   MCD_OPC_FilterValue, 3, 48, 70, // Skip to: 45878
-/* 27910 */   MCD_OPC_CheckPredicate, 0, 44, 70, // Skip to: 45878
-/* 27914 */   MCD_OPC_CheckField, 21, 1, 1, 38, 70, // Skip to: 45878
-/* 27920 */   MCD_OPC_Decode, 148, 2, 102, // Opcode: CMEQvvv_2D
-/* 27924 */   MCD_OPC_FilterValue, 38, 65, 0, // Skip to: 27993
-/* 27928 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 27931 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 27962
-/* 27935 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27938 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 27950
-/* 27942 */   MCD_OPC_CheckPredicate, 0, 12, 70, // Skip to: 45878
-/* 27946 */   MCD_OPC_Decode, 141, 2, 107, // Opcode: CMEQvvi_2D
-/* 27950 */   MCD_OPC_FilterValue, 3, 4, 70, // Skip to: 45878
-/* 27954 */   MCD_OPC_CheckPredicate, 0, 0, 70, // Skip to: 45878
-/* 27958 */   MCD_OPC_Decode, 204, 2, 107, // Opcode: CMLEvvi_2D
-/* 27962 */   MCD_OPC_FilterValue, 33, 248, 69, // Skip to: 45878
-/* 27966 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 27969 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 27981
-/* 27973 */   MCD_OPC_CheckPredicate, 0, 237, 69, // Skip to: 45878
-/* 27977 */   MCD_OPC_Decode, 185, 6, 107, // Opcode: FRINTZ_2d
-/* 27981 */   MCD_OPC_FilterValue, 3, 229, 69, // Skip to: 45878
-/* 27985 */   MCD_OPC_CheckPredicate, 0, 225, 69, // Skip to: 45878
-/* 27989 */   MCD_OPC_Decode, 160, 6, 107, // Opcode: FRINTI_2d
-/* 27993 */   MCD_OPC_FilterValue, 42, 52, 0, // Skip to: 28049
-/* 27997 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 28000 */   MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 28018
-/* 28004 */   MCD_OPC_CheckPredicate, 0, 206, 69, // Skip to: 45878
-/* 28008 */   MCD_OPC_CheckField, 29, 3, 2, 200, 69, // Skip to: 45878
-/* 28014 */   MCD_OPC_Decode, 212, 2, 107, // Opcode: CMLTvvi_2D
-/* 28018 */   MCD_OPC_FilterValue, 33, 192, 69, // Skip to: 45878
-/* 28022 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28025 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 28037
-/* 28029 */   MCD_OPC_CheckPredicate, 0, 181, 69, // Skip to: 45878
-/* 28033 */   MCD_OPC_Decode, 229, 4, 107, // Opcode: FCVTPS_2d
-/* 28037 */   MCD_OPC_FilterValue, 3, 173, 69, // Skip to: 45878
-/* 28041 */   MCD_OPC_CheckPredicate, 0, 169, 69, // Skip to: 45878
-/* 28045 */   MCD_OPC_Decode, 238, 4, 107, // Opcode: FCVTPU_2d
-/* 28049 */   MCD_OPC_FilterValue, 46, 64, 0, // Skip to: 28117
-/* 28053 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 28056 */   MCD_OPC_FilterValue, 32, 26, 0, // Skip to: 28086
-/* 28060 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28063 */   MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 28074
-/* 28067 */   MCD_OPC_CheckPredicate, 0, 143, 69, // Skip to: 45878
-/* 28071 */   MCD_OPC_Decode, 20, 107, // Opcode: ABS2d
-/* 28074 */   MCD_OPC_FilterValue, 3, 136, 69, // Skip to: 45878
-/* 28078 */   MCD_OPC_CheckPredicate, 0, 132, 69, // Skip to: 45878
-/* 28082 */   MCD_OPC_Decode, 179, 11, 107, // Opcode: NEG2d
-/* 28086 */   MCD_OPC_FilterValue, 33, 124, 69, // Skip to: 45878
-/* 28090 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28093 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 28105
-/* 28097 */   MCD_OPC_CheckPredicate, 0, 113, 69, // Skip to: 45878
-/* 28101 */   MCD_OPC_Decode, 250, 4, 107, // Opcode: FCVTZS_2d
-/* 28105 */   MCD_OPC_FilterValue, 3, 105, 69, // Skip to: 45878
-/* 28109 */   MCD_OPC_CheckPredicate, 0, 101, 69, // Skip to: 45878
-/* 28113 */   MCD_OPC_Decode, 137, 5, 107, // Opcode: FCVTZU_2d
-/* 28117 */   MCD_OPC_FilterValue, 47, 19, 0, // Skip to: 28140
-/* 28121 */   MCD_OPC_CheckPredicate, 0, 89, 69, // Skip to: 45878
-/* 28125 */   MCD_OPC_CheckField, 29, 3, 2, 83, 69, // Skip to: 45878
-/* 28131 */   MCD_OPC_CheckField, 21, 1, 1, 77, 69, // Skip to: 45878
-/* 28137 */   MCD_OPC_Decode, 38, 102, // Opcode: ADDP_2D
-/* 28140 */   MCD_OPC_FilterValue, 49, 39, 0, // Skip to: 28183
-/* 28144 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28147 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 28165
-/* 28151 */   MCD_OPC_CheckPredicate, 0, 59, 69, // Skip to: 45878
-/* 28155 */   MCD_OPC_CheckField, 21, 1, 1, 53, 69, // Skip to: 45878
-/* 28161 */   MCD_OPC_Decode, 195, 5, 102, // Opcode: FMINNMvvv_2D
-/* 28165 */   MCD_OPC_FilterValue, 3, 45, 69, // Skip to: 45878
-/* 28169 */   MCD_OPC_CheckPredicate, 0, 41, 69, // Skip to: 45878
-/* 28173 */   MCD_OPC_CheckField, 21, 1, 1, 35, 69, // Skip to: 45878
-/* 28179 */   MCD_OPC_Decode, 189, 5, 102, // Opcode: FMINNMPvvv_2D
-/* 28183 */   MCD_OPC_FilterValue, 50, 39, 0, // Skip to: 28226
-/* 28187 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28190 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 28208
-/* 28194 */   MCD_OPC_CheckPredicate, 0, 16, 69, // Skip to: 45878
-/* 28198 */   MCD_OPC_CheckField, 16, 6, 32, 10, 69, // Skip to: 45878
-/* 28204 */   MCD_OPC_Decode, 141, 4, 107, // Opcode: FCMGTvvi_2D
-/* 28208 */   MCD_OPC_FilterValue, 3, 2, 69, // Skip to: 45878
-/* 28212 */   MCD_OPC_CheckPredicate, 0, 254, 68, // Skip to: 45878
-/* 28216 */   MCD_OPC_CheckField, 16, 6, 32, 248, 68, // Skip to: 45878
-/* 28222 */   MCD_OPC_Decode, 131, 4, 107, // Opcode: FCMGEvvi_2D
-/* 28226 */   MCD_OPC_FilterValue, 51, 20, 0, // Skip to: 28250
-/* 28230 */   MCD_OPC_CheckPredicate, 0, 236, 68, // Skip to: 45878
-/* 28234 */   MCD_OPC_CheckField, 29, 3, 2, 230, 68, // Skip to: 45878
-/* 28240 */   MCD_OPC_CheckField, 21, 1, 1, 224, 68, // Skip to: 45878
-/* 28246 */   MCD_OPC_Decode, 222, 5, 110, // Opcode: FMLSvvv_2D
-/* 28250 */   MCD_OPC_FilterValue, 53, 39, 0, // Skip to: 28293
-/* 28254 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28257 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 28275
-/* 28261 */   MCD_OPC_CheckPredicate, 0, 205, 68, // Skip to: 45878
-/* 28265 */   MCD_OPC_CheckField, 21, 1, 1, 199, 68, // Skip to: 45878
-/* 28271 */   MCD_OPC_Decode, 207, 6, 102, // Opcode: FSUBvvv_2D
-/* 28275 */   MCD_OPC_FilterValue, 3, 191, 68, // Skip to: 45878
-/* 28279 */   MCD_OPC_CheckPredicate, 0, 187, 68, // Skip to: 45878
-/* 28283 */   MCD_OPC_CheckField, 21, 1, 1, 181, 68, // Skip to: 45878
-/* 28289 */   MCD_OPC_Decode, 213, 3, 102, // Opcode: FABDvvv_2D
-/* 28293 */   MCD_OPC_FilterValue, 54, 65, 0, // Skip to: 28362
-/* 28297 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 28300 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 28331
-/* 28304 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28307 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 28319
-/* 28311 */   MCD_OPC_CheckPredicate, 0, 155, 68, // Skip to: 45878
-/* 28315 */   MCD_OPC_Decode, 249, 3, 107, // Opcode: FCMEQvvi_2D
-/* 28319 */   MCD_OPC_FilterValue, 3, 147, 68, // Skip to: 45878
-/* 28323 */   MCD_OPC_CheckPredicate, 0, 143, 68, // Skip to: 45878
-/* 28327 */   MCD_OPC_Decode, 149, 4, 107, // Opcode: FCMLEvvi_2D
-/* 28331 */   MCD_OPC_FilterValue, 33, 135, 68, // Skip to: 45878
-/* 28335 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28338 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 28350
-/* 28342 */   MCD_OPC_CheckPredicate, 0, 124, 68, // Skip to: 45878
-/* 28346 */   MCD_OPC_Decode, 143, 6, 107, // Opcode: FRECPE_2d
-/* 28350 */   MCD_OPC_FilterValue, 3, 116, 68, // Skip to: 45878
-/* 28354 */   MCD_OPC_CheckPredicate, 0, 112, 68, // Skip to: 45878
-/* 28358 */   MCD_OPC_Decode, 190, 6, 107, // Opcode: FRSQRTE_2d
-/* 28362 */   MCD_OPC_FilterValue, 56, 39, 0, // Skip to: 28405
-/* 28366 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28369 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 28387
-/* 28373 */   MCD_OPC_CheckPredicate, 0, 93, 68, // Skip to: 45878
-/* 28377 */   MCD_OPC_CheckField, 21, 1, 1, 87, 68, // Skip to: 45878
-/* 28383 */   MCD_OPC_Decode, 216, 11, 74, // Opcode: PMULLvvv_1q1d
-/* 28387 */   MCD_OPC_FilterValue, 2, 79, 68, // Skip to: 45878
-/* 28391 */   MCD_OPC_CheckPredicate, 0, 75, 68, // Skip to: 45878
-/* 28395 */   MCD_OPC_CheckField, 21, 1, 1, 69, 68, // Skip to: 45878
-/* 28401 */   MCD_OPC_Decode, 214, 11, 102, // Opcode: PMULL2vvv_1q2d
-/* 28405 */   MCD_OPC_FilterValue, 57, 20, 0, // Skip to: 28429
-/* 28409 */   MCD_OPC_CheckPredicate, 0, 57, 68, // Skip to: 45878
-/* 28413 */   MCD_OPC_CheckField, 29, 3, 3, 51, 68, // Skip to: 45878
-/* 28419 */   MCD_OPC_CheckField, 21, 1, 1, 45, 68, // Skip to: 45878
-/* 28425 */   MCD_OPC_Decode, 144, 4, 102, // Opcode: FCMGTvvv_2D
-/* 28429 */   MCD_OPC_FilterValue, 58, 20, 0, // Skip to: 28453
-/* 28433 */   MCD_OPC_CheckPredicate, 0, 33, 68, // Skip to: 45878
-/* 28437 */   MCD_OPC_CheckField, 29, 3, 2, 27, 68, // Skip to: 45878
-/* 28443 */   MCD_OPC_CheckField, 16, 6, 32, 21, 68, // Skip to: 45878
-/* 28449 */   MCD_OPC_Decode, 154, 4, 107, // Opcode: FCMLTvvi_2D
-/* 28453 */   MCD_OPC_FilterValue, 59, 20, 0, // Skip to: 28477
-/* 28457 */   MCD_OPC_CheckPredicate, 0, 9, 68, // Skip to: 45878
-/* 28461 */   MCD_OPC_CheckField, 29, 3, 3, 3, 68, // Skip to: 45878
-/* 28467 */   MCD_OPC_CheckField, 21, 1, 1, 253, 67, // Skip to: 45878
-/* 28473 */   MCD_OPC_Decode, 228, 3, 102, // Opcode: FACGTvvv_2D
-/* 28477 */   MCD_OPC_FilterValue, 61, 39, 0, // Skip to: 28520
-/* 28481 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28484 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 28502
-/* 28488 */   MCD_OPC_CheckPredicate, 0, 234, 67, // Skip to: 45878
-/* 28492 */   MCD_OPC_CheckField, 21, 1, 1, 228, 67, // Skip to: 45878
-/* 28498 */   MCD_OPC_Decode, 206, 5, 102, // Opcode: FMINvvv_2D
-/* 28502 */   MCD_OPC_FilterValue, 3, 220, 67, // Skip to: 45878
-/* 28506 */   MCD_OPC_CheckPredicate, 0, 216, 67, // Skip to: 45878
-/* 28510 */   MCD_OPC_CheckField, 21, 1, 1, 210, 67, // Skip to: 45878
-/* 28516 */   MCD_OPC_Decode, 200, 5, 102, // Opcode: FMINPvvv_2D
-/* 28520 */   MCD_OPC_FilterValue, 62, 52, 0, // Skip to: 28576
-/* 28524 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 28527 */   MCD_OPC_FilterValue, 32, 27, 0, // Skip to: 28558
-/* 28531 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28534 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 28546
-/* 28538 */   MCD_OPC_CheckPredicate, 0, 184, 67, // Skip to: 45878
-/* 28542 */   MCD_OPC_Decode, 216, 3, 107, // Opcode: FABS2d
-/* 28546 */   MCD_OPC_FilterValue, 3, 176, 67, // Skip to: 45878
-/* 28550 */   MCD_OPC_CheckPredicate, 0, 172, 67, // Skip to: 45878
-/* 28554 */   MCD_OPC_Decode, 132, 6, 107, // Opcode: FNEG2d
-/* 28558 */   MCD_OPC_FilterValue, 33, 164, 67, // Skip to: 45878
-/* 28562 */   MCD_OPC_CheckPredicate, 0, 160, 67, // Skip to: 45878
-/* 28566 */   MCD_OPC_CheckField, 29, 3, 3, 154, 67, // Skip to: 45878
-/* 28572 */   MCD_OPC_Decode, 200, 6, 107, // Opcode: FSQRT_2d
-/* 28576 */   MCD_OPC_FilterValue, 63, 146, 67, // Skip to: 45878
-/* 28580 */   MCD_OPC_CheckPredicate, 0, 142, 67, // Skip to: 45878
-/* 28584 */   MCD_OPC_CheckField, 29, 3, 2, 136, 67, // Skip to: 45878
-/* 28590 */   MCD_OPC_CheckField, 21, 1, 1, 130, 67, // Skip to: 45878
-/* 28596 */   MCD_OPC_Decode, 197, 6, 102, // Opcode: FRSQRTSvvv_2D
-/* 28600 */   MCD_OPC_FilterValue, 12, 169, 13, // Skip to: 32101
-/* 28604 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 28607 */   MCD_OPC_FilterValue, 0, 66, 3, // Skip to: 29445
-/* 28611 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 28614 */   MCD_OPC_FilterValue, 1, 171, 2, // Skip to: 29301
-/* 28618 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 28621 */   MCD_OPC_FilterValue, 0, 91, 1, // Skip to: 28972
-/* 28625 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 28628 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 28761
-/* 28632 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 28635 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 28728
-/* 28639 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 28642 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 28695
-/* 28646 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 28649 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28662
-/* 28653 */   MCD_OPC_CheckPredicate, 0, 69, 67, // Skip to: 45878
-/* 28657 */   MCD_OPC_Decode, 137, 11, 135, 1, // Opcode: MOVIvi_lsl_2S
-/* 28662 */   MCD_OPC_FilterValue, 1, 60, 67, // Skip to: 45878
-/* 28666 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 28669 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28682
-/* 28673 */   MCD_OPC_CheckPredicate, 0, 49, 67, // Skip to: 45878
-/* 28677 */   MCD_OPC_Decode, 229, 15, 136, 1, // Opcode: SSHRvvi_8B
-/* 28682 */   MCD_OPC_FilterValue, 1, 40, 67, // Skip to: 45878
-/* 28686 */   MCD_OPC_CheckPredicate, 0, 36, 67, // Skip to: 45878
-/* 28690 */   MCD_OPC_Decode, 199, 15, 136, 1, // Opcode: SRSHRvvi_8B
-/* 28695 */   MCD_OPC_FilterValue, 1, 27, 67, // Skip to: 45878
-/* 28699 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 28702 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28715
-/* 28706 */   MCD_OPC_CheckPredicate, 0, 16, 67, // Skip to: 45878
-/* 28710 */   MCD_OPC_Decode, 227, 15, 137, 1, // Opcode: SSHRvvi_4H
-/* 28715 */   MCD_OPC_FilterValue, 1, 7, 67, // Skip to: 45878
-/* 28719 */   MCD_OPC_CheckPredicate, 0, 3, 67, // Skip to: 45878
-/* 28723 */   MCD_OPC_Decode, 197, 15, 137, 1, // Opcode: SRSHRvvi_4H
-/* 28728 */   MCD_OPC_FilterValue, 1, 250, 66, // Skip to: 45878
-/* 28732 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 28735 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28748
-/* 28739 */   MCD_OPC_CheckPredicate, 0, 239, 66, // Skip to: 45878
-/* 28743 */   MCD_OPC_Decode, 226, 15, 138, 1, // Opcode: SSHRvvi_2S
-/* 28748 */   MCD_OPC_FilterValue, 1, 230, 66, // Skip to: 45878
-/* 28752 */   MCD_OPC_CheckPredicate, 0, 226, 66, // Skip to: 45878
-/* 28756 */   MCD_OPC_Decode, 196, 15, 138, 1, // Opcode: SRSHRvvi_2S
-/* 28761 */   MCD_OPC_FilterValue, 1, 217, 66, // Skip to: 45878
-/* 28765 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 28768 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 28913
-/* 28772 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 28775 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 28854
-/* 28779 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 28782 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28795
-/* 28786 */   MCD_OPC_CheckPredicate, 0, 192, 66, // Skip to: 45878
-/* 28790 */   MCD_OPC_Decode, 198, 11, 139, 1, // Opcode: ORRvi_lsl_2S
-/* 28795 */   MCD_OPC_FilterValue, 1, 183, 66, // Skip to: 45878
-/* 28799 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 28802 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28815
-/* 28806 */   MCD_OPC_CheckPredicate, 0, 172, 66, // Skip to: 45878
-/* 28810 */   MCD_OPC_Decode, 237, 15, 140, 1, // Opcode: SSRAvvi_8B
-/* 28815 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 28828
-/* 28819 */   MCD_OPC_CheckPredicate, 0, 159, 66, // Skip to: 45878
-/* 28823 */   MCD_OPC_Decode, 207, 15, 140, 1, // Opcode: SRSRAvvi_8B
-/* 28828 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 28841
-/* 28832 */   MCD_OPC_CheckPredicate, 0, 146, 66, // Skip to: 45878
-/* 28836 */   MCD_OPC_Decode, 132, 13, 141, 1, // Opcode: SHLvvi_8B
-/* 28841 */   MCD_OPC_FilterValue, 3, 137, 66, // Skip to: 45878
-/* 28845 */   MCD_OPC_CheckPredicate, 0, 133, 66, // Skip to: 45878
-/* 28849 */   MCD_OPC_Decode, 249, 14, 141, 1, // Opcode: SQSHLvvi_8B
-/* 28854 */   MCD_OPC_FilterValue, 1, 124, 66, // Skip to: 45878
-/* 28858 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 28861 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28874
-/* 28865 */   MCD_OPC_CheckPredicate, 0, 113, 66, // Skip to: 45878
-/* 28869 */   MCD_OPC_Decode, 235, 15, 142, 1, // Opcode: SSRAvvi_4H
-/* 28874 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 28887
-/* 28878 */   MCD_OPC_CheckPredicate, 0, 100, 66, // Skip to: 45878
-/* 28882 */   MCD_OPC_Decode, 205, 15, 142, 1, // Opcode: SRSRAvvi_4H
-/* 28887 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 28900
-/* 28891 */   MCD_OPC_CheckPredicate, 0, 87, 66, // Skip to: 45878
-/* 28895 */   MCD_OPC_Decode, 130, 13, 143, 1, // Opcode: SHLvvi_4H
-/* 28900 */   MCD_OPC_FilterValue, 3, 78, 66, // Skip to: 45878
-/* 28904 */   MCD_OPC_CheckPredicate, 0, 74, 66, // Skip to: 45878
-/* 28908 */   MCD_OPC_Decode, 247, 14, 143, 1, // Opcode: SQSHLvvi_4H
-/* 28913 */   MCD_OPC_FilterValue, 1, 65, 66, // Skip to: 45878
-/* 28917 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 28920 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28933
-/* 28924 */   MCD_OPC_CheckPredicate, 0, 54, 66, // Skip to: 45878
-/* 28928 */   MCD_OPC_Decode, 234, 15, 144, 1, // Opcode: SSRAvvi_2S
-/* 28933 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 28946
-/* 28937 */   MCD_OPC_CheckPredicate, 0, 41, 66, // Skip to: 45878
-/* 28941 */   MCD_OPC_Decode, 204, 15, 144, 1, // Opcode: SRSRAvvi_2S
-/* 28946 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 28959
-/* 28950 */   MCD_OPC_CheckPredicate, 0, 28, 66, // Skip to: 45878
-/* 28954 */   MCD_OPC_Decode, 129, 13, 145, 1, // Opcode: SHLvvi_2S
-/* 28959 */   MCD_OPC_FilterValue, 3, 19, 66, // Skip to: 45878
-/* 28963 */   MCD_OPC_CheckPredicate, 0, 15, 66, // Skip to: 45878
-/* 28967 */   MCD_OPC_Decode, 246, 14, 145, 1, // Opcode: SQSHLvvi_2S
-/* 28972 */   MCD_OPC_FilterValue, 1, 6, 66, // Skip to: 45878
-/* 28976 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
-/* 28979 */   MCD_OPC_FilterValue, 0, 227, 0, // Skip to: 29210
-/* 28983 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 28986 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 29119
-/* 28990 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 28993 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 29086
-/* 28997 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29000 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 29053
-/* 29004 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 29007 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29020
-/* 29011 */   MCD_OPC_CheckPredicate, 0, 223, 65, // Skip to: 45878
-/* 29015 */   MCD_OPC_Decode, 138, 11, 146, 1, // Opcode: MOVIvi_lsl_4H
-/* 29020 */   MCD_OPC_FilterValue, 1, 214, 65, // Skip to: 45878
-/* 29024 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 29027 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29040
-/* 29031 */   MCD_OPC_CheckPredicate, 0, 203, 65, // Skip to: 45878
-/* 29035 */   MCD_OPC_Decode, 138, 13, 147, 1, // Opcode: SHRNvvi_8B
-/* 29040 */   MCD_OPC_FilterValue, 1, 194, 65, // Skip to: 45878
-/* 29044 */   MCD_OPC_CheckPredicate, 0, 190, 65, // Skip to: 45878
-/* 29048 */   MCD_OPC_Decode, 213, 15, 148, 1, // Opcode: SSHLLvvi_8B
-/* 29053 */   MCD_OPC_FilterValue, 1, 181, 65, // Skip to: 45878
-/* 29057 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 29060 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29073
-/* 29064 */   MCD_OPC_CheckPredicate, 0, 170, 65, // Skip to: 45878
-/* 29068 */   MCD_OPC_Decode, 136, 13, 149, 1, // Opcode: SHRNvvi_4H
-/* 29073 */   MCD_OPC_FilterValue, 1, 161, 65, // Skip to: 45878
-/* 29077 */   MCD_OPC_CheckPredicate, 0, 157, 65, // Skip to: 45878
-/* 29081 */   MCD_OPC_Decode, 211, 15, 150, 1, // Opcode: SSHLLvvi_4H
-/* 29086 */   MCD_OPC_FilterValue, 1, 148, 65, // Skip to: 45878
-/* 29090 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 29093 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29106
-/* 29097 */   MCD_OPC_CheckPredicate, 0, 137, 65, // Skip to: 45878
-/* 29101 */   MCD_OPC_Decode, 135, 13, 151, 1, // Opcode: SHRNvvi_2S
-/* 29106 */   MCD_OPC_FilterValue, 1, 128, 65, // Skip to: 45878
-/* 29110 */   MCD_OPC_CheckPredicate, 0, 124, 65, // Skip to: 45878
-/* 29114 */   MCD_OPC_Decode, 210, 15, 152, 1, // Opcode: SSHLLvvi_2S
-/* 29119 */   MCD_OPC_FilterValue, 1, 115, 65, // Skip to: 45878
-/* 29123 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29126 */   MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 29191
-/* 29130 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29133 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 29172
-/* 29137 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 29140 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29153
-/* 29144 */   MCD_OPC_CheckPredicate, 0, 90, 65, // Skip to: 45878
-/* 29148 */   MCD_OPC_Decode, 199, 11, 153, 1, // Opcode: ORRvi_lsl_4H
-/* 29153 */   MCD_OPC_FilterValue, 1, 81, 65, // Skip to: 45878
-/* 29157 */   MCD_OPC_CheckPredicate, 0, 77, 65, // Skip to: 45878
-/* 29161 */   MCD_OPC_CheckField, 13, 1, 0, 71, 65, // Skip to: 45878
-/* 29167 */   MCD_OPC_Decode, 137, 15, 147, 1, // Opcode: SQSHRNvvi_8B
-/* 29172 */   MCD_OPC_FilterValue, 1, 62, 65, // Skip to: 45878
-/* 29176 */   MCD_OPC_CheckPredicate, 0, 58, 65, // Skip to: 45878
-/* 29180 */   MCD_OPC_CheckField, 13, 1, 0, 52, 65, // Skip to: 45878
-/* 29186 */   MCD_OPC_Decode, 135, 15, 149, 1, // Opcode: SQSHRNvvi_4H
-/* 29191 */   MCD_OPC_FilterValue, 1, 43, 65, // Skip to: 45878
-/* 29195 */   MCD_OPC_CheckPredicate, 0, 39, 65, // Skip to: 45878
-/* 29199 */   MCD_OPC_CheckField, 13, 1, 0, 33, 65, // Skip to: 45878
-/* 29205 */   MCD_OPC_Decode, 134, 15, 151, 1, // Opcode: SQSHRNvvi_2S
-/* 29210 */   MCD_OPC_FilterValue, 1, 24, 65, // Skip to: 45878
-/* 29214 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 29217 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29236
-/* 29221 */   MCD_OPC_CheckPredicate, 0, 13, 65, // Skip to: 45878
-/* 29225 */   MCD_OPC_CheckField, 19, 3, 0, 7, 65, // Skip to: 45878
-/* 29231 */   MCD_OPC_Decode, 141, 11, 154, 1, // Opcode: MOVIvi_msl_2S
-/* 29236 */   MCD_OPC_FilterValue, 1, 254, 64, // Skip to: 45878
-/* 29240 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 29243 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 29282
-/* 29247 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29250 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29269
-/* 29254 */   MCD_OPC_CheckPredicate, 0, 236, 64, // Skip to: 45878
-/* 29258 */   MCD_OPC_CheckField, 19, 2, 0, 230, 64, // Skip to: 45878
-/* 29264 */   MCD_OPC_Decode, 136, 11, 155, 1, // Opcode: MOVIvi_8B
-/* 29269 */   MCD_OPC_FilterValue, 1, 221, 64, // Skip to: 45878
-/* 29273 */   MCD_OPC_CheckPredicate, 0, 217, 64, // Skip to: 45878
-/* 29277 */   MCD_OPC_Decode, 198, 21, 138, 1, // Opcode: VCVTxs2f_2S
-/* 29282 */   MCD_OPC_FilterValue, 1, 208, 64, // Skip to: 45878
-/* 29286 */   MCD_OPC_CheckPredicate, 0, 204, 64, // Skip to: 45878
-/* 29290 */   MCD_OPC_CheckField, 19, 3, 0, 198, 64, // Skip to: 45878
-/* 29296 */   MCD_OPC_Decode, 232, 5, 155, 1, // Opcode: FMOVvi_2S
-/* 29301 */   MCD_OPC_FilterValue, 3, 189, 64, // Skip to: 45878
-/* 29305 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 29308 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 29367
-/* 29312 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29315 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 29354
-/* 29319 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29322 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29341
-/* 29326 */   MCD_OPC_CheckPredicate, 0, 164, 64, // Skip to: 45878
-/* 29330 */   MCD_OPC_CheckField, 19, 1, 1, 158, 64, // Skip to: 45878
-/* 29336 */   MCD_OPC_Decode, 144, 12, 147, 1, // Opcode: RSHRNvvi_8B
-/* 29341 */   MCD_OPC_FilterValue, 1, 149, 64, // Skip to: 45878
-/* 29345 */   MCD_OPC_CheckPredicate, 0, 145, 64, // Skip to: 45878
-/* 29349 */   MCD_OPC_Decode, 142, 12, 149, 1, // Opcode: RSHRNvvi_4H
-/* 29354 */   MCD_OPC_FilterValue, 1, 136, 64, // Skip to: 45878
-/* 29358 */   MCD_OPC_CheckPredicate, 0, 132, 64, // Skip to: 45878
-/* 29362 */   MCD_OPC_Decode, 141, 12, 151, 1, // Opcode: RSHRNvvi_2S
-/* 29367 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 29426
-/* 29371 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29374 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 29413
-/* 29378 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29381 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29400
-/* 29385 */   MCD_OPC_CheckPredicate, 0, 105, 64, // Skip to: 45878
-/* 29389 */   MCD_OPC_CheckField, 19, 1, 1, 99, 64, // Skip to: 45878
-/* 29395 */   MCD_OPC_Decode, 220, 14, 147, 1, // Opcode: SQRSHRNvvi_8B
-/* 29400 */   MCD_OPC_FilterValue, 1, 90, 64, // Skip to: 45878
-/* 29404 */   MCD_OPC_CheckPredicate, 0, 86, 64, // Skip to: 45878
-/* 29408 */   MCD_OPC_Decode, 218, 14, 149, 1, // Opcode: SQRSHRNvvi_4H
-/* 29413 */   MCD_OPC_FilterValue, 1, 77, 64, // Skip to: 45878
-/* 29417 */   MCD_OPC_CheckPredicate, 0, 73, 64, // Skip to: 45878
-/* 29421 */   MCD_OPC_Decode, 217, 14, 151, 1, // Opcode: SQRSHRNvvi_2S
-/* 29426 */   MCD_OPC_FilterValue, 15, 64, 64, // Skip to: 45878
-/* 29430 */   MCD_OPC_CheckPredicate, 0, 60, 64, // Skip to: 45878
-/* 29434 */   MCD_OPC_CheckField, 21, 1, 1, 54, 64, // Skip to: 45878
-/* 29440 */   MCD_OPC_Decode, 192, 21, 138, 1, // Opcode: VCVTf2xs_2S
-/* 29445 */   MCD_OPC_FilterValue, 1, 130, 3, // Skip to: 30347
-/* 29449 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 29452 */   MCD_OPC_FilterValue, 1, 235, 2, // Skip to: 30203
-/* 29456 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 29459 */   MCD_OPC_FilterValue, 0, 169, 1, // Skip to: 29888
-/* 29463 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 29466 */   MCD_OPC_FilterValue, 0, 207, 0, // Skip to: 29677
-/* 29470 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29473 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 29618
-/* 29477 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29480 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 29559
-/* 29484 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 29487 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29500
-/* 29491 */   MCD_OPC_CheckPredicate, 0, 255, 63, // Skip to: 45878
-/* 29495 */   MCD_OPC_Decode, 164, 11, 135, 1, // Opcode: MVNIvi_lsl_2S
-/* 29500 */   MCD_OPC_FilterValue, 1, 246, 63, // Skip to: 45878
-/* 29504 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 29507 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29520
-/* 29511 */   MCD_OPC_CheckPredicate, 0, 235, 63, // Skip to: 45878
-/* 29515 */   MCD_OPC_Decode, 140, 21, 136, 1, // Opcode: USHRvvi_8B
-/* 29520 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29533
-/* 29524 */   MCD_OPC_CheckPredicate, 0, 222, 63, // Skip to: 45878
-/* 29528 */   MCD_OPC_Decode, 236, 20, 136, 1, // Opcode: URSHRvvi_8B
-/* 29533 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 29546
-/* 29537 */   MCD_OPC_CheckPredicate, 0, 209, 63, // Skip to: 45878
-/* 29541 */   MCD_OPC_Decode, 183, 15, 140, 1, // Opcode: SRIvvi_8B
-/* 29546 */   MCD_OPC_FilterValue, 3, 200, 63, // Skip to: 45878
-/* 29550 */   MCD_OPC_CheckPredicate, 0, 196, 63, // Skip to: 45878
-/* 29554 */   MCD_OPC_Decode, 234, 14, 141, 1, // Opcode: SQSHLUvvi_8B
-/* 29559 */   MCD_OPC_FilterValue, 1, 187, 63, // Skip to: 45878
-/* 29563 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 29566 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29579
-/* 29570 */   MCD_OPC_CheckPredicate, 0, 176, 63, // Skip to: 45878
-/* 29574 */   MCD_OPC_Decode, 138, 21, 137, 1, // Opcode: USHRvvi_4H
-/* 29579 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29592
-/* 29583 */   MCD_OPC_CheckPredicate, 0, 163, 63, // Skip to: 45878
-/* 29587 */   MCD_OPC_Decode, 234, 20, 137, 1, // Opcode: URSHRvvi_4H
-/* 29592 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 29605
-/* 29596 */   MCD_OPC_CheckPredicate, 0, 150, 63, // Skip to: 45878
-/* 29600 */   MCD_OPC_Decode, 181, 15, 142, 1, // Opcode: SRIvvi_4H
-/* 29605 */   MCD_OPC_FilterValue, 3, 141, 63, // Skip to: 45878
-/* 29609 */   MCD_OPC_CheckPredicate, 0, 137, 63, // Skip to: 45878
-/* 29613 */   MCD_OPC_Decode, 232, 14, 143, 1, // Opcode: SQSHLUvvi_4H
-/* 29618 */   MCD_OPC_FilterValue, 1, 128, 63, // Skip to: 45878
-/* 29622 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 29625 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29638
-/* 29629 */   MCD_OPC_CheckPredicate, 0, 117, 63, // Skip to: 45878
-/* 29633 */   MCD_OPC_Decode, 137, 21, 138, 1, // Opcode: USHRvvi_2S
-/* 29638 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29651
-/* 29642 */   MCD_OPC_CheckPredicate, 0, 104, 63, // Skip to: 45878
-/* 29646 */   MCD_OPC_Decode, 233, 20, 138, 1, // Opcode: URSHRvvi_2S
-/* 29651 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 29664
-/* 29655 */   MCD_OPC_CheckPredicate, 0, 91, 63, // Skip to: 45878
-/* 29659 */   MCD_OPC_Decode, 180, 15, 144, 1, // Opcode: SRIvvi_2S
-/* 29664 */   MCD_OPC_FilterValue, 3, 82, 63, // Skip to: 45878
-/* 29668 */   MCD_OPC_CheckPredicate, 0, 78, 63, // Skip to: 45878
-/* 29672 */   MCD_OPC_Decode, 231, 14, 145, 1, // Opcode: SQSHLUvvi_2S
-/* 29677 */   MCD_OPC_FilterValue, 1, 69, 63, // Skip to: 45878
-/* 29681 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29684 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 29829
-/* 29688 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29691 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 29770
-/* 29695 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 29698 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29711
-/* 29702 */   MCD_OPC_CheckPredicate, 0, 44, 63, // Skip to: 45878
-/* 29706 */   MCD_OPC_Decode, 211, 1, 139, 1, // Opcode: BICvi_lsl_2S
-/* 29711 */   MCD_OPC_FilterValue, 1, 35, 63, // Skip to: 45878
-/* 29715 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 29718 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29731
-/* 29722 */   MCD_OPC_CheckPredicate, 0, 24, 63, // Skip to: 45878
-/* 29726 */   MCD_OPC_Decode, 159, 21, 140, 1, // Opcode: USRAvvi_8B
-/* 29731 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29744
-/* 29735 */   MCD_OPC_CheckPredicate, 0, 11, 63, // Skip to: 45878
-/* 29739 */   MCD_OPC_Decode, 246, 20, 140, 1, // Opcode: URSRAvvi_8B
-/* 29744 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 29757
-/* 29748 */   MCD_OPC_CheckPredicate, 0, 254, 62, // Skip to: 45878
-/* 29752 */   MCD_OPC_Decode, 152, 13, 156, 1, // Opcode: SLIvvi_8B
-/* 29757 */   MCD_OPC_FilterValue, 3, 245, 62, // Skip to: 45878
-/* 29761 */   MCD_OPC_CheckPredicate, 0, 241, 62, // Skip to: 45878
-/* 29765 */   MCD_OPC_Decode, 176, 20, 141, 1, // Opcode: UQSHLvvi_8B
-/* 29770 */   MCD_OPC_FilterValue, 1, 232, 62, // Skip to: 45878
-/* 29774 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 29777 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29790
-/* 29781 */   MCD_OPC_CheckPredicate, 0, 221, 62, // Skip to: 45878
-/* 29785 */   MCD_OPC_Decode, 157, 21, 142, 1, // Opcode: USRAvvi_4H
-/* 29790 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29803
-/* 29794 */   MCD_OPC_CheckPredicate, 0, 208, 62, // Skip to: 45878
-/* 29798 */   MCD_OPC_Decode, 244, 20, 142, 1, // Opcode: URSRAvvi_4H
-/* 29803 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 29816
-/* 29807 */   MCD_OPC_CheckPredicate, 0, 195, 62, // Skip to: 45878
-/* 29811 */   MCD_OPC_Decode, 150, 13, 157, 1, // Opcode: SLIvvi_4H
-/* 29816 */   MCD_OPC_FilterValue, 3, 186, 62, // Skip to: 45878
-/* 29820 */   MCD_OPC_CheckPredicate, 0, 182, 62, // Skip to: 45878
-/* 29824 */   MCD_OPC_Decode, 174, 20, 143, 1, // Opcode: UQSHLvvi_4H
-/* 29829 */   MCD_OPC_FilterValue, 1, 173, 62, // Skip to: 45878
-/* 29833 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 29836 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29849
-/* 29840 */   MCD_OPC_CheckPredicate, 0, 162, 62, // Skip to: 45878
-/* 29844 */   MCD_OPC_Decode, 156, 21, 144, 1, // Opcode: USRAvvi_2S
-/* 29849 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29862
-/* 29853 */   MCD_OPC_CheckPredicate, 0, 149, 62, // Skip to: 45878
-/* 29857 */   MCD_OPC_Decode, 243, 20, 144, 1, // Opcode: URSRAvvi_2S
-/* 29862 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 29875
-/* 29866 */   MCD_OPC_CheckPredicate, 0, 136, 62, // Skip to: 45878
-/* 29870 */   MCD_OPC_Decode, 149, 13, 158, 1, // Opcode: SLIvvi_2S
-/* 29875 */   MCD_OPC_FilterValue, 3, 127, 62, // Skip to: 45878
-/* 29879 */   MCD_OPC_CheckPredicate, 0, 123, 62, // Skip to: 45878
-/* 29883 */   MCD_OPC_Decode, 173, 20, 145, 1, // Opcode: UQSHLvvi_2S
-/* 29888 */   MCD_OPC_FilterValue, 1, 114, 62, // Skip to: 45878
-/* 29892 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
-/* 29895 */   MCD_OPC_FilterValue, 0, 227, 0, // Skip to: 30126
-/* 29899 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 29902 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 30035
-/* 29906 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 29909 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 30002
-/* 29913 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 29916 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 29969
-/* 29920 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 29923 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29936
-/* 29927 */   MCD_OPC_CheckPredicate, 0, 75, 62, // Skip to: 45878
-/* 29931 */   MCD_OPC_Decode, 165, 11, 146, 1, // Opcode: MVNIvi_lsl_4H
-/* 29936 */   MCD_OPC_FilterValue, 1, 66, 62, // Skip to: 45878
-/* 29940 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 29943 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29956
-/* 29947 */   MCD_OPC_CheckPredicate, 0, 55, 62, // Skip to: 45878
-/* 29951 */   MCD_OPC_Decode, 235, 11, 147, 1, // Opcode: QSHRUNvvi_8B
-/* 29956 */   MCD_OPC_FilterValue, 1, 46, 62, // Skip to: 45878
-/* 29960 */   MCD_OPC_CheckPredicate, 0, 42, 62, // Skip to: 45878
-/* 29964 */   MCD_OPC_Decode, 252, 20, 148, 1, // Opcode: USHLLvvi_8B
-/* 29969 */   MCD_OPC_FilterValue, 1, 33, 62, // Skip to: 45878
-/* 29973 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 29976 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 29989
-/* 29980 */   MCD_OPC_CheckPredicate, 0, 22, 62, // Skip to: 45878
-/* 29984 */   MCD_OPC_Decode, 233, 11, 149, 1, // Opcode: QSHRUNvvi_4H
-/* 29989 */   MCD_OPC_FilterValue, 1, 13, 62, // Skip to: 45878
-/* 29993 */   MCD_OPC_CheckPredicate, 0, 9, 62, // Skip to: 45878
-/* 29997 */   MCD_OPC_Decode, 250, 20, 150, 1, // Opcode: USHLLvvi_4H
-/* 30002 */   MCD_OPC_FilterValue, 1, 0, 62, // Skip to: 45878
-/* 30006 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 30009 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30022
-/* 30013 */   MCD_OPC_CheckPredicate, 0, 245, 61, // Skip to: 45878
-/* 30017 */   MCD_OPC_Decode, 232, 11, 151, 1, // Opcode: QSHRUNvvi_2S
-/* 30022 */   MCD_OPC_FilterValue, 1, 236, 61, // Skip to: 45878
-/* 30026 */   MCD_OPC_CheckPredicate, 0, 232, 61, // Skip to: 45878
-/* 30030 */   MCD_OPC_Decode, 249, 20, 152, 1, // Opcode: USHLLvvi_2S
-/* 30035 */   MCD_OPC_FilterValue, 1, 223, 61, // Skip to: 45878
-/* 30039 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30042 */   MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 30107
-/* 30046 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30049 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 30088
-/* 30053 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 30056 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30069
-/* 30060 */   MCD_OPC_CheckPredicate, 0, 198, 61, // Skip to: 45878
-/* 30064 */   MCD_OPC_Decode, 212, 1, 153, 1, // Opcode: BICvi_lsl_4H
-/* 30069 */   MCD_OPC_FilterValue, 1, 189, 61, // Skip to: 45878
-/* 30073 */   MCD_OPC_CheckPredicate, 0, 185, 61, // Skip to: 45878
-/* 30077 */   MCD_OPC_CheckField, 13, 1, 0, 179, 61, // Skip to: 45878
-/* 30083 */   MCD_OPC_Decode, 192, 20, 147, 1, // Opcode: UQSHRNvvi_8B
-/* 30088 */   MCD_OPC_FilterValue, 1, 170, 61, // Skip to: 45878
-/* 30092 */   MCD_OPC_CheckPredicate, 0, 166, 61, // Skip to: 45878
-/* 30096 */   MCD_OPC_CheckField, 13, 1, 0, 160, 61, // Skip to: 45878
-/* 30102 */   MCD_OPC_Decode, 190, 20, 149, 1, // Opcode: UQSHRNvvi_4H
-/* 30107 */   MCD_OPC_FilterValue, 1, 151, 61, // Skip to: 45878
-/* 30111 */   MCD_OPC_CheckPredicate, 0, 147, 61, // Skip to: 45878
-/* 30115 */   MCD_OPC_CheckField, 13, 1, 0, 141, 61, // Skip to: 45878
-/* 30121 */   MCD_OPC_Decode, 189, 20, 151, 1, // Opcode: UQSHRNvvi_2S
-/* 30126 */   MCD_OPC_FilterValue, 1, 132, 61, // Skip to: 45878
-/* 30130 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 30133 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 30152
-/* 30137 */   MCD_OPC_CheckPredicate, 0, 121, 61, // Skip to: 45878
-/* 30141 */   MCD_OPC_CheckField, 19, 3, 0, 115, 61, // Skip to: 45878
-/* 30147 */   MCD_OPC_Decode, 168, 11, 154, 1, // Opcode: MVNIvi_msl_2S
-/* 30152 */   MCD_OPC_FilterValue, 1, 106, 61, // Skip to: 45878
-/* 30156 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30159 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 30184
-/* 30163 */   MCD_OPC_CheckPredicate, 0, 95, 61, // Skip to: 45878
-/* 30167 */   MCD_OPC_CheckField, 19, 2, 0, 89, 61, // Skip to: 45878
-/* 30173 */   MCD_OPC_CheckField, 12, 1, 0, 83, 61, // Skip to: 45878
-/* 30179 */   MCD_OPC_Decode, 133, 11, 155, 1, // Opcode: MOVIdi
-/* 30184 */   MCD_OPC_FilterValue, 1, 74, 61, // Skip to: 45878
-/* 30188 */   MCD_OPC_CheckPredicate, 0, 70, 61, // Skip to: 45878
-/* 30192 */   MCD_OPC_CheckField, 12, 1, 0, 64, 61, // Skip to: 45878
-/* 30198 */   MCD_OPC_Decode, 201, 21, 138, 1, // Opcode: VCVTxu2f_2S
-/* 30203 */   MCD_OPC_FilterValue, 3, 55, 61, // Skip to: 45878
-/* 30207 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 30210 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 30269
-/* 30214 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30217 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 30256
-/* 30221 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30224 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 30243
-/* 30228 */   MCD_OPC_CheckPredicate, 0, 30, 61, // Skip to: 45878
-/* 30232 */   MCD_OPC_CheckField, 19, 1, 1, 24, 61, // Skip to: 45878
-/* 30238 */   MCD_OPC_Decode, 229, 11, 147, 1, // Opcode: QRSHRUNvvi_8B
-/* 30243 */   MCD_OPC_FilterValue, 1, 15, 61, // Skip to: 45878
-/* 30247 */   MCD_OPC_CheckPredicate, 0, 11, 61, // Skip to: 45878
-/* 30251 */   MCD_OPC_Decode, 227, 11, 149, 1, // Opcode: QRSHRUNvvi_4H
-/* 30256 */   MCD_OPC_FilterValue, 1, 2, 61, // Skip to: 45878
-/* 30260 */   MCD_OPC_CheckPredicate, 0, 254, 60, // Skip to: 45878
-/* 30264 */   MCD_OPC_Decode, 226, 11, 151, 1, // Opcode: QRSHRUNvvi_2S
-/* 30269 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 30328
-/* 30273 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30276 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 30315
-/* 30280 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30283 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 30302
-/* 30287 */   MCD_OPC_CheckPredicate, 0, 227, 60, // Skip to: 45878
-/* 30291 */   MCD_OPC_CheckField, 19, 1, 1, 221, 60, // Skip to: 45878
-/* 30297 */   MCD_OPC_Decode, 161, 20, 147, 1, // Opcode: UQRSHRNvvi_8B
-/* 30302 */   MCD_OPC_FilterValue, 1, 212, 60, // Skip to: 45878
-/* 30306 */   MCD_OPC_CheckPredicate, 0, 208, 60, // Skip to: 45878
-/* 30310 */   MCD_OPC_Decode, 159, 20, 149, 1, // Opcode: UQRSHRNvvi_4H
-/* 30315 */   MCD_OPC_FilterValue, 1, 199, 60, // Skip to: 45878
-/* 30319 */   MCD_OPC_CheckPredicate, 0, 195, 60, // Skip to: 45878
-/* 30323 */   MCD_OPC_Decode, 158, 20, 151, 1, // Opcode: UQRSHRNvvi_2S
-/* 30328 */   MCD_OPC_FilterValue, 15, 186, 60, // Skip to: 45878
-/* 30332 */   MCD_OPC_CheckPredicate, 0, 182, 60, // Skip to: 45878
-/* 30336 */   MCD_OPC_CheckField, 21, 1, 1, 176, 60, // Skip to: 45878
-/* 30342 */   MCD_OPC_Decode, 195, 21, 138, 1, // Opcode: VCVTf2xu_2S
-/* 30347 */   MCD_OPC_FilterValue, 2, 66, 3, // Skip to: 31185
-/* 30351 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 30354 */   MCD_OPC_FilterValue, 1, 171, 2, // Skip to: 31041
-/* 30358 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 30361 */   MCD_OPC_FilterValue, 0, 91, 1, // Skip to: 30712
-/* 30365 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 30368 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 30501
-/* 30372 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30375 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 30468
-/* 30379 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30382 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 30435
-/* 30386 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 30389 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30402
-/* 30393 */   MCD_OPC_CheckPredicate, 0, 121, 60, // Skip to: 45878
-/* 30397 */   MCD_OPC_Decode, 139, 11, 159, 1, // Opcode: MOVIvi_lsl_4S
-/* 30402 */   MCD_OPC_FilterValue, 1, 112, 60, // Skip to: 45878
-/* 30406 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 30409 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30422
-/* 30413 */   MCD_OPC_CheckPredicate, 0, 101, 60, // Skip to: 45878
-/* 30417 */   MCD_OPC_Decode, 224, 15, 160, 1, // Opcode: SSHRvvi_16B
-/* 30422 */   MCD_OPC_FilterValue, 1, 92, 60, // Skip to: 45878
-/* 30426 */   MCD_OPC_CheckPredicate, 0, 88, 60, // Skip to: 45878
-/* 30430 */   MCD_OPC_Decode, 194, 15, 160, 1, // Opcode: SRSHRvvi_16B
-/* 30435 */   MCD_OPC_FilterValue, 1, 79, 60, // Skip to: 45878
-/* 30439 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 30442 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30455
-/* 30446 */   MCD_OPC_CheckPredicate, 0, 68, 60, // Skip to: 45878
-/* 30450 */   MCD_OPC_Decode, 230, 15, 161, 1, // Opcode: SSHRvvi_8H
-/* 30455 */   MCD_OPC_FilterValue, 1, 59, 60, // Skip to: 45878
-/* 30459 */   MCD_OPC_CheckPredicate, 0, 55, 60, // Skip to: 45878
-/* 30463 */   MCD_OPC_Decode, 200, 15, 161, 1, // Opcode: SRSHRvvi_8H
-/* 30468 */   MCD_OPC_FilterValue, 1, 46, 60, // Skip to: 45878
-/* 30472 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 30475 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30488
-/* 30479 */   MCD_OPC_CheckPredicate, 0, 35, 60, // Skip to: 45878
-/* 30483 */   MCD_OPC_Decode, 228, 15, 162, 1, // Opcode: SSHRvvi_4S
-/* 30488 */   MCD_OPC_FilterValue, 1, 26, 60, // Skip to: 45878
-/* 30492 */   MCD_OPC_CheckPredicate, 0, 22, 60, // Skip to: 45878
-/* 30496 */   MCD_OPC_Decode, 198, 15, 162, 1, // Opcode: SRSHRvvi_4S
-/* 30501 */   MCD_OPC_FilterValue, 1, 13, 60, // Skip to: 45878
-/* 30505 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30508 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 30653
-/* 30512 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30515 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 30594
-/* 30519 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 30522 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30535
-/* 30526 */   MCD_OPC_CheckPredicate, 0, 244, 59, // Skip to: 45878
-/* 30530 */   MCD_OPC_Decode, 200, 11, 163, 1, // Opcode: ORRvi_lsl_4S
-/* 30535 */   MCD_OPC_FilterValue, 1, 235, 59, // Skip to: 45878
-/* 30539 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 30542 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30555
-/* 30546 */   MCD_OPC_CheckPredicate, 0, 224, 59, // Skip to: 45878
-/* 30550 */   MCD_OPC_Decode, 232, 15, 164, 1, // Opcode: SSRAvvi_16B
-/* 30555 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 30568
-/* 30559 */   MCD_OPC_CheckPredicate, 0, 211, 59, // Skip to: 45878
-/* 30563 */   MCD_OPC_Decode, 202, 15, 164, 1, // Opcode: SRSRAvvi_16B
-/* 30568 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 30581
-/* 30572 */   MCD_OPC_CheckPredicate, 0, 198, 59, // Skip to: 45878
-/* 30576 */   MCD_OPC_Decode, 255, 12, 165, 1, // Opcode: SHLvvi_16B
-/* 30581 */   MCD_OPC_FilterValue, 3, 189, 59, // Skip to: 45878
-/* 30585 */   MCD_OPC_CheckPredicate, 0, 185, 59, // Skip to: 45878
-/* 30589 */   MCD_OPC_Decode, 244, 14, 165, 1, // Opcode: SQSHLvvi_16B
-/* 30594 */   MCD_OPC_FilterValue, 1, 176, 59, // Skip to: 45878
-/* 30598 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 30601 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30614
-/* 30605 */   MCD_OPC_CheckPredicate, 0, 165, 59, // Skip to: 45878
-/* 30609 */   MCD_OPC_Decode, 238, 15, 166, 1, // Opcode: SSRAvvi_8H
-/* 30614 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 30627
-/* 30618 */   MCD_OPC_CheckPredicate, 0, 152, 59, // Skip to: 45878
-/* 30622 */   MCD_OPC_Decode, 208, 15, 166, 1, // Opcode: SRSRAvvi_8H
-/* 30627 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 30640
-/* 30631 */   MCD_OPC_CheckPredicate, 0, 139, 59, // Skip to: 45878
-/* 30635 */   MCD_OPC_Decode, 133, 13, 167, 1, // Opcode: SHLvvi_8H
-/* 30640 */   MCD_OPC_FilterValue, 3, 130, 59, // Skip to: 45878
-/* 30644 */   MCD_OPC_CheckPredicate, 0, 126, 59, // Skip to: 45878
-/* 30648 */   MCD_OPC_Decode, 250, 14, 167, 1, // Opcode: SQSHLvvi_8H
-/* 30653 */   MCD_OPC_FilterValue, 1, 117, 59, // Skip to: 45878
-/* 30657 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 30660 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30673
-/* 30664 */   MCD_OPC_CheckPredicate, 0, 106, 59, // Skip to: 45878
-/* 30668 */   MCD_OPC_Decode, 236, 15, 168, 1, // Opcode: SSRAvvi_4S
-/* 30673 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 30686
-/* 30677 */   MCD_OPC_CheckPredicate, 0, 93, 59, // Skip to: 45878
-/* 30681 */   MCD_OPC_Decode, 206, 15, 168, 1, // Opcode: SRSRAvvi_4S
-/* 30686 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 30699
-/* 30690 */   MCD_OPC_CheckPredicate, 0, 80, 59, // Skip to: 45878
-/* 30694 */   MCD_OPC_Decode, 131, 13, 169, 1, // Opcode: SHLvvi_4S
-/* 30699 */   MCD_OPC_FilterValue, 3, 71, 59, // Skip to: 45878
-/* 30703 */   MCD_OPC_CheckPredicate, 0, 67, 59, // Skip to: 45878
-/* 30707 */   MCD_OPC_Decode, 248, 14, 169, 1, // Opcode: SQSHLvvi_4S
-/* 30712 */   MCD_OPC_FilterValue, 1, 58, 59, // Skip to: 45878
-/* 30716 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
-/* 30719 */   MCD_OPC_FilterValue, 0, 227, 0, // Skip to: 30950
-/* 30723 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 30726 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 30859
-/* 30730 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30733 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 30826
-/* 30737 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30740 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 30793
-/* 30744 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 30747 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30760
-/* 30751 */   MCD_OPC_CheckPredicate, 0, 19, 59, // Skip to: 45878
-/* 30755 */   MCD_OPC_Decode, 140, 11, 170, 1, // Opcode: MOVIvi_lsl_8H
-/* 30760 */   MCD_OPC_FilterValue, 1, 10, 59, // Skip to: 45878
-/* 30764 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 30767 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30780
-/* 30771 */   MCD_OPC_CheckPredicate, 0, 255, 58, // Skip to: 45878
-/* 30775 */   MCD_OPC_Decode, 134, 13, 164, 1, // Opcode: SHRNvvi_16B
-/* 30780 */   MCD_OPC_FilterValue, 1, 246, 58, // Skip to: 45878
-/* 30784 */   MCD_OPC_CheckPredicate, 0, 242, 58, // Skip to: 45878
-/* 30788 */   MCD_OPC_Decode, 209, 15, 165, 1, // Opcode: SSHLLvvi_16B
-/* 30793 */   MCD_OPC_FilterValue, 1, 233, 58, // Skip to: 45878
-/* 30797 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 30800 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30813
-/* 30804 */   MCD_OPC_CheckPredicate, 0, 222, 58, // Skip to: 45878
-/* 30808 */   MCD_OPC_Decode, 139, 13, 166, 1, // Opcode: SHRNvvi_8H
-/* 30813 */   MCD_OPC_FilterValue, 1, 213, 58, // Skip to: 45878
-/* 30817 */   MCD_OPC_CheckPredicate, 0, 209, 58, // Skip to: 45878
-/* 30821 */   MCD_OPC_Decode, 214, 15, 167, 1, // Opcode: SSHLLvvi_8H
-/* 30826 */   MCD_OPC_FilterValue, 1, 200, 58, // Skip to: 45878
-/* 30830 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 30833 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30846
-/* 30837 */   MCD_OPC_CheckPredicate, 0, 189, 58, // Skip to: 45878
-/* 30841 */   MCD_OPC_Decode, 137, 13, 168, 1, // Opcode: SHRNvvi_4S
-/* 30846 */   MCD_OPC_FilterValue, 1, 180, 58, // Skip to: 45878
-/* 30850 */   MCD_OPC_CheckPredicate, 0, 176, 58, // Skip to: 45878
-/* 30854 */   MCD_OPC_Decode, 212, 15, 169, 1, // Opcode: SSHLLvvi_4S
-/* 30859 */   MCD_OPC_FilterValue, 1, 167, 58, // Skip to: 45878
-/* 30863 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30866 */   MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 30931
-/* 30870 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 30873 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 30912
-/* 30877 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 30880 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 30893
-/* 30884 */   MCD_OPC_CheckPredicate, 0, 142, 58, // Skip to: 45878
-/* 30888 */   MCD_OPC_Decode, 201, 11, 171, 1, // Opcode: ORRvi_lsl_8H
-/* 30893 */   MCD_OPC_FilterValue, 1, 133, 58, // Skip to: 45878
-/* 30897 */   MCD_OPC_CheckPredicate, 0, 129, 58, // Skip to: 45878
-/* 30901 */   MCD_OPC_CheckField, 13, 1, 0, 123, 58, // Skip to: 45878
-/* 30907 */   MCD_OPC_Decode, 133, 15, 164, 1, // Opcode: SQSHRNvvi_16B
-/* 30912 */   MCD_OPC_FilterValue, 1, 114, 58, // Skip to: 45878
-/* 30916 */   MCD_OPC_CheckPredicate, 0, 110, 58, // Skip to: 45878
-/* 30920 */   MCD_OPC_CheckField, 13, 1, 0, 104, 58, // Skip to: 45878
-/* 30926 */   MCD_OPC_Decode, 138, 15, 166, 1, // Opcode: SQSHRNvvi_8H
-/* 30931 */   MCD_OPC_FilterValue, 1, 95, 58, // Skip to: 45878
-/* 30935 */   MCD_OPC_CheckPredicate, 0, 91, 58, // Skip to: 45878
-/* 30939 */   MCD_OPC_CheckField, 13, 1, 0, 85, 58, // Skip to: 45878
-/* 30945 */   MCD_OPC_Decode, 136, 15, 168, 1, // Opcode: SQSHRNvvi_4S
-/* 30950 */   MCD_OPC_FilterValue, 1, 76, 58, // Skip to: 45878
-/* 30954 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 30957 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 30976
-/* 30961 */   MCD_OPC_CheckPredicate, 0, 65, 58, // Skip to: 45878
-/* 30965 */   MCD_OPC_CheckField, 19, 3, 0, 59, 58, // Skip to: 45878
-/* 30971 */   MCD_OPC_Decode, 142, 11, 172, 1, // Opcode: MOVIvi_msl_4S
-/* 30976 */   MCD_OPC_FilterValue, 1, 50, 58, // Skip to: 45878
-/* 30980 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 30983 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 31022
-/* 30987 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 30990 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 31009
-/* 30994 */   MCD_OPC_CheckPredicate, 0, 32, 58, // Skip to: 45878
-/* 30998 */   MCD_OPC_CheckField, 19, 2, 0, 26, 58, // Skip to: 45878
-/* 31004 */   MCD_OPC_Decode, 134, 11, 173, 1, // Opcode: MOVIvi_16B
-/* 31009 */   MCD_OPC_FilterValue, 1, 17, 58, // Skip to: 45878
-/* 31013 */   MCD_OPC_CheckPredicate, 0, 13, 58, // Skip to: 45878
-/* 31017 */   MCD_OPC_Decode, 199, 21, 162, 1, // Opcode: VCVTxs2f_4S
-/* 31022 */   MCD_OPC_FilterValue, 1, 4, 58, // Skip to: 45878
-/* 31026 */   MCD_OPC_CheckPredicate, 0, 0, 58, // Skip to: 45878
-/* 31030 */   MCD_OPC_CheckField, 19, 3, 0, 250, 57, // Skip to: 45878
-/* 31036 */   MCD_OPC_Decode, 233, 5, 173, 1, // Opcode: FMOVvi_4S
-/* 31041 */   MCD_OPC_FilterValue, 3, 241, 57, // Skip to: 45878
-/* 31045 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 31048 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 31107
-/* 31052 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31055 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 31094
-/* 31059 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31062 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 31081
-/* 31066 */   MCD_OPC_CheckPredicate, 0, 216, 57, // Skip to: 45878
-/* 31070 */   MCD_OPC_CheckField, 19, 1, 1, 210, 57, // Skip to: 45878
-/* 31076 */   MCD_OPC_Decode, 140, 12, 164, 1, // Opcode: RSHRNvvi_16B
-/* 31081 */   MCD_OPC_FilterValue, 1, 201, 57, // Skip to: 45878
-/* 31085 */   MCD_OPC_CheckPredicate, 0, 197, 57, // Skip to: 45878
-/* 31089 */   MCD_OPC_Decode, 145, 12, 166, 1, // Opcode: RSHRNvvi_8H
-/* 31094 */   MCD_OPC_FilterValue, 1, 188, 57, // Skip to: 45878
-/* 31098 */   MCD_OPC_CheckPredicate, 0, 184, 57, // Skip to: 45878
-/* 31102 */   MCD_OPC_Decode, 143, 12, 168, 1, // Opcode: RSHRNvvi_4S
-/* 31107 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 31166
-/* 31111 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31114 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 31153
-/* 31118 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31121 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 31140
-/* 31125 */   MCD_OPC_CheckPredicate, 0, 157, 57, // Skip to: 45878
-/* 31129 */   MCD_OPC_CheckField, 19, 1, 1, 151, 57, // Skip to: 45878
-/* 31135 */   MCD_OPC_Decode, 216, 14, 164, 1, // Opcode: SQRSHRNvvi_16B
-/* 31140 */   MCD_OPC_FilterValue, 1, 142, 57, // Skip to: 45878
-/* 31144 */   MCD_OPC_CheckPredicate, 0, 138, 57, // Skip to: 45878
-/* 31148 */   MCD_OPC_Decode, 221, 14, 166, 1, // Opcode: SQRSHRNvvi_8H
-/* 31153 */   MCD_OPC_FilterValue, 1, 129, 57, // Skip to: 45878
-/* 31157 */   MCD_OPC_CheckPredicate, 0, 125, 57, // Skip to: 45878
-/* 31161 */   MCD_OPC_Decode, 219, 14, 168, 1, // Opcode: SQRSHRNvvi_4S
-/* 31166 */   MCD_OPC_FilterValue, 15, 116, 57, // Skip to: 45878
-/* 31170 */   MCD_OPC_CheckPredicate, 0, 112, 57, // Skip to: 45878
-/* 31174 */   MCD_OPC_CheckField, 21, 1, 1, 106, 57, // Skip to: 45878
-/* 31180 */   MCD_OPC_Decode, 193, 21, 162, 1, // Opcode: VCVTf2xs_4S
-/* 31185 */   MCD_OPC_FilterValue, 3, 97, 57, // Skip to: 45878
-/* 31189 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 31192 */   MCD_OPC_FilterValue, 1, 249, 2, // Skip to: 31957
-/* 31196 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 31199 */   MCD_OPC_FilterValue, 0, 169, 1, // Skip to: 31628
-/* 31203 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 31206 */   MCD_OPC_FilterValue, 0, 207, 0, // Skip to: 31417
-/* 31210 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31213 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 31358
-/* 31217 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31220 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 31299
-/* 31224 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 31227 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31240
-/* 31231 */   MCD_OPC_CheckPredicate, 0, 51, 57, // Skip to: 45878
-/* 31235 */   MCD_OPC_Decode, 166, 11, 159, 1, // Opcode: MVNIvi_lsl_4S
-/* 31240 */   MCD_OPC_FilterValue, 1, 42, 57, // Skip to: 45878
-/* 31244 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 31247 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31260
-/* 31251 */   MCD_OPC_CheckPredicate, 0, 31, 57, // Skip to: 45878
-/* 31255 */   MCD_OPC_Decode, 135, 21, 160, 1, // Opcode: USHRvvi_16B
-/* 31260 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 31273
-/* 31264 */   MCD_OPC_CheckPredicate, 0, 18, 57, // Skip to: 45878
-/* 31268 */   MCD_OPC_Decode, 231, 20, 160, 1, // Opcode: URSHRvvi_16B
-/* 31273 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 31286
-/* 31277 */   MCD_OPC_CheckPredicate, 0, 5, 57, // Skip to: 45878
-/* 31281 */   MCD_OPC_Decode, 178, 15, 164, 1, // Opcode: SRIvvi_16B
-/* 31286 */   MCD_OPC_FilterValue, 3, 252, 56, // Skip to: 45878
-/* 31290 */   MCD_OPC_CheckPredicate, 0, 248, 56, // Skip to: 45878
-/* 31294 */   MCD_OPC_Decode, 229, 14, 165, 1, // Opcode: SQSHLUvvi_16B
-/* 31299 */   MCD_OPC_FilterValue, 1, 239, 56, // Skip to: 45878
-/* 31303 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 31306 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31319
-/* 31310 */   MCD_OPC_CheckPredicate, 0, 228, 56, // Skip to: 45878
-/* 31314 */   MCD_OPC_Decode, 141, 21, 161, 1, // Opcode: USHRvvi_8H
-/* 31319 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 31332
-/* 31323 */   MCD_OPC_CheckPredicate, 0, 215, 56, // Skip to: 45878
-/* 31327 */   MCD_OPC_Decode, 237, 20, 161, 1, // Opcode: URSHRvvi_8H
-/* 31332 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 31345
-/* 31336 */   MCD_OPC_CheckPredicate, 0, 202, 56, // Skip to: 45878
-/* 31340 */   MCD_OPC_Decode, 184, 15, 166, 1, // Opcode: SRIvvi_8H
-/* 31345 */   MCD_OPC_FilterValue, 3, 193, 56, // Skip to: 45878
-/* 31349 */   MCD_OPC_CheckPredicate, 0, 189, 56, // Skip to: 45878
-/* 31353 */   MCD_OPC_Decode, 235, 14, 167, 1, // Opcode: SQSHLUvvi_8H
-/* 31358 */   MCD_OPC_FilterValue, 1, 180, 56, // Skip to: 45878
-/* 31362 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 31365 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31378
-/* 31369 */   MCD_OPC_CheckPredicate, 0, 169, 56, // Skip to: 45878
-/* 31373 */   MCD_OPC_Decode, 139, 21, 162, 1, // Opcode: USHRvvi_4S
-/* 31378 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 31391
-/* 31382 */   MCD_OPC_CheckPredicate, 0, 156, 56, // Skip to: 45878
-/* 31386 */   MCD_OPC_Decode, 235, 20, 162, 1, // Opcode: URSHRvvi_4S
-/* 31391 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 31404
-/* 31395 */   MCD_OPC_CheckPredicate, 0, 143, 56, // Skip to: 45878
-/* 31399 */   MCD_OPC_Decode, 182, 15, 168, 1, // Opcode: SRIvvi_4S
-/* 31404 */   MCD_OPC_FilterValue, 3, 134, 56, // Skip to: 45878
-/* 31408 */   MCD_OPC_CheckPredicate, 0, 130, 56, // Skip to: 45878
-/* 31412 */   MCD_OPC_Decode, 233, 14, 169, 1, // Opcode: SQSHLUvvi_4S
-/* 31417 */   MCD_OPC_FilterValue, 1, 121, 56, // Skip to: 45878
-/* 31421 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31424 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 31569
-/* 31428 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31431 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 31510
-/* 31435 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 31438 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31451
-/* 31442 */   MCD_OPC_CheckPredicate, 0, 96, 56, // Skip to: 45878
-/* 31446 */   MCD_OPC_Decode, 213, 1, 163, 1, // Opcode: BICvi_lsl_4S
-/* 31451 */   MCD_OPC_FilterValue, 1, 87, 56, // Skip to: 45878
-/* 31455 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 31458 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31471
-/* 31462 */   MCD_OPC_CheckPredicate, 0, 76, 56, // Skip to: 45878
-/* 31466 */   MCD_OPC_Decode, 154, 21, 164, 1, // Opcode: USRAvvi_16B
-/* 31471 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 31484
-/* 31475 */   MCD_OPC_CheckPredicate, 0, 63, 56, // Skip to: 45878
-/* 31479 */   MCD_OPC_Decode, 241, 20, 164, 1, // Opcode: URSRAvvi_16B
-/* 31484 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 31497
-/* 31488 */   MCD_OPC_CheckPredicate, 0, 50, 56, // Skip to: 45878
-/* 31492 */   MCD_OPC_Decode, 147, 13, 174, 1, // Opcode: SLIvvi_16B
-/* 31497 */   MCD_OPC_FilterValue, 3, 41, 56, // Skip to: 45878
-/* 31501 */   MCD_OPC_CheckPredicate, 0, 37, 56, // Skip to: 45878
-/* 31505 */   MCD_OPC_Decode, 171, 20, 165, 1, // Opcode: UQSHLvvi_16B
-/* 31510 */   MCD_OPC_FilterValue, 1, 28, 56, // Skip to: 45878
-/* 31514 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 31517 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31530
-/* 31521 */   MCD_OPC_CheckPredicate, 0, 17, 56, // Skip to: 45878
-/* 31525 */   MCD_OPC_Decode, 160, 21, 166, 1, // Opcode: USRAvvi_8H
-/* 31530 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 31543
-/* 31534 */   MCD_OPC_CheckPredicate, 0, 4, 56, // Skip to: 45878
-/* 31538 */   MCD_OPC_Decode, 247, 20, 166, 1, // Opcode: URSRAvvi_8H
-/* 31543 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 31556
-/* 31547 */   MCD_OPC_CheckPredicate, 0, 247, 55, // Skip to: 45878
-/* 31551 */   MCD_OPC_Decode, 153, 13, 175, 1, // Opcode: SLIvvi_8H
-/* 31556 */   MCD_OPC_FilterValue, 3, 238, 55, // Skip to: 45878
-/* 31560 */   MCD_OPC_CheckPredicate, 0, 234, 55, // Skip to: 45878
-/* 31564 */   MCD_OPC_Decode, 177, 20, 167, 1, // Opcode: UQSHLvvi_8H
-/* 31569 */   MCD_OPC_FilterValue, 1, 225, 55, // Skip to: 45878
-/* 31573 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
-/* 31576 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31589
-/* 31580 */   MCD_OPC_CheckPredicate, 0, 214, 55, // Skip to: 45878
-/* 31584 */   MCD_OPC_Decode, 158, 21, 168, 1, // Opcode: USRAvvi_4S
-/* 31589 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 31602
-/* 31593 */   MCD_OPC_CheckPredicate, 0, 201, 55, // Skip to: 45878
-/* 31597 */   MCD_OPC_Decode, 245, 20, 168, 1, // Opcode: URSRAvvi_4S
-/* 31602 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 31615
-/* 31606 */   MCD_OPC_CheckPredicate, 0, 188, 55, // Skip to: 45878
-/* 31610 */   MCD_OPC_Decode, 151, 13, 176, 1, // Opcode: SLIvvi_4S
-/* 31615 */   MCD_OPC_FilterValue, 3, 179, 55, // Skip to: 45878
-/* 31619 */   MCD_OPC_CheckPredicate, 0, 175, 55, // Skip to: 45878
-/* 31623 */   MCD_OPC_Decode, 175, 20, 169, 1, // Opcode: UQSHLvvi_4S
-/* 31628 */   MCD_OPC_FilterValue, 1, 166, 55, // Skip to: 45878
-/* 31632 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
-/* 31635 */   MCD_OPC_FilterValue, 0, 227, 0, // Skip to: 31866
-/* 31639 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 31642 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 31775
-/* 31646 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31649 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 31742
-/* 31653 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31656 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 31709
-/* 31660 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 31663 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31676
-/* 31667 */   MCD_OPC_CheckPredicate, 0, 127, 55, // Skip to: 45878
-/* 31671 */   MCD_OPC_Decode, 167, 11, 170, 1, // Opcode: MVNIvi_lsl_8H
-/* 31676 */   MCD_OPC_FilterValue, 1, 118, 55, // Skip to: 45878
-/* 31680 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 31683 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31696
-/* 31687 */   MCD_OPC_CheckPredicate, 0, 107, 55, // Skip to: 45878
-/* 31691 */   MCD_OPC_Decode, 231, 11, 164, 1, // Opcode: QSHRUNvvi_16B
-/* 31696 */   MCD_OPC_FilterValue, 1, 98, 55, // Skip to: 45878
-/* 31700 */   MCD_OPC_CheckPredicate, 0, 94, 55, // Skip to: 45878
-/* 31704 */   MCD_OPC_Decode, 248, 20, 165, 1, // Opcode: USHLLvvi_16B
-/* 31709 */   MCD_OPC_FilterValue, 1, 85, 55, // Skip to: 45878
-/* 31713 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 31716 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31729
-/* 31720 */   MCD_OPC_CheckPredicate, 0, 74, 55, // Skip to: 45878
-/* 31724 */   MCD_OPC_Decode, 236, 11, 166, 1, // Opcode: QSHRUNvvi_8H
-/* 31729 */   MCD_OPC_FilterValue, 1, 65, 55, // Skip to: 45878
-/* 31733 */   MCD_OPC_CheckPredicate, 0, 61, 55, // Skip to: 45878
-/* 31737 */   MCD_OPC_Decode, 253, 20, 167, 1, // Opcode: USHLLvvi_8H
-/* 31742 */   MCD_OPC_FilterValue, 1, 52, 55, // Skip to: 45878
-/* 31746 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 31749 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31762
-/* 31753 */   MCD_OPC_CheckPredicate, 0, 41, 55, // Skip to: 45878
-/* 31757 */   MCD_OPC_Decode, 234, 11, 168, 1, // Opcode: QSHRUNvvi_4S
-/* 31762 */   MCD_OPC_FilterValue, 1, 32, 55, // Skip to: 45878
-/* 31766 */   MCD_OPC_CheckPredicate, 0, 28, 55, // Skip to: 45878
-/* 31770 */   MCD_OPC_Decode, 251, 20, 169, 1, // Opcode: USHLLvvi_4S
-/* 31775 */   MCD_OPC_FilterValue, 1, 19, 55, // Skip to: 45878
-/* 31779 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31782 */   MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 31847
-/* 31786 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31789 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 31828
-/* 31793 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 31796 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 31809
-/* 31800 */   MCD_OPC_CheckPredicate, 0, 250, 54, // Skip to: 45878
-/* 31804 */   MCD_OPC_Decode, 214, 1, 171, 1, // Opcode: BICvi_lsl_8H
-/* 31809 */   MCD_OPC_FilterValue, 1, 241, 54, // Skip to: 45878
-/* 31813 */   MCD_OPC_CheckPredicate, 0, 237, 54, // Skip to: 45878
-/* 31817 */   MCD_OPC_CheckField, 13, 1, 0, 231, 54, // Skip to: 45878
-/* 31823 */   MCD_OPC_Decode, 188, 20, 164, 1, // Opcode: UQSHRNvvi_16B
-/* 31828 */   MCD_OPC_FilterValue, 1, 222, 54, // Skip to: 45878
-/* 31832 */   MCD_OPC_CheckPredicate, 0, 218, 54, // Skip to: 45878
-/* 31836 */   MCD_OPC_CheckField, 13, 1, 0, 212, 54, // Skip to: 45878
-/* 31842 */   MCD_OPC_Decode, 193, 20, 166, 1, // Opcode: UQSHRNvvi_8H
-/* 31847 */   MCD_OPC_FilterValue, 1, 203, 54, // Skip to: 45878
-/* 31851 */   MCD_OPC_CheckPredicate, 0, 199, 54, // Skip to: 45878
-/* 31855 */   MCD_OPC_CheckField, 13, 1, 0, 193, 54, // Skip to: 45878
-/* 31861 */   MCD_OPC_Decode, 191, 20, 168, 1, // Opcode: UQSHRNvvi_4S
-/* 31866 */   MCD_OPC_FilterValue, 1, 184, 54, // Skip to: 45878
-/* 31870 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 31873 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 31892
-/* 31877 */   MCD_OPC_CheckPredicate, 0, 173, 54, // Skip to: 45878
-/* 31881 */   MCD_OPC_CheckField, 19, 3, 0, 167, 54, // Skip to: 45878
-/* 31887 */   MCD_OPC_Decode, 169, 11, 172, 1, // Opcode: MVNIvi_msl_4S
-/* 31892 */   MCD_OPC_FilterValue, 1, 158, 54, // Skip to: 45878
-/* 31896 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 31899 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 31938
-/* 31903 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31906 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 31925
-/* 31910 */   MCD_OPC_CheckPredicate, 0, 140, 54, // Skip to: 45878
-/* 31914 */   MCD_OPC_CheckField, 19, 2, 0, 134, 54, // Skip to: 45878
-/* 31920 */   MCD_OPC_Decode, 135, 11, 173, 1, // Opcode: MOVIvi_2D
-/* 31925 */   MCD_OPC_FilterValue, 1, 125, 54, // Skip to: 45878
-/* 31929 */   MCD_OPC_CheckPredicate, 0, 121, 54, // Skip to: 45878
-/* 31933 */   MCD_OPC_Decode, 202, 21, 162, 1, // Opcode: VCVTxu2f_4S
-/* 31938 */   MCD_OPC_FilterValue, 1, 112, 54, // Skip to: 45878
-/* 31942 */   MCD_OPC_CheckPredicate, 0, 108, 54, // Skip to: 45878
-/* 31946 */   MCD_OPC_CheckField, 19, 3, 0, 102, 54, // Skip to: 45878
-/* 31952 */   MCD_OPC_Decode, 231, 5, 173, 1, // Opcode: FMOVvi_2D
-/* 31957 */   MCD_OPC_FilterValue, 3, 93, 54, // Skip to: 45878
-/* 31961 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 31964 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 32023
-/* 31968 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 31971 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 32010
-/* 31975 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 31978 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 31997
-/* 31982 */   MCD_OPC_CheckPredicate, 0, 68, 54, // Skip to: 45878
-/* 31986 */   MCD_OPC_CheckField, 19, 1, 1, 62, 54, // Skip to: 45878
-/* 31992 */   MCD_OPC_Decode, 225, 11, 164, 1, // Opcode: QRSHRUNvvi_16B
-/* 31997 */   MCD_OPC_FilterValue, 1, 53, 54, // Skip to: 45878
-/* 32001 */   MCD_OPC_CheckPredicate, 0, 49, 54, // Skip to: 45878
-/* 32005 */   MCD_OPC_Decode, 230, 11, 166, 1, // Opcode: QRSHRUNvvi_8H
-/* 32010 */   MCD_OPC_FilterValue, 1, 40, 54, // Skip to: 45878
-/* 32014 */   MCD_OPC_CheckPredicate, 0, 36, 54, // Skip to: 45878
-/* 32018 */   MCD_OPC_Decode, 228, 11, 168, 1, // Opcode: QRSHRUNvvi_4S
-/* 32023 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 32082
-/* 32027 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 32030 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 32069
-/* 32034 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 32037 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32056
-/* 32041 */   MCD_OPC_CheckPredicate, 0, 9, 54, // Skip to: 45878
-/* 32045 */   MCD_OPC_CheckField, 19, 1, 1, 3, 54, // Skip to: 45878
-/* 32051 */   MCD_OPC_Decode, 157, 20, 164, 1, // Opcode: UQRSHRNvvi_16B
-/* 32056 */   MCD_OPC_FilterValue, 1, 250, 53, // Skip to: 45878
-/* 32060 */   MCD_OPC_CheckPredicate, 0, 246, 53, // Skip to: 45878
-/* 32064 */   MCD_OPC_Decode, 162, 20, 166, 1, // Opcode: UQRSHRNvvi_8H
-/* 32069 */   MCD_OPC_FilterValue, 1, 237, 53, // Skip to: 45878
-/* 32073 */   MCD_OPC_CheckPredicate, 0, 233, 53, // Skip to: 45878
-/* 32077 */   MCD_OPC_Decode, 160, 20, 168, 1, // Opcode: UQRSHRNvvi_4S
-/* 32082 */   MCD_OPC_FilterValue, 15, 224, 53, // Skip to: 45878
-/* 32086 */   MCD_OPC_CheckPredicate, 0, 220, 53, // Skip to: 45878
-/* 32090 */   MCD_OPC_CheckField, 21, 1, 1, 214, 53, // Skip to: 45878
-/* 32096 */   MCD_OPC_Decode, 196, 21, 162, 1, // Opcode: VCVTf2xu_4S
-/* 32101 */   MCD_OPC_FilterValue, 13, 221, 3, // Skip to: 33094
-/* 32105 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 32108 */   MCD_OPC_FilterValue, 0, 80, 0, // Skip to: 32192
-/* 32112 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32115 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 32134
-/* 32119 */   MCD_OPC_CheckPredicate, 0, 187, 53, // Skip to: 45878
-/* 32123 */   MCD_OPC_CheckField, 10, 1, 0, 181, 53, // Skip to: 45878
-/* 32129 */   MCD_OPC_Decode, 242, 10, 177, 1, // Opcode: MLAvve_4h8h
-/* 32134 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 32153
-/* 32138 */   MCD_OPC_CheckPredicate, 0, 168, 53, // Skip to: 45878
-/* 32142 */   MCD_OPC_CheckField, 10, 2, 1, 162, 53, // Skip to: 45878
-/* 32148 */   MCD_OPC_Decode, 225, 15, 178, 1, // Opcode: SSHRvvi_2D
-/* 32153 */   MCD_OPC_FilterValue, 3, 153, 53, // Skip to: 45878
-/* 32157 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32160 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 32173
-/* 32164 */   MCD_OPC_CheckPredicate, 0, 142, 53, // Skip to: 45878
-/* 32168 */   MCD_OPC_Decode, 244, 10, 179, 1, // Opcode: MLAvve_8h8h
-/* 32173 */   MCD_OPC_FilterValue, 1, 133, 53, // Skip to: 45878
-/* 32177 */   MCD_OPC_CheckPredicate, 0, 129, 53, // Skip to: 45878
-/* 32181 */   MCD_OPC_CheckField, 11, 1, 0, 123, 53, // Skip to: 45878
-/* 32187 */   MCD_OPC_Decode, 136, 21, 178, 1, // Opcode: USHRvvi_2D
-/* 32192 */   MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 32237
-/* 32196 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32199 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 32218
-/* 32203 */   MCD_OPC_CheckPredicate, 0, 103, 53, // Skip to: 45878
-/* 32207 */   MCD_OPC_CheckField, 10, 2, 1, 97, 53, // Skip to: 45878
-/* 32213 */   MCD_OPC_Decode, 233, 15, 180, 1, // Opcode: SSRAvvi_2D
-/* 32218 */   MCD_OPC_FilterValue, 3, 88, 53, // Skip to: 45878
-/* 32222 */   MCD_OPC_CheckPredicate, 0, 84, 53, // Skip to: 45878
-/* 32226 */   MCD_OPC_CheckField, 10, 2, 1, 78, 53, // Skip to: 45878
-/* 32232 */   MCD_OPC_Decode, 155, 21, 180, 1, // Opcode: USRAvvi_2D
-/* 32237 */   MCD_OPC_FilterValue, 2, 119, 0, // Skip to: 32360
-/* 32241 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32244 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32263
-/* 32248 */   MCD_OPC_CheckPredicate, 0, 58, 53, // Skip to: 45878
-/* 32252 */   MCD_OPC_CheckField, 10, 1, 0, 52, 53, // Skip to: 45878
-/* 32258 */   MCD_OPC_Decode, 195, 13, 181, 1, // Opcode: SMLALvve_4s4h
-/* 32263 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 32282
-/* 32267 */   MCD_OPC_CheckPredicate, 0, 39, 53, // Skip to: 45878
-/* 32271 */   MCD_OPC_CheckField, 10, 1, 0, 33, 53, // Skip to: 45878
-/* 32277 */   MCD_OPC_Decode, 229, 19, 181, 1, // Opcode: UMLALvve_4s4h
-/* 32282 */   MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 32321
-/* 32286 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32289 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 32302
-/* 32293 */   MCD_OPC_CheckPredicate, 0, 13, 53, // Skip to: 45878
-/* 32297 */   MCD_OPC_Decode, 196, 13, 179, 1, // Opcode: SMLALvve_4s8h
-/* 32302 */   MCD_OPC_FilterValue, 1, 4, 53, // Skip to: 45878
-/* 32306 */   MCD_OPC_CheckPredicate, 0, 0, 53, // Skip to: 45878
-/* 32310 */   MCD_OPC_CheckField, 11, 1, 0, 250, 52, // Skip to: 45878
-/* 32316 */   MCD_OPC_Decode, 195, 15, 178, 1, // Opcode: SRSHRvvi_2D
-/* 32321 */   MCD_OPC_FilterValue, 3, 241, 52, // Skip to: 45878
-/* 32325 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32328 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 32341
-/* 32332 */   MCD_OPC_CheckPredicate, 0, 230, 52, // Skip to: 45878
-/* 32336 */   MCD_OPC_Decode, 230, 19, 179, 1, // Opcode: UMLALvve_4s8h
-/* 32341 */   MCD_OPC_FilterValue, 1, 221, 52, // Skip to: 45878
-/* 32345 */   MCD_OPC_CheckPredicate, 0, 217, 52, // Skip to: 45878
-/* 32349 */   MCD_OPC_CheckField, 11, 1, 0, 211, 52, // Skip to: 45878
-/* 32355 */   MCD_OPC_Decode, 232, 20, 178, 1, // Opcode: URSHRvvi_2D
-/* 32360 */   MCD_OPC_FilterValue, 3, 80, 0, // Skip to: 32444
-/* 32364 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32367 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32386
-/* 32371 */   MCD_OPC_CheckPredicate, 0, 191, 52, // Skip to: 45878
-/* 32375 */   MCD_OPC_CheckField, 10, 1, 0, 185, 52, // Skip to: 45878
-/* 32381 */   MCD_OPC_Decode, 131, 14, 181, 1, // Opcode: SQDMLALvve_4s4h
-/* 32386 */   MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 32425
-/* 32390 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32393 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 32406
-/* 32397 */   MCD_OPC_CheckPredicate, 0, 165, 52, // Skip to: 45878
-/* 32401 */   MCD_OPC_Decode, 132, 14, 179, 1, // Opcode: SQDMLALvve_4s8h
-/* 32406 */   MCD_OPC_FilterValue, 1, 156, 52, // Skip to: 45878
-/* 32410 */   MCD_OPC_CheckPredicate, 0, 152, 52, // Skip to: 45878
-/* 32414 */   MCD_OPC_CheckField, 11, 1, 0, 146, 52, // Skip to: 45878
-/* 32420 */   MCD_OPC_Decode, 203, 15, 180, 1, // Opcode: SRSRAvvi_2D
-/* 32425 */   MCD_OPC_FilterValue, 3, 137, 52, // Skip to: 45878
-/* 32429 */   MCD_OPC_CheckPredicate, 0, 133, 52, // Skip to: 45878
-/* 32433 */   MCD_OPC_CheckField, 10, 2, 1, 127, 52, // Skip to: 45878
-/* 32439 */   MCD_OPC_Decode, 242, 20, 180, 1, // Opcode: URSRAvvi_2D
-/* 32444 */   MCD_OPC_FilterValue, 4, 61, 0, // Skip to: 32509
-/* 32448 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32451 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 32484
-/* 32455 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32458 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 32471
-/* 32462 */   MCD_OPC_CheckPredicate, 0, 100, 52, // Skip to: 45878
-/* 32466 */   MCD_OPC_Decode, 252, 10, 177, 1, // Opcode: MLSvve_4h8h
-/* 32471 */   MCD_OPC_FilterValue, 3, 91, 52, // Skip to: 45878
-/* 32475 */   MCD_OPC_CheckPredicate, 0, 87, 52, // Skip to: 45878
-/* 32479 */   MCD_OPC_Decode, 254, 10, 179, 1, // Opcode: MLSvve_8h8h
-/* 32484 */   MCD_OPC_FilterValue, 1, 78, 52, // Skip to: 45878
-/* 32488 */   MCD_OPC_CheckPredicate, 0, 74, 52, // Skip to: 45878
-/* 32492 */   MCD_OPC_CheckField, 29, 3, 3, 68, 52, // Skip to: 45878
-/* 32498 */   MCD_OPC_CheckField, 11, 1, 0, 62, 52, // Skip to: 45878
-/* 32504 */   MCD_OPC_Decode, 179, 15, 180, 1, // Opcode: SRIvvi_2D
-/* 32509 */   MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 32554
-/* 32513 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32516 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 32535
-/* 32520 */   MCD_OPC_CheckPredicate, 0, 42, 52, // Skip to: 45878
-/* 32524 */   MCD_OPC_CheckField, 10, 2, 1, 36, 52, // Skip to: 45878
-/* 32530 */   MCD_OPC_Decode, 128, 13, 182, 1, // Opcode: SHLvvi_2D
-/* 32535 */   MCD_OPC_FilterValue, 3, 27, 52, // Skip to: 45878
-/* 32539 */   MCD_OPC_CheckPredicate, 0, 23, 52, // Skip to: 45878
-/* 32543 */   MCD_OPC_CheckField, 10, 2, 1, 17, 52, // Skip to: 45878
-/* 32549 */   MCD_OPC_Decode, 148, 13, 183, 1, // Opcode: SLIvvi_2D
-/* 32554 */   MCD_OPC_FilterValue, 6, 99, 0, // Skip to: 32657
-/* 32558 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32561 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32580
-/* 32565 */   MCD_OPC_CheckPredicate, 0, 253, 51, // Skip to: 45878
-/* 32569 */   MCD_OPC_CheckField, 10, 1, 0, 247, 51, // Skip to: 45878
-/* 32575 */   MCD_OPC_Decode, 205, 13, 181, 1, // Opcode: SMLSLvve_4s4h
-/* 32580 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 32599
-/* 32584 */   MCD_OPC_CheckPredicate, 0, 234, 51, // Skip to: 45878
-/* 32588 */   MCD_OPC_CheckField, 10, 1, 0, 228, 51, // Skip to: 45878
-/* 32594 */   MCD_OPC_Decode, 239, 19, 181, 1, // Opcode: UMLSLvve_4s4h
-/* 32599 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 32618
-/* 32603 */   MCD_OPC_CheckPredicate, 0, 215, 51, // Skip to: 45878
-/* 32607 */   MCD_OPC_CheckField, 10, 1, 0, 209, 51, // Skip to: 45878
-/* 32613 */   MCD_OPC_Decode, 206, 13, 179, 1, // Opcode: SMLSLvve_4s8h
-/* 32618 */   MCD_OPC_FilterValue, 3, 200, 51, // Skip to: 45878
-/* 32622 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32625 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 32638
-/* 32629 */   MCD_OPC_CheckPredicate, 0, 189, 51, // Skip to: 45878
-/* 32633 */   MCD_OPC_Decode, 240, 19, 179, 1, // Opcode: UMLSLvve_4s8h
-/* 32638 */   MCD_OPC_FilterValue, 1, 180, 51, // Skip to: 45878
-/* 32642 */   MCD_OPC_CheckPredicate, 0, 176, 51, // Skip to: 45878
-/* 32646 */   MCD_OPC_CheckField, 11, 1, 0, 170, 51, // Skip to: 45878
-/* 32652 */   MCD_OPC_Decode, 230, 14, 182, 1, // Opcode: SQSHLUvvi_2D
-/* 32657 */   MCD_OPC_FilterValue, 7, 80, 0, // Skip to: 32741
-/* 32661 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32664 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32683
-/* 32668 */   MCD_OPC_CheckPredicate, 0, 150, 51, // Skip to: 45878
-/* 32672 */   MCD_OPC_CheckField, 10, 1, 0, 144, 51, // Skip to: 45878
-/* 32678 */   MCD_OPC_Decode, 145, 14, 181, 1, // Opcode: SQDMLSLvve_4s4h
-/* 32683 */   MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 32722
-/* 32687 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 32690 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 32703
-/* 32694 */   MCD_OPC_CheckPredicate, 0, 124, 51, // Skip to: 45878
-/* 32698 */   MCD_OPC_Decode, 146, 14, 179, 1, // Opcode: SQDMLSLvve_4s8h
-/* 32703 */   MCD_OPC_FilterValue, 1, 115, 51, // Skip to: 45878
-/* 32707 */   MCD_OPC_CheckPredicate, 0, 111, 51, // Skip to: 45878
-/* 32711 */   MCD_OPC_CheckField, 11, 1, 0, 105, 51, // Skip to: 45878
-/* 32717 */   MCD_OPC_Decode, 245, 14, 182, 1, // Opcode: SQSHLvvi_2D
-/* 32722 */   MCD_OPC_FilterValue, 3, 96, 51, // Skip to: 45878
-/* 32726 */   MCD_OPC_CheckPredicate, 0, 92, 51, // Skip to: 45878
-/* 32730 */   MCD_OPC_CheckField, 10, 2, 1, 86, 51, // Skip to: 45878
-/* 32736 */   MCD_OPC_Decode, 172, 20, 182, 1, // Opcode: UQSHLvvi_2D
-/* 32741 */   MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 32786
-/* 32745 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32748 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32767
-/* 32752 */   MCD_OPC_CheckPredicate, 0, 66, 51, // Skip to: 45878
-/* 32756 */   MCD_OPC_CheckField, 10, 1, 0, 60, 51, // Skip to: 45878
-/* 32762 */   MCD_OPC_Decode, 155, 11, 184, 1, // Opcode: MULve_4h8h
-/* 32767 */   MCD_OPC_FilterValue, 2, 51, 51, // Skip to: 45878
-/* 32771 */   MCD_OPC_CheckPredicate, 0, 47, 51, // Skip to: 45878
-/* 32775 */   MCD_OPC_CheckField, 10, 1, 0, 41, 51, // Skip to: 45878
-/* 32781 */   MCD_OPC_Decode, 157, 11, 185, 1, // Opcode: MULve_8h8h
-/* 32786 */   MCD_OPC_FilterValue, 10, 79, 0, // Skip to: 32869
-/* 32790 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32793 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32812
-/* 32797 */   MCD_OPC_CheckPredicate, 0, 21, 51, // Skip to: 45878
-/* 32801 */   MCD_OPC_CheckField, 10, 1, 0, 15, 51, // Skip to: 45878
-/* 32807 */   MCD_OPC_Decode, 222, 13, 186, 1, // Opcode: SMULLve_4s4h
-/* 32812 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 32831
-/* 32816 */   MCD_OPC_CheckPredicate, 0, 2, 51, // Skip to: 45878
-/* 32820 */   MCD_OPC_CheckField, 10, 1, 0, 252, 50, // Skip to: 45878
-/* 32826 */   MCD_OPC_Decode, 255, 19, 186, 1, // Opcode: UMULLve_4s4h
-/* 32831 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 32850
-/* 32835 */   MCD_OPC_CheckPredicate, 0, 239, 50, // Skip to: 45878
-/* 32839 */   MCD_OPC_CheckField, 10, 1, 0, 233, 50, // Skip to: 45878
-/* 32845 */   MCD_OPC_Decode, 223, 13, 185, 1, // Opcode: SMULLve_4s8h
-/* 32850 */   MCD_OPC_FilterValue, 3, 224, 50, // Skip to: 45878
-/* 32854 */   MCD_OPC_CheckPredicate, 0, 220, 50, // Skip to: 45878
-/* 32858 */   MCD_OPC_CheckField, 10, 1, 0, 214, 50, // Skip to: 45878
-/* 32864 */   MCD_OPC_Decode, 128, 20, 185, 1, // Opcode: UMULLve_4s8h
-/* 32869 */   MCD_OPC_FilterValue, 11, 41, 0, // Skip to: 32914
-/* 32873 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32876 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32895
-/* 32880 */   MCD_OPC_CheckPredicate, 0, 194, 50, // Skip to: 45878
-/* 32884 */   MCD_OPC_CheckField, 10, 1, 0, 188, 50, // Skip to: 45878
-/* 32890 */   MCD_OPC_Decode, 173, 14, 186, 1, // Opcode: SQDMULLve_4s4h
-/* 32895 */   MCD_OPC_FilterValue, 2, 179, 50, // Skip to: 45878
-/* 32899 */   MCD_OPC_CheckPredicate, 0, 175, 50, // Skip to: 45878
-/* 32903 */   MCD_OPC_CheckField, 10, 1, 0, 169, 50, // Skip to: 45878
-/* 32909 */   MCD_OPC_Decode, 174, 14, 185, 1, // Opcode: SQDMULLve_4s8h
-/* 32914 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 32959
-/* 32918 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32921 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32940
-/* 32925 */   MCD_OPC_CheckPredicate, 0, 149, 50, // Skip to: 45878
-/* 32929 */   MCD_OPC_CheckField, 10, 1, 0, 143, 50, // Skip to: 45878
-/* 32935 */   MCD_OPC_Decode, 156, 14, 184, 1, // Opcode: SQDMULHve_4h8h
-/* 32940 */   MCD_OPC_FilterValue, 2, 134, 50, // Skip to: 45878
-/* 32944 */   MCD_OPC_CheckPredicate, 0, 130, 50, // Skip to: 45878
-/* 32948 */   MCD_OPC_CheckField, 10, 1, 0, 124, 50, // Skip to: 45878
-/* 32954 */   MCD_OPC_Decode, 158, 14, 185, 1, // Opcode: SQDMULHve_8h8h
-/* 32959 */   MCD_OPC_FilterValue, 13, 41, 0, // Skip to: 33004
-/* 32963 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 32966 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 32985
-/* 32970 */   MCD_OPC_CheckPredicate, 0, 104, 50, // Skip to: 45878
-/* 32974 */   MCD_OPC_CheckField, 10, 1, 0, 98, 50, // Skip to: 45878
-/* 32980 */   MCD_OPC_Decode, 195, 14, 184, 1, // Opcode: SQRDMULHve_4h8h
-/* 32985 */   MCD_OPC_FilterValue, 2, 89, 50, // Skip to: 45878
-/* 32989 */   MCD_OPC_CheckPredicate, 0, 85, 50, // Skip to: 45878
-/* 32993 */   MCD_OPC_CheckField, 10, 1, 0, 79, 50, // Skip to: 45878
-/* 32999 */   MCD_OPC_Decode, 197, 14, 185, 1, // Opcode: SQRDMULHve_8h8h
-/* 33004 */   MCD_OPC_FilterValue, 14, 41, 0, // Skip to: 33049
-/* 33008 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33011 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 33030
-/* 33015 */   MCD_OPC_CheckPredicate, 0, 59, 50, // Skip to: 45878
-/* 33019 */   MCD_OPC_CheckField, 10, 2, 1, 53, 50, // Skip to: 45878
-/* 33025 */   MCD_OPC_Decode, 197, 21, 178, 1, // Opcode: VCVTxs2f_2D
-/* 33030 */   MCD_OPC_FilterValue, 3, 44, 50, // Skip to: 45878
-/* 33034 */   MCD_OPC_CheckPredicate, 0, 40, 50, // Skip to: 45878
-/* 33038 */   MCD_OPC_CheckField, 10, 2, 1, 34, 50, // Skip to: 45878
-/* 33044 */   MCD_OPC_Decode, 200, 21, 178, 1, // Opcode: VCVTxu2f_2D
-/* 33049 */   MCD_OPC_FilterValue, 15, 25, 50, // Skip to: 45878
-/* 33053 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33056 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 33075
-/* 33060 */   MCD_OPC_CheckPredicate, 0, 14, 50, // Skip to: 45878
-/* 33064 */   MCD_OPC_CheckField, 10, 2, 3, 8, 50, // Skip to: 45878
-/* 33070 */   MCD_OPC_Decode, 191, 21, 178, 1, // Opcode: VCVTf2xs_2D
-/* 33075 */   MCD_OPC_FilterValue, 3, 255, 49, // Skip to: 45878
-/* 33079 */   MCD_OPC_CheckPredicate, 0, 251, 49, // Skip to: 45878
-/* 33083 */   MCD_OPC_CheckField, 10, 2, 3, 245, 49, // Skip to: 45878
-/* 33089 */   MCD_OPC_Decode, 194, 21, 178, 1, // Opcode: VCVTf2xu_2D
-/* 33094 */   MCD_OPC_FilterValue, 14, 17, 3, // Skip to: 33883
-/* 33098 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 33101 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 33146
-/* 33105 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33108 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 33127
-/* 33112 */   MCD_OPC_CheckPredicate, 0, 218, 49, // Skip to: 45878
-/* 33116 */   MCD_OPC_CheckField, 10, 1, 0, 212, 49, // Skip to: 45878
-/* 33122 */   MCD_OPC_Decode, 241, 10, 187, 1, // Opcode: MLAvve_2s4s
-/* 33127 */   MCD_OPC_FilterValue, 3, 203, 49, // Skip to: 45878
-/* 33131 */   MCD_OPC_CheckPredicate, 0, 199, 49, // Skip to: 45878
-/* 33135 */   MCD_OPC_CheckField, 10, 1, 0, 193, 49, // Skip to: 45878
-/* 33141 */   MCD_OPC_Decode, 243, 10, 188, 1, // Opcode: MLAvve_4s4s
-/* 33146 */   MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 33191
-/* 33150 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33153 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33172
-/* 33157 */   MCD_OPC_CheckPredicate, 0, 173, 49, // Skip to: 45878
-/* 33161 */   MCD_OPC_CheckField, 10, 1, 0, 167, 49, // Skip to: 45878
-/* 33167 */   MCD_OPC_Decode, 212, 5, 187, 1, // Opcode: FMLAvve_2s4s
-/* 33172 */   MCD_OPC_FilterValue, 2, 158, 49, // Skip to: 45878
-/* 33176 */   MCD_OPC_CheckPredicate, 0, 154, 49, // Skip to: 45878
-/* 33180 */   MCD_OPC_CheckField, 10, 1, 0, 148, 49, // Skip to: 45878
-/* 33186 */   MCD_OPC_Decode, 213, 5, 188, 1, // Opcode: FMLAvve_4s4s
-/* 33191 */   MCD_OPC_FilterValue, 2, 79, 0, // Skip to: 33274
-/* 33195 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33198 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33217
-/* 33202 */   MCD_OPC_CheckPredicate, 0, 128, 49, // Skip to: 45878
-/* 33206 */   MCD_OPC_CheckField, 10, 1, 0, 122, 49, // Skip to: 45878
-/* 33212 */   MCD_OPC_Decode, 193, 13, 189, 1, // Opcode: SMLALvve_2d2s
-/* 33217 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 33236
-/* 33221 */   MCD_OPC_CheckPredicate, 0, 109, 49, // Skip to: 45878
-/* 33225 */   MCD_OPC_CheckField, 10, 1, 0, 103, 49, // Skip to: 45878
-/* 33231 */   MCD_OPC_Decode, 227, 19, 189, 1, // Opcode: UMLALvve_2d2s
-/* 33236 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 33255
-/* 33240 */   MCD_OPC_CheckPredicate, 0, 90, 49, // Skip to: 45878
-/* 33244 */   MCD_OPC_CheckField, 10, 1, 0, 84, 49, // Skip to: 45878
-/* 33250 */   MCD_OPC_Decode, 194, 13, 188, 1, // Opcode: SMLALvve_2d4s
-/* 33255 */   MCD_OPC_FilterValue, 3, 75, 49, // Skip to: 45878
-/* 33259 */   MCD_OPC_CheckPredicate, 0, 71, 49, // Skip to: 45878
-/* 33263 */   MCD_OPC_CheckField, 10, 1, 0, 65, 49, // Skip to: 45878
-/* 33269 */   MCD_OPC_Decode, 228, 19, 188, 1, // Opcode: UMLALvve_2d4s
-/* 33274 */   MCD_OPC_FilterValue, 3, 41, 0, // Skip to: 33319
-/* 33278 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33281 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33300
-/* 33285 */   MCD_OPC_CheckPredicate, 0, 45, 49, // Skip to: 45878
-/* 33289 */   MCD_OPC_CheckField, 10, 1, 0, 39, 49, // Skip to: 45878
-/* 33295 */   MCD_OPC_Decode, 129, 14, 189, 1, // Opcode: SQDMLALvve_2d2s
-/* 33300 */   MCD_OPC_FilterValue, 2, 30, 49, // Skip to: 45878
-/* 33304 */   MCD_OPC_CheckPredicate, 0, 26, 49, // Skip to: 45878
-/* 33308 */   MCD_OPC_CheckField, 10, 1, 0, 20, 49, // Skip to: 45878
-/* 33314 */   MCD_OPC_Decode, 130, 14, 188, 1, // Opcode: SQDMLALvve_2d4s
-/* 33319 */   MCD_OPC_FilterValue, 4, 41, 0, // Skip to: 33364
-/* 33323 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33326 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 33345
-/* 33330 */   MCD_OPC_CheckPredicate, 0, 0, 49, // Skip to: 45878
-/* 33334 */   MCD_OPC_CheckField, 10, 1, 0, 250, 48, // Skip to: 45878
-/* 33340 */   MCD_OPC_Decode, 251, 10, 187, 1, // Opcode: MLSvve_2s4s
-/* 33345 */   MCD_OPC_FilterValue, 3, 241, 48, // Skip to: 45878
-/* 33349 */   MCD_OPC_CheckPredicate, 0, 237, 48, // Skip to: 45878
-/* 33353 */   MCD_OPC_CheckField, 10, 1, 0, 231, 48, // Skip to: 45878
-/* 33359 */   MCD_OPC_Decode, 253, 10, 188, 1, // Opcode: MLSvve_4s4s
-/* 33364 */   MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 33409
-/* 33368 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33371 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33390
-/* 33375 */   MCD_OPC_CheckPredicate, 0, 211, 48, // Skip to: 45878
-/* 33379 */   MCD_OPC_CheckField, 10, 1, 0, 205, 48, // Skip to: 45878
-/* 33385 */   MCD_OPC_Decode, 220, 5, 187, 1, // Opcode: FMLSvve_2s4s
-/* 33390 */   MCD_OPC_FilterValue, 2, 196, 48, // Skip to: 45878
-/* 33394 */   MCD_OPC_CheckPredicate, 0, 192, 48, // Skip to: 45878
-/* 33398 */   MCD_OPC_CheckField, 10, 1, 0, 186, 48, // Skip to: 45878
-/* 33404 */   MCD_OPC_Decode, 221, 5, 188, 1, // Opcode: FMLSvve_4s4s
-/* 33409 */   MCD_OPC_FilterValue, 6, 79, 0, // Skip to: 33492
-/* 33413 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33416 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33435
-/* 33420 */   MCD_OPC_CheckPredicate, 0, 166, 48, // Skip to: 45878
-/* 33424 */   MCD_OPC_CheckField, 10, 1, 0, 160, 48, // Skip to: 45878
-/* 33430 */   MCD_OPC_Decode, 203, 13, 189, 1, // Opcode: SMLSLvve_2d2s
-/* 33435 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 33454
-/* 33439 */   MCD_OPC_CheckPredicate, 0, 147, 48, // Skip to: 45878
-/* 33443 */   MCD_OPC_CheckField, 10, 1, 0, 141, 48, // Skip to: 45878
-/* 33449 */   MCD_OPC_Decode, 237, 19, 189, 1, // Opcode: UMLSLvve_2d2s
-/* 33454 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 33473
-/* 33458 */   MCD_OPC_CheckPredicate, 0, 128, 48, // Skip to: 45878
-/* 33462 */   MCD_OPC_CheckField, 10, 1, 0, 122, 48, // Skip to: 45878
-/* 33468 */   MCD_OPC_Decode, 204, 13, 188, 1, // Opcode: SMLSLvve_2d4s
-/* 33473 */   MCD_OPC_FilterValue, 3, 113, 48, // Skip to: 45878
-/* 33477 */   MCD_OPC_CheckPredicate, 0, 109, 48, // Skip to: 45878
-/* 33481 */   MCD_OPC_CheckField, 10, 1, 0, 103, 48, // Skip to: 45878
-/* 33487 */   MCD_OPC_Decode, 238, 19, 188, 1, // Opcode: UMLSLvve_2d4s
-/* 33492 */   MCD_OPC_FilterValue, 7, 41, 0, // Skip to: 33537
-/* 33496 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33499 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33518
-/* 33503 */   MCD_OPC_CheckPredicate, 0, 83, 48, // Skip to: 45878
-/* 33507 */   MCD_OPC_CheckField, 10, 1, 0, 77, 48, // Skip to: 45878
-/* 33513 */   MCD_OPC_Decode, 143, 14, 189, 1, // Opcode: SQDMLSLvve_2d2s
-/* 33518 */   MCD_OPC_FilterValue, 2, 68, 48, // Skip to: 45878
-/* 33522 */   MCD_OPC_CheckPredicate, 0, 64, 48, // Skip to: 45878
-/* 33526 */   MCD_OPC_CheckField, 10, 1, 0, 58, 48, // Skip to: 45878
-/* 33532 */   MCD_OPC_Decode, 144, 14, 188, 1, // Opcode: SQDMLSLvve_2d4s
-/* 33537 */   MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 33582
-/* 33541 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33544 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33563
-/* 33548 */   MCD_OPC_CheckPredicate, 0, 38, 48, // Skip to: 45878
-/* 33552 */   MCD_OPC_CheckField, 10, 1, 0, 32, 48, // Skip to: 45878
-/* 33558 */   MCD_OPC_Decode, 154, 11, 190, 1, // Opcode: MULve_2s4s
-/* 33563 */   MCD_OPC_FilterValue, 2, 23, 48, // Skip to: 45878
-/* 33567 */   MCD_OPC_CheckPredicate, 0, 19, 48, // Skip to: 45878
-/* 33571 */   MCD_OPC_CheckField, 10, 1, 0, 13, 48, // Skip to: 45878
-/* 33577 */   MCD_OPC_Decode, 156, 11, 191, 1, // Opcode: MULve_4s4s
-/* 33582 */   MCD_OPC_FilterValue, 9, 79, 0, // Skip to: 33665
-/* 33586 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33589 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33608
-/* 33593 */   MCD_OPC_CheckPredicate, 0, 249, 47, // Skip to: 45878
-/* 33597 */   MCD_OPC_CheckField, 10, 1, 0, 243, 47, // Skip to: 45878
-/* 33603 */   MCD_OPC_Decode, 255, 5, 190, 1, // Opcode: FMULve_2s4s
-/* 33608 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 33627
-/* 33612 */   MCD_OPC_CheckPredicate, 0, 230, 47, // Skip to: 45878
-/* 33616 */   MCD_OPC_CheckField, 10, 1, 0, 224, 47, // Skip to: 45878
-/* 33622 */   MCD_OPC_Decode, 245, 5, 190, 1, // Opcode: FMULXve_2s4s
-/* 33627 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 33646
-/* 33631 */   MCD_OPC_CheckPredicate, 0, 211, 47, // Skip to: 45878
-/* 33635 */   MCD_OPC_CheckField, 10, 1, 0, 205, 47, // Skip to: 45878
-/* 33641 */   MCD_OPC_Decode, 128, 6, 191, 1, // Opcode: FMULve_4s4s
-/* 33646 */   MCD_OPC_FilterValue, 3, 196, 47, // Skip to: 45878
-/* 33650 */   MCD_OPC_CheckPredicate, 0, 192, 47, // Skip to: 45878
-/* 33654 */   MCD_OPC_CheckField, 10, 1, 0, 186, 47, // Skip to: 45878
-/* 33660 */   MCD_OPC_Decode, 246, 5, 191, 1, // Opcode: FMULXve_4s4s
-/* 33665 */   MCD_OPC_FilterValue, 10, 79, 0, // Skip to: 33748
-/* 33669 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33672 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33691
-/* 33676 */   MCD_OPC_CheckPredicate, 0, 166, 47, // Skip to: 45878
-/* 33680 */   MCD_OPC_CheckField, 10, 1, 0, 160, 47, // Skip to: 45878
-/* 33686 */   MCD_OPC_Decode, 220, 13, 192, 1, // Opcode: SMULLve_2d2s
-/* 33691 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 33710
-/* 33695 */   MCD_OPC_CheckPredicate, 0, 147, 47, // Skip to: 45878
-/* 33699 */   MCD_OPC_CheckField, 10, 1, 0, 141, 47, // Skip to: 45878
-/* 33705 */   MCD_OPC_Decode, 253, 19, 192, 1, // Opcode: UMULLve_2d2s
-/* 33710 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 33729
-/* 33714 */   MCD_OPC_CheckPredicate, 0, 128, 47, // Skip to: 45878
-/* 33718 */   MCD_OPC_CheckField, 10, 1, 0, 122, 47, // Skip to: 45878
-/* 33724 */   MCD_OPC_Decode, 221, 13, 191, 1, // Opcode: SMULLve_2d4s
-/* 33729 */   MCD_OPC_FilterValue, 3, 113, 47, // Skip to: 45878
-/* 33733 */   MCD_OPC_CheckPredicate, 0, 109, 47, // Skip to: 45878
-/* 33737 */   MCD_OPC_CheckField, 10, 1, 0, 103, 47, // Skip to: 45878
-/* 33743 */   MCD_OPC_Decode, 254, 19, 191, 1, // Opcode: UMULLve_2d4s
-/* 33748 */   MCD_OPC_FilterValue, 11, 41, 0, // Skip to: 33793
-/* 33752 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33755 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33774
-/* 33759 */   MCD_OPC_CheckPredicate, 0, 83, 47, // Skip to: 45878
-/* 33763 */   MCD_OPC_CheckField, 10, 1, 0, 77, 47, // Skip to: 45878
-/* 33769 */   MCD_OPC_Decode, 171, 14, 192, 1, // Opcode: SQDMULLve_2d2s
-/* 33774 */   MCD_OPC_FilterValue, 2, 68, 47, // Skip to: 45878
-/* 33778 */   MCD_OPC_CheckPredicate, 0, 64, 47, // Skip to: 45878
-/* 33782 */   MCD_OPC_CheckField, 10, 1, 0, 58, 47, // Skip to: 45878
-/* 33788 */   MCD_OPC_Decode, 172, 14, 191, 1, // Opcode: SQDMULLve_2d4s
-/* 33793 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 33838
-/* 33797 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33800 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33819
-/* 33804 */   MCD_OPC_CheckPredicate, 0, 38, 47, // Skip to: 45878
-/* 33808 */   MCD_OPC_CheckField, 10, 1, 0, 32, 47, // Skip to: 45878
-/* 33814 */   MCD_OPC_Decode, 155, 14, 190, 1, // Opcode: SQDMULHve_2s4s
-/* 33819 */   MCD_OPC_FilterValue, 2, 23, 47, // Skip to: 45878
-/* 33823 */   MCD_OPC_CheckPredicate, 0, 19, 47, // Skip to: 45878
-/* 33827 */   MCD_OPC_CheckField, 10, 1, 0, 13, 47, // Skip to: 45878
-/* 33833 */   MCD_OPC_Decode, 157, 14, 191, 1, // Opcode: SQDMULHve_4s4s
-/* 33838 */   MCD_OPC_FilterValue, 13, 4, 47, // Skip to: 45878
-/* 33842 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33845 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 33864
-/* 33849 */   MCD_OPC_CheckPredicate, 0, 249, 46, // Skip to: 45878
-/* 33853 */   MCD_OPC_CheckField, 10, 1, 0, 243, 46, // Skip to: 45878
-/* 33859 */   MCD_OPC_Decode, 194, 14, 190, 1, // Opcode: SQRDMULHve_2s4s
-/* 33864 */   MCD_OPC_FilterValue, 2, 234, 46, // Skip to: 45878
-/* 33868 */   MCD_OPC_CheckPredicate, 0, 230, 46, // Skip to: 45878
-/* 33872 */   MCD_OPC_CheckField, 10, 1, 0, 224, 46, // Skip to: 45878
-/* 33878 */   MCD_OPC_Decode, 196, 14, 191, 1, // Opcode: SQRDMULHve_4s4s
-/* 33883 */   MCD_OPC_FilterValue, 15, 215, 46, // Skip to: 45878
-/* 33887 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 33890 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 33921
-/* 33894 */   MCD_OPC_CheckPredicate, 0, 204, 46, // Skip to: 45878
-/* 33898 */   MCD_OPC_CheckField, 29, 3, 2, 198, 46, // Skip to: 45878
-/* 33904 */   MCD_OPC_CheckField, 21, 1, 0, 192, 46, // Skip to: 45878
-/* 33910 */   MCD_OPC_CheckField, 10, 1, 0, 186, 46, // Skip to: 45878
-/* 33916 */   MCD_OPC_Decode, 211, 5, 193, 1, // Opcode: FMLAvve_2d2d
-/* 33921 */   MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 33952
-/* 33925 */   MCD_OPC_CheckPredicate, 0, 173, 46, // Skip to: 45878
-/* 33929 */   MCD_OPC_CheckField, 29, 3, 2, 167, 46, // Skip to: 45878
-/* 33935 */   MCD_OPC_CheckField, 21, 1, 0, 161, 46, // Skip to: 45878
-/* 33941 */   MCD_OPC_CheckField, 10, 1, 0, 155, 46, // Skip to: 45878
-/* 33947 */   MCD_OPC_Decode, 219, 5, 193, 1, // Opcode: FMLSvve_2d2d
-/* 33952 */   MCD_OPC_FilterValue, 9, 146, 46, // Skip to: 45878
-/* 33956 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 33959 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 33984
-/* 33963 */   MCD_OPC_CheckPredicate, 0, 135, 46, // Skip to: 45878
-/* 33967 */   MCD_OPC_CheckField, 21, 1, 0, 129, 46, // Skip to: 45878
-/* 33973 */   MCD_OPC_CheckField, 10, 1, 0, 123, 46, // Skip to: 45878
-/* 33979 */   MCD_OPC_Decode, 254, 5, 194, 1, // Opcode: FMULve_2d2d
-/* 33984 */   MCD_OPC_FilterValue, 3, 114, 46, // Skip to: 45878
-/* 33988 */   MCD_OPC_CheckPredicate, 0, 110, 46, // Skip to: 45878
-/* 33992 */   MCD_OPC_CheckField, 21, 1, 0, 104, 46, // Skip to: 45878
-/* 33998 */   MCD_OPC_CheckField, 10, 1, 0, 98, 46, // Skip to: 45878
-/* 34004 */   MCD_OPC_Decode, 244, 5, 194, 1, // Opcode: FMULXve_2d2d
-/* 34009 */   MCD_OPC_FilterValue, 4, 228, 2, // Skip to: 34753
-/* 34013 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 34016 */   MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 34039
-/* 34020 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 34023 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 34031
-/* 34027 */   MCD_OPC_Decode, 117, 195, 1, // Opcode: ADRxi
-/* 34031 */   MCD_OPC_FilterValue, 1, 67, 46, // Skip to: 45878
-/* 34035 */   MCD_OPC_Decode, 116, 195, 1, // Opcode: ADRPxi
-/* 34039 */   MCD_OPC_FilterValue, 1, 23, 1, // Skip to: 34322
-/* 34043 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 34046 */   MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 34069
-/* 34050 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34053 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 34061
-/* 34057 */   MCD_OPC_Decode, 82, 196, 1, // Opcode: ADDwwi_lsl0_s
-/* 34061 */   MCD_OPC_FilterValue, 1, 37, 46, // Skip to: 45878
-/* 34065 */   MCD_OPC_Decode, 85, 196, 1, // Opcode: ADDwwi_lsl12_s
-/* 34069 */   MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 34112
-/* 34073 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34076 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 34094
-/* 34080 */   MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 34090
-/* 34086 */   MCD_OPC_Decode, 81, 197, 1, // Opcode: ADDwwi_lsl0_cmp
-/* 34090 */   MCD_OPC_Decode, 80, 198, 1, // Opcode: ADDwwi_lsl0_S
-/* 34094 */   MCD_OPC_FilterValue, 1, 4, 46, // Skip to: 45878
-/* 34098 */   MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 34108
-/* 34104 */   MCD_OPC_Decode, 84, 197, 1, // Opcode: ADDwwi_lsl12_cmp
-/* 34108 */   MCD_OPC_Decode, 83, 198, 1, // Opcode: ADDwwi_lsl12_S
-/* 34112 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 34137
-/* 34116 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34119 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34128
-/* 34123 */   MCD_OPC_Decode, 128, 18, 196, 1, // Opcode: SUBwwi_lsl0_s
-/* 34128 */   MCD_OPC_FilterValue, 1, 226, 45, // Skip to: 45878
-/* 34132 */   MCD_OPC_Decode, 131, 18, 196, 1, // Opcode: SUBwwi_lsl12_s
-/* 34137 */   MCD_OPC_FilterValue, 3, 43, 0, // Skip to: 34184
-/* 34141 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34144 */   MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 34164
-/* 34148 */   MCD_OPC_CheckField, 0, 5, 31, 5, 0, // Skip to: 34159
-/* 34154 */   MCD_OPC_Decode, 255, 17, 197, 1, // Opcode: SUBwwi_lsl0_cmp
-/* 34159 */   MCD_OPC_Decode, 254, 17, 198, 1, // Opcode: SUBwwi_lsl0_S
-/* 34164 */   MCD_OPC_FilterValue, 1, 190, 45, // Skip to: 45878
-/* 34168 */   MCD_OPC_CheckField, 0, 5, 31, 5, 0, // Skip to: 34179
-/* 34174 */   MCD_OPC_Decode, 130, 18, 197, 1, // Opcode: SUBwwi_lsl12_cmp
-/* 34179 */   MCD_OPC_Decode, 129, 18, 198, 1, // Opcode: SUBwwi_lsl12_S
-/* 34184 */   MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 34207
-/* 34188 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34191 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 34199
-/* 34195 */   MCD_OPC_Decode, 99, 199, 1, // Opcode: ADDxxi_lsl0_s
-/* 34199 */   MCD_OPC_FilterValue, 1, 155, 45, // Skip to: 45878
-/* 34203 */   MCD_OPC_Decode, 102, 199, 1, // Opcode: ADDxxi_lsl12_s
-/* 34207 */   MCD_OPC_FilterValue, 5, 39, 0, // Skip to: 34250
-/* 34211 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34214 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 34232
-/* 34218 */   MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 34228
-/* 34224 */   MCD_OPC_Decode, 98, 200, 1, // Opcode: ADDxxi_lsl0_cmp
-/* 34228 */   MCD_OPC_Decode, 97, 201, 1, // Opcode: ADDxxi_lsl0_S
-/* 34232 */   MCD_OPC_FilterValue, 1, 122, 45, // Skip to: 45878
-/* 34236 */   MCD_OPC_CheckField, 0, 5, 31, 4, 0, // Skip to: 34246
-/* 34242 */   MCD_OPC_Decode, 101, 200, 1, // Opcode: ADDxxi_lsl12_cmp
-/* 34246 */   MCD_OPC_Decode, 100, 201, 1, // Opcode: ADDxxi_lsl12_S
-/* 34250 */   MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 34275
-/* 34254 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34257 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34266
-/* 34261 */   MCD_OPC_Decode, 145, 18, 199, 1, // Opcode: SUBxxi_lsl0_s
-/* 34266 */   MCD_OPC_FilterValue, 1, 88, 45, // Skip to: 45878
-/* 34270 */   MCD_OPC_Decode, 148, 18, 199, 1, // Opcode: SUBxxi_lsl12_s
-/* 34275 */   MCD_OPC_FilterValue, 7, 79, 45, // Skip to: 45878
-/* 34279 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34282 */   MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 34302
-/* 34286 */   MCD_OPC_CheckField, 0, 5, 31, 5, 0, // Skip to: 34297
-/* 34292 */   MCD_OPC_Decode, 144, 18, 200, 1, // Opcode: SUBxxi_lsl0_cmp
-/* 34297 */   MCD_OPC_Decode, 143, 18, 201, 1, // Opcode: SUBxxi_lsl0_S
-/* 34302 */   MCD_OPC_FilterValue, 1, 52, 45, // Skip to: 45878
-/* 34306 */   MCD_OPC_CheckField, 0, 5, 31, 5, 0, // Skip to: 34317
-/* 34312 */   MCD_OPC_Decode, 147, 18, 200, 1, // Opcode: SUBxxi_lsl12_cmp
-/* 34317 */   MCD_OPC_Decode, 146, 18, 201, 1, // Opcode: SUBxxi_lsl12_S
-/* 34322 */   MCD_OPC_FilterValue, 2, 181, 0, // Skip to: 34507
-/* 34326 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 34329 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 34354
-/* 34333 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 34336 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34345
-/* 34340 */   MCD_OPC_Decode, 134, 1, 202, 1, // Opcode: ANDwwi
-/* 34345 */   MCD_OPC_FilterValue, 1, 9, 45, // Skip to: 45878
-/* 34349 */   MCD_OPC_Decode, 145, 11, 203, 1, // Opcode: MOVNwii
-/* 34354 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 34369
-/* 34358 */   MCD_OPC_CheckField, 23, 1, 0, 250, 44, // Skip to: 45878
-/* 34364 */   MCD_OPC_Decode, 204, 11, 202, 1, // Opcode: ORRwwi
-/* 34369 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 34394
-/* 34373 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 34376 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34385
-/* 34380 */   MCD_OPC_Decode, 195, 3, 202, 1, // Opcode: EORwwi
-/* 34385 */   MCD_OPC_FilterValue, 1, 225, 44, // Skip to: 45878
-/* 34389 */   MCD_OPC_Decode, 147, 11, 203, 1, // Opcode: MOVZwii
-/* 34394 */   MCD_OPC_FilterValue, 3, 20, 0, // Skip to: 34418
-/* 34398 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 34401 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 34409
-/* 34405 */   MCD_OPC_Decode, 122, 204, 1, // Opcode: ANDSwwi
-/* 34409 */   MCD_OPC_FilterValue, 1, 201, 44, // Skip to: 45878
-/* 34413 */   MCD_OPC_Decode, 143, 11, 205, 1, // Opcode: MOVKwii
-/* 34418 */   MCD_OPC_FilterValue, 4, 21, 0, // Skip to: 34443
-/* 34422 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 34425 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34434
-/* 34429 */   MCD_OPC_Decode, 139, 1, 206, 1, // Opcode: ANDxxi
-/* 34434 */   MCD_OPC_FilterValue, 1, 176, 44, // Skip to: 45878
-/* 34438 */   MCD_OPC_Decode, 146, 11, 207, 1, // Opcode: MOVNxii
-/* 34443 */   MCD_OPC_FilterValue, 5, 11, 0, // Skip to: 34458
-/* 34447 */   MCD_OPC_CheckField, 23, 1, 0, 161, 44, // Skip to: 45878
-/* 34453 */   MCD_OPC_Decode, 209, 11, 206, 1, // Opcode: ORRxxi
-/* 34458 */   MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 34483
-/* 34462 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 34465 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34474
-/* 34469 */   MCD_OPC_Decode, 200, 3, 206, 1, // Opcode: EORxxi
-/* 34474 */   MCD_OPC_FilterValue, 1, 136, 44, // Skip to: 45878
-/* 34478 */   MCD_OPC_Decode, 148, 11, 207, 1, // Opcode: MOVZxii
-/* 34483 */   MCD_OPC_FilterValue, 7, 127, 44, // Skip to: 45878
-/* 34487 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 34490 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 34498
-/* 34494 */   MCD_OPC_Decode, 127, 208, 1, // Opcode: ANDSxxi
-/* 34498 */   MCD_OPC_FilterValue, 1, 112, 44, // Skip to: 45878
-/* 34502 */   MCD_OPC_Decode, 144, 11, 209, 1, // Opcode: MOVKxii
-/* 34507 */   MCD_OPC_FilterValue, 3, 103, 44, // Skip to: 45878
-/* 34511 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 34514 */   MCD_OPC_FilterValue, 0, 59, 0, // Skip to: 34577
-/* 34518 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34521 */   MCD_OPC_FilterValue, 0, 37, 0, // Skip to: 34562
-/* 34525 */   MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 34528 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 34537
-/* 34532 */   MCD_OPC_Decode, 172, 18, 210, 1, // Opcode: SXTBww
-/* 34537 */   MCD_OPC_FilterValue, 15, 5, 0, // Skip to: 34546
-/* 34541 */   MCD_OPC_Decode, 174, 18, 210, 1, // Opcode: SXTHww
-/* 34546 */   MCD_OPC_CheckField, 10, 6, 31, 5, 0, // Skip to: 34557
-/* 34552 */   MCD_OPC_Decode, 146, 1, 211, 1, // Opcode: ASRwwi
-/* 34557 */   MCD_OPC_Decode, 211, 12, 212, 1, // Opcode: SBFMwwii
-/* 34562 */   MCD_OPC_FilterValue, 2, 48, 44, // Skip to: 45878
-/* 34566 */   MCD_OPC_CheckField, 21, 1, 0, 42, 44, // Skip to: 45878
-/* 34572 */   MCD_OPC_Decode, 206, 3, 213, 1, // Opcode: EXTRwwwi
-/* 34577 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 34592
-/* 34581 */   MCD_OPC_CheckField, 22, 2, 0, 27, 44, // Skip to: 45878
-/* 34587 */   MCD_OPC_Decode, 199, 1, 212, 1, // Opcode: BFMwwii
-/* 34592 */   MCD_OPC_FilterValue, 2, 44, 0, // Skip to: 34640
-/* 34596 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34599 */   MCD_OPC_FilterValue, 0, 11, 44, // Skip to: 45878
-/* 34603 */   MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 34606 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 34615
-/* 34610 */   MCD_OPC_Decode, 173, 21, 210, 1, // Opcode: UXTBww
-/* 34615 */   MCD_OPC_FilterValue, 15, 5, 0, // Skip to: 34624
-/* 34619 */   MCD_OPC_Decode, 175, 21, 210, 1, // Opcode: UXTHww
-/* 34624 */   MCD_OPC_CheckField, 10, 6, 31, 5, 0, // Skip to: 34635
-/* 34630 */   MCD_OPC_Decode, 237, 10, 211, 1, // Opcode: LSRwwi
-/* 34635 */   MCD_OPC_Decode, 156, 19, 212, 1, // Opcode: UBFMwwii
-/* 34640 */   MCD_OPC_FilterValue, 4, 67, 0, // Skip to: 34711
-/* 34644 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34647 */   MCD_OPC_FilterValue, 1, 46, 0, // Skip to: 34697
-/* 34651 */   MCD_OPC_ExtractField, 10, 12,  // Inst{21-10} ...
-/* 34654 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 34663
-/* 34658 */   MCD_OPC_Decode, 173, 18, 214, 1, // Opcode: SXTBxw
-/* 34663 */   MCD_OPC_FilterValue, 15, 5, 0, // Skip to: 34672
-/* 34667 */   MCD_OPC_Decode, 175, 18, 214, 1, // Opcode: SXTHxw
-/* 34672 */   MCD_OPC_FilterValue, 31, 5, 0, // Skip to: 34681
-/* 34676 */   MCD_OPC_Decode, 176, 18, 214, 1, // Opcode: SXTWxw
-/* 34681 */   MCD_OPC_CheckField, 10, 6, 63, 5, 0, // Skip to: 34692
-/* 34687 */   MCD_OPC_Decode, 147, 1, 215, 1, // Opcode: ASRxxi
-/* 34692 */   MCD_OPC_Decode, 212, 12, 212, 1, // Opcode: SBFMxxii
-/* 34697 */   MCD_OPC_FilterValue, 3, 169, 43, // Skip to: 45878
-/* 34701 */   MCD_OPC_CheckField, 21, 1, 0, 163, 43, // Skip to: 45878
-/* 34707 */   MCD_OPC_Decode, 207, 3, 11, // Opcode: EXTRxxxi
-/* 34711 */   MCD_OPC_FilterValue, 5, 11, 0, // Skip to: 34726
-/* 34715 */   MCD_OPC_CheckField, 22, 2, 1, 149, 43, // Skip to: 45878
-/* 34721 */   MCD_OPC_Decode, 200, 1, 212, 1, // Opcode: BFMxxii
-/* 34726 */   MCD_OPC_FilterValue, 6, 140, 43, // Skip to: 45878
-/* 34730 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 34733 */   MCD_OPC_FilterValue, 1, 133, 43, // Skip to: 45878
-/* 34737 */   MCD_OPC_CheckField, 10, 6, 63, 5, 0, // Skip to: 34748
-/* 34743 */   MCD_OPC_Decode, 238, 10, 215, 1, // Opcode: LSRxxi
-/* 34748 */   MCD_OPC_Decode, 157, 19, 212, 1, // Opcode: UBFMxxii
-/* 34753 */   MCD_OPC_FilterValue, 5, 35, 2, // Skip to: 35304
-/* 34757 */   MCD_OPC_ExtractField, 29, 2,  // Inst{30-29} ...
-/* 34760 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 34785
-/* 34764 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 34767 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34776
-/* 34771 */   MCD_OPC_Decode, 236, 1, 216, 1, // Opcode: Bimm
-/* 34776 */   MCD_OPC_FilterValue, 1, 90, 43, // Skip to: 45878
-/* 34780 */   MCD_OPC_Decode, 230, 1, 216, 1, // Opcode: BLimm
-/* 34785 */   MCD_OPC_FilterValue, 1, 93, 0, // Skip to: 34882
-/* 34789 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 34792 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 34817
-/* 34796 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 34799 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34808
-/* 34803 */   MCD_OPC_Decode, 239, 1, 217, 1, // Opcode: CBZw
-/* 34808 */   MCD_OPC_FilterValue, 1, 58, 43, // Skip to: 45878
-/* 34812 */   MCD_OPC_Decode, 240, 1, 218, 1, // Opcode: CBZx
-/* 34817 */   MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 34842
-/* 34821 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 34824 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34833
-/* 34828 */   MCD_OPC_Decode, 237, 1, 217, 1, // Opcode: CBNZw
-/* 34833 */   MCD_OPC_FilterValue, 1, 33, 43, // Skip to: 45878
-/* 34837 */   MCD_OPC_Decode, 238, 1, 218, 1, // Opcode: CBNZx
-/* 34842 */   MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 34862
-/* 34846 */   MCD_OPC_CheckField, 31, 1, 0, 5, 0, // Skip to: 34857
-/* 34852 */   MCD_OPC_Decode, 199, 18, 219, 1, // Opcode: TBZwii
-/* 34857 */   MCD_OPC_Decode, 200, 18, 220, 1, // Opcode: TBZxii
-/* 34862 */   MCD_OPC_FilterValue, 3, 4, 43, // Skip to: 45878
-/* 34866 */   MCD_OPC_CheckField, 31, 1, 0, 5, 0, // Skip to: 34877
-/* 34872 */   MCD_OPC_Decode, 189, 18, 219, 1, // Opcode: TBNZwii
-/* 34877 */   MCD_OPC_Decode, 190, 18, 220, 1, // Opcode: TBNZxii
-/* 34882 */   MCD_OPC_FilterValue, 2, 240, 42, // Skip to: 45878
-/* 34886 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 34889 */   MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 35018
-/* 34893 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 34896 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 34911
-/* 34900 */   MCD_OPC_CheckField, 4, 1, 0, 220, 42, // Skip to: 45878
-/* 34906 */   MCD_OPC_Decode, 235, 1, 221, 1, // Opcode: Bcc
-/* 34911 */   MCD_OPC_FilterValue, 1, 211, 42, // Skip to: 45878
-/* 34915 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
-/* 34918 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 34943
-/* 34922 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 34925 */   MCD_OPC_FilterValue, 1, 5, 0, // Skip to: 34934
-/* 34929 */   MCD_OPC_Decode, 231, 1, 222, 1, // Opcode: BRKi
-/* 34934 */   MCD_OPC_FilterValue, 2, 188, 42, // Skip to: 45878
-/* 34938 */   MCD_OPC_Decode, 211, 6, 222, 1, // Opcode: HLTi
-/* 34943 */   MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 34968
-/* 34947 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 34950 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34959
-/* 34954 */   MCD_OPC_Decode, 171, 18, 222, 1, // Opcode: SVCi
-/* 34959 */   MCD_OPC_FilterValue, 5, 163, 42, // Skip to: 45878
-/* 34963 */   MCD_OPC_Decode, 160, 3, 222, 1, // Opcode: DCPS1i
-/* 34968 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 34993
-/* 34972 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 34975 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 34984
-/* 34979 */   MCD_OPC_Decode, 212, 6, 222, 1, // Opcode: HVCi
-/* 34984 */   MCD_OPC_FilterValue, 5, 138, 42, // Skip to: 45878
-/* 34988 */   MCD_OPC_Decode, 161, 3, 222, 1, // Opcode: DCPS2i
-/* 34993 */   MCD_OPC_FilterValue, 3, 129, 42, // Skip to: 45878
-/* 34997 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 35000 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35009
-/* 35004 */   MCD_OPC_Decode, 172, 13, 222, 1, // Opcode: SMCi
-/* 35009 */   MCD_OPC_FilterValue, 5, 113, 42, // Skip to: 45878
-/* 35013 */   MCD_OPC_Decode, 162, 3, 222, 1, // Opcode: DCPS3i
-/* 35018 */   MCD_OPC_FilterValue, 1, 163, 0, // Skip to: 35185
-/* 35022 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 35025 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 35158
-/* 35029 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 35032 */   MCD_OPC_FilterValue, 1, 90, 42, // Skip to: 45878
-/* 35036 */   MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
-/* 35039 */   MCD_OPC_FilterValue, 95, 11, 0, // Skip to: 35054
-/* 35043 */   MCD_OPC_CheckField, 12, 9, 51, 53, 0, // Skip to: 35102
-/* 35049 */   MCD_OPC_Decode, 249, 1, 223, 1, // Opcode: CLREXi
-/* 35054 */   MCD_OPC_FilterValue, 159, 1, 11, 0, // Skip to: 35070
-/* 35059 */   MCD_OPC_CheckField, 12, 9, 51, 37, 0, // Skip to: 35102
-/* 35065 */   MCD_OPC_Decode, 166, 3, 224, 1, // Opcode: DSBi
-/* 35070 */   MCD_OPC_FilterValue, 191, 1, 11, 0, // Skip to: 35086
-/* 35075 */   MCD_OPC_CheckField, 12, 9, 51, 21, 0, // Skip to: 35102
-/* 35081 */   MCD_OPC_Decode, 164, 3, 224, 1, // Opcode: DMBi
-/* 35086 */   MCD_OPC_FilterValue, 223, 1, 11, 0, // Skip to: 35102
-/* 35091 */   MCD_OPC_CheckField, 12, 9, 51, 5, 0, // Skip to: 35102
-/* 35097 */   MCD_OPC_Decode, 223, 6, 225, 1, // Opcode: ISBi
-/* 35102 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
-/* 35105 */   MCD_OPC_FilterValue, 31, 33, 0, // Skip to: 35142
-/* 35109 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 35112 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 35127
-/* 35116 */   MCD_OPC_CheckField, 16, 5, 3, 20, 0, // Skip to: 35142
-/* 35122 */   MCD_OPC_Decode, 210, 6, 226, 1, // Opcode: HINTi
-/* 35127 */   MCD_OPC_FilterValue, 4, 11, 0, // Skip to: 35142
-/* 35131 */   MCD_OPC_CheckField, 19, 2, 0, 5, 0, // Skip to: 35142
-/* 35137 */   MCD_OPC_Decode, 150, 11, 227, 1, // Opcode: MSRii
-/* 35142 */   MCD_OPC_CheckField, 19, 2, 1, 5, 0, // Skip to: 35153
-/* 35148 */   MCD_OPC_Decode, 178, 18, 228, 1, // Opcode: SYSiccix
-/* 35153 */   MCD_OPC_Decode, 151, 11, 229, 1, // Opcode: MSRix
-/* 35158 */   MCD_OPC_FilterValue, 1, 220, 41, // Skip to: 45878
-/* 35162 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
-/* 35165 */   MCD_OPC_FilterValue, 1, 213, 41, // Skip to: 45878
-/* 35169 */   MCD_OPC_CheckField, 19, 2, 1, 5, 0, // Skip to: 35180
-/* 35175 */   MCD_OPC_Decode, 177, 18, 230, 1, // Opcode: SYSLxicci
-/* 35180 */   MCD_OPC_Decode, 149, 11, 231, 1, // Opcode: MRSxi
-/* 35185 */   MCD_OPC_FilterValue, 2, 193, 41, // Skip to: 45878
-/* 35189 */   MCD_OPC_ExtractField, 10, 14,  // Inst{23-10} ...
-/* 35192 */   MCD_OPC_FilterValue, 192, 15, 17, 0, // Skip to: 35214
-/* 35197 */   MCD_OPC_CheckField, 31, 1, 1, 179, 41, // Skip to: 45878
-/* 35203 */   MCD_OPC_CheckField, 0, 5, 0, 173, 41, // Skip to: 45878
-/* 35209 */   MCD_OPC_Decode, 232, 1, 232, 1, // Opcode: BRx
-/* 35214 */   MCD_OPC_FilterValue, 192, 31, 17, 0, // Skip to: 35236
-/* 35219 */   MCD_OPC_CheckField, 31, 1, 1, 157, 41, // Skip to: 45878
-/* 35225 */   MCD_OPC_CheckField, 0, 5, 0, 151, 41, // Skip to: 45878
-/* 35231 */   MCD_OPC_Decode, 229, 1, 232, 1, // Opcode: BLRx
-/* 35236 */   MCD_OPC_FilterValue, 192, 47, 17, 0, // Skip to: 35258
-/* 35241 */   MCD_OPC_CheckField, 31, 1, 1, 135, 41, // Skip to: 45878
-/* 35247 */   MCD_OPC_CheckField, 0, 5, 0, 129, 41, // Skip to: 45878
-/* 35253 */   MCD_OPC_Decode, 248, 11, 232, 1, // Opcode: RETx
-/* 35258 */   MCD_OPC_FilterValue, 192, 79, 18, 0, // Skip to: 35281
-/* 35263 */   MCD_OPC_CheckField, 31, 1, 1, 113, 41, // Skip to: 45878
-/* 35269 */   MCD_OPC_CheckField, 0, 10, 224, 7, 106, 41, // Skip to: 45878
-/* 35276 */   MCD_OPC_Decode, 205, 3, 233, 1, // Opcode: ERET
-/* 35281 */   MCD_OPC_FilterValue, 192, 95, 96, 41, // Skip to: 45878
-/* 35286 */   MCD_OPC_CheckField, 31, 1, 1, 90, 41, // Skip to: 45878
-/* 35292 */   MCD_OPC_CheckField, 0, 10, 224, 7, 83, 41, // Skip to: 45878
-/* 35299 */   MCD_OPC_Decode, 165, 3, 233, 1, // Opcode: DRPS
-/* 35304 */   MCD_OPC_FilterValue, 6, 24, 10, // Skip to: 37892
-/* 35308 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 35311 */   MCD_OPC_FilterValue, 0, 18, 1, // Skip to: 35589
-/* 35315 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 35318 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35327
-/* 35322 */   MCD_OPC_Decode, 189, 9, 217, 1, // Opcode: LDRw_lit
-/* 35327 */   MCD_OPC_FilterValue, 2, 221, 0, // Skip to: 35552
-/* 35331 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 35334 */   MCD_OPC_FilterValue, 0, 60, 0, // Skip to: 35398
-/* 35338 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 35341 */   MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 35355
-/* 35345 */   MCD_OPC_CheckField, 12, 4, 0, 31, 41, // Skip to: 45878
-/* 35351 */   MCD_OPC_Decode, 29, 234, 1, // Opcode: ADCwww
-/* 35355 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 35364
-/* 35359 */   MCD_OPC_Decode, 152, 3, 235, 1, // Opcode: CSELwwwc
-/* 35364 */   MCD_OPC_FilterValue, 6, 14, 41, // Skip to: 45878
-/* 35368 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 35371 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 35380
-/* 35375 */   MCD_OPC_Decode, 215, 10, 234, 1, // Opcode: LSLVwww
-/* 35380 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 35389
-/* 35384 */   MCD_OPC_Decode, 144, 3, 234, 1, // Opcode: CRC32B_www
-/* 35389 */   MCD_OPC_FilterValue, 5, 245, 40, // Skip to: 45878
-/* 35393 */   MCD_OPC_Decode, 145, 3, 234, 1, // Opcode: CRC32CB_www
-/* 35398 */   MCD_OPC_FilterValue, 1, 46, 0, // Skip to: 35448
-/* 35402 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 35405 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 35414
-/* 35409 */   MCD_OPC_Decode, 154, 3, 235, 1, // Opcode: CSINCwwwc
-/* 35414 */   MCD_OPC_FilterValue, 6, 220, 40, // Skip to: 45878
-/* 35418 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 35421 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 35430
-/* 35425 */   MCD_OPC_Decode, 235, 10, 234, 1, // Opcode: LSRVwww
-/* 35430 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 35439
-/* 35434 */   MCD_OPC_Decode, 149, 3, 234, 1, // Opcode: CRC32H_www
-/* 35439 */   MCD_OPC_FilterValue, 5, 195, 40, // Skip to: 45878
-/* 35443 */   MCD_OPC_Decode, 146, 3, 234, 1, // Opcode: CRC32CH_www
-/* 35448 */   MCD_OPC_FilterValue, 2, 63, 0, // Skip to: 35515
-/* 35452 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 35455 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35470
-/* 35459 */   MCD_OPC_CheckField, 21, 3, 6, 173, 40, // Skip to: 45878
-/* 35465 */   MCD_OPC_Decode, 175, 19, 234, 1, // Opcode: UDIVwww
-/* 35470 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 35485
-/* 35474 */   MCD_OPC_CheckField, 21, 3, 6, 158, 40, // Skip to: 45878
-/* 35480 */   MCD_OPC_Decode, 144, 1, 234, 1, // Opcode: ASRVwww
-/* 35485 */   MCD_OPC_FilterValue, 4, 11, 0, // Skip to: 35500
-/* 35489 */   MCD_OPC_CheckField, 21, 3, 6, 143, 40, // Skip to: 45878
-/* 35495 */   MCD_OPC_Decode, 150, 3, 234, 1, // Opcode: CRC32W_www
-/* 35500 */   MCD_OPC_FilterValue, 5, 134, 40, // Skip to: 45878
-/* 35504 */   MCD_OPC_CheckField, 21, 3, 6, 128, 40, // Skip to: 45878
-/* 35510 */   MCD_OPC_Decode, 147, 3, 234, 1, // Opcode: CRC32CW_www
-/* 35515 */   MCD_OPC_FilterValue, 3, 119, 40, // Skip to: 45878
-/* 35519 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 35522 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35537
-/* 35526 */   MCD_OPC_CheckField, 21, 3, 6, 106, 40, // Skip to: 45878
-/* 35532 */   MCD_OPC_Decode, 230, 12, 234, 1, // Opcode: SDIVwww
-/* 35537 */   MCD_OPC_FilterValue, 2, 97, 40, // Skip to: 45878
-/* 35541 */   MCD_OPC_CheckField, 21, 3, 6, 91, 40, // Skip to: 45878
-/* 35547 */   MCD_OPC_Decode, 138, 12, 234, 1, // Opcode: RORVwww
-/* 35552 */   MCD_OPC_FilterValue, 3, 82, 40, // Skip to: 45878
-/* 35556 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 35559 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35574
-/* 35563 */   MCD_OPC_CheckField, 21, 3, 0, 69, 40, // Skip to: 45878
-/* 35569 */   MCD_OPC_Decode, 239, 10, 236, 1, // Opcode: MADDwwww
-/* 35574 */   MCD_OPC_FilterValue, 1, 60, 40, // Skip to: 45878
-/* 35578 */   MCD_OPC_CheckField, 21, 3, 0, 54, 40, // Skip to: 45878
-/* 35584 */   MCD_OPC_Decode, 152, 11, 236, 1, // Opcode: MSUBwwww
-/* 35589 */   MCD_OPC_FilterValue, 1, 224, 1, // Skip to: 36073
-/* 35593 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 35596 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 35689
-/* 35600 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 35603 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35618
-/* 35607 */   MCD_OPC_CheckField, 21, 1, 0, 25, 40, // Skip to: 45878
-/* 35613 */   MCD_OPC_Decode, 252, 9, 237, 1, // Opcode: LS8_STUR
-/* 35618 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 35633
-/* 35622 */   MCD_OPC_CheckField, 21, 1, 0, 10, 40, // Skip to: 45878
-/* 35628 */   MCD_OPC_Decode, 248, 9, 238, 1, // Opcode: LS8_PostInd_STR
-/* 35633 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 35674
-/* 35637 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 35640 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35649
-/* 35644 */   MCD_OPC_Decode, 254, 9, 237, 1, // Opcode: LS8_UnPriv_STR
-/* 35649 */   MCD_OPC_FilterValue, 1, 241, 39, // Skip to: 45878
-/* 35653 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 35656 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35665
-/* 35660 */   MCD_OPC_Decode, 128, 10, 239, 1, // Opcode: LS8_Wm_RegOffset_STR
-/* 35665 */   MCD_OPC_FilterValue, 1, 225, 39, // Skip to: 45878
-/* 35669 */   MCD_OPC_Decode, 130, 10, 240, 1, // Opcode: LS8_Xm_RegOffset_STR
-/* 35674 */   MCD_OPC_FilterValue, 3, 216, 39, // Skip to: 45878
-/* 35678 */   MCD_OPC_CheckField, 21, 1, 0, 210, 39, // Skip to: 45878
-/* 35684 */   MCD_OPC_Decode, 250, 9, 238, 1, // Opcode: LS8_PreInd_STR
-/* 35689 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 35782
-/* 35693 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 35696 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35711
-/* 35700 */   MCD_OPC_CheckField, 21, 1, 0, 188, 39, // Skip to: 45878
-/* 35706 */   MCD_OPC_Decode, 246, 9, 237, 1, // Opcode: LS8_LDUR
-/* 35711 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 35726
-/* 35715 */   MCD_OPC_CheckField, 21, 1, 0, 173, 39, // Skip to: 45878
-/* 35721 */   MCD_OPC_Decode, 247, 9, 238, 1, // Opcode: LS8_PostInd_LDR
-/* 35726 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 35767
-/* 35730 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 35733 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35742
-/* 35737 */   MCD_OPC_Decode, 253, 9, 237, 1, // Opcode: LS8_UnPriv_LDR
-/* 35742 */   MCD_OPC_FilterValue, 1, 148, 39, // Skip to: 45878
-/* 35746 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 35749 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35758
-/* 35753 */   MCD_OPC_Decode, 255, 9, 239, 1, // Opcode: LS8_Wm_RegOffset_LDR
-/* 35758 */   MCD_OPC_FilterValue, 1, 132, 39, // Skip to: 45878
-/* 35762 */   MCD_OPC_Decode, 129, 10, 240, 1, // Opcode: LS8_Xm_RegOffset_LDR
-/* 35767 */   MCD_OPC_FilterValue, 3, 123, 39, // Skip to: 45878
-/* 35771 */   MCD_OPC_CheckField, 21, 1, 0, 117, 39, // Skip to: 45878
-/* 35777 */   MCD_OPC_Decode, 249, 9, 238, 1, // Opcode: LS8_PreInd_LDR
-/* 35782 */   MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 35875
-/* 35786 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 35789 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35804
-/* 35793 */   MCD_OPC_CheckField, 21, 1, 0, 95, 39, // Skip to: 45878
-/* 35799 */   MCD_OPC_Decode, 165, 9, 241, 1, // Opcode: LDRSBx_U
-/* 35804 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 35819
-/* 35808 */   MCD_OPC_CheckField, 21, 1, 0, 80, 39, // Skip to: 45878
-/* 35814 */   MCD_OPC_Decode, 163, 9, 238, 1, // Opcode: LDRSBx_PostInd
-/* 35819 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 35860
-/* 35823 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 35826 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35835
-/* 35830 */   MCD_OPC_Decode, 192, 9, 241, 1, // Opcode: LDTRSBx
-/* 35835 */   MCD_OPC_FilterValue, 1, 55, 39, // Skip to: 45878
-/* 35839 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 35842 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35851
-/* 35846 */   MCD_OPC_Decode, 166, 9, 242, 1, // Opcode: LDRSBx_Wm_RegOffset
-/* 35851 */   MCD_OPC_FilterValue, 1, 39, 39, // Skip to: 45878
-/* 35855 */   MCD_OPC_Decode, 167, 9, 243, 1, // Opcode: LDRSBx_Xm_RegOffset
-/* 35860 */   MCD_OPC_FilterValue, 3, 30, 39, // Skip to: 45878
-/* 35864 */   MCD_OPC_CheckField, 21, 1, 0, 24, 39, // Skip to: 45878
-/* 35870 */   MCD_OPC_Decode, 164, 9, 238, 1, // Opcode: LDRSBx_PreInd
-/* 35875 */   MCD_OPC_FilterValue, 3, 89, 0, // Skip to: 35968
-/* 35879 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 35882 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 35897
-/* 35886 */   MCD_OPC_CheckField, 21, 1, 0, 2, 39, // Skip to: 45878
-/* 35892 */   MCD_OPC_Decode, 159, 9, 237, 1, // Opcode: LDRSBw_U
-/* 35897 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 35912
-/* 35901 */   MCD_OPC_CheckField, 21, 1, 0, 243, 38, // Skip to: 45878
-/* 35907 */   MCD_OPC_Decode, 157, 9, 238, 1, // Opcode: LDRSBw_PostInd
-/* 35912 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 35953
-/* 35916 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 35919 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35928
-/* 35923 */   MCD_OPC_Decode, 191, 9, 237, 1, // Opcode: LDTRSBw
-/* 35928 */   MCD_OPC_FilterValue, 1, 218, 38, // Skip to: 45878
-/* 35932 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 35935 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35944
-/* 35939 */   MCD_OPC_Decode, 160, 9, 239, 1, // Opcode: LDRSBw_Wm_RegOffset
-/* 35944 */   MCD_OPC_FilterValue, 1, 202, 38, // Skip to: 45878
-/* 35948 */   MCD_OPC_Decode, 161, 9, 240, 1, // Opcode: LDRSBw_Xm_RegOffset
-/* 35953 */   MCD_OPC_FilterValue, 3, 193, 38, // Skip to: 45878
-/* 35957 */   MCD_OPC_CheckField, 21, 1, 0, 187, 38, // Skip to: 45878
-/* 35963 */   MCD_OPC_Decode, 158, 9, 238, 1, // Opcode: LDRSBw_PreInd
-/* 35968 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 35977
-/* 35972 */   MCD_OPC_Decode, 251, 9, 244, 1, // Opcode: LS8_STR
-/* 35977 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 35986
-/* 35981 */   MCD_OPC_Decode, 245, 9, 244, 1, // Opcode: LS8_LDR
-/* 35986 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 35995
-/* 35990 */   MCD_OPC_Decode, 162, 9, 201, 1, // Opcode: LDRSBx
-/* 35995 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 36004
-/* 35999 */   MCD_OPC_Decode, 156, 9, 244, 1, // Opcode: LDRSBw
-/* 36004 */   MCD_OPC_FilterValue, 8, 16, 0, // Skip to: 36024
-/* 36008 */   MCD_OPC_CheckField, 21, 1, 0, 136, 38, // Skip to: 45878
-/* 36014 */   MCD_OPC_CheckField, 10, 6, 0, 130, 38, // Skip to: 45878
-/* 36020 */   MCD_OPC_Decode, 27, 234, 1, // Opcode: ADCSwww
-/* 36024 */   MCD_OPC_FilterValue, 9, 122, 38, // Skip to: 45878
-/* 36028 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36031 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 36052
-/* 36035 */   MCD_OPC_CheckField, 21, 1, 0, 109, 38, // Skip to: 45878
-/* 36041 */   MCD_OPC_CheckField, 4, 1, 0, 103, 38, // Skip to: 45878
-/* 36047 */   MCD_OPC_Decode, 242, 1, 245, 1, // Opcode: CCMNww
-/* 36052 */   MCD_OPC_FilterValue, 2, 94, 38, // Skip to: 45878
-/* 36056 */   MCD_OPC_CheckField, 21, 1, 0, 88, 38, // Skip to: 45878
-/* 36062 */   MCD_OPC_CheckField, 4, 1, 0, 82, 38, // Skip to: 45878
-/* 36068 */   MCD_OPC_Decode, 241, 1, 246, 1, // Opcode: CCMNwi
-/* 36073 */   MCD_OPC_FilterValue, 2, 132, 0, // Skip to: 36209
-/* 36077 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 36080 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36089
-/* 36084 */   MCD_OPC_Decode, 190, 9, 218, 1, // Opcode: LDRx_lit
-/* 36089 */   MCD_OPC_FilterValue, 2, 57, 38, // Skip to: 45878
-/* 36093 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36096 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 36152
-/* 36100 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 36103 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36118
-/* 36107 */   MCD_OPC_CheckField, 12, 4, 0, 37, 38, // Skip to: 45878
-/* 36113 */   MCD_OPC_Decode, 207, 12, 234, 1, // Opcode: SBCwww
-/* 36118 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 36127
-/* 36122 */   MCD_OPC_Decode, 156, 3, 235, 1, // Opcode: CSINVwwwc
-/* 36127 */   MCD_OPC_FilterValue, 6, 19, 38, // Skip to: 45878
-/* 36131 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
-/* 36134 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36143
-/* 36138 */   MCD_OPC_Decode, 245, 11, 210, 1, // Opcode: RBITww
-/* 36143 */   MCD_OPC_FilterValue, 1, 3, 38, // Skip to: 45878
-/* 36147 */   MCD_OPC_Decode, 136, 2, 210, 1, // Opcode: CLZww
-/* 36152 */   MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 36193
-/* 36156 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 36159 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 36168
-/* 36163 */   MCD_OPC_Decode, 158, 3, 235, 1, // Opcode: CSNEGwwwc
-/* 36168 */   MCD_OPC_FilterValue, 6, 234, 37, // Skip to: 45878
-/* 36172 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
-/* 36175 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36184
-/* 36179 */   MCD_OPC_Decode, 251, 11, 210, 1, // Opcode: REV16ww
-/* 36184 */   MCD_OPC_FilterValue, 1, 218, 37, // Skip to: 45878
-/* 36188 */   MCD_OPC_Decode, 128, 2, 210, 1, // Opcode: CLSww
-/* 36193 */   MCD_OPC_FilterValue, 2, 209, 37, // Skip to: 45878
-/* 36197 */   MCD_OPC_CheckField, 12, 12, 128, 24, 202, 37, // Skip to: 45878
-/* 36204 */   MCD_OPC_Decode, 136, 12, 210, 1, // Opcode: REVww
-/* 36209 */   MCD_OPC_FilterValue, 3, 225, 1, // Skip to: 36694
-/* 36213 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 36216 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 36309
-/* 36220 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36223 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36238
-/* 36227 */   MCD_OPC_CheckField, 21, 1, 0, 173, 37, // Skip to: 45878
-/* 36233 */   MCD_OPC_Decode, 210, 9, 237, 1, // Opcode: LS16_STUR
-/* 36238 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 36253
-/* 36242 */   MCD_OPC_CheckField, 21, 1, 0, 158, 37, // Skip to: 45878
-/* 36248 */   MCD_OPC_Decode, 206, 9, 238, 1, // Opcode: LS16_PostInd_STR
-/* 36253 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 36294
-/* 36257 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 36260 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36269
-/* 36264 */   MCD_OPC_Decode, 212, 9, 237, 1, // Opcode: LS16_UnPriv_STR
-/* 36269 */   MCD_OPC_FilterValue, 1, 133, 37, // Skip to: 45878
-/* 36273 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 36276 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36285
-/* 36280 */   MCD_OPC_Decode, 214, 9, 239, 1, // Opcode: LS16_Wm_RegOffset_STR
-/* 36285 */   MCD_OPC_FilterValue, 1, 117, 37, // Skip to: 45878
-/* 36289 */   MCD_OPC_Decode, 216, 9, 240, 1, // Opcode: LS16_Xm_RegOffset_STR
-/* 36294 */   MCD_OPC_FilterValue, 3, 108, 37, // Skip to: 45878
-/* 36298 */   MCD_OPC_CheckField, 21, 1, 0, 102, 37, // Skip to: 45878
-/* 36304 */   MCD_OPC_Decode, 208, 9, 238, 1, // Opcode: LS16_PreInd_STR
-/* 36309 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 36402
-/* 36313 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36316 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36331
-/* 36320 */   MCD_OPC_CheckField, 21, 1, 0, 80, 37, // Skip to: 45878
-/* 36326 */   MCD_OPC_Decode, 204, 9, 237, 1, // Opcode: LS16_LDUR
-/* 36331 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 36346
-/* 36335 */   MCD_OPC_CheckField, 21, 1, 0, 65, 37, // Skip to: 45878
-/* 36341 */   MCD_OPC_Decode, 205, 9, 238, 1, // Opcode: LS16_PostInd_LDR
-/* 36346 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 36387
-/* 36350 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 36353 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36362
-/* 36357 */   MCD_OPC_Decode, 211, 9, 237, 1, // Opcode: LS16_UnPriv_LDR
-/* 36362 */   MCD_OPC_FilterValue, 1, 40, 37, // Skip to: 45878
-/* 36366 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 36369 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36378
-/* 36373 */   MCD_OPC_Decode, 213, 9, 239, 1, // Opcode: LS16_Wm_RegOffset_LDR
-/* 36378 */   MCD_OPC_FilterValue, 1, 24, 37, // Skip to: 45878
-/* 36382 */   MCD_OPC_Decode, 215, 9, 240, 1, // Opcode: LS16_Xm_RegOffset_LDR
-/* 36387 */   MCD_OPC_FilterValue, 3, 15, 37, // Skip to: 45878
-/* 36391 */   MCD_OPC_CheckField, 21, 1, 0, 9, 37, // Skip to: 45878
-/* 36397 */   MCD_OPC_Decode, 207, 9, 238, 1, // Opcode: LS16_PreInd_LDR
-/* 36402 */   MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 36495
-/* 36406 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36409 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36424
-/* 36413 */   MCD_OPC_CheckField, 21, 1, 0, 243, 36, // Skip to: 45878
-/* 36419 */   MCD_OPC_Decode, 177, 9, 241, 1, // Opcode: LDRSHx_U
-/* 36424 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 36439
-/* 36428 */   MCD_OPC_CheckField, 21, 1, 0, 228, 36, // Skip to: 45878
-/* 36434 */   MCD_OPC_Decode, 175, 9, 238, 1, // Opcode: LDRSHx_PostInd
-/* 36439 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 36480
-/* 36443 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 36446 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36455
-/* 36450 */   MCD_OPC_Decode, 194, 9, 241, 1, // Opcode: LDTRSHx
-/* 36455 */   MCD_OPC_FilterValue, 1, 203, 36, // Skip to: 45878
-/* 36459 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 36462 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36471
-/* 36466 */   MCD_OPC_Decode, 178, 9, 242, 1, // Opcode: LDRSHx_Wm_RegOffset
-/* 36471 */   MCD_OPC_FilterValue, 1, 187, 36, // Skip to: 45878
-/* 36475 */   MCD_OPC_Decode, 179, 9, 243, 1, // Opcode: LDRSHx_Xm_RegOffset
-/* 36480 */   MCD_OPC_FilterValue, 3, 178, 36, // Skip to: 45878
-/* 36484 */   MCD_OPC_CheckField, 21, 1, 0, 172, 36, // Skip to: 45878
-/* 36490 */   MCD_OPC_Decode, 176, 9, 238, 1, // Opcode: LDRSHx_PreInd
-/* 36495 */   MCD_OPC_FilterValue, 3, 89, 0, // Skip to: 36588
-/* 36499 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36502 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36517
-/* 36506 */   MCD_OPC_CheckField, 21, 1, 0, 150, 36, // Skip to: 45878
-/* 36512 */   MCD_OPC_Decode, 171, 9, 237, 1, // Opcode: LDRSHw_U
-/* 36517 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 36532
-/* 36521 */   MCD_OPC_CheckField, 21, 1, 0, 135, 36, // Skip to: 45878
-/* 36527 */   MCD_OPC_Decode, 169, 9, 238, 1, // Opcode: LDRSHw_PostInd
-/* 36532 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 36573
-/* 36536 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 36539 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36548
-/* 36543 */   MCD_OPC_Decode, 193, 9, 237, 1, // Opcode: LDTRSHw
-/* 36548 */   MCD_OPC_FilterValue, 1, 110, 36, // Skip to: 45878
-/* 36552 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 36555 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36564
-/* 36559 */   MCD_OPC_Decode, 172, 9, 239, 1, // Opcode: LDRSHw_Wm_RegOffset
-/* 36564 */   MCD_OPC_FilterValue, 1, 94, 36, // Skip to: 45878
-/* 36568 */   MCD_OPC_Decode, 173, 9, 240, 1, // Opcode: LDRSHw_Xm_RegOffset
-/* 36573 */   MCD_OPC_FilterValue, 3, 85, 36, // Skip to: 45878
-/* 36577 */   MCD_OPC_CheckField, 21, 1, 0, 79, 36, // Skip to: 45878
-/* 36583 */   MCD_OPC_Decode, 170, 9, 238, 1, // Opcode: LDRSHw_PreInd
-/* 36588 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 36597
-/* 36592 */   MCD_OPC_Decode, 209, 9, 244, 1, // Opcode: LS16_STR
-/* 36597 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 36606
-/* 36601 */   MCD_OPC_Decode, 203, 9, 244, 1, // Opcode: LS16_LDR
-/* 36606 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 36615
-/* 36610 */   MCD_OPC_Decode, 174, 9, 201, 1, // Opcode: LDRSHx
-/* 36615 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 36624
-/* 36619 */   MCD_OPC_Decode, 168, 9, 244, 1, // Opcode: LDRSHw
-/* 36624 */   MCD_OPC_FilterValue, 8, 17, 0, // Skip to: 36645
-/* 36628 */   MCD_OPC_CheckField, 21, 1, 0, 28, 36, // Skip to: 45878
-/* 36634 */   MCD_OPC_CheckField, 10, 6, 0, 22, 36, // Skip to: 45878
-/* 36640 */   MCD_OPC_Decode, 205, 12, 234, 1, // Opcode: SBCSwww
-/* 36645 */   MCD_OPC_FilterValue, 9, 13, 36, // Skip to: 45878
-/* 36649 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36652 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 36673
-/* 36656 */   MCD_OPC_CheckField, 21, 1, 0, 0, 36, // Skip to: 45878
-/* 36662 */   MCD_OPC_CheckField, 4, 1, 0, 250, 35, // Skip to: 45878
-/* 36668 */   MCD_OPC_Decode, 246, 1, 245, 1, // Opcode: CCMPww
-/* 36673 */   MCD_OPC_FilterValue, 2, 241, 35, // Skip to: 45878
-/* 36677 */   MCD_OPC_CheckField, 21, 1, 0, 235, 35, // Skip to: 45878
-/* 36683 */   MCD_OPC_CheckField, 4, 1, 0, 229, 35, // Skip to: 45878
-/* 36689 */   MCD_OPC_Decode, 245, 1, 246, 1, // Opcode: CCMPwi
-/* 36694 */   MCD_OPC_FilterValue, 4, 55, 1, // Skip to: 37009
-/* 36698 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 36701 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36710
-/* 36705 */   MCD_OPC_Decode, 185, 9, 218, 1, // Opcode: LDRSWx_lit
-/* 36710 */   MCD_OPC_FilterValue, 2, 183, 0, // Skip to: 36897
-/* 36714 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 36717 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 36762
-/* 36721 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 36724 */   MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 36738
-/* 36728 */   MCD_OPC_CheckField, 12, 4, 0, 184, 35, // Skip to: 45878
-/* 36734 */   MCD_OPC_Decode, 30, 247, 1, // Opcode: ADCxxx
-/* 36738 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 36747
-/* 36742 */   MCD_OPC_Decode, 153, 3, 248, 1, // Opcode: CSELxxxc
-/* 36747 */   MCD_OPC_FilterValue, 6, 167, 35, // Skip to: 45878
-/* 36751 */   MCD_OPC_CheckField, 12, 4, 2, 161, 35, // Skip to: 45878
-/* 36757 */   MCD_OPC_Decode, 216, 10, 247, 1, // Opcode: LSLVxxx
-/* 36762 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 36793
-/* 36766 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 36769 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 36778
-/* 36773 */   MCD_OPC_Decode, 155, 3, 248, 1, // Opcode: CSINCxxxc
-/* 36778 */   MCD_OPC_FilterValue, 6, 136, 35, // Skip to: 45878
-/* 36782 */   MCD_OPC_CheckField, 12, 4, 2, 130, 35, // Skip to: 45878
-/* 36788 */   MCD_OPC_Decode, 236, 10, 247, 1, // Opcode: LSRVxxx
-/* 36793 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 36830
-/* 36797 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 36800 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36815
-/* 36804 */   MCD_OPC_CheckField, 21, 3, 6, 108, 35, // Skip to: 45878
-/* 36810 */   MCD_OPC_Decode, 176, 19, 247, 1, // Opcode: UDIVxxx
-/* 36815 */   MCD_OPC_FilterValue, 2, 99, 35, // Skip to: 45878
-/* 36819 */   MCD_OPC_CheckField, 21, 3, 6, 93, 35, // Skip to: 45878
-/* 36825 */   MCD_OPC_Decode, 145, 1, 247, 1, // Opcode: ASRVxxx
-/* 36830 */   MCD_OPC_FilterValue, 3, 84, 35, // Skip to: 45878
-/* 36834 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 36837 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 36852
-/* 36841 */   MCD_OPC_CheckField, 21, 3, 6, 71, 35, // Skip to: 45878
-/* 36847 */   MCD_OPC_Decode, 231, 12, 247, 1, // Opcode: SDIVxxx
-/* 36852 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 36867
-/* 36856 */   MCD_OPC_CheckField, 21, 3, 6, 56, 35, // Skip to: 45878
-/* 36862 */   MCD_OPC_Decode, 139, 12, 247, 1, // Opcode: RORVxxx
-/* 36867 */   MCD_OPC_FilterValue, 4, 11, 0, // Skip to: 36882
-/* 36871 */   MCD_OPC_CheckField, 21, 3, 6, 41, 35, // Skip to: 45878
-/* 36877 */   MCD_OPC_Decode, 151, 3, 249, 1, // Opcode: CRC32X_wwx
-/* 36882 */   MCD_OPC_FilterValue, 5, 32, 35, // Skip to: 45878
-/* 36886 */   MCD_OPC_CheckField, 21, 3, 6, 26, 35, // Skip to: 45878
-/* 36892 */   MCD_OPC_Decode, 148, 3, 249, 1, // Opcode: CRC32CX_wwx
-/* 36897 */   MCD_OPC_FilterValue, 3, 17, 35, // Skip to: 45878
-/* 36901 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 36904 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 36929
-/* 36908 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 36911 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36920
-/* 36915 */   MCD_OPC_Decode, 240, 10, 250, 1, // Opcode: MADDxxxx
-/* 36920 */   MCD_OPC_FilterValue, 1, 250, 34, // Skip to: 45878
-/* 36924 */   MCD_OPC_Decode, 153, 11, 250, 1, // Opcode: MSUBxxxx
-/* 36929 */   MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 36954
-/* 36933 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 36936 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36945
-/* 36940 */   MCD_OPC_Decode, 154, 13, 251, 1, // Opcode: SMADDLxwwx
-/* 36945 */   MCD_OPC_FilterValue, 1, 225, 34, // Skip to: 45878
-/* 36949 */   MCD_OPC_Decode, 215, 13, 251, 1, // Opcode: SMSUBLxwwx
-/* 36954 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 36969
-/* 36958 */   MCD_OPC_CheckField, 15, 1, 0, 210, 34, // Skip to: 45878
-/* 36964 */   MCD_OPC_Decode, 216, 13, 247, 1, // Opcode: SMULHxxx
-/* 36969 */   MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 36994
-/* 36973 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 36976 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 36985
-/* 36980 */   MCD_OPC_Decode, 189, 19, 251, 1, // Opcode: UMADDLxwwx
-/* 36985 */   MCD_OPC_FilterValue, 1, 185, 34, // Skip to: 45878
-/* 36989 */   MCD_OPC_Decode, 248, 19, 251, 1, // Opcode: UMSUBLxwwx
-/* 36994 */   MCD_OPC_FilterValue, 6, 176, 34, // Skip to: 45878
-/* 36998 */   MCD_OPC_CheckField, 15, 1, 0, 170, 34, // Skip to: 45878
-/* 37004 */   MCD_OPC_Decode, 249, 19, 247, 1, // Opcode: UMULHxxx
-/* 37009 */   MCD_OPC_FilterValue, 5, 122, 1, // Skip to: 37391
-/* 37013 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 37016 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 37109
-/* 37020 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37023 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37038
-/* 37027 */   MCD_OPC_CheckField, 21, 1, 0, 141, 34, // Skip to: 45878
-/* 37033 */   MCD_OPC_Decode, 224, 9, 237, 1, // Opcode: LS32_STUR
-/* 37038 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37053
-/* 37042 */   MCD_OPC_CheckField, 21, 1, 0, 126, 34, // Skip to: 45878
-/* 37048 */   MCD_OPC_Decode, 220, 9, 238, 1, // Opcode: LS32_PostInd_STR
-/* 37053 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 37094
-/* 37057 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 37060 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37069
-/* 37064 */   MCD_OPC_Decode, 226, 9, 237, 1, // Opcode: LS32_UnPriv_STR
-/* 37069 */   MCD_OPC_FilterValue, 1, 101, 34, // Skip to: 45878
-/* 37073 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 37076 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37085
-/* 37080 */   MCD_OPC_Decode, 228, 9, 239, 1, // Opcode: LS32_Wm_RegOffset_STR
-/* 37085 */   MCD_OPC_FilterValue, 1, 85, 34, // Skip to: 45878
-/* 37089 */   MCD_OPC_Decode, 230, 9, 240, 1, // Opcode: LS32_Xm_RegOffset_STR
-/* 37094 */   MCD_OPC_FilterValue, 3, 76, 34, // Skip to: 45878
-/* 37098 */   MCD_OPC_CheckField, 21, 1, 0, 70, 34, // Skip to: 45878
-/* 37104 */   MCD_OPC_Decode, 222, 9, 238, 1, // Opcode: LS32_PreInd_STR
-/* 37109 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 37202
-/* 37113 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37116 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37131
-/* 37120 */   MCD_OPC_CheckField, 21, 1, 0, 48, 34, // Skip to: 45878
-/* 37126 */   MCD_OPC_Decode, 218, 9, 237, 1, // Opcode: LS32_LDUR
-/* 37131 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37146
-/* 37135 */   MCD_OPC_CheckField, 21, 1, 0, 33, 34, // Skip to: 45878
-/* 37141 */   MCD_OPC_Decode, 219, 9, 238, 1, // Opcode: LS32_PostInd_LDR
-/* 37146 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 37187
-/* 37150 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 37153 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37162
-/* 37157 */   MCD_OPC_Decode, 225, 9, 237, 1, // Opcode: LS32_UnPriv_LDR
-/* 37162 */   MCD_OPC_FilterValue, 1, 8, 34, // Skip to: 45878
-/* 37166 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 37169 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37178
-/* 37173 */   MCD_OPC_Decode, 227, 9, 239, 1, // Opcode: LS32_Wm_RegOffset_LDR
-/* 37178 */   MCD_OPC_FilterValue, 1, 248, 33, // Skip to: 45878
-/* 37182 */   MCD_OPC_Decode, 229, 9, 240, 1, // Opcode: LS32_Xm_RegOffset_LDR
-/* 37187 */   MCD_OPC_FilterValue, 3, 239, 33, // Skip to: 45878
-/* 37191 */   MCD_OPC_CheckField, 21, 1, 0, 233, 33, // Skip to: 45878
-/* 37197 */   MCD_OPC_Decode, 221, 9, 238, 1, // Opcode: LS32_PreInd_LDR
-/* 37202 */   MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 37295
-/* 37206 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37209 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37224
-/* 37213 */   MCD_OPC_CheckField, 21, 1, 0, 211, 33, // Skip to: 45878
-/* 37219 */   MCD_OPC_Decode, 196, 9, 241, 1, // Opcode: LDURSWx
-/* 37224 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37239
-/* 37228 */   MCD_OPC_CheckField, 21, 1, 0, 196, 33, // Skip to: 45878
-/* 37234 */   MCD_OPC_Decode, 181, 9, 238, 1, // Opcode: LDRSWx_PostInd
-/* 37239 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 37280
-/* 37243 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 37246 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37255
-/* 37250 */   MCD_OPC_Decode, 195, 9, 241, 1, // Opcode: LDTRSWx
-/* 37255 */   MCD_OPC_FilterValue, 1, 171, 33, // Skip to: 45878
-/* 37259 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 37262 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37271
-/* 37266 */   MCD_OPC_Decode, 183, 9, 242, 1, // Opcode: LDRSWx_Wm_RegOffset
-/* 37271 */   MCD_OPC_FilterValue, 1, 155, 33, // Skip to: 45878
-/* 37275 */   MCD_OPC_Decode, 184, 9, 243, 1, // Opcode: LDRSWx_Xm_RegOffset
-/* 37280 */   MCD_OPC_FilterValue, 3, 146, 33, // Skip to: 45878
-/* 37284 */   MCD_OPC_CheckField, 21, 1, 0, 140, 33, // Skip to: 45878
-/* 37290 */   MCD_OPC_Decode, 182, 9, 238, 1, // Opcode: LDRSWx_PreInd
-/* 37295 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 37304
-/* 37299 */   MCD_OPC_Decode, 223, 9, 244, 1, // Opcode: LS32_STR
-/* 37304 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 37313
-/* 37308 */   MCD_OPC_Decode, 217, 9, 244, 1, // Opcode: LS32_LDR
-/* 37313 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 37322
-/* 37317 */   MCD_OPC_Decode, 180, 9, 201, 1, // Opcode: LDRSWx
-/* 37322 */   MCD_OPC_FilterValue, 8, 16, 0, // Skip to: 37342
-/* 37326 */   MCD_OPC_CheckField, 21, 1, 0, 98, 33, // Skip to: 45878
-/* 37332 */   MCD_OPC_CheckField, 10, 6, 0, 92, 33, // Skip to: 45878
-/* 37338 */   MCD_OPC_Decode, 28, 247, 1, // Opcode: ADCSxxx
-/* 37342 */   MCD_OPC_FilterValue, 9, 84, 33, // Skip to: 45878
-/* 37346 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37349 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 37370
-/* 37353 */   MCD_OPC_CheckField, 21, 1, 0, 71, 33, // Skip to: 45878
-/* 37359 */   MCD_OPC_CheckField, 4, 1, 0, 65, 33, // Skip to: 45878
-/* 37365 */   MCD_OPC_Decode, 244, 1, 252, 1, // Opcode: CCMNxx
-/* 37370 */   MCD_OPC_FilterValue, 2, 56, 33, // Skip to: 45878
-/* 37374 */   MCD_OPC_CheckField, 21, 1, 0, 50, 33, // Skip to: 45878
-/* 37380 */   MCD_OPC_CheckField, 4, 1, 0, 44, 33, // Skip to: 45878
-/* 37386 */   MCD_OPC_Decode, 243, 1, 253, 1, // Opcode: CCMNxi
-/* 37391 */   MCD_OPC_FilterValue, 6, 148, 0, // Skip to: 37543
-/* 37395 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 37398 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37407
-/* 37402 */   MCD_OPC_Decode, 223, 11, 254, 1, // Opcode: PRFM_lit
-/* 37407 */   MCD_OPC_FilterValue, 2, 19, 33, // Skip to: 45878
-/* 37411 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37414 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 37470
-/* 37418 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 37421 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37436
-/* 37425 */   MCD_OPC_CheckField, 12, 4, 0, 255, 32, // Skip to: 45878
-/* 37431 */   MCD_OPC_Decode, 208, 12, 247, 1, // Opcode: SBCxxx
-/* 37436 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 37445
-/* 37440 */   MCD_OPC_Decode, 157, 3, 248, 1, // Opcode: CSINVxxxc
-/* 37445 */   MCD_OPC_FilterValue, 6, 237, 32, // Skip to: 45878
-/* 37449 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
-/* 37452 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37461
-/* 37456 */   MCD_OPC_Decode, 246, 11, 255, 1, // Opcode: RBITxx
-/* 37461 */   MCD_OPC_FilterValue, 1, 221, 32, // Skip to: 45878
-/* 37465 */   MCD_OPC_Decode, 137, 2, 255, 1, // Opcode: CLZxx
-/* 37470 */   MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 37511
-/* 37474 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 37477 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 37486
-/* 37481 */   MCD_OPC_Decode, 159, 3, 248, 1, // Opcode: CSNEGxxxc
-/* 37486 */   MCD_OPC_FilterValue, 6, 196, 32, // Skip to: 45878
-/* 37490 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
-/* 37493 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37502
-/* 37497 */   MCD_OPC_Decode, 252, 11, 255, 1, // Opcode: REV16xx
-/* 37502 */   MCD_OPC_FilterValue, 1, 180, 32, // Skip to: 45878
-/* 37506 */   MCD_OPC_Decode, 129, 2, 255, 1, // Opcode: CLSxx
-/* 37511 */   MCD_OPC_FilterValue, 2, 12, 0, // Skip to: 37527
-/* 37515 */   MCD_OPC_CheckField, 12, 12, 128, 24, 164, 32, // Skip to: 45878
-/* 37522 */   MCD_OPC_Decode, 129, 12, 255, 1, // Opcode: REV32xx
-/* 37527 */   MCD_OPC_FilterValue, 3, 155, 32, // Skip to: 45878
-/* 37531 */   MCD_OPC_CheckField, 12, 12, 128, 24, 148, 32, // Skip to: 45878
-/* 37538 */   MCD_OPC_Decode, 137, 12, 255, 1, // Opcode: REVxx
-/* 37543 */   MCD_OPC_FilterValue, 7, 139, 32, // Skip to: 45878
-/* 37547 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 37550 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 37643
-/* 37554 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37557 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37572
-/* 37561 */   MCD_OPC_CheckField, 21, 1, 0, 119, 32, // Skip to: 45878
-/* 37567 */   MCD_OPC_Decode, 238, 9, 241, 1, // Opcode: LS64_STUR
-/* 37572 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37587
-/* 37576 */   MCD_OPC_CheckField, 21, 1, 0, 104, 32, // Skip to: 45878
-/* 37582 */   MCD_OPC_Decode, 234, 9, 238, 1, // Opcode: LS64_PostInd_STR
-/* 37587 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 37628
-/* 37591 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 37594 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37603
-/* 37598 */   MCD_OPC_Decode, 240, 9, 241, 1, // Opcode: LS64_UnPriv_STR
-/* 37603 */   MCD_OPC_FilterValue, 1, 79, 32, // Skip to: 45878
-/* 37607 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 37610 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37619
-/* 37614 */   MCD_OPC_Decode, 242, 9, 242, 1, // Opcode: LS64_Wm_RegOffset_STR
-/* 37619 */   MCD_OPC_FilterValue, 1, 63, 32, // Skip to: 45878
-/* 37623 */   MCD_OPC_Decode, 244, 9, 243, 1, // Opcode: LS64_Xm_RegOffset_STR
-/* 37628 */   MCD_OPC_FilterValue, 3, 54, 32, // Skip to: 45878
-/* 37632 */   MCD_OPC_CheckField, 21, 1, 0, 48, 32, // Skip to: 45878
-/* 37638 */   MCD_OPC_Decode, 236, 9, 238, 1, // Opcode: LS64_PreInd_STR
-/* 37643 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 37736
-/* 37647 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37650 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37665
-/* 37654 */   MCD_OPC_CheckField, 21, 1, 0, 26, 32, // Skip to: 45878
-/* 37660 */   MCD_OPC_Decode, 232, 9, 241, 1, // Opcode: LS64_LDUR
-/* 37665 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37680
-/* 37669 */   MCD_OPC_CheckField, 21, 1, 0, 11, 32, // Skip to: 45878
-/* 37675 */   MCD_OPC_Decode, 233, 9, 238, 1, // Opcode: LS64_PostInd_LDR
-/* 37680 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 37721
-/* 37684 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 37687 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37696
-/* 37691 */   MCD_OPC_Decode, 239, 9, 241, 1, // Opcode: LS64_UnPriv_LDR
-/* 37696 */   MCD_OPC_FilterValue, 1, 242, 31, // Skip to: 45878
-/* 37700 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 37703 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 37712
-/* 37707 */   MCD_OPC_Decode, 241, 9, 242, 1, // Opcode: LS64_Wm_RegOffset_LDR
-/* 37712 */   MCD_OPC_FilterValue, 1, 226, 31, // Skip to: 45878
-/* 37716 */   MCD_OPC_Decode, 243, 9, 243, 1, // Opcode: LS64_Xm_RegOffset_LDR
-/* 37721 */   MCD_OPC_FilterValue, 3, 217, 31, // Skip to: 45878
-/* 37725 */   MCD_OPC_CheckField, 21, 1, 0, 211, 31, // Skip to: 45878
-/* 37731 */   MCD_OPC_Decode, 235, 9, 238, 1, // Opcode: LS64_PreInd_LDR
-/* 37736 */   MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 37795
-/* 37740 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37743 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37758
-/* 37747 */   MCD_OPC_CheckField, 21, 1, 0, 189, 31, // Skip to: 45878
-/* 37753 */   MCD_OPC_Decode, 224, 11, 128, 2, // Opcode: PRFUM
-/* 37758 */   MCD_OPC_FilterValue, 2, 180, 31, // Skip to: 45878
-/* 37762 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 37765 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37780
-/* 37769 */   MCD_OPC_CheckField, 21, 1, 1, 167, 31, // Skip to: 45878
-/* 37775 */   MCD_OPC_Decode, 221, 11, 129, 2, // Opcode: PRFM_Wm_RegOffset
-/* 37780 */   MCD_OPC_FilterValue, 1, 158, 31, // Skip to: 45878
-/* 37784 */   MCD_OPC_CheckField, 21, 1, 1, 152, 31, // Skip to: 45878
-/* 37790 */   MCD_OPC_Decode, 222, 11, 130, 2, // Opcode: PRFM_Xm_RegOffset
-/* 37795 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 37804
-/* 37799 */   MCD_OPC_Decode, 237, 9, 201, 1, // Opcode: LS64_STR
-/* 37804 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 37813
-/* 37808 */   MCD_OPC_Decode, 231, 9, 201, 1, // Opcode: LS64_LDR
-/* 37813 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 37822
-/* 37817 */   MCD_OPC_Decode, 220, 11, 131, 2, // Opcode: PRFM
-/* 37822 */   MCD_OPC_FilterValue, 8, 17, 0, // Skip to: 37843
-/* 37826 */   MCD_OPC_CheckField, 21, 1, 0, 110, 31, // Skip to: 45878
-/* 37832 */   MCD_OPC_CheckField, 10, 6, 0, 104, 31, // Skip to: 45878
-/* 37838 */   MCD_OPC_Decode, 206, 12, 247, 1, // Opcode: SBCSxxx
-/* 37843 */   MCD_OPC_FilterValue, 9, 95, 31, // Skip to: 45878
-/* 37847 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37850 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 37871
-/* 37854 */   MCD_OPC_CheckField, 21, 1, 0, 82, 31, // Skip to: 45878
-/* 37860 */   MCD_OPC_CheckField, 4, 1, 0, 76, 31, // Skip to: 45878
-/* 37866 */   MCD_OPC_Decode, 248, 1, 252, 1, // Opcode: CCMPxx
-/* 37871 */   MCD_OPC_FilterValue, 2, 67, 31, // Skip to: 45878
-/* 37875 */   MCD_OPC_CheckField, 21, 1, 0, 61, 31, // Skip to: 45878
-/* 37881 */   MCD_OPC_CheckField, 4, 1, 0, 55, 31, // Skip to: 45878
-/* 37887 */   MCD_OPC_Decode, 247, 1, 253, 1, // Opcode: CCMPxi
-/* 37892 */   MCD_OPC_FilterValue, 7, 46, 31, // Skip to: 45878
-/* 37896 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
-/* 37899 */   MCD_OPC_FilterValue, 0, 12, 6, // Skip to: 39451
-/* 37903 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 37906 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 37919
-/* 37910 */   MCD_OPC_CheckPredicate, 1, 28, 31, // Skip to: 45878
-/* 37914 */   MCD_OPC_Decode, 188, 9, 132, 2, // Opcode: LDRs_lit
-/* 37919 */   MCD_OPC_FilterValue, 2, 109, 5, // Skip to: 39312
-/* 37923 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 37926 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 37985
-/* 37930 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 37933 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 37946
-/* 37937 */   MCD_OPC_CheckPredicate, 1, 1, 31, // Skip to: 45878
-/* 37941 */   MCD_OPC_Decode, 227, 12, 133, 2, // Opcode: SCVTFswi
-/* 37946 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 37959
-/* 37950 */   MCD_OPC_CheckPredicate, 1, 244, 30, // Skip to: 45878
-/* 37954 */   MCD_OPC_Decode, 172, 19, 133, 2, // Opcode: UCVTFswi
-/* 37959 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 37972
-/* 37963 */   MCD_OPC_CheckPredicate, 1, 231, 30, // Skip to: 45878
-/* 37967 */   MCD_OPC_Decode, 132, 5, 134, 2, // Opcode: FCVTZSwsi
-/* 37972 */   MCD_OPC_FilterValue, 25, 222, 30, // Skip to: 45878
-/* 37976 */   MCD_OPC_CheckPredicate, 1, 218, 30, // Skip to: 45878
-/* 37980 */   MCD_OPC_Decode, 147, 5, 134, 2, // Opcode: FCVTZUwsi
-/* 37985 */   MCD_OPC_FilterValue, 1, 125, 2, // Skip to: 38626
-/* 37989 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 37992 */   MCD_OPC_FilterValue, 0, 204, 1, // Skip to: 38456
-/* 37996 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 37999 */   MCD_OPC_FilterValue, 0, 178, 1, // Skip to: 38437
-/* 38003 */   MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 38006 */   MCD_OPC_FilterValue, 0, 185, 0, // Skip to: 38195
-/* 38010 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 38013 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38026
-/* 38017 */   MCD_OPC_CheckPredicate, 1, 177, 30, // Skip to: 45878
-/* 38021 */   MCD_OPC_Decode, 217, 4, 135, 2, // Opcode: FCVTNSws
-/* 38026 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 38039
-/* 38030 */   MCD_OPC_CheckPredicate, 1, 164, 30, // Skip to: 45878
-/* 38034 */   MCD_OPC_Decode, 226, 4, 135, 2, // Opcode: FCVTNUws
-/* 38039 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 38052
-/* 38043 */   MCD_OPC_CheckPredicate, 1, 151, 30, // Skip to: 45878
-/* 38047 */   MCD_OPC_Decode, 226, 12, 136, 2, // Opcode: SCVTFsw
-/* 38052 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 38065
-/* 38056 */   MCD_OPC_CheckPredicate, 1, 138, 30, // Skip to: 45878
-/* 38060 */   MCD_OPC_Decode, 171, 19, 136, 2, // Opcode: UCVTFsw
-/* 38065 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 38078
-/* 38069 */   MCD_OPC_CheckPredicate, 1, 125, 30, // Skip to: 45878
-/* 38073 */   MCD_OPC_Decode, 173, 4, 135, 2, // Opcode: FCVTASws
-/* 38078 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 38091
-/* 38082 */   MCD_OPC_CheckPredicate, 1, 112, 30, // Skip to: 45878
-/* 38086 */   MCD_OPC_Decode, 182, 4, 135, 2, // Opcode: FCVTAUws
-/* 38091 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 38104
-/* 38095 */   MCD_OPC_CheckPredicate, 1, 99, 30, // Skip to: 45878
-/* 38099 */   MCD_OPC_Decode, 235, 5, 135, 2, // Opcode: FMOVws
-/* 38104 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 38117
-/* 38108 */   MCD_OPC_CheckPredicate, 1, 86, 30, // Skip to: 45878
-/* 38112 */   MCD_OPC_Decode, 230, 5, 136, 2, // Opcode: FMOVsw
-/* 38117 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 38130
-/* 38121 */   MCD_OPC_CheckPredicate, 1, 73, 30, // Skip to: 45878
-/* 38125 */   MCD_OPC_Decode, 235, 4, 135, 2, // Opcode: FCVTPSws
-/* 38130 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 38143
-/* 38134 */   MCD_OPC_CheckPredicate, 1, 60, 30, // Skip to: 45878
-/* 38138 */   MCD_OPC_Decode, 244, 4, 135, 2, // Opcode: FCVTPUws
-/* 38143 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 38156
-/* 38147 */   MCD_OPC_CheckPredicate, 1, 47, 30, // Skip to: 45878
-/* 38151 */   MCD_OPC_Decode, 195, 4, 135, 2, // Opcode: FCVTMSws
-/* 38156 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 38169
-/* 38160 */   MCD_OPC_CheckPredicate, 1, 34, 30, // Skip to: 45878
-/* 38164 */   MCD_OPC_Decode, 204, 4, 135, 2, // Opcode: FCVTMUws
-/* 38169 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 38182
-/* 38173 */   MCD_OPC_CheckPredicate, 1, 21, 30, // Skip to: 45878
-/* 38177 */   MCD_OPC_Decode, 131, 5, 135, 2, // Opcode: FCVTZSws
-/* 38182 */   MCD_OPC_FilterValue, 25, 12, 30, // Skip to: 45878
-/* 38186 */   MCD_OPC_CheckPredicate, 1, 8, 30, // Skip to: 45878
-/* 38190 */   MCD_OPC_Decode, 146, 5, 135, 2, // Opcode: FCVTZUws
-/* 38195 */   MCD_OPC_FilterValue, 1, 55, 0, // Skip to: 38254
-/* 38199 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
-/* 38202 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38215
-/* 38206 */   MCD_OPC_CheckPredicate, 1, 244, 29, // Skip to: 45878
-/* 38210 */   MCD_OPC_Decode, 163, 4, 137, 2, // Opcode: FCMPss_quiet
-/* 38215 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 38228
-/* 38219 */   MCD_OPC_CheckPredicate, 1, 231, 29, // Skip to: 45878
-/* 38223 */   MCD_OPC_Decode, 161, 4, 138, 2, // Opcode: FCMPsi_quiet
-/* 38228 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 38241
-/* 38232 */   MCD_OPC_CheckPredicate, 1, 218, 29, // Skip to: 45878
-/* 38236 */   MCD_OPC_Decode, 164, 4, 137, 2, // Opcode: FCMPss_sig
-/* 38241 */   MCD_OPC_FilterValue, 24, 209, 29, // Skip to: 45878
-/* 38245 */   MCD_OPC_CheckPredicate, 1, 205, 29, // Skip to: 45878
-/* 38249 */   MCD_OPC_Decode, 162, 4, 138, 2, // Opcode: FCMPsi_sig
-/* 38254 */   MCD_OPC_FilterValue, 2, 81, 0, // Skip to: 38339
-/* 38258 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 38261 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38274
-/* 38265 */   MCD_OPC_CheckPredicate, 1, 185, 29, // Skip to: 45878
-/* 38269 */   MCD_OPC_Decode, 229, 5, 139, 2, // Opcode: FMOVss
-/* 38274 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 38287
-/* 38278 */   MCD_OPC_CheckPredicate, 1, 172, 29, // Skip to: 45878
-/* 38282 */   MCD_OPC_Decode, 136, 6, 139, 2, // Opcode: FNEGss
-/* 38287 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 38300
-/* 38291 */   MCD_OPC_CheckPredicate, 1, 159, 29, // Skip to: 45878
-/* 38295 */   MCD_OPC_Decode, 174, 6, 139, 2, // Opcode: FRINTNss
-/* 38300 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 38313
-/* 38304 */   MCD_OPC_CheckPredicate, 1, 146, 29, // Skip to: 45878
-/* 38308 */   MCD_OPC_Decode, 169, 6, 139, 2, // Opcode: FRINTMss
-/* 38313 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 38326
-/* 38317 */   MCD_OPC_CheckPredicate, 1, 133, 29, // Skip to: 45878
-/* 38321 */   MCD_OPC_Decode, 159, 6, 139, 2, // Opcode: FRINTAss
-/* 38326 */   MCD_OPC_FilterValue, 7, 124, 29, // Skip to: 45878
-/* 38330 */   MCD_OPC_CheckPredicate, 1, 120, 29, // Skip to: 45878
-/* 38334 */   MCD_OPC_Decode, 184, 6, 139, 2, // Opcode: FRINTXss
-/* 38339 */   MCD_OPC_FilterValue, 6, 111, 29, // Skip to: 45878
-/* 38343 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 38346 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38359
-/* 38350 */   MCD_OPC_CheckPredicate, 1, 100, 29, // Skip to: 45878
-/* 38354 */   MCD_OPC_Decode, 220, 3, 139, 2, // Opcode: FABSss
-/* 38359 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 38372
-/* 38363 */   MCD_OPC_CheckPredicate, 1, 87, 29, // Skip to: 45878
-/* 38367 */   MCD_OPC_Decode, 204, 6, 139, 2, // Opcode: FSQRTss
-/* 38372 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 38385
-/* 38376 */   MCD_OPC_CheckPredicate, 1, 74, 29, // Skip to: 45878
-/* 38380 */   MCD_OPC_Decode, 153, 5, 140, 2, // Opcode: FCVTds
-/* 38385 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 38398
-/* 38389 */   MCD_OPC_CheckPredicate, 1, 61, 29, // Skip to: 45878
-/* 38393 */   MCD_OPC_Decode, 155, 5, 141, 2, // Opcode: FCVThs
-/* 38398 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 38411
-/* 38402 */   MCD_OPC_CheckPredicate, 1, 48, 29, // Skip to: 45878
-/* 38406 */   MCD_OPC_Decode, 179, 6, 139, 2, // Opcode: FRINTPss
-/* 38411 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 38424
-/* 38415 */   MCD_OPC_CheckPredicate, 1, 35, 29, // Skip to: 45878
-/* 38419 */   MCD_OPC_Decode, 189, 6, 139, 2, // Opcode: FRINTZss
-/* 38424 */   MCD_OPC_FilterValue, 7, 26, 29, // Skip to: 45878
-/* 38428 */   MCD_OPC_CheckPredicate, 1, 22, 29, // Skip to: 45878
-/* 38432 */   MCD_OPC_Decode, 164, 6, 139, 2, // Opcode: FRINTIss
-/* 38437 */   MCD_OPC_FilterValue, 1, 13, 29, // Skip to: 45878
-/* 38441 */   MCD_OPC_CheckPredicate, 1, 9, 29, // Skip to: 45878
-/* 38445 */   MCD_OPC_CheckField, 5, 5, 0, 3, 29, // Skip to: 45878
-/* 38451 */   MCD_OPC_Decode, 228, 5, 142, 2, // Opcode: FMOVsi
-/* 38456 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 38489
-/* 38460 */   MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 38463 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38476
-/* 38467 */   MCD_OPC_CheckPredicate, 1, 239, 28, // Skip to: 45878
-/* 38471 */   MCD_OPC_Decode, 244, 3, 143, 2, // Opcode: FCCMPss
-/* 38476 */   MCD_OPC_FilterValue, 1, 230, 28, // Skip to: 45878
-/* 38480 */   MCD_OPC_CheckPredicate, 1, 226, 28, // Skip to: 45878
-/* 38484 */   MCD_OPC_Decode, 242, 3, 143, 2, // Opcode: FCCMPEss
-/* 38489 */   MCD_OPC_FilterValue, 2, 120, 0, // Skip to: 38613
-/* 38493 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 38496 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38509
-/* 38500 */   MCD_OPC_CheckPredicate, 1, 206, 28, // Skip to: 45878
-/* 38504 */   MCD_OPC_Decode, 252, 5, 144, 2, // Opcode: FMULsss
-/* 38509 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 38522
-/* 38513 */   MCD_OPC_CheckPredicate, 1, 193, 28, // Skip to: 45878
-/* 38517 */   MCD_OPC_Decode, 159, 5, 144, 2, // Opcode: FDIVsss
-/* 38522 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 38535
-/* 38526 */   MCD_OPC_CheckPredicate, 1, 180, 28, // Skip to: 45878
-/* 38530 */   MCD_OPC_Decode, 237, 3, 144, 2, // Opcode: FADDsss
-/* 38535 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 38548
-/* 38539 */   MCD_OPC_CheckPredicate, 1, 167, 28, // Skip to: 45878
-/* 38543 */   MCD_OPC_Decode, 206, 6, 144, 2, // Opcode: FSUBsss
-/* 38548 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 38561
-/* 38552 */   MCD_OPC_CheckPredicate, 1, 154, 28, // Skip to: 45878
-/* 38556 */   MCD_OPC_Decode, 183, 5, 144, 2, // Opcode: FMAXsss
-/* 38561 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 38574
-/* 38565 */   MCD_OPC_CheckPredicate, 1, 141, 28, // Skip to: 45878
-/* 38569 */   MCD_OPC_Decode, 205, 5, 144, 2, // Opcode: FMINsss
-/* 38574 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 38587
-/* 38578 */   MCD_OPC_CheckPredicate, 1, 128, 28, // Skip to: 45878
-/* 38582 */   MCD_OPC_Decode, 172, 5, 144, 2, // Opcode: FMAXNMsss
-/* 38587 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 38600
-/* 38591 */   MCD_OPC_CheckPredicate, 1, 115, 28, // Skip to: 45878
-/* 38595 */   MCD_OPC_Decode, 194, 5, 144, 2, // Opcode: FMINNMsss
-/* 38600 */   MCD_OPC_FilterValue, 8, 106, 28, // Skip to: 45878
-/* 38604 */   MCD_OPC_CheckPredicate, 1, 102, 28, // Skip to: 45878
-/* 38608 */   MCD_OPC_Decode, 142, 6, 144, 2, // Opcode: FNMULsss
-/* 38613 */   MCD_OPC_FilterValue, 3, 93, 28, // Skip to: 45878
-/* 38617 */   MCD_OPC_CheckPredicate, 1, 89, 28, // Skip to: 45878
-/* 38621 */   MCD_OPC_Decode, 166, 4, 145, 2, // Opcode: FCSELsssc
-/* 38626 */   MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 38685
-/* 38630 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 38633 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 38646
-/* 38637 */   MCD_OPC_CheckPredicate, 1, 69, 28, // Skip to: 45878
-/* 38641 */   MCD_OPC_Decode, 222, 12, 146, 2, // Opcode: SCVTFdwi
-/* 38646 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 38659
-/* 38650 */   MCD_OPC_CheckPredicate, 1, 56, 28, // Skip to: 45878
-/* 38654 */   MCD_OPC_Decode, 167, 19, 146, 2, // Opcode: UCVTFdwi
-/* 38659 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 38672
-/* 38663 */   MCD_OPC_CheckPredicate, 1, 43, 28, // Skip to: 45878
-/* 38667 */   MCD_OPC_Decode, 130, 5, 147, 2, // Opcode: FCVTZSwdi
-/* 38672 */   MCD_OPC_FilterValue, 25, 34, 28, // Skip to: 45878
-/* 38676 */   MCD_OPC_CheckPredicate, 1, 30, 28, // Skip to: 45878
-/* 38680 */   MCD_OPC_Decode, 145, 5, 147, 2, // Opcode: FCVTZUwdi
-/* 38685 */   MCD_OPC_FilterValue, 3, 76, 2, // Skip to: 39277
-/* 38689 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 38692 */   MCD_OPC_FilterValue, 0, 164, 1, // Skip to: 39116
-/* 38696 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 38699 */   MCD_OPC_FilterValue, 0, 138, 1, // Skip to: 39097
-/* 38703 */   MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 38706 */   MCD_OPC_FilterValue, 0, 157, 0, // Skip to: 38867
-/* 38710 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 38713 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38726
-/* 38717 */   MCD_OPC_CheckPredicate, 1, 245, 27, // Skip to: 45878
-/* 38721 */   MCD_OPC_Decode, 216, 4, 148, 2, // Opcode: FCVTNSwd
-/* 38726 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 38739
-/* 38730 */   MCD_OPC_CheckPredicate, 1, 232, 27, // Skip to: 45878
-/* 38734 */   MCD_OPC_Decode, 225, 4, 148, 2, // Opcode: FCVTNUwd
-/* 38739 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 38751
-/* 38743 */   MCD_OPC_CheckPredicate, 1, 219, 27, // Skip to: 45878
-/* 38747 */   MCD_OPC_Decode, 221, 12, 80, // Opcode: SCVTFdw
-/* 38751 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 38763
-/* 38755 */   MCD_OPC_CheckPredicate, 1, 207, 27, // Skip to: 45878
-/* 38759 */   MCD_OPC_Decode, 166, 19, 80, // Opcode: UCVTFdw
-/* 38763 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 38776
-/* 38767 */   MCD_OPC_CheckPredicate, 1, 195, 27, // Skip to: 45878
-/* 38771 */   MCD_OPC_Decode, 172, 4, 148, 2, // Opcode: FCVTASwd
-/* 38776 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 38789
-/* 38780 */   MCD_OPC_CheckPredicate, 1, 182, 27, // Skip to: 45878
-/* 38784 */   MCD_OPC_Decode, 181, 4, 148, 2, // Opcode: FCVTAUwd
-/* 38789 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 38802
-/* 38793 */   MCD_OPC_CheckPredicate, 1, 169, 27, // Skip to: 45878
-/* 38797 */   MCD_OPC_Decode, 234, 4, 148, 2, // Opcode: FCVTPSwd
-/* 38802 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 38815
-/* 38806 */   MCD_OPC_CheckPredicate, 1, 156, 27, // Skip to: 45878
-/* 38810 */   MCD_OPC_Decode, 243, 4, 148, 2, // Opcode: FCVTPUwd
-/* 38815 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 38828
-/* 38819 */   MCD_OPC_CheckPredicate, 1, 143, 27, // Skip to: 45878
-/* 38823 */   MCD_OPC_Decode, 194, 4, 148, 2, // Opcode: FCVTMSwd
-/* 38828 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 38841
-/* 38832 */   MCD_OPC_CheckPredicate, 1, 130, 27, // Skip to: 45878
-/* 38836 */   MCD_OPC_Decode, 203, 4, 148, 2, // Opcode: FCVTMUwd
-/* 38841 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 38854
-/* 38845 */   MCD_OPC_CheckPredicate, 1, 117, 27, // Skip to: 45878
-/* 38849 */   MCD_OPC_Decode, 129, 5, 148, 2, // Opcode: FCVTZSwd
-/* 38854 */   MCD_OPC_FilterValue, 25, 108, 27, // Skip to: 45878
-/* 38858 */   MCD_OPC_CheckPredicate, 1, 104, 27, // Skip to: 45878
-/* 38862 */   MCD_OPC_Decode, 144, 5, 148, 2, // Opcode: FCVTZUwd
-/* 38867 */   MCD_OPC_FilterValue, 1, 55, 0, // Skip to: 38926
-/* 38871 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
-/* 38874 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 38887
-/* 38878 */   MCD_OPC_CheckPredicate, 1, 84, 27, // Skip to: 45878
-/* 38882 */   MCD_OPC_Decode, 157, 4, 149, 2, // Opcode: FCMPdd_quiet
-/* 38887 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 38900
-/* 38891 */   MCD_OPC_CheckPredicate, 1, 71, 27, // Skip to: 45878
-/* 38895 */   MCD_OPC_Decode, 159, 4, 150, 2, // Opcode: FCMPdi_quiet
-/* 38900 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 38913
-/* 38904 */   MCD_OPC_CheckPredicate, 1, 58, 27, // Skip to: 45878
-/* 38908 */   MCD_OPC_Decode, 158, 4, 149, 2, // Opcode: FCMPdd_sig
-/* 38913 */   MCD_OPC_FilterValue, 24, 49, 27, // Skip to: 45878
-/* 38917 */   MCD_OPC_CheckPredicate, 1, 45, 27, // Skip to: 45878
-/* 38921 */   MCD_OPC_Decode, 160, 4, 150, 2, // Opcode: FCMPdi_sig
-/* 38926 */   MCD_OPC_FilterValue, 2, 88, 0, // Skip to: 39018
-/* 38930 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 38933 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 38945
-/* 38937 */   MCD_OPC_CheckPredicate, 1, 25, 27, // Skip to: 45878
-/* 38941 */   MCD_OPC_Decode, 225, 5, 79, // Opcode: FMOVdd
-/* 38945 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 38957
-/* 38949 */   MCD_OPC_CheckPredicate, 1, 13, 27, // Skip to: 45878
-/* 38953 */   MCD_OPC_Decode, 135, 6, 79, // Opcode: FNEGdd
-/* 38957 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 38970
-/* 38961 */   MCD_OPC_CheckPredicate, 1, 1, 27, // Skip to: 45878
-/* 38965 */   MCD_OPC_Decode, 156, 5, 134, 1, // Opcode: FCVTsd
-/* 38970 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 38982
-/* 38974 */   MCD_OPC_CheckPredicate, 1, 244, 26, // Skip to: 45878
-/* 38978 */   MCD_OPC_Decode, 173, 6, 79, // Opcode: FRINTNdd
-/* 38982 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 38994
-/* 38986 */   MCD_OPC_CheckPredicate, 1, 232, 26, // Skip to: 45878
-/* 38990 */   MCD_OPC_Decode, 168, 6, 79, // Opcode: FRINTMdd
-/* 38994 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 39006
-/* 38998 */   MCD_OPC_CheckPredicate, 1, 220, 26, // Skip to: 45878
-/* 39002 */   MCD_OPC_Decode, 158, 6, 79, // Opcode: FRINTAdd
-/* 39006 */   MCD_OPC_FilterValue, 7, 212, 26, // Skip to: 45878
-/* 39010 */   MCD_OPC_CheckPredicate, 1, 208, 26, // Skip to: 45878
-/* 39014 */   MCD_OPC_Decode, 183, 6, 79, // Opcode: FRINTXdd
-/* 39018 */   MCD_OPC_FilterValue, 6, 200, 26, // Skip to: 45878
-/* 39022 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 39025 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 39037
-/* 39029 */   MCD_OPC_CheckPredicate, 1, 189, 26, // Skip to: 45878
-/* 39033 */   MCD_OPC_Decode, 219, 3, 79, // Opcode: FABSdd
-/* 39037 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 39049
-/* 39041 */   MCD_OPC_CheckPredicate, 1, 177, 26, // Skip to: 45878
-/* 39045 */   MCD_OPC_Decode, 203, 6, 79, // Opcode: FSQRTdd
-/* 39049 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 39061
-/* 39053 */   MCD_OPC_CheckPredicate, 1, 165, 26, // Skip to: 45878
-/* 39057 */   MCD_OPC_Decode, 154, 5, 89, // Opcode: FCVThd
-/* 39061 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 39073
-/* 39065 */   MCD_OPC_CheckPredicate, 1, 153, 26, // Skip to: 45878
-/* 39069 */   MCD_OPC_Decode, 178, 6, 79, // Opcode: FRINTPdd
-/* 39073 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 39085
-/* 39077 */   MCD_OPC_CheckPredicate, 1, 141, 26, // Skip to: 45878
-/* 39081 */   MCD_OPC_Decode, 188, 6, 79, // Opcode: FRINTZdd
-/* 39085 */   MCD_OPC_FilterValue, 7, 133, 26, // Skip to: 45878
-/* 39089 */   MCD_OPC_CheckPredicate, 1, 129, 26, // Skip to: 45878
-/* 39093 */   MCD_OPC_Decode, 163, 6, 79, // Opcode: FRINTIdd
-/* 39097 */   MCD_OPC_FilterValue, 1, 121, 26, // Skip to: 45878
-/* 39101 */   MCD_OPC_CheckPredicate, 1, 117, 26, // Skip to: 45878
-/* 39105 */   MCD_OPC_CheckField, 5, 5, 0, 111, 26, // Skip to: 45878
-/* 39111 */   MCD_OPC_Decode, 226, 5, 151, 2, // Opcode: FMOVdi
-/* 39116 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 39149
-/* 39120 */   MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 39123 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 39136
-/* 39127 */   MCD_OPC_CheckPredicate, 1, 91, 26, // Skip to: 45878
-/* 39131 */   MCD_OPC_Decode, 243, 3, 152, 2, // Opcode: FCCMPdd
-/* 39136 */   MCD_OPC_FilterValue, 1, 82, 26, // Skip to: 45878
-/* 39140 */   MCD_OPC_CheckPredicate, 1, 78, 26, // Skip to: 45878
-/* 39144 */   MCD_OPC_Decode, 241, 3, 152, 2, // Opcode: FCCMPEdd
-/* 39149 */   MCD_OPC_FilterValue, 2, 111, 0, // Skip to: 39264
-/* 39153 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 39156 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 39168
-/* 39160 */   MCD_OPC_CheckPredicate, 1, 58, 26, // Skip to: 45878
-/* 39164 */   MCD_OPC_Decode, 250, 5, 78, // Opcode: FMULddd
-/* 39168 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 39180
-/* 39172 */   MCD_OPC_CheckPredicate, 1, 46, 26, // Skip to: 45878
-/* 39176 */   MCD_OPC_Decode, 158, 5, 78, // Opcode: FDIVddd
-/* 39180 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 39192
-/* 39184 */   MCD_OPC_CheckPredicate, 1, 34, 26, // Skip to: 45878
-/* 39188 */   MCD_OPC_Decode, 236, 3, 78, // Opcode: FADDddd
-/* 39192 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 39204
-/* 39196 */   MCD_OPC_CheckPredicate, 1, 22, 26, // Skip to: 45878
-/* 39200 */   MCD_OPC_Decode, 205, 6, 78, // Opcode: FSUBddd
-/* 39204 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 39216
-/* 39208 */   MCD_OPC_CheckPredicate, 1, 10, 26, // Skip to: 45878
-/* 39212 */   MCD_OPC_Decode, 182, 5, 78, // Opcode: FMAXddd
-/* 39216 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 39228
-/* 39220 */   MCD_OPC_CheckPredicate, 1, 254, 25, // Skip to: 45878
-/* 39224 */   MCD_OPC_Decode, 204, 5, 78, // Opcode: FMINddd
-/* 39228 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 39240
-/* 39232 */   MCD_OPC_CheckPredicate, 1, 242, 25, // Skip to: 45878
-/* 39236 */   MCD_OPC_Decode, 171, 5, 78, // Opcode: FMAXNMddd
-/* 39240 */   MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 39252
-/* 39244 */   MCD_OPC_CheckPredicate, 1, 230, 25, // Skip to: 45878
-/* 39248 */   MCD_OPC_Decode, 193, 5, 78, // Opcode: FMINNMddd
-/* 39252 */   MCD_OPC_FilterValue, 8, 222, 25, // Skip to: 45878
-/* 39256 */   MCD_OPC_CheckPredicate, 1, 218, 25, // Skip to: 45878
-/* 39260 */   MCD_OPC_Decode, 141, 6, 78, // Opcode: FNMULddd
-/* 39264 */   MCD_OPC_FilterValue, 3, 210, 25, // Skip to: 45878
-/* 39268 */   MCD_OPC_CheckPredicate, 1, 206, 25, // Skip to: 45878
-/* 39272 */   MCD_OPC_Decode, 165, 4, 153, 2, // Opcode: FCSELdddc
-/* 39277 */   MCD_OPC_FilterValue, 7, 197, 25, // Skip to: 45878
-/* 39281 */   MCD_OPC_ExtractField, 10, 11,  // Inst{20-10} ...
-/* 39284 */   MCD_OPC_FilterValue, 144, 1, 9, 0, // Skip to: 39298
-/* 39289 */   MCD_OPC_CheckPredicate, 1, 185, 25, // Skip to: 45878
-/* 39293 */   MCD_OPC_Decode, 157, 5, 154, 2, // Opcode: FCVTsh
-/* 39298 */   MCD_OPC_FilterValue, 176, 1, 175, 25, // Skip to: 45878
-/* 39303 */   MCD_OPC_CheckPredicate, 1, 171, 25, // Skip to: 45878
-/* 39307 */   MCD_OPC_Decode, 152, 5, 155, 2, // Opcode: FCVTdh
-/* 39312 */   MCD_OPC_FilterValue, 3, 162, 25, // Skip to: 45878
-/* 39316 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 39319 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 39352
-/* 39323 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 39326 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 39339
-/* 39330 */   MCD_OPC_CheckPredicate, 1, 144, 25, // Skip to: 45878
-/* 39334 */   MCD_OPC_Decode, 164, 5, 156, 2, // Opcode: FMADDssss
-/* 39339 */   MCD_OPC_FilterValue, 1, 135, 25, // Skip to: 45878
-/* 39343 */   MCD_OPC_CheckPredicate, 1, 131, 25, // Skip to: 45878
-/* 39347 */   MCD_OPC_Decode, 239, 5, 156, 2, // Opcode: FMSUBssss
-/* 39352 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 39385
-/* 39356 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 39359 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 39372
-/* 39363 */   MCD_OPC_CheckPredicate, 1, 111, 25, // Skip to: 45878
-/* 39367 */   MCD_OPC_Decode, 138, 6, 156, 2, // Opcode: FNMADDssss
-/* 39372 */   MCD_OPC_FilterValue, 1, 102, 25, // Skip to: 45878
-/* 39376 */   MCD_OPC_CheckPredicate, 1, 98, 25, // Skip to: 45878
-/* 39380 */   MCD_OPC_Decode, 140, 6, 156, 2, // Opcode: FNMSUBssss
-/* 39385 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 39418
-/* 39389 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 39392 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 39405
-/* 39396 */   MCD_OPC_CheckPredicate, 1, 78, 25, // Skip to: 45878
-/* 39400 */   MCD_OPC_Decode, 163, 5, 157, 2, // Opcode: FMADDdddd
-/* 39405 */   MCD_OPC_FilterValue, 1, 69, 25, // Skip to: 45878
-/* 39409 */   MCD_OPC_CheckPredicate, 1, 65, 25, // Skip to: 45878
-/* 39413 */   MCD_OPC_Decode, 238, 5, 157, 2, // Opcode: FMSUBdddd
-/* 39418 */   MCD_OPC_FilterValue, 3, 56, 25, // Skip to: 45878
-/* 39422 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
-/* 39425 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 39438
-/* 39429 */   MCD_OPC_CheckPredicate, 1, 45, 25, // Skip to: 45878
-/* 39433 */   MCD_OPC_Decode, 137, 6, 157, 2, // Opcode: FNMADDdddd
-/* 39438 */   MCD_OPC_FilterValue, 1, 36, 25, // Skip to: 45878
-/* 39442 */   MCD_OPC_CheckPredicate, 1, 32, 25, // Skip to: 45878
-/* 39446 */   MCD_OPC_Decode, 139, 6, 157, 2, // Opcode: FNMSUBdddd
-/* 39451 */   MCD_OPC_FilterValue, 1, 235, 1, // Skip to: 39946
-/* 39455 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 39458 */   MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 39567
-/* 39462 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 39465 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39484
-/* 39469 */   MCD_OPC_CheckPredicate, 1, 5, 25, // Skip to: 45878
-/* 39473 */   MCD_OPC_CheckField, 21, 1, 0, 255, 24, // Skip to: 45878
-/* 39479 */   MCD_OPC_Decode, 186, 10, 158, 2, // Opcode: LSFP8_STUR
-/* 39484 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 39503
-/* 39488 */   MCD_OPC_CheckPredicate, 1, 242, 24, // Skip to: 45878
-/* 39492 */   MCD_OPC_CheckField, 21, 1, 0, 236, 24, // Skip to: 45878
-/* 39498 */   MCD_OPC_Decode, 182, 10, 238, 1, // Opcode: LSFP8_PostInd_STR
-/* 39503 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 39548
-/* 39507 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 39510 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39529
-/* 39514 */   MCD_OPC_CheckPredicate, 1, 216, 24, // Skip to: 45878
-/* 39518 */   MCD_OPC_CheckField, 21, 1, 1, 210, 24, // Skip to: 45878
-/* 39524 */   MCD_OPC_Decode, 188, 10, 159, 2, // Opcode: LSFP8_Wm_RegOffset_STR
-/* 39529 */   MCD_OPC_FilterValue, 1, 201, 24, // Skip to: 45878
-/* 39533 */   MCD_OPC_CheckPredicate, 1, 197, 24, // Skip to: 45878
-/* 39537 */   MCD_OPC_CheckField, 21, 1, 1, 191, 24, // Skip to: 45878
-/* 39543 */   MCD_OPC_Decode, 190, 10, 160, 2, // Opcode: LSFP8_Xm_RegOffset_STR
-/* 39548 */   MCD_OPC_FilterValue, 3, 182, 24, // Skip to: 45878
-/* 39552 */   MCD_OPC_CheckPredicate, 1, 178, 24, // Skip to: 45878
-/* 39556 */   MCD_OPC_CheckField, 21, 1, 0, 172, 24, // Skip to: 45878
-/* 39562 */   MCD_OPC_Decode, 184, 10, 238, 1, // Opcode: LSFP8_PreInd_STR
-/* 39567 */   MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 39676
-/* 39571 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 39574 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39593
-/* 39578 */   MCD_OPC_CheckPredicate, 1, 152, 24, // Skip to: 45878
-/* 39582 */   MCD_OPC_CheckField, 21, 1, 0, 146, 24, // Skip to: 45878
-/* 39588 */   MCD_OPC_Decode, 180, 10, 158, 2, // Opcode: LSFP8_LDUR
-/* 39593 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 39612
-/* 39597 */   MCD_OPC_CheckPredicate, 1, 133, 24, // Skip to: 45878
-/* 39601 */   MCD_OPC_CheckField, 21, 1, 0, 127, 24, // Skip to: 45878
-/* 39607 */   MCD_OPC_Decode, 181, 10, 238, 1, // Opcode: LSFP8_PostInd_LDR
-/* 39612 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 39657
-/* 39616 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 39619 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39638
-/* 39623 */   MCD_OPC_CheckPredicate, 1, 107, 24, // Skip to: 45878
-/* 39627 */   MCD_OPC_CheckField, 21, 1, 1, 101, 24, // Skip to: 45878
-/* 39633 */   MCD_OPC_Decode, 187, 10, 159, 2, // Opcode: LSFP8_Wm_RegOffset_LDR
-/* 39638 */   MCD_OPC_FilterValue, 1, 92, 24, // Skip to: 45878
-/* 39642 */   MCD_OPC_CheckPredicate, 1, 88, 24, // Skip to: 45878
-/* 39646 */   MCD_OPC_CheckField, 21, 1, 1, 82, 24, // Skip to: 45878
-/* 39652 */   MCD_OPC_Decode, 189, 10, 160, 2, // Opcode: LSFP8_Xm_RegOffset_LDR
-/* 39657 */   MCD_OPC_FilterValue, 3, 73, 24, // Skip to: 45878
-/* 39661 */   MCD_OPC_CheckPredicate, 1, 69, 24, // Skip to: 45878
-/* 39665 */   MCD_OPC_CheckField, 21, 1, 0, 63, 24, // Skip to: 45878
-/* 39671 */   MCD_OPC_Decode, 183, 10, 238, 1, // Opcode: LSFP8_PreInd_LDR
-/* 39676 */   MCD_OPC_FilterValue, 2, 105, 0, // Skip to: 39785
-/* 39680 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 39683 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39702
-/* 39687 */   MCD_OPC_CheckPredicate, 1, 43, 24, // Skip to: 45878
-/* 39691 */   MCD_OPC_CheckField, 21, 1, 0, 37, 24, // Skip to: 45878
-/* 39697 */   MCD_OPC_Decode, 138, 10, 161, 2, // Opcode: LSFP128_STUR
-/* 39702 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 39721
-/* 39706 */   MCD_OPC_CheckPredicate, 1, 24, 24, // Skip to: 45878
-/* 39710 */   MCD_OPC_CheckField, 21, 1, 0, 18, 24, // Skip to: 45878
-/* 39716 */   MCD_OPC_Decode, 134, 10, 238, 1, // Opcode: LSFP128_PostInd_STR
-/* 39721 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 39766
-/* 39725 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 39728 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39747
-/* 39732 */   MCD_OPC_CheckPredicate, 1, 254, 23, // Skip to: 45878
-/* 39736 */   MCD_OPC_CheckField, 21, 1, 1, 248, 23, // Skip to: 45878
-/* 39742 */   MCD_OPC_Decode, 140, 10, 162, 2, // Opcode: LSFP128_Wm_RegOffset_STR
-/* 39747 */   MCD_OPC_FilterValue, 1, 239, 23, // Skip to: 45878
-/* 39751 */   MCD_OPC_CheckPredicate, 1, 235, 23, // Skip to: 45878
-/* 39755 */   MCD_OPC_CheckField, 21, 1, 1, 229, 23, // Skip to: 45878
-/* 39761 */   MCD_OPC_Decode, 142, 10, 163, 2, // Opcode: LSFP128_Xm_RegOffset_STR
-/* 39766 */   MCD_OPC_FilterValue, 3, 220, 23, // Skip to: 45878
-/* 39770 */   MCD_OPC_CheckPredicate, 1, 216, 23, // Skip to: 45878
-/* 39774 */   MCD_OPC_CheckField, 21, 1, 0, 210, 23, // Skip to: 45878
-/* 39780 */   MCD_OPC_Decode, 136, 10, 238, 1, // Opcode: LSFP128_PreInd_STR
-/* 39785 */   MCD_OPC_FilterValue, 3, 105, 0, // Skip to: 39894
-/* 39789 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 39792 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39811
-/* 39796 */   MCD_OPC_CheckPredicate, 1, 190, 23, // Skip to: 45878
-/* 39800 */   MCD_OPC_CheckField, 21, 1, 0, 184, 23, // Skip to: 45878
-/* 39806 */   MCD_OPC_Decode, 132, 10, 161, 2, // Opcode: LSFP128_LDUR
-/* 39811 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 39830
-/* 39815 */   MCD_OPC_CheckPredicate, 1, 171, 23, // Skip to: 45878
-/* 39819 */   MCD_OPC_CheckField, 21, 1, 0, 165, 23, // Skip to: 45878
-/* 39825 */   MCD_OPC_Decode, 133, 10, 238, 1, // Opcode: LSFP128_PostInd_LDR
-/* 39830 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 39875
-/* 39834 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 39837 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39856
-/* 39841 */   MCD_OPC_CheckPredicate, 1, 145, 23, // Skip to: 45878
-/* 39845 */   MCD_OPC_CheckField, 21, 1, 1, 139, 23, // Skip to: 45878
-/* 39851 */   MCD_OPC_Decode, 139, 10, 162, 2, // Opcode: LSFP128_Wm_RegOffset_LDR
-/* 39856 */   MCD_OPC_FilterValue, 1, 130, 23, // Skip to: 45878
-/* 39860 */   MCD_OPC_CheckPredicate, 1, 126, 23, // Skip to: 45878
-/* 39864 */   MCD_OPC_CheckField, 21, 1, 1, 120, 23, // Skip to: 45878
-/* 39870 */   MCD_OPC_Decode, 141, 10, 163, 2, // Opcode: LSFP128_Xm_RegOffset_LDR
-/* 39875 */   MCD_OPC_FilterValue, 3, 111, 23, // Skip to: 45878
-/* 39879 */   MCD_OPC_CheckPredicate, 1, 107, 23, // Skip to: 45878
-/* 39883 */   MCD_OPC_CheckField, 21, 1, 0, 101, 23, // Skip to: 45878
-/* 39889 */   MCD_OPC_Decode, 135, 10, 238, 1, // Opcode: LSFP128_PreInd_LDR
-/* 39894 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 39907
-/* 39898 */   MCD_OPC_CheckPredicate, 1, 88, 23, // Skip to: 45878
-/* 39902 */   MCD_OPC_Decode, 185, 10, 164, 2, // Opcode: LSFP8_STR
-/* 39907 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 39920
-/* 39911 */   MCD_OPC_CheckPredicate, 1, 75, 23, // Skip to: 45878
-/* 39915 */   MCD_OPC_Decode, 179, 10, 164, 2, // Opcode: LSFP8_LDR
-/* 39920 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 39933
-/* 39924 */   MCD_OPC_CheckPredicate, 1, 62, 23, // Skip to: 45878
-/* 39928 */   MCD_OPC_Decode, 137, 10, 165, 2, // Opcode: LSFP128_STR
-/* 39933 */   MCD_OPC_FilterValue, 7, 53, 23, // Skip to: 45878
-/* 39937 */   MCD_OPC_CheckPredicate, 1, 49, 23, // Skip to: 45878
-/* 39941 */   MCD_OPC_Decode, 131, 10, 165, 2, // Opcode: LSFP128_LDR
-/* 39946 */   MCD_OPC_FilterValue, 2, 145, 9, // Skip to: 42399
-/* 39950 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 39953 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 39966
-/* 39957 */   MCD_OPC_CheckPredicate, 1, 29, 23, // Skip to: 45878
-/* 39961 */   MCD_OPC_Decode, 186, 9, 166, 2, // Opcode: LDRd_lit
-/* 39966 */   MCD_OPC_FilterValue, 2, 175, 5, // Skip to: 41425
-/* 39970 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 39973 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39992
-/* 39977 */   MCD_OPC_CheckPredicate, 2, 9, 23, // Skip to: 45878
-/* 39981 */   MCD_OPC_CheckField, 21, 3, 0, 3, 23, // Skip to: 45878
-/* 39987 */   MCD_OPC_Decode, 232, 12, 167, 2, // Opcode: SHA1C
-/* 39992 */   MCD_OPC_FilterValue, 1, 99, 0, // Skip to: 40095
-/* 39996 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 39999 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 40076
-/* 40003 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 40006 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 40057
-/* 40010 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 40013 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 40038
-/* 40017 */   MCD_OPC_CheckPredicate, 0, 225, 22, // Skip to: 45878
-/* 40021 */   MCD_OPC_CheckField, 21, 3, 0, 219, 22, // Skip to: 45878
-/* 40027 */   MCD_OPC_CheckField, 19, 1, 1, 213, 22, // Skip to: 45878
-/* 40033 */   MCD_OPC_Decode, 182, 3, 168, 2, // Opcode: DUPdv_D
-/* 40038 */   MCD_OPC_FilterValue, 1, 204, 22, // Skip to: 45878
-/* 40042 */   MCD_OPC_CheckPredicate, 0, 200, 22, // Skip to: 45878
-/* 40046 */   MCD_OPC_CheckField, 21, 3, 0, 194, 22, // Skip to: 45878
-/* 40052 */   MCD_OPC_Decode, 184, 3, 169, 2, // Opcode: DUPsv_S
-/* 40057 */   MCD_OPC_FilterValue, 1, 185, 22, // Skip to: 45878
-/* 40061 */   MCD_OPC_CheckPredicate, 0, 181, 22, // Skip to: 45878
-/* 40065 */   MCD_OPC_CheckField, 21, 3, 0, 175, 22, // Skip to: 45878
-/* 40071 */   MCD_OPC_Decode, 183, 3, 170, 2, // Opcode: DUPhv_H
-/* 40076 */   MCD_OPC_FilterValue, 1, 166, 22, // Skip to: 45878
-/* 40080 */   MCD_OPC_CheckPredicate, 0, 162, 22, // Skip to: 45878
-/* 40084 */   MCD_OPC_CheckField, 21, 3, 0, 156, 22, // Skip to: 45878
-/* 40090 */   MCD_OPC_Decode, 181, 3, 171, 2, // Opcode: DUPbv_B
-/* 40095 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 40114
-/* 40099 */   MCD_OPC_CheckPredicate, 2, 143, 22, // Skip to: 45878
-/* 40103 */   MCD_OPC_CheckField, 16, 8, 40, 137, 22, // Skip to: 45878
-/* 40109 */   MCD_OPC_Decode, 233, 12, 139, 2, // Opcode: SHA1H
-/* 40114 */   MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 40172
-/* 40118 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40121 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 40134
-/* 40125 */   MCD_OPC_CheckPredicate, 0, 117, 22, // Skip to: 45878
-/* 40129 */   MCD_OPC_Decode, 238, 13, 172, 2, // Opcode: SQADDbbb
-/* 40134 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40147
-/* 40138 */   MCD_OPC_CheckPredicate, 0, 104, 22, // Skip to: 45878
-/* 40142 */   MCD_OPC_Decode, 240, 13, 173, 2, // Opcode: SQADDhhh
-/* 40147 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 40160
-/* 40151 */   MCD_OPC_CheckPredicate, 0, 91, 22, // Skip to: 45878
-/* 40155 */   MCD_OPC_Decode, 241, 13, 144, 2, // Opcode: SQADDsss
-/* 40160 */   MCD_OPC_FilterValue, 7, 82, 22, // Skip to: 45878
-/* 40164 */   MCD_OPC_CheckPredicate, 0, 78, 22, // Skip to: 45878
-/* 40168 */   MCD_OPC_Decode, 239, 13, 78, // Opcode: SQADDddd
-/* 40172 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 40191
-/* 40176 */   MCD_OPC_CheckPredicate, 2, 66, 22, // Skip to: 45878
-/* 40180 */   MCD_OPC_CheckField, 21, 3, 0, 60, 22, // Skip to: 45878
-/* 40186 */   MCD_OPC_Decode, 235, 12, 167, 2, // Opcode: SHA1P
-/* 40191 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 40209
-/* 40195 */   MCD_OPC_CheckPredicate, 2, 47, 22, // Skip to: 45878
-/* 40199 */   MCD_OPC_CheckField, 16, 8, 40, 41, 22, // Skip to: 45878
-/* 40205 */   MCD_OPC_Decode, 237, 12, 116, // Opcode: SHA1SU1
-/* 40209 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 40228
-/* 40213 */   MCD_OPC_CheckPredicate, 2, 29, 22, // Skip to: 45878
-/* 40217 */   MCD_OPC_CheckField, 21, 3, 0, 23, 22, // Skip to: 45878
-/* 40223 */   MCD_OPC_Decode, 234, 12, 167, 2, // Opcode: SHA1M
-/* 40228 */   MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 40246
-/* 40232 */   MCD_OPC_CheckPredicate, 2, 10, 22, // Skip to: 45878
-/* 40236 */   MCD_OPC_CheckField, 16, 8, 40, 4, 22, // Skip to: 45878
-/* 40242 */   MCD_OPC_Decode, 240, 12, 116, // Opcode: SHA256SU0
-/* 40246 */   MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 40304
-/* 40250 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40253 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 40266
-/* 40257 */   MCD_OPC_CheckPredicate, 0, 241, 21, // Skip to: 45878
-/* 40261 */   MCD_OPC_Decode, 142, 15, 172, 2, // Opcode: SQSUBbbb
-/* 40266 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40279
-/* 40270 */   MCD_OPC_CheckPredicate, 0, 228, 21, // Skip to: 45878
-/* 40274 */   MCD_OPC_Decode, 144, 15, 173, 2, // Opcode: SQSUBhhh
-/* 40279 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 40292
-/* 40283 */   MCD_OPC_CheckPredicate, 0, 215, 21, // Skip to: 45878
-/* 40287 */   MCD_OPC_Decode, 145, 15, 144, 2, // Opcode: SQSUBsss
-/* 40292 */   MCD_OPC_FilterValue, 7, 206, 21, // Skip to: 45878
-/* 40296 */   MCD_OPC_CheckPredicate, 0, 202, 21, // Skip to: 45878
-/* 40300 */   MCD_OPC_Decode, 143, 15, 78, // Opcode: SQSUBddd
-/* 40304 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 40322
-/* 40308 */   MCD_OPC_CheckPredicate, 2, 190, 21, // Skip to: 45878
-/* 40312 */   MCD_OPC_CheckField, 21, 3, 0, 184, 21, // Skip to: 45878
-/* 40318 */   MCD_OPC_Decode, 236, 12, 110, // Opcode: SHA1SU0
-/* 40322 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 40340
-/* 40326 */   MCD_OPC_CheckPredicate, 0, 172, 21, // Skip to: 45878
-/* 40330 */   MCD_OPC_CheckField, 21, 3, 7, 166, 21, // Skip to: 45878
-/* 40336 */   MCD_OPC_Decode, 170, 2, 78, // Opcode: CMGTddd
-/* 40340 */   MCD_OPC_FilterValue, 14, 56, 0, // Skip to: 40400
-/* 40344 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 40347 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 40360
-/* 40351 */   MCD_OPC_CheckPredicate, 0, 147, 21, // Skip to: 45878
-/* 40355 */   MCD_OPC_Decode, 167, 18, 174, 2, // Opcode: SUQADDbb
-/* 40360 */   MCD_OPC_FilterValue, 96, 9, 0, // Skip to: 40373
-/* 40364 */   MCD_OPC_CheckPredicate, 0, 134, 21, // Skip to: 45878
-/* 40368 */   MCD_OPC_Decode, 169, 18, 175, 2, // Opcode: SUQADDhh
-/* 40373 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 40387
-/* 40378 */   MCD_OPC_CheckPredicate, 0, 120, 21, // Skip to: 45878
-/* 40382 */   MCD_OPC_Decode, 170, 18, 176, 2, // Opcode: SUQADDss
-/* 40387 */   MCD_OPC_FilterValue, 224, 1, 110, 21, // Skip to: 45878
-/* 40392 */   MCD_OPC_CheckPredicate, 0, 106, 21, // Skip to: 45878
-/* 40396 */   MCD_OPC_Decode, 168, 18, 88, // Opcode: SUQADDdd
-/* 40400 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 40418
-/* 40404 */   MCD_OPC_CheckPredicate, 0, 94, 21, // Skip to: 45878
-/* 40408 */   MCD_OPC_CheckField, 21, 3, 7, 88, 21, // Skip to: 45878
-/* 40414 */   MCD_OPC_Decode, 154, 2, 78, // Opcode: CMGEddd
-/* 40418 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 40436
-/* 40422 */   MCD_OPC_CheckPredicate, 2, 76, 21, // Skip to: 45878
-/* 40426 */   MCD_OPC_CheckField, 21, 3, 0, 70, 21, // Skip to: 45878
-/* 40432 */   MCD_OPC_Decode, 238, 12, 110, // Opcode: SHA256H
-/* 40436 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 40454
-/* 40440 */   MCD_OPC_CheckPredicate, 0, 58, 21, // Skip to: 45878
-/* 40444 */   MCD_OPC_CheckField, 21, 3, 7, 52, 21, // Skip to: 45878
-/* 40450 */   MCD_OPC_Decode, 215, 15, 78, // Opcode: SSHLddd
-/* 40454 */   MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 40501
-/* 40458 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 40461 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 40474
-/* 40465 */   MCD_OPC_CheckPredicate, 0, 33, 21, // Skip to: 45878
-/* 40469 */   MCD_OPC_Decode, 159, 15, 177, 2, // Opcode: SQXTNbh
-/* 40474 */   MCD_OPC_FilterValue, 97, 9, 0, // Skip to: 40487
-/* 40478 */   MCD_OPC_CheckPredicate, 0, 20, 21, // Skip to: 45878
-/* 40482 */   MCD_OPC_Decode, 160, 15, 141, 2, // Opcode: SQXTNhs
-/* 40487 */   MCD_OPC_FilterValue, 161, 1, 10, 21, // Skip to: 45878
-/* 40492 */   MCD_OPC_CheckPredicate, 0, 6, 21, // Skip to: 45878
-/* 40496 */   MCD_OPC_Decode, 161, 15, 134, 1, // Opcode: SQXTNsd
-/* 40501 */   MCD_OPC_FilterValue, 19, 54, 0, // Skip to: 40559
-/* 40505 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40508 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 40521
-/* 40512 */   MCD_OPC_CheckPredicate, 0, 242, 20, // Skip to: 45878
-/* 40516 */   MCD_OPC_Decode, 236, 14, 172, 2, // Opcode: SQSHLbbb
-/* 40521 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40534
-/* 40525 */   MCD_OPC_CheckPredicate, 0, 229, 20, // Skip to: 45878
-/* 40529 */   MCD_OPC_Decode, 240, 14, 173, 2, // Opcode: SQSHLhhh
-/* 40534 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 40547
-/* 40538 */   MCD_OPC_CheckPredicate, 0, 216, 20, // Skip to: 45878
-/* 40542 */   MCD_OPC_Decode, 243, 14, 144, 2, // Opcode: SQSHLsss
-/* 40547 */   MCD_OPC_FilterValue, 7, 207, 20, // Skip to: 45878
-/* 40551 */   MCD_OPC_CheckPredicate, 0, 203, 20, // Skip to: 45878
-/* 40555 */   MCD_OPC_Decode, 238, 14, 78, // Opcode: SQSHLddd
-/* 40559 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 40577
-/* 40563 */   MCD_OPC_CheckPredicate, 2, 191, 20, // Skip to: 45878
-/* 40567 */   MCD_OPC_CheckField, 21, 3, 0, 185, 20, // Skip to: 45878
-/* 40573 */   MCD_OPC_Decode, 239, 12, 110, // Opcode: SHA256H2
-/* 40577 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 40595
-/* 40581 */   MCD_OPC_CheckPredicate, 0, 173, 20, // Skip to: 45878
-/* 40585 */   MCD_OPC_CheckField, 21, 3, 7, 167, 20, // Skip to: 45878
-/* 40591 */   MCD_OPC_Decode, 185, 15, 78, // Opcode: SRSHLddd
-/* 40595 */   MCD_OPC_FilterValue, 23, 54, 0, // Skip to: 40653
-/* 40599 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40602 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 40615
-/* 40606 */   MCD_OPC_CheckPredicate, 0, 148, 20, // Skip to: 45878
-/* 40610 */   MCD_OPC_Decode, 202, 14, 172, 2, // Opcode: SQRSHLbbb
-/* 40615 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40628
-/* 40619 */   MCD_OPC_CheckPredicate, 0, 135, 20, // Skip to: 45878
-/* 40623 */   MCD_OPC_Decode, 204, 14, 173, 2, // Opcode: SQRSHLhhh
-/* 40628 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 40641
-/* 40632 */   MCD_OPC_CheckPredicate, 0, 122, 20, // Skip to: 45878
-/* 40636 */   MCD_OPC_Decode, 205, 14, 144, 2, // Opcode: SQRSHLsss
-/* 40641 */   MCD_OPC_FilterValue, 7, 113, 20, // Skip to: 45878
-/* 40645 */   MCD_OPC_CheckPredicate, 0, 109, 20, // Skip to: 45878
-/* 40649 */   MCD_OPC_Decode, 203, 14, 78, // Opcode: SQRSHLddd
-/* 40653 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 40671
-/* 40657 */   MCD_OPC_CheckPredicate, 2, 97, 20, // Skip to: 45878
-/* 40661 */   MCD_OPC_CheckField, 21, 3, 0, 91, 20, // Skip to: 45878
-/* 40667 */   MCD_OPC_Decode, 241, 12, 110, // Opcode: SHA256SU1
-/* 40671 */   MCD_OPC_FilterValue, 30, 56, 0, // Skip to: 40731
-/* 40675 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 40678 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 40691
-/* 40682 */   MCD_OPC_CheckPredicate, 0, 72, 20, // Skip to: 45878
-/* 40686 */   MCD_OPC_Decode, 234, 13, 178, 2, // Opcode: SQABSbb
-/* 40691 */   MCD_OPC_FilterValue, 96, 9, 0, // Skip to: 40704
-/* 40695 */   MCD_OPC_CheckPredicate, 0, 59, 20, // Skip to: 45878
-/* 40699 */   MCD_OPC_Decode, 236, 13, 179, 2, // Opcode: SQABShh
-/* 40704 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 40718
-/* 40709 */   MCD_OPC_CheckPredicate, 0, 45, 20, // Skip to: 45878
-/* 40713 */   MCD_OPC_Decode, 237, 13, 139, 2, // Opcode: SQABSss
-/* 40718 */   MCD_OPC_FilterValue, 224, 1, 35, 20, // Skip to: 45878
-/* 40723 */   MCD_OPC_CheckPredicate, 0, 31, 20, // Skip to: 45878
-/* 40727 */   MCD_OPC_Decode, 235, 13, 79, // Opcode: SQABSdd
-/* 40731 */   MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 40748
-/* 40735 */   MCD_OPC_CheckPredicate, 0, 19, 20, // Skip to: 45878
-/* 40739 */   MCD_OPC_CheckField, 21, 3, 7, 13, 20, // Skip to: 45878
-/* 40745 */   MCD_OPC_Decode, 72, 78, // Opcode: ADDddd
-/* 40748 */   MCD_OPC_FilterValue, 34, 15, 0, // Skip to: 40767
-/* 40752 */   MCD_OPC_CheckPredicate, 0, 2, 20, // Skip to: 45878
-/* 40756 */   MCD_OPC_CheckField, 16, 8, 224, 1, 251, 19, // Skip to: 45878
-/* 40763 */   MCD_OPC_Decode, 171, 2, 79, // Opcode: CMGTddi
-/* 40767 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 40785
-/* 40771 */   MCD_OPC_CheckPredicate, 0, 239, 19, // Skip to: 45878
-/* 40775 */   MCD_OPC_CheckField, 21, 3, 7, 233, 19, // Skip to: 45878
-/* 40781 */   MCD_OPC_Decode, 134, 3, 78, // Opcode: CMTSTddd
-/* 40785 */   MCD_OPC_FilterValue, 36, 29, 0, // Skip to: 40818
-/* 40789 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40792 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40805
-/* 40796 */   MCD_OPC_CheckPredicate, 0, 214, 19, // Skip to: 45878
-/* 40800 */   MCD_OPC_Decode, 254, 13, 180, 2, // Opcode: SQDMLALshh
-/* 40805 */   MCD_OPC_FilterValue, 5, 205, 19, // Skip to: 45878
-/* 40809 */   MCD_OPC_CheckPredicate, 0, 201, 19, // Skip to: 45878
-/* 40813 */   MCD_OPC_Decode, 251, 13, 181, 2, // Opcode: SQDMLALdss
-/* 40818 */   MCD_OPC_FilterValue, 38, 15, 0, // Skip to: 40837
-/* 40822 */   MCD_OPC_CheckPredicate, 0, 188, 19, // Skip to: 45878
-/* 40826 */   MCD_OPC_CheckField, 16, 8, 224, 1, 181, 19, // Skip to: 45878
-/* 40833 */   MCD_OPC_Decode, 139, 2, 79, // Opcode: CMEQddi
-/* 40837 */   MCD_OPC_FilterValue, 42, 68, 0, // Skip to: 40909
-/* 40841 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 40844 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 40857
-/* 40848 */   MCD_OPC_CheckPredicate, 0, 162, 19, // Skip to: 45878
-/* 40852 */   MCD_OPC_Decode, 215, 4, 139, 2, // Opcode: FCVTNSss
-/* 40857 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 40869
-/* 40861 */   MCD_OPC_CheckPredicate, 0, 149, 19, // Skip to: 45878
-/* 40865 */   MCD_OPC_Decode, 214, 4, 79, // Opcode: FCVTNSdd
-/* 40869 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 40883
-/* 40874 */   MCD_OPC_CheckPredicate, 0, 136, 19, // Skip to: 45878
-/* 40878 */   MCD_OPC_Decode, 233, 4, 139, 2, // Opcode: FCVTPSss
-/* 40883 */   MCD_OPC_FilterValue, 224, 1, 8, 0, // Skip to: 40896
-/* 40888 */   MCD_OPC_CheckPredicate, 0, 122, 19, // Skip to: 45878
-/* 40892 */   MCD_OPC_Decode, 210, 2, 79, // Opcode: CMLTddi
-/* 40896 */   MCD_OPC_FilterValue, 225, 1, 113, 19, // Skip to: 45878
-/* 40901 */   MCD_OPC_CheckPredicate, 0, 109, 19, // Skip to: 45878
-/* 40905 */   MCD_OPC_Decode, 232, 4, 79, // Opcode: FCVTPSdd
-/* 40909 */   MCD_OPC_FilterValue, 44, 29, 0, // Skip to: 40942
-/* 40913 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40916 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40929
-/* 40920 */   MCD_OPC_CheckPredicate, 0, 90, 19, // Skip to: 45878
-/* 40924 */   MCD_OPC_Decode, 140, 14, 180, 2, // Opcode: SQDMLSLshh
-/* 40929 */   MCD_OPC_FilterValue, 5, 81, 19, // Skip to: 45878
-/* 40933 */   MCD_OPC_CheckPredicate, 0, 77, 19, // Skip to: 45878
-/* 40937 */   MCD_OPC_Decode, 137, 14, 181, 2, // Opcode: SQDMLSLdss
-/* 40942 */   MCD_OPC_FilterValue, 45, 29, 0, // Skip to: 40975
-/* 40946 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 40949 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 40962
-/* 40953 */   MCD_OPC_CheckPredicate, 0, 57, 19, // Skip to: 45878
-/* 40957 */   MCD_OPC_Decode, 149, 14, 173, 2, // Opcode: SQDMULHhhh
-/* 40962 */   MCD_OPC_FilterValue, 5, 48, 19, // Skip to: 45878
-/* 40966 */   MCD_OPC_CheckPredicate, 0, 44, 19, // Skip to: 45878
-/* 40970 */   MCD_OPC_Decode, 152, 14, 144, 2, // Opcode: SQDMULHsss
-/* 40975 */   MCD_OPC_FilterValue, 46, 79, 0, // Skip to: 41058
-/* 40979 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 40982 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 40995
-/* 40986 */   MCD_OPC_CheckPredicate, 0, 24, 19, // Skip to: 45878
-/* 40990 */   MCD_OPC_Decode, 193, 4, 139, 2, // Opcode: FCVTMSss
-/* 40995 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 41007
-/* 40999 */   MCD_OPC_CheckPredicate, 0, 11, 19, // Skip to: 45878
-/* 41003 */   MCD_OPC_Decode, 192, 4, 79, // Opcode: FCVTMSdd
-/* 41007 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 41021
-/* 41012 */   MCD_OPC_CheckPredicate, 0, 254, 18, // Skip to: 45878
-/* 41016 */   MCD_OPC_Decode, 128, 5, 139, 2, // Opcode: FCVTZSss
-/* 41021 */   MCD_OPC_FilterValue, 224, 1, 7, 0, // Skip to: 41033
-/* 41026 */   MCD_OPC_CheckPredicate, 0, 240, 18, // Skip to: 45878
-/* 41030 */   MCD_OPC_Decode, 26, 79, // Opcode: ABSdd
-/* 41033 */   MCD_OPC_FilterValue, 225, 1, 8, 0, // Skip to: 41046
-/* 41038 */   MCD_OPC_CheckPredicate, 0, 228, 18, // Skip to: 45878
-/* 41042 */   MCD_OPC_Decode, 255, 4, 79, // Opcode: FCVTZSdd
-/* 41046 */   MCD_OPC_FilterValue, 241, 1, 219, 18, // Skip to: 45878
-/* 41051 */   MCD_OPC_CheckPredicate, 0, 215, 18, // Skip to: 45878
-/* 41055 */   MCD_OPC_Decode, 44, 84, // Opcode: ADDPvv_D_2D
-/* 41058 */   MCD_OPC_FilterValue, 50, 55, 0, // Skip to: 41117
-/* 41062 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 41065 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 41078
-/* 41069 */   MCD_OPC_CheckPredicate, 0, 197, 18, // Skip to: 45878
-/* 41073 */   MCD_OPC_Decode, 171, 4, 139, 2, // Opcode: FCVTASss
-/* 41078 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 41090
-/* 41082 */   MCD_OPC_CheckPredicate, 0, 184, 18, // Skip to: 45878
-/* 41086 */   MCD_OPC_Decode, 170, 4, 79, // Opcode: FCVTASdd
-/* 41090 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 41104
-/* 41095 */   MCD_OPC_CheckPredicate, 0, 171, 18, // Skip to: 45878
-/* 41099 */   MCD_OPC_Decode, 138, 4, 139, 2, // Opcode: FCMGTZssi
-/* 41104 */   MCD_OPC_FilterValue, 224, 1, 161, 18, // Skip to: 45878
-/* 41109 */   MCD_OPC_CheckPredicate, 0, 157, 18, // Skip to: 45878
-/* 41113 */   MCD_OPC_Decode, 137, 4, 79, // Opcode: FCMGTZddi
-/* 41117 */   MCD_OPC_FilterValue, 52, 29, 0, // Skip to: 41150
-/* 41121 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 41124 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 41137
-/* 41128 */   MCD_OPC_CheckPredicate, 0, 138, 18, // Skip to: 45878
-/* 41132 */   MCD_OPC_Decode, 168, 14, 182, 2, // Opcode: SQDMULLshh
-/* 41137 */   MCD_OPC_FilterValue, 5, 129, 18, // Skip to: 45878
-/* 41141 */   MCD_OPC_CheckPredicate, 0, 125, 18, // Skip to: 45878
-/* 41145 */   MCD_OPC_Decode, 165, 14, 183, 2, // Opcode: SQDMULLdss
-/* 41150 */   MCD_OPC_FilterValue, 54, 82, 0, // Skip to: 41236
-/* 41154 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 41157 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 41170
-/* 41161 */   MCD_OPC_CheckPredicate, 0, 105, 18, // Skip to: 45878
-/* 41165 */   MCD_OPC_Decode, 225, 12, 139, 2, // Opcode: SCVTFss
-/* 41170 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 41182
-/* 41174 */   MCD_OPC_CheckPredicate, 0, 92, 18, // Skip to: 45878
-/* 41178 */   MCD_OPC_Decode, 220, 12, 79, // Opcode: SCVTFdd
-/* 41182 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 41196
-/* 41187 */   MCD_OPC_CheckPredicate, 0, 79, 18, // Skip to: 45878
-/* 41191 */   MCD_OPC_Decode, 246, 3, 139, 2, // Opcode: FCMEQZssi
-/* 41196 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 41210
-/* 41201 */   MCD_OPC_CheckPredicate, 0, 65, 18, // Skip to: 45878
-/* 41205 */   MCD_OPC_Decode, 147, 6, 139, 2, // Opcode: FRECPEss
-/* 41210 */   MCD_OPC_FilterValue, 224, 1, 8, 0, // Skip to: 41223
-/* 41215 */   MCD_OPC_CheckPredicate, 0, 51, 18, // Skip to: 45878
-/* 41219 */   MCD_OPC_Decode, 245, 3, 79, // Opcode: FCMEQZddi
-/* 41223 */   MCD_OPC_FilterValue, 225, 1, 42, 18, // Skip to: 45878
-/* 41228 */   MCD_OPC_CheckPredicate, 0, 38, 18, // Skip to: 45878
-/* 41232 */   MCD_OPC_Decode, 146, 6, 79, // Opcode: FRECPEdd
-/* 41236 */   MCD_OPC_FilterValue, 55, 28, 0, // Skip to: 41268
-/* 41240 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 41243 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 41256
-/* 41247 */   MCD_OPC_CheckPredicate, 0, 19, 18, // Skip to: 45878
-/* 41251 */   MCD_OPC_Decode, 242, 5, 144, 2, // Opcode: FMULXsss
-/* 41256 */   MCD_OPC_FilterValue, 3, 10, 18, // Skip to: 45878
-/* 41260 */   MCD_OPC_CheckPredicate, 0, 6, 18, // Skip to: 45878
-/* 41264 */   MCD_OPC_Decode, 240, 5, 78, // Opcode: FMULXddd
-/* 41268 */   MCD_OPC_FilterValue, 57, 28, 0, // Skip to: 41300
-/* 41272 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 41275 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 41288
-/* 41279 */   MCD_OPC_CheckPredicate, 0, 243, 17, // Skip to: 45878
-/* 41283 */   MCD_OPC_Decode, 248, 3, 144, 2, // Opcode: FCMEQsss
-/* 41288 */   MCD_OPC_FilterValue, 3, 234, 17, // Skip to: 45878
-/* 41292 */   MCD_OPC_CheckPredicate, 0, 230, 17, // Skip to: 45878
-/* 41296 */   MCD_OPC_Decode, 247, 3, 78, // Opcode: FCMEQddd
-/* 41300 */   MCD_OPC_FilterValue, 58, 30, 0, // Skip to: 41334
-/* 41304 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 41307 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 41321
-/* 41312 */   MCD_OPC_CheckPredicate, 0, 210, 17, // Skip to: 45878
-/* 41316 */   MCD_OPC_Decode, 153, 4, 139, 2, // Opcode: FCMLTZssi
-/* 41321 */   MCD_OPC_FilterValue, 224, 1, 200, 17, // Skip to: 45878
-/* 41326 */   MCD_OPC_CheckPredicate, 0, 196, 17, // Skip to: 45878
-/* 41330 */   MCD_OPC_Decode, 152, 4, 79, // Opcode: FCMLTZddi
-/* 41334 */   MCD_OPC_FilterValue, 62, 30, 0, // Skip to: 41368
-/* 41338 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 41341 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 41355
-/* 41346 */   MCD_OPC_CheckPredicate, 0, 176, 17, // Skip to: 45878
-/* 41350 */   MCD_OPC_Decode, 154, 6, 139, 2, // Opcode: FRECPXss
-/* 41355 */   MCD_OPC_FilterValue, 225, 1, 166, 17, // Skip to: 45878
-/* 41360 */   MCD_OPC_CheckPredicate, 0, 162, 17, // Skip to: 45878
-/* 41364 */   MCD_OPC_Decode, 153, 6, 79, // Opcode: FRECPXdd
-/* 41368 */   MCD_OPC_FilterValue, 63, 154, 17, // Skip to: 45878
-/* 41372 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 41375 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 41388
-/* 41379 */   MCD_OPC_CheckPredicate, 0, 143, 17, // Skip to: 45878
-/* 41383 */   MCD_OPC_Decode, 149, 6, 144, 2, // Opcode: FRECPSsss
-/* 41388 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 41400
-/* 41392 */   MCD_OPC_CheckPredicate, 0, 130, 17, // Skip to: 45878
-/* 41396 */   MCD_OPC_Decode, 148, 6, 78, // Opcode: FRECPSddd
-/* 41400 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 41413
-/* 41404 */   MCD_OPC_CheckPredicate, 0, 118, 17, // Skip to: 45878
-/* 41408 */   MCD_OPC_Decode, 196, 6, 144, 2, // Opcode: FRSQRTSsss
-/* 41413 */   MCD_OPC_FilterValue, 7, 109, 17, // Skip to: 45878
-/* 41417 */   MCD_OPC_CheckPredicate, 0, 105, 17, // Skip to: 45878
-/* 41421 */   MCD_OPC_Decode, 195, 6, 78, // Opcode: FRSQRTSddd
-/* 41425 */   MCD_OPC_FilterValue, 3, 97, 17, // Skip to: 45878
-/* 41429 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 41432 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 41457
-/* 41436 */   MCD_OPC_CheckPredicate, 0, 86, 17, // Skip to: 45878
-/* 41440 */   MCD_OPC_CheckField, 22, 2, 1, 80, 17, // Skip to: 45878
-/* 41446 */   MCD_OPC_CheckField, 10, 2, 1, 74, 17, // Skip to: 45878
-/* 41452 */   MCD_OPC_Decode, 223, 15, 184, 2, // Opcode: SSHRddi
-/* 41457 */   MCD_OPC_FilterValue, 1, 66, 0, // Skip to: 41527
-/* 41461 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 41464 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 41483
-/* 41468 */   MCD_OPC_CheckPredicate, 0, 54, 17, // Skip to: 45878
-/* 41472 */   MCD_OPC_CheckField, 10, 2, 1, 48, 17, // Skip to: 45878
-/* 41478 */   MCD_OPC_Decode, 231, 15, 185, 2, // Opcode: SSRA
-/* 41483 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 41502
-/* 41487 */   MCD_OPC_CheckPredicate, 0, 35, 17, // Skip to: 45878
-/* 41491 */   MCD_OPC_CheckField, 10, 1, 0, 29, 17, // Skip to: 45878
-/* 41497 */   MCD_OPC_Decode, 210, 5, 186, 2, // Opcode: FMLAssv_4S
-/* 41502 */   MCD_OPC_FilterValue, 3, 20, 17, // Skip to: 45878
-/* 41506 */   MCD_OPC_CheckPredicate, 0, 16, 17, // Skip to: 45878
-/* 41510 */   MCD_OPC_CheckField, 21, 1, 0, 10, 17, // Skip to: 45878
-/* 41516 */   MCD_OPC_CheckField, 10, 1, 0, 4, 17, // Skip to: 45878
-/* 41522 */   MCD_OPC_Decode, 209, 5, 187, 2, // Opcode: FMLAddv_2D
-/* 41527 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 41552
-/* 41531 */   MCD_OPC_CheckPredicate, 0, 247, 16, // Skip to: 45878
-/* 41535 */   MCD_OPC_CheckField, 22, 2, 1, 241, 16, // Skip to: 45878
-/* 41541 */   MCD_OPC_CheckField, 10, 2, 1, 235, 16, // Skip to: 45878
-/* 41547 */   MCD_OPC_Decode, 193, 15, 184, 2, // Opcode: SRSHRddi
-/* 41552 */   MCD_OPC_FilterValue, 3, 91, 0, // Skip to: 41647
-/* 41556 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 41559 */   MCD_OPC_FilterValue, 0, 59, 0, // Skip to: 41622
-/* 41563 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 41566 */   MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 41594
-/* 41570 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 41585
-/* 41574 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 41585
-/* 41580 */   MCD_OPC_Decode, 255, 13, 188, 2, // Opcode: SQDMLALshv_4H
-/* 41585 */   MCD_OPC_CheckPredicate, 0, 193, 16, // Skip to: 45878
-/* 41589 */   MCD_OPC_Decode, 128, 14, 189, 2, // Opcode: SQDMLALshv_8H
-/* 41594 */   MCD_OPC_FilterValue, 2, 184, 16, // Skip to: 45878
-/* 41598 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 41613
-/* 41602 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 41613
-/* 41608 */   MCD_OPC_Decode, 252, 13, 190, 2, // Opcode: SQDMLALdsv_2S
-/* 41613 */   MCD_OPC_CheckPredicate, 0, 165, 16, // Skip to: 45878
-/* 41617 */   MCD_OPC_Decode, 253, 13, 191, 2, // Opcode: SQDMLALdsv_4S
-/* 41622 */   MCD_OPC_FilterValue, 1, 156, 16, // Skip to: 45878
-/* 41626 */   MCD_OPC_CheckPredicate, 0, 152, 16, // Skip to: 45878
-/* 41630 */   MCD_OPC_CheckField, 22, 2, 1, 146, 16, // Skip to: 45878
-/* 41636 */   MCD_OPC_CheckField, 11, 1, 0, 140, 16, // Skip to: 45878
-/* 41642 */   MCD_OPC_Decode, 201, 15, 185, 2, // Opcode: SRSRA
-/* 41647 */   MCD_OPC_FilterValue, 5, 66, 0, // Skip to: 41717
-/* 41651 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 41654 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 41673
-/* 41658 */   MCD_OPC_CheckPredicate, 0, 120, 16, // Skip to: 45878
-/* 41662 */   MCD_OPC_CheckField, 10, 2, 1, 114, 16, // Skip to: 45878
-/* 41668 */   MCD_OPC_Decode, 254, 12, 192, 2, // Opcode: SHLddi
-/* 41673 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 41692
-/* 41677 */   MCD_OPC_CheckPredicate, 0, 101, 16, // Skip to: 45878
-/* 41681 */   MCD_OPC_CheckField, 10, 1, 0, 95, 16, // Skip to: 45878
-/* 41687 */   MCD_OPC_Decode, 218, 5, 186, 2, // Opcode: FMLSssv_4S
-/* 41692 */   MCD_OPC_FilterValue, 3, 86, 16, // Skip to: 45878
-/* 41696 */   MCD_OPC_CheckPredicate, 0, 82, 16, // Skip to: 45878
-/* 41700 */   MCD_OPC_CheckField, 21, 1, 0, 76, 16, // Skip to: 45878
-/* 41706 */   MCD_OPC_CheckField, 10, 1, 0, 70, 16, // Skip to: 45878
-/* 41712 */   MCD_OPC_Decode, 217, 5, 187, 2, // Opcode: FMLSddv_2D
-/* 41717 */   MCD_OPC_FilterValue, 7, 169, 0, // Skip to: 41890
-/* 41721 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 41724 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 41801
-/* 41728 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 41731 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 41782
-/* 41735 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 41738 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 41763
-/* 41742 */   MCD_OPC_CheckPredicate, 0, 36, 16, // Skip to: 45878
-/* 41746 */   MCD_OPC_CheckField, 19, 1, 1, 30, 16, // Skip to: 45878
-/* 41752 */   MCD_OPC_CheckField, 10, 2, 1, 24, 16, // Skip to: 45878
-/* 41758 */   MCD_OPC_Decode, 237, 14, 193, 2, // Opcode: SQSHLbbi
-/* 41763 */   MCD_OPC_FilterValue, 1, 15, 16, // Skip to: 45878
-/* 41767 */   MCD_OPC_CheckPredicate, 0, 11, 16, // Skip to: 45878
-/* 41771 */   MCD_OPC_CheckField, 10, 2, 1, 5, 16, // Skip to: 45878
-/* 41777 */   MCD_OPC_Decode, 241, 14, 194, 2, // Opcode: SQSHLhhi
-/* 41782 */   MCD_OPC_FilterValue, 1, 252, 15, // Skip to: 45878
-/* 41786 */   MCD_OPC_CheckPredicate, 0, 248, 15, // Skip to: 45878
-/* 41790 */   MCD_OPC_CheckField, 10, 2, 1, 242, 15, // Skip to: 45878
-/* 41796 */   MCD_OPC_Decode, 242, 14, 195, 2, // Opcode: SQSHLssi
-/* 41801 */   MCD_OPC_FilterValue, 1, 50, 0, // Skip to: 41855
-/* 41805 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 41808 */   MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 41836
-/* 41812 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 41827
-/* 41816 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 41827
-/* 41822 */   MCD_OPC_Decode, 141, 14, 188, 2, // Opcode: SQDMLSLshv_4H
-/* 41827 */   MCD_OPC_CheckPredicate, 0, 207, 15, // Skip to: 45878
-/* 41831 */   MCD_OPC_Decode, 142, 14, 189, 2, // Opcode: SQDMLSLshv_8H
-/* 41836 */   MCD_OPC_FilterValue, 1, 198, 15, // Skip to: 45878
-/* 41840 */   MCD_OPC_CheckPredicate, 0, 194, 15, // Skip to: 45878
-/* 41844 */   MCD_OPC_CheckField, 11, 1, 0, 188, 15, // Skip to: 45878
-/* 41850 */   MCD_OPC_Decode, 239, 14, 192, 2, // Opcode: SQSHLddi
-/* 41855 */   MCD_OPC_FilterValue, 2, 179, 15, // Skip to: 45878
-/* 41859 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 41862 */   MCD_OPC_FilterValue, 0, 172, 15, // Skip to: 45878
-/* 41866 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 41881
-/* 41870 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 41881
-/* 41876 */   MCD_OPC_Decode, 138, 14, 190, 2, // Opcode: SQDMLSLdsv_2S
-/* 41881 */   MCD_OPC_CheckPredicate, 0, 153, 15, // Skip to: 45878
-/* 41885 */   MCD_OPC_Decode, 139, 14, 191, 2, // Opcode: SQDMLSLdsv_4S
-/* 41890 */   MCD_OPC_FilterValue, 9, 172, 0, // Skip to: 42066
-/* 41894 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 41897 */   MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 42022
-/* 41901 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 41904 */   MCD_OPC_FilterValue, 1, 55, 0, // Skip to: 41963
-/* 41908 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 41911 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 41950
-/* 41915 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 41918 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 41937
-/* 41922 */   MCD_OPC_CheckPredicate, 0, 112, 15, // Skip to: 45878
-/* 41926 */   MCD_OPC_CheckField, 19, 1, 1, 106, 15, // Skip to: 45878
-/* 41932 */   MCD_OPC_Decode, 130, 15, 196, 2, // Opcode: SQSHRNbhi
-/* 41937 */   MCD_OPC_FilterValue, 1, 97, 15, // Skip to: 45878
-/* 41941 */   MCD_OPC_CheckPredicate, 0, 93, 15, // Skip to: 45878
-/* 41945 */   MCD_OPC_Decode, 131, 15, 197, 2, // Opcode: SQSHRNhsi
-/* 41950 */   MCD_OPC_FilterValue, 1, 84, 15, // Skip to: 45878
-/* 41954 */   MCD_OPC_CheckPredicate, 0, 80, 15, // Skip to: 45878
-/* 41958 */   MCD_OPC_Decode, 132, 15, 198, 2, // Opcode: SQSHRNsdi
-/* 41963 */   MCD_OPC_FilterValue, 3, 71, 15, // Skip to: 45878
-/* 41967 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 41970 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 42009
-/* 41974 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 41977 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 41996
-/* 41981 */   MCD_OPC_CheckPredicate, 0, 53, 15, // Skip to: 45878
-/* 41985 */   MCD_OPC_CheckField, 19, 1, 1, 47, 15, // Skip to: 45878
-/* 41991 */   MCD_OPC_Decode, 213, 14, 196, 2, // Opcode: SQRSHRNbhi
-/* 41996 */   MCD_OPC_FilterValue, 1, 38, 15, // Skip to: 45878
-/* 42000 */   MCD_OPC_CheckPredicate, 0, 34, 15, // Skip to: 45878
-/* 42004 */   MCD_OPC_Decode, 214, 14, 197, 2, // Opcode: SQRSHRNhsi
-/* 42009 */   MCD_OPC_FilterValue, 1, 25, 15, // Skip to: 45878
-/* 42013 */   MCD_OPC_CheckPredicate, 0, 21, 15, // Skip to: 45878
-/* 42017 */   MCD_OPC_Decode, 215, 14, 198, 2, // Opcode: SQRSHRNsdi
-/* 42022 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 42041
-/* 42026 */   MCD_OPC_CheckPredicate, 0, 8, 15, // Skip to: 45878
-/* 42030 */   MCD_OPC_CheckField, 10, 1, 0, 2, 15, // Skip to: 45878
-/* 42036 */   MCD_OPC_Decode, 253, 5, 199, 2, // Opcode: FMULssv_4S
-/* 42041 */   MCD_OPC_FilterValue, 3, 249, 14, // Skip to: 45878
-/* 42045 */   MCD_OPC_CheckPredicate, 0, 245, 14, // Skip to: 45878
-/* 42049 */   MCD_OPC_CheckField, 21, 1, 0, 239, 14, // Skip to: 45878
-/* 42055 */   MCD_OPC_CheckField, 10, 1, 0, 233, 14, // Skip to: 45878
-/* 42061 */   MCD_OPC_Decode, 251, 5, 200, 2, // Opcode: FMULddv_2D
-/* 42066 */   MCD_OPC_FilterValue, 11, 73, 0, // Skip to: 42143
-/* 42070 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 42073 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 42108
-/* 42077 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 42080 */   MCD_OPC_FilterValue, 0, 210, 14, // Skip to: 45878
-/* 42084 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 42099
-/* 42088 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 42099
-/* 42094 */   MCD_OPC_Decode, 169, 14, 201, 2, // Opcode: SQDMULLshv_4H
-/* 42099 */   MCD_OPC_CheckPredicate, 0, 191, 14, // Skip to: 45878
-/* 42103 */   MCD_OPC_Decode, 170, 14, 202, 2, // Opcode: SQDMULLshv_8H
-/* 42108 */   MCD_OPC_FilterValue, 2, 182, 14, // Skip to: 45878
-/* 42112 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 42115 */   MCD_OPC_FilterValue, 0, 175, 14, // Skip to: 45878
-/* 42119 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 42134
-/* 42123 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 42134
-/* 42129 */   MCD_OPC_Decode, 166, 14, 203, 2, // Opcode: SQDMULLdsv_2S
-/* 42134 */   MCD_OPC_CheckPredicate, 0, 156, 14, // Skip to: 45878
-/* 42138 */   MCD_OPC_Decode, 167, 14, 204, 2, // Opcode: SQDMULLdsv_4S
-/* 42143 */   MCD_OPC_FilterValue, 12, 73, 0, // Skip to: 42220
-/* 42147 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 42150 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 42185
-/* 42154 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 42157 */   MCD_OPC_FilterValue, 0, 133, 14, // Skip to: 45878
-/* 42161 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 42176
-/* 42165 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 42176
-/* 42171 */   MCD_OPC_Decode, 150, 14, 205, 2, // Opcode: SQDMULHhhv_4H
-/* 42176 */   MCD_OPC_CheckPredicate, 0, 114, 14, // Skip to: 45878
-/* 42180 */   MCD_OPC_Decode, 151, 14, 206, 2, // Opcode: SQDMULHhhv_8H
-/* 42185 */   MCD_OPC_FilterValue, 2, 105, 14, // Skip to: 45878
-/* 42189 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 42192 */   MCD_OPC_FilterValue, 0, 98, 14, // Skip to: 45878
-/* 42196 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 42211
-/* 42200 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 42211
-/* 42206 */   MCD_OPC_Decode, 153, 14, 207, 2, // Opcode: SQDMULHssv_2S
-/* 42211 */   MCD_OPC_CheckPredicate, 0, 79, 14, // Skip to: 45878
-/* 42215 */   MCD_OPC_Decode, 154, 14, 199, 2, // Opcode: SQDMULHssv_4S
-/* 42220 */   MCD_OPC_FilterValue, 13, 73, 0, // Skip to: 42297
-/* 42224 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 42227 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 42262
-/* 42231 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 42234 */   MCD_OPC_FilterValue, 0, 56, 14, // Skip to: 45878
-/* 42238 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 42253
-/* 42242 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 42253
-/* 42248 */   MCD_OPC_Decode, 189, 14, 205, 2, // Opcode: SQRDMULHhhv_4H
-/* 42253 */   MCD_OPC_CheckPredicate, 0, 37, 14, // Skip to: 45878
-/* 42257 */   MCD_OPC_Decode, 190, 14, 206, 2, // Opcode: SQRDMULHhhv_8H
-/* 42262 */   MCD_OPC_FilterValue, 2, 28, 14, // Skip to: 45878
-/* 42266 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
-/* 42269 */   MCD_OPC_FilterValue, 0, 21, 14, // Skip to: 45878
-/* 42273 */   MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 42288
-/* 42277 */   MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 42288
-/* 42283 */   MCD_OPC_Decode, 192, 14, 207, 2, // Opcode: SQRDMULHssv_2S
-/* 42288 */   MCD_OPC_CheckPredicate, 0, 2, 14, // Skip to: 45878
-/* 42292 */   MCD_OPC_Decode, 193, 14, 199, 2, // Opcode: SQRDMULHssv_4S
-/* 42297 */   MCD_OPC_FilterValue, 14, 47, 0, // Skip to: 42348
-/* 42301 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 42304 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 42329
-/* 42308 */   MCD_OPC_CheckPredicate, 0, 238, 13, // Skip to: 45878
-/* 42312 */   MCD_OPC_CheckField, 21, 1, 1, 232, 13, // Skip to: 45878
-/* 42318 */   MCD_OPC_CheckField, 10, 2, 1, 226, 13, // Skip to: 45878
-/* 42324 */   MCD_OPC_Decode, 219, 12, 208, 2, // Opcode: SCVTF_Nssi
-/* 42329 */   MCD_OPC_FilterValue, 1, 217, 13, // Skip to: 45878
-/* 42333 */   MCD_OPC_CheckPredicate, 0, 213, 13, // Skip to: 45878
-/* 42337 */   MCD_OPC_CheckField, 10, 2, 1, 207, 13, // Skip to: 45878
-/* 42343 */   MCD_OPC_Decode, 218, 12, 184, 2, // Opcode: SCVTF_Nddi
-/* 42348 */   MCD_OPC_FilterValue, 15, 198, 13, // Skip to: 45878
-/* 42352 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 42355 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 42380
-/* 42359 */   MCD_OPC_CheckPredicate, 0, 187, 13, // Skip to: 45878
-/* 42363 */   MCD_OPC_CheckField, 21, 1, 1, 181, 13, // Skip to: 45878
-/* 42369 */   MCD_OPC_CheckField, 10, 2, 3, 175, 13, // Skip to: 45878
-/* 42375 */   MCD_OPC_Decode, 254, 4, 208, 2, // Opcode: FCVTZS_Nssi
-/* 42380 */   MCD_OPC_FilterValue, 1, 166, 13, // Skip to: 45878
-/* 42384 */   MCD_OPC_CheckPredicate, 0, 162, 13, // Skip to: 45878
-/* 42388 */   MCD_OPC_CheckField, 10, 2, 3, 156, 13, // Skip to: 45878
-/* 42394 */   MCD_OPC_Decode, 253, 4, 184, 2, // Opcode: FCVTZS_Nddi
-/* 42399 */   MCD_OPC_FilterValue, 3, 4, 9, // Skip to: 44711
-/* 42403 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 42406 */   MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 42515
-/* 42410 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 42413 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 42432
-/* 42417 */   MCD_OPC_CheckPredicate, 1, 129, 13, // Skip to: 45878
-/* 42421 */   MCD_OPC_CheckField, 21, 1, 0, 123, 13, // Skip to: 45878
-/* 42427 */   MCD_OPC_Decode, 150, 10, 209, 2, // Opcode: LSFP16_STUR
-/* 42432 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 42451
-/* 42436 */   MCD_OPC_CheckPredicate, 1, 110, 13, // Skip to: 45878
-/* 42440 */   MCD_OPC_CheckField, 21, 1, 0, 104, 13, // Skip to: 45878
-/* 42446 */   MCD_OPC_Decode, 146, 10, 238, 1, // Opcode: LSFP16_PostInd_STR
-/* 42451 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 42496
-/* 42455 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 42458 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 42477
-/* 42462 */   MCD_OPC_CheckPredicate, 1, 84, 13, // Skip to: 45878
-/* 42466 */   MCD_OPC_CheckField, 21, 1, 1, 78, 13, // Skip to: 45878
-/* 42472 */   MCD_OPC_Decode, 152, 10, 210, 2, // Opcode: LSFP16_Wm_RegOffset_STR
-/* 42477 */   MCD_OPC_FilterValue, 1, 69, 13, // Skip to: 45878
-/* 42481 */   MCD_OPC_CheckPredicate, 1, 65, 13, // Skip to: 45878
-/* 42485 */   MCD_OPC_CheckField, 21, 1, 1, 59, 13, // Skip to: 45878
-/* 42491 */   MCD_OPC_Decode, 154, 10, 211, 2, // Opcode: LSFP16_Xm_RegOffset_STR
-/* 42496 */   MCD_OPC_FilterValue, 3, 50, 13, // Skip to: 45878
-/* 42500 */   MCD_OPC_CheckPredicate, 1, 46, 13, // Skip to: 45878
-/* 42504 */   MCD_OPC_CheckField, 21, 1, 0, 40, 13, // Skip to: 45878
-/* 42510 */   MCD_OPC_Decode, 148, 10, 238, 1, // Opcode: LSFP16_PreInd_STR
-/* 42515 */   MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 42624
-/* 42519 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 42522 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 42541
-/* 42526 */   MCD_OPC_CheckPredicate, 1, 20, 13, // Skip to: 45878
-/* 42530 */   MCD_OPC_CheckField, 21, 1, 0, 14, 13, // Skip to: 45878
-/* 42536 */   MCD_OPC_Decode, 144, 10, 209, 2, // Opcode: LSFP16_LDUR
-/* 42541 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 42560
-/* 42545 */   MCD_OPC_CheckPredicate, 1, 1, 13, // Skip to: 45878
-/* 42549 */   MCD_OPC_CheckField, 21, 1, 0, 251, 12, // Skip to: 45878
-/* 42555 */   MCD_OPC_Decode, 145, 10, 238, 1, // Opcode: LSFP16_PostInd_LDR
-/* 42560 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 42605
-/* 42564 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 42567 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 42586
-/* 42571 */   MCD_OPC_CheckPredicate, 1, 231, 12, // Skip to: 45878
-/* 42575 */   MCD_OPC_CheckField, 21, 1, 1, 225, 12, // Skip to: 45878
-/* 42581 */   MCD_OPC_Decode, 151, 10, 210, 2, // Opcode: LSFP16_Wm_RegOffset_LDR
-/* 42586 */   MCD_OPC_FilterValue, 1, 216, 12, // Skip to: 45878
-/* 42590 */   MCD_OPC_CheckPredicate, 1, 212, 12, // Skip to: 45878
-/* 42594 */   MCD_OPC_CheckField, 21, 1, 1, 206, 12, // Skip to: 45878
-/* 42600 */   MCD_OPC_Decode, 153, 10, 211, 2, // Opcode: LSFP16_Xm_RegOffset_LDR
-/* 42605 */   MCD_OPC_FilterValue, 3, 197, 12, // Skip to: 45878
-/* 42609 */   MCD_OPC_CheckPredicate, 1, 193, 12, // Skip to: 45878
-/* 42613 */   MCD_OPC_CheckField, 21, 1, 0, 187, 12, // Skip to: 45878
-/* 42619 */   MCD_OPC_Decode, 147, 10, 238, 1, // Opcode: LSFP16_PreInd_LDR
-/* 42624 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 42637
-/* 42628 */   MCD_OPC_CheckPredicate, 1, 174, 12, // Skip to: 45878
-/* 42632 */   MCD_OPC_Decode, 149, 10, 212, 2, // Opcode: LSFP16_STR
-/* 42637 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 42650
-/* 42641 */   MCD_OPC_CheckPredicate, 1, 161, 12, // Skip to: 45878
-/* 42645 */   MCD_OPC_Decode, 143, 10, 212, 2, // Opcode: LSFP16_LDR
-/* 42650 */   MCD_OPC_FilterValue, 8, 60, 1, // Skip to: 42970
-/* 42654 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 42657 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 42676
-/* 42661 */   MCD_OPC_CheckPredicate, 0, 141, 12, // Skip to: 45878
-/* 42665 */   MCD_OPC_CheckField, 21, 1, 1, 135, 12, // Skip to: 45878
-/* 42671 */   MCD_OPC_Decode, 132, 20, 172, 2, // Opcode: UQADDbbb
-/* 42676 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 42695
-/* 42680 */   MCD_OPC_CheckPredicate, 0, 122, 12, // Skip to: 45878
-/* 42684 */   MCD_OPC_CheckField, 16, 6, 33, 116, 12, // Skip to: 45878
-/* 42690 */   MCD_OPC_Decode, 168, 15, 177, 2, // Opcode: SQXTUNbh
-/* 42695 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 42714
-/* 42699 */   MCD_OPC_CheckPredicate, 0, 103, 12, // Skip to: 45878
-/* 42703 */   MCD_OPC_CheckField, 21, 1, 1, 97, 12, // Skip to: 45878
-/* 42709 */   MCD_OPC_Decode, 194, 20, 172, 2, // Opcode: UQSUBbbb
-/* 42714 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 42733
-/* 42718 */   MCD_OPC_CheckPredicate, 0, 84, 12, // Skip to: 45878
-/* 42722 */   MCD_OPC_CheckField, 16, 6, 32, 78, 12, // Skip to: 45878
-/* 42728 */   MCD_OPC_Decode, 149, 21, 174, 2, // Opcode: USQADDbb
-/* 42733 */   MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 42752
-/* 42737 */   MCD_OPC_CheckPredicate, 0, 65, 12, // Skip to: 45878
-/* 42741 */   MCD_OPC_CheckField, 16, 6, 33, 59, 12, // Skip to: 45878
-/* 42747 */   MCD_OPC_Decode, 211, 20, 177, 2, // Opcode: UQXTNbh
-/* 42752 */   MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 42771
-/* 42756 */   MCD_OPC_CheckPredicate, 0, 46, 12, // Skip to: 45878
-/* 42760 */   MCD_OPC_CheckField, 21, 1, 1, 40, 12, // Skip to: 45878
-/* 42766 */   MCD_OPC_Decode, 163, 20, 172, 2, // Opcode: UQSHLbbb
-/* 42771 */   MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 42790
-/* 42775 */   MCD_OPC_CheckPredicate, 0, 27, 12, // Skip to: 45878
-/* 42779 */   MCD_OPC_CheckField, 21, 1, 1, 21, 12, // Skip to: 45878
-/* 42785 */   MCD_OPC_Decode, 143, 20, 172, 2, // Opcode: UQRSHLbbb
-/* 42790 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 42809
-/* 42794 */   MCD_OPC_CheckPredicate, 0, 8, 12, // Skip to: 45878
-/* 42798 */   MCD_OPC_CheckField, 16, 6, 32, 2, 12, // Skip to: 45878
-/* 42804 */   MCD_OPC_Decode, 184, 14, 178, 2, // Opcode: SQNEGbb
-/* 42809 */   MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 42828
-/* 42813 */   MCD_OPC_CheckPredicate, 0, 245, 11, // Skip to: 45878
-/* 42817 */   MCD_OPC_CheckField, 16, 6, 33, 239, 11, // Skip to: 45878
-/* 42823 */   MCD_OPC_Decode, 224, 4, 139, 2, // Opcode: FCVTNUss
-/* 42828 */   MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 42847
-/* 42832 */   MCD_OPC_CheckPredicate, 0, 226, 11, // Skip to: 45878
-/* 42836 */   MCD_OPC_CheckField, 16, 6, 33, 220, 11, // Skip to: 45878
-/* 42842 */   MCD_OPC_Decode, 202, 4, 139, 2, // Opcode: FCVTMUss
-/* 42847 */   MCD_OPC_FilterValue, 50, 29, 0, // Skip to: 42880
-/* 42851 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 42854 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 42867
-/* 42858 */   MCD_OPC_CheckPredicate, 0, 200, 11, // Skip to: 45878
-/* 42862 */   MCD_OPC_Decode, 180, 4, 139, 2, // Opcode: FCVTAUss
-/* 42867 */   MCD_OPC_FilterValue, 48, 191, 11, // Skip to: 45878
-/* 42871 */   MCD_OPC_CheckPredicate, 0, 187, 11, // Skip to: 45878
-/* 42875 */   MCD_OPC_Decode, 166, 5, 134, 1, // Opcode: FMAXNMPvv_S_2S
-/* 42880 */   MCD_OPC_FilterValue, 54, 29, 0, // Skip to: 42913
-/* 42884 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 42887 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 42900
-/* 42891 */   MCD_OPC_CheckPredicate, 0, 167, 11, // Skip to: 45878
-/* 42895 */   MCD_OPC_Decode, 170, 19, 139, 2, // Opcode: UCVTFss
-/* 42900 */   MCD_OPC_FilterValue, 48, 158, 11, // Skip to: 45878
-/* 42904 */   MCD_OPC_CheckPredicate, 0, 154, 11, // Skip to: 45878
-/* 42908 */   MCD_OPC_Decode, 235, 3, 134, 1, // Opcode: FADDPvv_S_2S
-/* 42913 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 42932
-/* 42917 */   MCD_OPC_CheckPredicate, 0, 141, 11, // Skip to: 45878
-/* 42921 */   MCD_OPC_CheckField, 21, 1, 1, 135, 11, // Skip to: 45878
-/* 42927 */   MCD_OPC_Decode, 130, 4, 144, 2, // Opcode: FCMGEsss
-/* 42932 */   MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 42951
-/* 42936 */   MCD_OPC_CheckPredicate, 0, 122, 11, // Skip to: 45878
-/* 42940 */   MCD_OPC_CheckField, 21, 1, 1, 116, 11, // Skip to: 45878
-/* 42946 */   MCD_OPC_Decode, 222, 3, 144, 2, // Opcode: FACGEsss
-/* 42951 */   MCD_OPC_FilterValue, 62, 107, 11, // Skip to: 45878
-/* 42955 */   MCD_OPC_CheckPredicate, 0, 103, 11, // Skip to: 45878
-/* 42959 */   MCD_OPC_CheckField, 16, 6, 48, 97, 11, // Skip to: 45878
-/* 42965 */   MCD_OPC_Decode, 177, 5, 134, 1, // Opcode: FMAXPvv_S_2S
-/* 42970 */   MCD_OPC_FilterValue, 9, 89, 1, // Skip to: 43319
-/* 42974 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 42977 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 42996
-/* 42981 */   MCD_OPC_CheckPredicate, 0, 77, 11, // Skip to: 45878
-/* 42985 */   MCD_OPC_CheckField, 21, 1, 1, 71, 11, // Skip to: 45878
-/* 42991 */   MCD_OPC_Decode, 134, 20, 173, 2, // Opcode: UQADDhhh
-/* 42996 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 43015
-/* 43000 */   MCD_OPC_CheckPredicate, 0, 58, 11, // Skip to: 45878
-/* 43004 */   MCD_OPC_CheckField, 16, 6, 33, 52, 11, // Skip to: 45878
-/* 43010 */   MCD_OPC_Decode, 169, 15, 141, 2, // Opcode: SQXTUNhs
-/* 43015 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 43034
-/* 43019 */   MCD_OPC_CheckPredicate, 0, 39, 11, // Skip to: 45878
-/* 43023 */   MCD_OPC_CheckField, 21, 1, 1, 33, 11, // Skip to: 45878
-/* 43029 */   MCD_OPC_Decode, 196, 20, 173, 2, // Opcode: UQSUBhhh
-/* 43034 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 43053
-/* 43038 */   MCD_OPC_CheckPredicate, 0, 20, 11, // Skip to: 45878
-/* 43042 */   MCD_OPC_CheckField, 16, 6, 32, 14, 11, // Skip to: 45878
-/* 43048 */   MCD_OPC_Decode, 151, 21, 175, 2, // Opcode: USQADDhh
-/* 43053 */   MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 43072
-/* 43057 */   MCD_OPC_CheckPredicate, 0, 1, 11, // Skip to: 45878
-/* 43061 */   MCD_OPC_CheckField, 16, 6, 33, 251, 10, // Skip to: 45878
-/* 43067 */   MCD_OPC_Decode, 212, 20, 141, 2, // Opcode: UQXTNhs
-/* 43072 */   MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 43091
-/* 43076 */   MCD_OPC_CheckPredicate, 0, 238, 10, // Skip to: 45878
-/* 43080 */   MCD_OPC_CheckField, 21, 1, 1, 232, 10, // Skip to: 45878
-/* 43086 */   MCD_OPC_Decode, 167, 20, 173, 2, // Opcode: UQSHLhhh
-/* 43091 */   MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 43110
-/* 43095 */   MCD_OPC_CheckPredicate, 0, 219, 10, // Skip to: 45878
-/* 43099 */   MCD_OPC_CheckField, 21, 1, 1, 213, 10, // Skip to: 45878
-/* 43105 */   MCD_OPC_Decode, 145, 20, 173, 2, // Opcode: UQRSHLhhh
-/* 43110 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 43129
-/* 43114 */   MCD_OPC_CheckPredicate, 0, 200, 10, // Skip to: 45878
-/* 43118 */   MCD_OPC_CheckField, 16, 6, 33, 194, 10, // Skip to: 45878
-/* 43124 */   MCD_OPC_Decode, 247, 4, 134, 1, // Opcode: FCVTXN
-/* 43129 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 43148
-/* 43133 */   MCD_OPC_CheckPredicate, 0, 181, 10, // Skip to: 45878
-/* 43137 */   MCD_OPC_CheckField, 16, 6, 32, 175, 10, // Skip to: 45878
-/* 43143 */   MCD_OPC_Decode, 186, 14, 179, 2, // Opcode: SQNEGhh
-/* 43148 */   MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 43166
-/* 43152 */   MCD_OPC_CheckPredicate, 0, 162, 10, // Skip to: 45878
-/* 43156 */   MCD_OPC_CheckField, 16, 6, 33, 156, 10, // Skip to: 45878
-/* 43162 */   MCD_OPC_Decode, 223, 4, 79, // Opcode: FCVTNUdd
-/* 43166 */   MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 43185
-/* 43170 */   MCD_OPC_CheckPredicate, 0, 144, 10, // Skip to: 45878
-/* 43174 */   MCD_OPC_CheckField, 21, 1, 1, 138, 10, // Skip to: 45878
-/* 43180 */   MCD_OPC_Decode, 188, 14, 173, 2, // Opcode: SQRDMULHhhh
-/* 43185 */   MCD_OPC_FilterValue, 46, 14, 0, // Skip to: 43203
-/* 43189 */   MCD_OPC_CheckPredicate, 0, 125, 10, // Skip to: 45878
-/* 43193 */   MCD_OPC_CheckField, 16, 6, 33, 119, 10, // Skip to: 45878
-/* 43199 */   MCD_OPC_Decode, 201, 4, 79, // Opcode: FCVTMUdd
-/* 43203 */   MCD_OPC_FilterValue, 50, 27, 0, // Skip to: 43234
-/* 43207 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 43210 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 43222
-/* 43214 */   MCD_OPC_CheckPredicate, 0, 100, 10, // Skip to: 45878
-/* 43218 */   MCD_OPC_Decode, 179, 4, 79, // Opcode: FCVTAUdd
-/* 43222 */   MCD_OPC_FilterValue, 48, 92, 10, // Skip to: 45878
-/* 43226 */   MCD_OPC_CheckPredicate, 0, 88, 10, // Skip to: 45878
-/* 43230 */   MCD_OPC_Decode, 165, 5, 84, // Opcode: FMAXNMPvv_D_2D
-/* 43234 */   MCD_OPC_FilterValue, 54, 27, 0, // Skip to: 43265
-/* 43238 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 43241 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 43253
-/* 43245 */   MCD_OPC_CheckPredicate, 0, 69, 10, // Skip to: 45878
-/* 43249 */   MCD_OPC_Decode, 165, 19, 79, // Opcode: UCVTFdd
-/* 43253 */   MCD_OPC_FilterValue, 48, 61, 10, // Skip to: 45878
-/* 43257 */   MCD_OPC_CheckPredicate, 0, 57, 10, // Skip to: 45878
-/* 43261 */   MCD_OPC_Decode, 234, 3, 84, // Opcode: FADDPvv_D_2D
-/* 43265 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 43283
-/* 43269 */   MCD_OPC_CheckPredicate, 0, 45, 10, // Skip to: 45878
-/* 43273 */   MCD_OPC_CheckField, 21, 1, 1, 39, 10, // Skip to: 45878
-/* 43279 */   MCD_OPC_Decode, 129, 4, 78, // Opcode: FCMGEddd
-/* 43283 */   MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 43301
-/* 43287 */   MCD_OPC_CheckPredicate, 0, 27, 10, // Skip to: 45878
-/* 43291 */   MCD_OPC_CheckField, 21, 1, 1, 21, 10, // Skip to: 45878
-/* 43297 */   MCD_OPC_Decode, 221, 3, 78, // Opcode: FACGEddd
-/* 43301 */   MCD_OPC_FilterValue, 62, 13, 10, // Skip to: 45878
-/* 43305 */   MCD_OPC_CheckPredicate, 0, 9, 10, // Skip to: 45878
-/* 43309 */   MCD_OPC_CheckField, 16, 6, 48, 3, 10, // Skip to: 45878
-/* 43315 */   MCD_OPC_Decode, 176, 5, 84, // Opcode: FMAXPvv_D_2D
-/* 43319 */   MCD_OPC_FilterValue, 10, 98, 1, // Skip to: 43677
-/* 43323 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 43326 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 43345
-/* 43330 */   MCD_OPC_CheckPredicate, 0, 240, 9, // Skip to: 45878
-/* 43334 */   MCD_OPC_CheckField, 21, 1, 1, 234, 9, // Skip to: 45878
-/* 43340 */   MCD_OPC_Decode, 135, 20, 144, 2, // Opcode: UQADDsss
-/* 43345 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 43364
-/* 43349 */   MCD_OPC_CheckPredicate, 0, 221, 9, // Skip to: 45878
-/* 43353 */   MCD_OPC_CheckField, 16, 6, 33, 215, 9, // Skip to: 45878
-/* 43359 */   MCD_OPC_Decode, 170, 15, 134, 1, // Opcode: SQXTUNsd
-/* 43364 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 43383
-/* 43368 */   MCD_OPC_CheckPredicate, 0, 202, 9, // Skip to: 45878
-/* 43372 */   MCD_OPC_CheckField, 21, 1, 1, 196, 9, // Skip to: 45878
-/* 43378 */   MCD_OPC_Decode, 197, 20, 144, 2, // Opcode: UQSUBsss
-/* 43383 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 43402
-/* 43387 */   MCD_OPC_CheckPredicate, 0, 183, 9, // Skip to: 45878
-/* 43391 */   MCD_OPC_CheckField, 16, 6, 32, 177, 9, // Skip to: 45878
-/* 43397 */   MCD_OPC_Decode, 152, 21, 176, 2, // Opcode: USQADDss
-/* 43402 */   MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 43421
-/* 43406 */   MCD_OPC_CheckPredicate, 0, 164, 9, // Skip to: 45878
-/* 43410 */   MCD_OPC_CheckField, 16, 6, 33, 158, 9, // Skip to: 45878
-/* 43416 */   MCD_OPC_Decode, 213, 20, 134, 1, // Opcode: UQXTNsd
-/* 43421 */   MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 43440
-/* 43425 */   MCD_OPC_CheckPredicate, 0, 145, 9, // Skip to: 45878
-/* 43429 */   MCD_OPC_CheckField, 21, 1, 1, 139, 9, // Skip to: 45878
-/* 43435 */   MCD_OPC_Decode, 170, 20, 144, 2, // Opcode: UQSHLsss
-/* 43440 */   MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 43459
-/* 43444 */   MCD_OPC_CheckPredicate, 0, 126, 9, // Skip to: 45878
-/* 43448 */   MCD_OPC_CheckField, 21, 1, 1, 120, 9, // Skip to: 45878
-/* 43454 */   MCD_OPC_Decode, 146, 20, 144, 2, // Opcode: UQRSHLsss
-/* 43459 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 43478
-/* 43463 */   MCD_OPC_CheckPredicate, 0, 107, 9, // Skip to: 45878
-/* 43467 */   MCD_OPC_CheckField, 16, 6, 32, 101, 9, // Skip to: 45878
-/* 43473 */   MCD_OPC_Decode, 187, 14, 139, 2, // Opcode: SQNEGss
-/* 43478 */   MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 43497
-/* 43482 */   MCD_OPC_CheckPredicate, 0, 88, 9, // Skip to: 45878
-/* 43486 */   MCD_OPC_CheckField, 16, 6, 33, 82, 9, // Skip to: 45878
-/* 43492 */   MCD_OPC_Decode, 242, 4, 139, 2, // Opcode: FCVTPUss
-/* 43497 */   MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 43516
-/* 43501 */   MCD_OPC_CheckPredicate, 0, 69, 9, // Skip to: 45878
-/* 43505 */   MCD_OPC_CheckField, 21, 1, 1, 63, 9, // Skip to: 45878
-/* 43511 */   MCD_OPC_Decode, 191, 14, 144, 2, // Opcode: SQRDMULHsss
-/* 43516 */   MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 43535
-/* 43520 */   MCD_OPC_CheckPredicate, 0, 50, 9, // Skip to: 45878
-/* 43524 */   MCD_OPC_CheckField, 16, 6, 33, 44, 9, // Skip to: 45878
-/* 43530 */   MCD_OPC_Decode, 143, 5, 139, 2, // Opcode: FCVTZUss
-/* 43535 */   MCD_OPC_FilterValue, 50, 29, 0, // Skip to: 43568
-/* 43539 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 43542 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 43555
-/* 43546 */   MCD_OPC_CheckPredicate, 0, 24, 9, // Skip to: 45878
-/* 43550 */   MCD_OPC_Decode, 128, 4, 139, 2, // Opcode: FCMGEZssi
-/* 43555 */   MCD_OPC_FilterValue, 48, 15, 9, // Skip to: 45878
-/* 43559 */   MCD_OPC_CheckPredicate, 0, 11, 9, // Skip to: 45878
-/* 43563 */   MCD_OPC_Decode, 188, 5, 134, 1, // Opcode: FMINNMPvv_S_2S
-/* 43568 */   MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 43587
-/* 43572 */   MCD_OPC_CheckPredicate, 0, 254, 8, // Skip to: 45878
-/* 43576 */   MCD_OPC_CheckField, 21, 1, 1, 248, 8, // Skip to: 45878
-/* 43582 */   MCD_OPC_Decode, 212, 3, 144, 2, // Opcode: FABDsss
-/* 43587 */   MCD_OPC_FilterValue, 54, 29, 0, // Skip to: 43620
-/* 43591 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 43594 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 43607
-/* 43598 */   MCD_OPC_CheckPredicate, 0, 228, 8, // Skip to: 45878
-/* 43602 */   MCD_OPC_Decode, 148, 4, 139, 2, // Opcode: FCMLEZssi
-/* 43607 */   MCD_OPC_FilterValue, 33, 219, 8, // Skip to: 45878
-/* 43611 */   MCD_OPC_CheckPredicate, 0, 215, 8, // Skip to: 45878
-/* 43615 */   MCD_OPC_Decode, 194, 6, 139, 2, // Opcode: FRSQRTEss
-/* 43620 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 43639
-/* 43624 */   MCD_OPC_CheckPredicate, 0, 202, 8, // Skip to: 45878
-/* 43628 */   MCD_OPC_CheckField, 21, 1, 1, 196, 8, // Skip to: 45878
-/* 43634 */   MCD_OPC_Decode, 140, 4, 144, 2, // Opcode: FCMGTsss
-/* 43639 */   MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 43658
-/* 43643 */   MCD_OPC_CheckPredicate, 0, 183, 8, // Skip to: 45878
-/* 43647 */   MCD_OPC_CheckField, 21, 1, 1, 177, 8, // Skip to: 45878
-/* 43653 */   MCD_OPC_Decode, 227, 3, 144, 2, // Opcode: FACGTsss
-/* 43658 */   MCD_OPC_FilterValue, 62, 168, 8, // Skip to: 45878
-/* 43662 */   MCD_OPC_CheckPredicate, 0, 164, 8, // Skip to: 45878
-/* 43666 */   MCD_OPC_CheckField, 16, 6, 48, 158, 8, // Skip to: 45878
-/* 43672 */   MCD_OPC_Decode, 199, 5, 134, 1, // Opcode: FMINPvv_S_2S
-/* 43677 */   MCD_OPC_FilterValue, 11, 182, 1, // Skip to: 44119
-/* 43681 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 43684 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 43702
-/* 43688 */   MCD_OPC_CheckPredicate, 0, 138, 8, // Skip to: 45878
-/* 43692 */   MCD_OPC_CheckField, 21, 1, 1, 132, 8, // Skip to: 45878
-/* 43698 */   MCD_OPC_Decode, 133, 20, 78, // Opcode: UQADDddd
-/* 43702 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 43720
-/* 43706 */   MCD_OPC_CheckPredicate, 0, 120, 8, // Skip to: 45878
-/* 43710 */   MCD_OPC_CheckField, 21, 1, 1, 114, 8, // Skip to: 45878
-/* 43716 */   MCD_OPC_Decode, 195, 20, 78, // Opcode: UQSUBddd
-/* 43720 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 43738
-/* 43724 */   MCD_OPC_CheckPredicate, 0, 102, 8, // Skip to: 45878
-/* 43728 */   MCD_OPC_CheckField, 21, 1, 1, 96, 8, // Skip to: 45878
-/* 43734 */   MCD_OPC_Decode, 186, 2, 78, // Opcode: CMHIddd
-/* 43738 */   MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 43756
-/* 43742 */   MCD_OPC_CheckPredicate, 0, 84, 8, // Skip to: 45878
-/* 43746 */   MCD_OPC_CheckField, 16, 6, 32, 78, 8, // Skip to: 45878
-/* 43752 */   MCD_OPC_Decode, 150, 21, 88, // Opcode: USQADDdd
-/* 43756 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 43774
-/* 43760 */   MCD_OPC_CheckPredicate, 0, 66, 8, // Skip to: 45878
-/* 43764 */   MCD_OPC_CheckField, 21, 1, 1, 60, 8, // Skip to: 45878
-/* 43770 */   MCD_OPC_Decode, 194, 2, 78, // Opcode: CMHSddd
-/* 43774 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 43792
-/* 43778 */   MCD_OPC_CheckPredicate, 0, 48, 8, // Skip to: 45878
-/* 43782 */   MCD_OPC_CheckField, 21, 1, 1, 42, 8, // Skip to: 45878
-/* 43788 */   MCD_OPC_Decode, 254, 20, 78, // Opcode: USHLddd
-/* 43792 */   MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 43810
-/* 43796 */   MCD_OPC_CheckPredicate, 0, 30, 8, // Skip to: 45878
-/* 43800 */   MCD_OPC_CheckField, 21, 1, 1, 24, 8, // Skip to: 45878
-/* 43806 */   MCD_OPC_Decode, 165, 20, 78, // Opcode: UQSHLddd
-/* 43810 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 43828
-/* 43814 */   MCD_OPC_CheckPredicate, 0, 12, 8, // Skip to: 45878
-/* 43818 */   MCD_OPC_CheckField, 21, 1, 1, 6, 8, // Skip to: 45878
-/* 43824 */   MCD_OPC_Decode, 222, 20, 78, // Opcode: URSHLddd
-/* 43828 */   MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 43846
-/* 43832 */   MCD_OPC_CheckPredicate, 0, 250, 7, // Skip to: 45878
-/* 43836 */   MCD_OPC_CheckField, 21, 1, 1, 244, 7, // Skip to: 45878
-/* 43842 */   MCD_OPC_Decode, 144, 20, 78, // Opcode: UQRSHLddd
-/* 43846 */   MCD_OPC_FilterValue, 30, 14, 0, // Skip to: 43864
-/* 43850 */   MCD_OPC_CheckPredicate, 0, 232, 7, // Skip to: 45878
-/* 43854 */   MCD_OPC_CheckField, 16, 6, 32, 226, 7, // Skip to: 45878
-/* 43860 */   MCD_OPC_Decode, 185, 14, 79, // Opcode: SQNEGdd
-/* 43864 */   MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 43882
-/* 43868 */   MCD_OPC_CheckPredicate, 0, 214, 7, // Skip to: 45878
-/* 43872 */   MCD_OPC_CheckField, 21, 1, 1, 208, 7, // Skip to: 45878
-/* 43878 */   MCD_OPC_Decode, 246, 17, 78, // Opcode: SUBddd
-/* 43882 */   MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 43900
-/* 43886 */   MCD_OPC_CheckPredicate, 0, 196, 7, // Skip to: 45878
-/* 43890 */   MCD_OPC_CheckField, 16, 6, 32, 190, 7, // Skip to: 45878
-/* 43896 */   MCD_OPC_Decode, 155, 2, 79, // Opcode: CMGEddi
-/* 43900 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 43918
-/* 43904 */   MCD_OPC_CheckPredicate, 0, 178, 7, // Skip to: 45878
-/* 43908 */   MCD_OPC_CheckField, 21, 1, 1, 172, 7, // Skip to: 45878
-/* 43914 */   MCD_OPC_Decode, 138, 2, 78, // Opcode: CMEQddd
-/* 43918 */   MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 43936
-/* 43922 */   MCD_OPC_CheckPredicate, 0, 160, 7, // Skip to: 45878
-/* 43926 */   MCD_OPC_CheckField, 16, 6, 32, 154, 7, // Skip to: 45878
-/* 43932 */   MCD_OPC_Decode, 202, 2, 79, // Opcode: CMLEddi
-/* 43936 */   MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 43954
-/* 43940 */   MCD_OPC_CheckPredicate, 0, 142, 7, // Skip to: 45878
-/* 43944 */   MCD_OPC_CheckField, 16, 6, 33, 136, 7, // Skip to: 45878
-/* 43950 */   MCD_OPC_Decode, 241, 4, 79, // Opcode: FCVTPUdd
-/* 43954 */   MCD_OPC_FilterValue, 46, 27, 0, // Skip to: 43985
-/* 43958 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 43961 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 43973
-/* 43965 */   MCD_OPC_CheckPredicate, 0, 117, 7, // Skip to: 45878
-/* 43969 */   MCD_OPC_Decode, 185, 11, 79, // Opcode: NEGdd
-/* 43973 */   MCD_OPC_FilterValue, 33, 109, 7, // Skip to: 45878
-/* 43977 */   MCD_OPC_CheckPredicate, 0, 105, 7, // Skip to: 45878
-/* 43981 */   MCD_OPC_Decode, 142, 5, 79, // Opcode: FCVTZUdd
-/* 43985 */   MCD_OPC_FilterValue, 50, 27, 0, // Skip to: 44016
-/* 43989 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 43992 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 44004
-/* 43996 */   MCD_OPC_CheckPredicate, 0, 86, 7, // Skip to: 45878
-/* 44000 */   MCD_OPC_Decode, 255, 3, 79, // Opcode: FCMGEZddi
-/* 44004 */   MCD_OPC_FilterValue, 48, 78, 7, // Skip to: 45878
-/* 44008 */   MCD_OPC_CheckPredicate, 0, 74, 7, // Skip to: 45878
-/* 44012 */   MCD_OPC_Decode, 187, 5, 84, // Opcode: FMINNMPvv_D_2D
-/* 44016 */   MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 44034
-/* 44020 */   MCD_OPC_CheckPredicate, 0, 62, 7, // Skip to: 45878
-/* 44024 */   MCD_OPC_CheckField, 21, 1, 1, 56, 7, // Skip to: 45878
-/* 44030 */   MCD_OPC_Decode, 211, 3, 78, // Opcode: FABDddd
-/* 44034 */   MCD_OPC_FilterValue, 54, 27, 0, // Skip to: 44065
-/* 44038 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
-/* 44041 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 44053
-/* 44045 */   MCD_OPC_CheckPredicate, 0, 37, 7, // Skip to: 45878
-/* 44049 */   MCD_OPC_Decode, 147, 4, 79, // Opcode: FCMLEZddi
-/* 44053 */   MCD_OPC_FilterValue, 33, 29, 7, // Skip to: 45878
-/* 44057 */   MCD_OPC_CheckPredicate, 0, 25, 7, // Skip to: 45878
-/* 44061 */   MCD_OPC_Decode, 193, 6, 79, // Opcode: FRSQRTEdd
-/* 44065 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 44083
-/* 44069 */   MCD_OPC_CheckPredicate, 0, 13, 7, // Skip to: 45878
-/* 44073 */   MCD_OPC_CheckField, 21, 1, 1, 7, 7, // Skip to: 45878
-/* 44079 */   MCD_OPC_Decode, 139, 4, 78, // Opcode: FCMGTddd
-/* 44083 */   MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 44101
-/* 44087 */   MCD_OPC_CheckPredicate, 0, 251, 6, // Skip to: 45878
-/* 44091 */   MCD_OPC_CheckField, 21, 1, 1, 245, 6, // Skip to: 45878
-/* 44097 */   MCD_OPC_Decode, 226, 3, 78, // Opcode: FACGTddd
-/* 44101 */   MCD_OPC_FilterValue, 62, 237, 6, // Skip to: 45878
-/* 44105 */   MCD_OPC_CheckPredicate, 0, 233, 6, // Skip to: 45878
-/* 44109 */   MCD_OPC_CheckField, 16, 6, 48, 227, 6, // Skip to: 45878
-/* 44115 */   MCD_OPC_Decode, 198, 5, 84, // Opcode: FMINPvv_D_2D
-/* 44119 */   MCD_OPC_FilterValue, 12, 139, 1, // Skip to: 44518
-/* 44123 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 44126 */   MCD_OPC_FilterValue, 25, 55, 0, // Skip to: 44185
-/* 44130 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 44133 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 44172
-/* 44137 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 44140 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 44159
-/* 44144 */   MCD_OPC_CheckPredicate, 0, 194, 6, // Skip to: 45878
-/* 44148 */   MCD_OPC_CheckField, 19, 1, 1, 188, 6, // Skip to: 45878
-/* 44154 */   MCD_OPC_Decode, 225, 14, 193, 2, // Opcode: SQSHLUbbi
-/* 44159 */   MCD_OPC_FilterValue, 1, 179, 6, // Skip to: 45878
-/* 44163 */   MCD_OPC_CheckPredicate, 0, 175, 6, // Skip to: 45878
-/* 44167 */   MCD_OPC_Decode, 227, 14, 194, 2, // Opcode: SQSHLUhhi
-/* 44172 */   MCD_OPC_FilterValue, 1, 166, 6, // Skip to: 45878
-/* 44176 */   MCD_OPC_CheckPredicate, 0, 162, 6, // Skip to: 45878
-/* 44180 */   MCD_OPC_Decode, 228, 14, 195, 2, // Opcode: SQSHLUssi
-/* 44185 */   MCD_OPC_FilterValue, 29, 55, 0, // Skip to: 44244
-/* 44189 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 44192 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 44231
-/* 44196 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 44199 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 44218
-/* 44203 */   MCD_OPC_CheckPredicate, 0, 135, 6, // Skip to: 45878
-/* 44207 */   MCD_OPC_CheckField, 19, 1, 1, 129, 6, // Skip to: 45878
-/* 44213 */   MCD_OPC_Decode, 164, 20, 193, 2, // Opcode: UQSHLbbi
-/* 44218 */   MCD_OPC_FilterValue, 1, 120, 6, // Skip to: 45878
-/* 44222 */   MCD_OPC_CheckPredicate, 0, 116, 6, // Skip to: 45878
-/* 44226 */   MCD_OPC_Decode, 168, 20, 194, 2, // Opcode: UQSHLhhi
-/* 44231 */   MCD_OPC_FilterValue, 1, 107, 6, // Skip to: 45878
-/* 44235 */   MCD_OPC_CheckPredicate, 0, 103, 6, // Skip to: 45878
-/* 44239 */   MCD_OPC_Decode, 169, 20, 195, 2, // Opcode: UQSHLssi
-/* 44244 */   MCD_OPC_FilterValue, 33, 55, 0, // Skip to: 44303
-/* 44248 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 44251 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 44290
-/* 44255 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 44258 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 44277
-/* 44262 */   MCD_OPC_CheckPredicate, 0, 76, 6, // Skip to: 45878
-/* 44266 */   MCD_OPC_CheckField, 19, 1, 1, 70, 6, // Skip to: 45878
-/* 44272 */   MCD_OPC_Decode, 139, 15, 196, 2, // Opcode: SQSHRUNbhi
-/* 44277 */   MCD_OPC_FilterValue, 1, 61, 6, // Skip to: 45878
-/* 44281 */   MCD_OPC_CheckPredicate, 0, 57, 6, // Skip to: 45878
-/* 44285 */   MCD_OPC_Decode, 140, 15, 197, 2, // Opcode: SQSHRUNhsi
-/* 44290 */   MCD_OPC_FilterValue, 1, 48, 6, // Skip to: 45878
-/* 44294 */   MCD_OPC_CheckPredicate, 0, 44, 6, // Skip to: 45878
-/* 44298 */   MCD_OPC_Decode, 141, 15, 198, 2, // Opcode: SQSHRUNsdi
-/* 44303 */   MCD_OPC_FilterValue, 35, 55, 0, // Skip to: 44362
-/* 44307 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 44310 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 44349
-/* 44314 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 44317 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 44336
-/* 44321 */   MCD_OPC_CheckPredicate, 0, 17, 6, // Skip to: 45878
-/* 44325 */   MCD_OPC_CheckField, 19, 1, 1, 11, 6, // Skip to: 45878
-/* 44331 */   MCD_OPC_Decode, 222, 14, 196, 2, // Opcode: SQRSHRUNbhi
-/* 44336 */   MCD_OPC_FilterValue, 1, 2, 6, // Skip to: 45878
-/* 44340 */   MCD_OPC_CheckPredicate, 0, 254, 5, // Skip to: 45878
-/* 44344 */   MCD_OPC_Decode, 223, 14, 197, 2, // Opcode: SQRSHRUNhsi
-/* 44349 */   MCD_OPC_FilterValue, 1, 245, 5, // Skip to: 45878
-/* 44353 */   MCD_OPC_CheckPredicate, 0, 241, 5, // Skip to: 45878
-/* 44357 */   MCD_OPC_Decode, 224, 14, 198, 2, // Opcode: SQRSHRUNsdi
-/* 44362 */   MCD_OPC_FilterValue, 37, 55, 0, // Skip to: 44421
-/* 44366 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 44369 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 44408
-/* 44373 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 44376 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 44395
-/* 44380 */   MCD_OPC_CheckPredicate, 0, 214, 5, // Skip to: 45878
-/* 44384 */   MCD_OPC_CheckField, 19, 1, 1, 208, 5, // Skip to: 45878
-/* 44390 */   MCD_OPC_Decode, 185, 20, 196, 2, // Opcode: UQSHRNbhi
-/* 44395 */   MCD_OPC_FilterValue, 1, 199, 5, // Skip to: 45878
-/* 44399 */   MCD_OPC_CheckPredicate, 0, 195, 5, // Skip to: 45878
-/* 44403 */   MCD_OPC_Decode, 186, 20, 197, 2, // Opcode: UQSHRNhsi
-/* 44408 */   MCD_OPC_FilterValue, 1, 186, 5, // Skip to: 45878
-/* 44412 */   MCD_OPC_CheckPredicate, 0, 182, 5, // Skip to: 45878
-/* 44416 */   MCD_OPC_Decode, 187, 20, 198, 2, // Opcode: UQSHRNsdi
-/* 44421 */   MCD_OPC_FilterValue, 39, 55, 0, // Skip to: 44480
-/* 44425 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 44428 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 44467
-/* 44432 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 44435 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 44454
-/* 44439 */   MCD_OPC_CheckPredicate, 0, 155, 5, // Skip to: 45878
-/* 44443 */   MCD_OPC_CheckField, 19, 1, 1, 149, 5, // Skip to: 45878
-/* 44449 */   MCD_OPC_Decode, 154, 20, 196, 2, // Opcode: UQRSHRNbhi
-/* 44454 */   MCD_OPC_FilterValue, 1, 140, 5, // Skip to: 45878
-/* 44458 */   MCD_OPC_CheckPredicate, 0, 136, 5, // Skip to: 45878
-/* 44462 */   MCD_OPC_Decode, 155, 20, 197, 2, // Opcode: UQRSHRNhsi
-/* 44467 */   MCD_OPC_FilterValue, 1, 127, 5, // Skip to: 45878
-/* 44471 */   MCD_OPC_CheckPredicate, 0, 123, 5, // Skip to: 45878
-/* 44475 */   MCD_OPC_Decode, 156, 20, 198, 2, // Opcode: UQRSHRNsdi
-/* 44480 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 44499
-/* 44484 */   MCD_OPC_CheckPredicate, 0, 110, 5, // Skip to: 45878
-/* 44488 */   MCD_OPC_CheckField, 21, 1, 1, 104, 5, // Skip to: 45878
-/* 44494 */   MCD_OPC_Decode, 164, 19, 208, 2, // Opcode: UCVTF_Nssi
-/* 44499 */   MCD_OPC_FilterValue, 63, 95, 5, // Skip to: 45878
-/* 44503 */   MCD_OPC_CheckPredicate, 0, 91, 5, // Skip to: 45878
-/* 44507 */   MCD_OPC_CheckField, 21, 1, 1, 85, 5, // Skip to: 45878
-/* 44513 */   MCD_OPC_Decode, 141, 5, 208, 2, // Opcode: FCVTZU_Nssi
-/* 44518 */   MCD_OPC_FilterValue, 13, 133, 0, // Skip to: 44655
-/* 44522 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 44525 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 44538
-/* 44529 */   MCD_OPC_CheckPredicate, 0, 65, 5, // Skip to: 45878
-/* 44533 */   MCD_OPC_Decode, 134, 21, 184, 2, // Opcode: USHRddi
-/* 44538 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 44551
-/* 44542 */   MCD_OPC_CheckPredicate, 0, 52, 5, // Skip to: 45878
-/* 44546 */   MCD_OPC_Decode, 153, 21, 185, 2, // Opcode: USRA
-/* 44551 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 44564
-/* 44555 */   MCD_OPC_CheckPredicate, 0, 39, 5, // Skip to: 45878
-/* 44559 */   MCD_OPC_Decode, 230, 20, 184, 2, // Opcode: URSHRddi
-/* 44564 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 44577
-/* 44568 */   MCD_OPC_CheckPredicate, 0, 26, 5, // Skip to: 45878
-/* 44572 */   MCD_OPC_Decode, 240, 20, 185, 2, // Opcode: URSRA
-/* 44577 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 44590
-/* 44581 */   MCD_OPC_CheckPredicate, 0, 13, 5, // Skip to: 45878
-/* 44585 */   MCD_OPC_Decode, 177, 15, 185, 2, // Opcode: SRI
-/* 44590 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 44603
-/* 44594 */   MCD_OPC_CheckPredicate, 0, 0, 5, // Skip to: 45878
-/* 44598 */   MCD_OPC_Decode, 146, 13, 213, 2, // Opcode: SLI
-/* 44603 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 44616
-/* 44607 */   MCD_OPC_CheckPredicate, 0, 243, 4, // Skip to: 45878
-/* 44611 */   MCD_OPC_Decode, 226, 14, 192, 2, // Opcode: SQSHLUddi
-/* 44616 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 44629
-/* 44620 */   MCD_OPC_CheckPredicate, 0, 230, 4, // Skip to: 45878
-/* 44624 */   MCD_OPC_Decode, 166, 20, 192, 2, // Opcode: UQSHLddi
-/* 44629 */   MCD_OPC_FilterValue, 57, 9, 0, // Skip to: 44642
-/* 44633 */   MCD_OPC_CheckPredicate, 0, 217, 4, // Skip to: 45878
-/* 44637 */   MCD_OPC_Decode, 163, 19, 184, 2, // Opcode: UCVTF_Nddi
-/* 44642 */   MCD_OPC_FilterValue, 63, 208, 4, // Skip to: 45878
-/* 44646 */   MCD_OPC_CheckPredicate, 0, 204, 4, // Skip to: 45878
-/* 44650 */   MCD_OPC_Decode, 140, 5, 184, 2, // Opcode: FCVTZU_Nddi
-/* 44655 */   MCD_OPC_FilterValue, 14, 21, 0, // Skip to: 44680
-/* 44659 */   MCD_OPC_CheckPredicate, 0, 191, 4, // Skip to: 45878
-/* 44663 */   MCD_OPC_CheckField, 12, 4, 9, 185, 4, // Skip to: 45878
-/* 44669 */   MCD_OPC_CheckField, 10, 1, 0, 179, 4, // Skip to: 45878
-/* 44675 */   MCD_OPC_Decode, 243, 5, 199, 2, // Opcode: FMULXssv_4S
-/* 44680 */   MCD_OPC_FilterValue, 15, 170, 4, // Skip to: 45878
-/* 44684 */   MCD_OPC_CheckPredicate, 0, 166, 4, // Skip to: 45878
-/* 44688 */   MCD_OPC_CheckField, 21, 1, 0, 160, 4, // Skip to: 45878
-/* 44694 */   MCD_OPC_CheckField, 12, 4, 9, 154, 4, // Skip to: 45878
-/* 44700 */   MCD_OPC_CheckField, 10, 1, 0, 148, 4, // Skip to: 45878
-/* 44706 */   MCD_OPC_Decode, 241, 5, 200, 2, // Opcode: FMULXddv_2D
-/* 44711 */   MCD_OPC_FilterValue, 4, 149, 2, // Skip to: 45376
-/* 44715 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 44718 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 44731
-/* 44722 */   MCD_OPC_CheckPredicate, 1, 128, 4, // Skip to: 45878
-/* 44726 */   MCD_OPC_Decode, 187, 9, 214, 2, // Opcode: LDRq_lit
-/* 44731 */   MCD_OPC_FilterValue, 2, 119, 4, // Skip to: 45878
-/* 44735 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 44738 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 44751
-/* 44742 */   MCD_OPC_CheckPredicate, 1, 108, 4, // Skip to: 45878
-/* 44746 */   MCD_OPC_Decode, 229, 12, 215, 2, // Opcode: SCVTFsxi
-/* 44751 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 44764
-/* 44755 */   MCD_OPC_CheckPredicate, 1, 95, 4, // Skip to: 45878
-/* 44759 */   MCD_OPC_Decode, 174, 19, 215, 2, // Opcode: UCVTFsxi
-/* 44764 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 44777
-/* 44768 */   MCD_OPC_CheckPredicate, 1, 82, 4, // Skip to: 45878
-/* 44772 */   MCD_OPC_Decode, 136, 5, 216, 2, // Opcode: FCVTZSxsi
-/* 44777 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 44790
-/* 44781 */   MCD_OPC_CheckPredicate, 1, 69, 4, // Skip to: 45878
-/* 44785 */   MCD_OPC_Decode, 151, 5, 216, 2, // Opcode: FCVTZUxsi
-/* 44790 */   MCD_OPC_FilterValue, 32, 15, 0, // Skip to: 44809
-/* 44794 */   MCD_OPC_CheckPredicate, 1, 56, 4, // Skip to: 45878
-/* 44798 */   MCD_OPC_CheckField, 10, 6, 0, 50, 4, // Skip to: 45878
-/* 44804 */   MCD_OPC_Decode, 219, 4, 217, 2, // Opcode: FCVTNSxs
-/* 44809 */   MCD_OPC_FilterValue, 33, 15, 0, // Skip to: 44828
-/* 44813 */   MCD_OPC_CheckPredicate, 1, 37, 4, // Skip to: 45878
-/* 44817 */   MCD_OPC_CheckField, 10, 6, 0, 31, 4, // Skip to: 45878
-/* 44823 */   MCD_OPC_Decode, 228, 4, 217, 2, // Opcode: FCVTNUxs
-/* 44828 */   MCD_OPC_FilterValue, 34, 15, 0, // Skip to: 44847
-/* 44832 */   MCD_OPC_CheckPredicate, 1, 18, 4, // Skip to: 45878
-/* 44836 */   MCD_OPC_CheckField, 10, 6, 0, 12, 4, // Skip to: 45878
-/* 44842 */   MCD_OPC_Decode, 228, 12, 218, 2, // Opcode: SCVTFsx
-/* 44847 */   MCD_OPC_FilterValue, 35, 15, 0, // Skip to: 44866
-/* 44851 */   MCD_OPC_CheckPredicate, 1, 255, 3, // Skip to: 45878
-/* 44855 */   MCD_OPC_CheckField, 10, 6, 0, 249, 3, // Skip to: 45878
-/* 44861 */   MCD_OPC_Decode, 173, 19, 218, 2, // Opcode: UCVTFsx
-/* 44866 */   MCD_OPC_FilterValue, 36, 15, 0, // Skip to: 44885
-/* 44870 */   MCD_OPC_CheckPredicate, 1, 236, 3, // Skip to: 45878
-/* 44874 */   MCD_OPC_CheckField, 10, 6, 0, 230, 3, // Skip to: 45878
-/* 44880 */   MCD_OPC_Decode, 175, 4, 217, 2, // Opcode: FCVTASxs
-/* 44885 */   MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 44904
-/* 44889 */   MCD_OPC_CheckPredicate, 1, 217, 3, // Skip to: 45878
-/* 44893 */   MCD_OPC_CheckField, 10, 6, 0, 211, 3, // Skip to: 45878
-/* 44899 */   MCD_OPC_Decode, 184, 4, 217, 2, // Opcode: FCVTAUxs
-/* 44904 */   MCD_OPC_FilterValue, 40, 15, 0, // Skip to: 44923
-/* 44908 */   MCD_OPC_CheckPredicate, 1, 198, 3, // Skip to: 45878
-/* 44912 */   MCD_OPC_CheckField, 10, 6, 0, 192, 3, // Skip to: 45878
-/* 44918 */   MCD_OPC_Decode, 237, 4, 217, 2, // Opcode: FCVTPSxs
-/* 44923 */   MCD_OPC_FilterValue, 41, 15, 0, // Skip to: 44942
-/* 44927 */   MCD_OPC_CheckPredicate, 1, 179, 3, // Skip to: 45878
-/* 44931 */   MCD_OPC_CheckField, 10, 6, 0, 173, 3, // Skip to: 45878
-/* 44937 */   MCD_OPC_Decode, 246, 4, 217, 2, // Opcode: FCVTPUxs
-/* 44942 */   MCD_OPC_FilterValue, 48, 15, 0, // Skip to: 44961
-/* 44946 */   MCD_OPC_CheckPredicate, 1, 160, 3, // Skip to: 45878
-/* 44950 */   MCD_OPC_CheckField, 10, 6, 0, 154, 3, // Skip to: 45878
-/* 44956 */   MCD_OPC_Decode, 197, 4, 217, 2, // Opcode: FCVTMSxs
-/* 44961 */   MCD_OPC_FilterValue, 49, 15, 0, // Skip to: 44980
-/* 44965 */   MCD_OPC_CheckPredicate, 1, 141, 3, // Skip to: 45878
-/* 44969 */   MCD_OPC_CheckField, 10, 6, 0, 135, 3, // Skip to: 45878
-/* 44975 */   MCD_OPC_Decode, 206, 4, 217, 2, // Opcode: FCVTMUxs
-/* 44980 */   MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 44999
-/* 44984 */   MCD_OPC_CheckPredicate, 1, 122, 3, // Skip to: 45878
-/* 44988 */   MCD_OPC_CheckField, 10, 6, 0, 116, 3, // Skip to: 45878
-/* 44994 */   MCD_OPC_Decode, 135, 5, 217, 2, // Opcode: FCVTZSxs
-/* 44999 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 45018
-/* 45003 */   MCD_OPC_CheckPredicate, 1, 103, 3, // Skip to: 45878
-/* 45007 */   MCD_OPC_CheckField, 10, 6, 0, 97, 3, // Skip to: 45878
-/* 45013 */   MCD_OPC_Decode, 150, 5, 217, 2, // Opcode: FCVTZUxs
-/* 45018 */   MCD_OPC_FilterValue, 66, 9, 0, // Skip to: 45031
-/* 45022 */   MCD_OPC_CheckPredicate, 1, 84, 3, // Skip to: 45878
-/* 45026 */   MCD_OPC_Decode, 224, 12, 219, 2, // Opcode: SCVTFdxi
-/* 45031 */   MCD_OPC_FilterValue, 67, 9, 0, // Skip to: 45044
-/* 45035 */   MCD_OPC_CheckPredicate, 1, 71, 3, // Skip to: 45878
-/* 45039 */   MCD_OPC_Decode, 169, 19, 219, 2, // Opcode: UCVTFdxi
-/* 45044 */   MCD_OPC_FilterValue, 88, 9, 0, // Skip to: 45057
-/* 45048 */   MCD_OPC_CheckPredicate, 1, 58, 3, // Skip to: 45878
-/* 45052 */   MCD_OPC_Decode, 134, 5, 220, 2, // Opcode: FCVTZSxdi
-/* 45057 */   MCD_OPC_FilterValue, 89, 9, 0, // Skip to: 45070
-/* 45061 */   MCD_OPC_CheckPredicate, 1, 45, 3, // Skip to: 45878
-/* 45065 */   MCD_OPC_Decode, 149, 5, 220, 2, // Opcode: FCVTZUxdi
-/* 45070 */   MCD_OPC_FilterValue, 96, 15, 0, // Skip to: 45089
-/* 45074 */   MCD_OPC_CheckPredicate, 1, 32, 3, // Skip to: 45878
-/* 45078 */   MCD_OPC_CheckField, 10, 6, 0, 26, 3, // Skip to: 45878
-/* 45084 */   MCD_OPC_Decode, 218, 4, 221, 2, // Opcode: FCVTNSxd
-/* 45089 */   MCD_OPC_FilterValue, 97, 15, 0, // Skip to: 45108
-/* 45093 */   MCD_OPC_CheckPredicate, 1, 13, 3, // Skip to: 45878
-/* 45097 */   MCD_OPC_CheckField, 10, 6, 0, 7, 3, // Skip to: 45878
-/* 45103 */   MCD_OPC_Decode, 227, 4, 221, 2, // Opcode: FCVTNUxd
-/* 45108 */   MCD_OPC_FilterValue, 98, 15, 0, // Skip to: 45127
-/* 45112 */   MCD_OPC_CheckPredicate, 1, 250, 2, // Skip to: 45878
-/* 45116 */   MCD_OPC_CheckField, 10, 6, 0, 244, 2, // Skip to: 45878
-/* 45122 */   MCD_OPC_Decode, 223, 12, 222, 2, // Opcode: SCVTFdx
-/* 45127 */   MCD_OPC_FilterValue, 99, 15, 0, // Skip to: 45146
-/* 45131 */   MCD_OPC_CheckPredicate, 1, 231, 2, // Skip to: 45878
-/* 45135 */   MCD_OPC_CheckField, 10, 6, 0, 225, 2, // Skip to: 45878
-/* 45141 */   MCD_OPC_Decode, 168, 19, 222, 2, // Opcode: UCVTFdx
-/* 45146 */   MCD_OPC_FilterValue, 100, 15, 0, // Skip to: 45165
-/* 45150 */   MCD_OPC_CheckPredicate, 1, 212, 2, // Skip to: 45878
-/* 45154 */   MCD_OPC_CheckField, 10, 6, 0, 206, 2, // Skip to: 45878
-/* 45160 */   MCD_OPC_Decode, 174, 4, 221, 2, // Opcode: FCVTASxd
-/* 45165 */   MCD_OPC_FilterValue, 101, 15, 0, // Skip to: 45184
-/* 45169 */   MCD_OPC_CheckPredicate, 1, 193, 2, // Skip to: 45878
-/* 45173 */   MCD_OPC_CheckField, 10, 6, 0, 187, 2, // Skip to: 45878
-/* 45179 */   MCD_OPC_Decode, 183, 4, 221, 2, // Opcode: FCVTAUxd
-/* 45184 */   MCD_OPC_FilterValue, 102, 15, 0, // Skip to: 45203
-/* 45188 */   MCD_OPC_CheckPredicate, 1, 174, 2, // Skip to: 45878
-/* 45192 */   MCD_OPC_CheckField, 10, 6, 0, 168, 2, // Skip to: 45878
-/* 45198 */   MCD_OPC_Decode, 236, 5, 221, 2, // Opcode: FMOVxd
-/* 45203 */   MCD_OPC_FilterValue, 103, 15, 0, // Skip to: 45222
-/* 45207 */   MCD_OPC_CheckPredicate, 1, 155, 2, // Skip to: 45878
-/* 45211 */   MCD_OPC_CheckField, 10, 6, 0, 149, 2, // Skip to: 45878
-/* 45217 */   MCD_OPC_Decode, 227, 5, 222, 2, // Opcode: FMOVdx
-/* 45222 */   MCD_OPC_FilterValue, 104, 15, 0, // Skip to: 45241
-/* 45226 */   MCD_OPC_CheckPredicate, 1, 136, 2, // Skip to: 45878
-/* 45230 */   MCD_OPC_CheckField, 10, 6, 0, 130, 2, // Skip to: 45878
-/* 45236 */   MCD_OPC_Decode, 236, 4, 221, 2, // Opcode: FCVTPSxd
-/* 45241 */   MCD_OPC_FilterValue, 105, 15, 0, // Skip to: 45260
-/* 45245 */   MCD_OPC_CheckPredicate, 1, 117, 2, // Skip to: 45878
-/* 45249 */   MCD_OPC_CheckField, 10, 6, 0, 111, 2, // Skip to: 45878
-/* 45255 */   MCD_OPC_Decode, 245, 4, 221, 2, // Opcode: FCVTPUxd
-/* 45260 */   MCD_OPC_FilterValue, 112, 15, 0, // Skip to: 45279
-/* 45264 */   MCD_OPC_CheckPredicate, 1, 98, 2, // Skip to: 45878
-/* 45268 */   MCD_OPC_CheckField, 10, 6, 0, 92, 2, // Skip to: 45878
-/* 45274 */   MCD_OPC_Decode, 196, 4, 221, 2, // Opcode: FCVTMSxd
-/* 45279 */   MCD_OPC_FilterValue, 113, 15, 0, // Skip to: 45298
-/* 45283 */   MCD_OPC_CheckPredicate, 1, 79, 2, // Skip to: 45878
-/* 45287 */   MCD_OPC_CheckField, 10, 6, 0, 73, 2, // Skip to: 45878
-/* 45293 */   MCD_OPC_Decode, 205, 4, 221, 2, // Opcode: FCVTMUxd
-/* 45298 */   MCD_OPC_FilterValue, 120, 15, 0, // Skip to: 45317
-/* 45302 */   MCD_OPC_CheckPredicate, 1, 60, 2, // Skip to: 45878
-/* 45306 */   MCD_OPC_CheckField, 10, 6, 0, 54, 2, // Skip to: 45878
-/* 45312 */   MCD_OPC_Decode, 133, 5, 221, 2, // Opcode: FCVTZSxd
-/* 45317 */   MCD_OPC_FilterValue, 121, 15, 0, // Skip to: 45336
-/* 45321 */   MCD_OPC_CheckPredicate, 1, 41, 2, // Skip to: 45878
-/* 45325 */   MCD_OPC_CheckField, 10, 6, 0, 35, 2, // Skip to: 45878
-/* 45331 */   MCD_OPC_Decode, 148, 5, 221, 2, // Opcode: FCVTZUxd
-/* 45336 */   MCD_OPC_FilterValue, 174, 1, 15, 0, // Skip to: 45356
-/* 45341 */   MCD_OPC_CheckPredicate, 1, 21, 2, // Skip to: 45878
-/* 45345 */   MCD_OPC_CheckField, 10, 6, 0, 15, 2, // Skip to: 45878
-/* 45351 */   MCD_OPC_Decode, 237, 5, 223, 2, // Opcode: FMOVxv
-/* 45356 */   MCD_OPC_FilterValue, 175, 1, 5, 2, // Skip to: 45878
-/* 45361 */   MCD_OPC_CheckPredicate, 1, 1, 2, // Skip to: 45878
-/* 45365 */   MCD_OPC_CheckField, 10, 6, 0, 251, 1, // Skip to: 45878
-/* 45371 */   MCD_OPC_Decode, 234, 5, 223, 2, // Opcode: FMOVvx
-/* 45376 */   MCD_OPC_FilterValue, 5, 247, 0, // Skip to: 45627
-/* 45380 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 45383 */   MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 45492
-/* 45387 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 45390 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45409
-/* 45394 */   MCD_OPC_CheckPredicate, 1, 224, 1, // Skip to: 45878
-/* 45398 */   MCD_OPC_CheckField, 21, 1, 0, 218, 1, // Skip to: 45878
-/* 45404 */   MCD_OPC_Decode, 162, 10, 224, 2, // Opcode: LSFP32_STUR
-/* 45409 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 45428
-/* 45413 */   MCD_OPC_CheckPredicate, 1, 205, 1, // Skip to: 45878
-/* 45417 */   MCD_OPC_CheckField, 21, 1, 0, 199, 1, // Skip to: 45878
-/* 45423 */   MCD_OPC_Decode, 158, 10, 238, 1, // Opcode: LSFP32_PostInd_STR
-/* 45428 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 45473
-/* 45432 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 45435 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45454
-/* 45439 */   MCD_OPC_CheckPredicate, 1, 179, 1, // Skip to: 45878
-/* 45443 */   MCD_OPC_CheckField, 21, 1, 1, 173, 1, // Skip to: 45878
-/* 45449 */   MCD_OPC_Decode, 164, 10, 225, 2, // Opcode: LSFP32_Wm_RegOffset_STR
-/* 45454 */   MCD_OPC_FilterValue, 1, 164, 1, // Skip to: 45878
-/* 45458 */   MCD_OPC_CheckPredicate, 1, 160, 1, // Skip to: 45878
-/* 45462 */   MCD_OPC_CheckField, 21, 1, 1, 154, 1, // Skip to: 45878
-/* 45468 */   MCD_OPC_Decode, 166, 10, 226, 2, // Opcode: LSFP32_Xm_RegOffset_STR
-/* 45473 */   MCD_OPC_FilterValue, 3, 145, 1, // Skip to: 45878
-/* 45477 */   MCD_OPC_CheckPredicate, 1, 141, 1, // Skip to: 45878
-/* 45481 */   MCD_OPC_CheckField, 21, 1, 0, 135, 1, // Skip to: 45878
-/* 45487 */   MCD_OPC_Decode, 160, 10, 238, 1, // Opcode: LSFP32_PreInd_STR
-/* 45492 */   MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 45601
-/* 45496 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 45499 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45518
-/* 45503 */   MCD_OPC_CheckPredicate, 1, 115, 1, // Skip to: 45878
-/* 45507 */   MCD_OPC_CheckField, 21, 1, 0, 109, 1, // Skip to: 45878
-/* 45513 */   MCD_OPC_Decode, 156, 10, 224, 2, // Opcode: LSFP32_LDUR
-/* 45518 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 45537
-/* 45522 */   MCD_OPC_CheckPredicate, 1, 96, 1, // Skip to: 45878
-/* 45526 */   MCD_OPC_CheckField, 21, 1, 0, 90, 1, // Skip to: 45878
-/* 45532 */   MCD_OPC_Decode, 157, 10, 238, 1, // Opcode: LSFP32_PostInd_LDR
-/* 45537 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 45582
-/* 45541 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 45544 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45563
-/* 45548 */   MCD_OPC_CheckPredicate, 1, 70, 1, // Skip to: 45878
-/* 45552 */   MCD_OPC_CheckField, 21, 1, 1, 64, 1, // Skip to: 45878
-/* 45558 */   MCD_OPC_Decode, 163, 10, 225, 2, // Opcode: LSFP32_Wm_RegOffset_LDR
-/* 45563 */   MCD_OPC_FilterValue, 1, 55, 1, // Skip to: 45878
-/* 45567 */   MCD_OPC_CheckPredicate, 1, 51, 1, // Skip to: 45878
-/* 45571 */   MCD_OPC_CheckField, 21, 1, 1, 45, 1, // Skip to: 45878
-/* 45577 */   MCD_OPC_Decode, 165, 10, 226, 2, // Opcode: LSFP32_Xm_RegOffset_LDR
-/* 45582 */   MCD_OPC_FilterValue, 3, 36, 1, // Skip to: 45878
-/* 45586 */   MCD_OPC_CheckPredicate, 1, 32, 1, // Skip to: 45878
-/* 45590 */   MCD_OPC_CheckField, 21, 1, 0, 26, 1, // Skip to: 45878
-/* 45596 */   MCD_OPC_Decode, 159, 10, 238, 1, // Opcode: LSFP32_PreInd_LDR
-/* 45601 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 45614
-/* 45605 */   MCD_OPC_CheckPredicate, 1, 13, 1, // Skip to: 45878
-/* 45609 */   MCD_OPC_Decode, 161, 10, 227, 2, // Opcode: LSFP32_STR
-/* 45614 */   MCD_OPC_FilterValue, 5, 4, 1, // Skip to: 45878
-/* 45618 */   MCD_OPC_CheckPredicate, 1, 0, 1, // Skip to: 45878
-/* 45622 */   MCD_OPC_Decode, 155, 10, 227, 2, // Opcode: LSFP32_LDR
-/* 45627 */   MCD_OPC_FilterValue, 7, 247, 0, // Skip to: 45878
-/* 45631 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 45634 */   MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 45743
-/* 45638 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 45641 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45660
-/* 45645 */   MCD_OPC_CheckPredicate, 1, 229, 0, // Skip to: 45878
-/* 45649 */   MCD_OPC_CheckField, 21, 1, 0, 223, 0, // Skip to: 45878
-/* 45655 */   MCD_OPC_Decode, 174, 10, 228, 2, // Opcode: LSFP64_STUR
-/* 45660 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 45679
-/* 45664 */   MCD_OPC_CheckPredicate, 1, 210, 0, // Skip to: 45878
-/* 45668 */   MCD_OPC_CheckField, 21, 1, 0, 204, 0, // Skip to: 45878
-/* 45674 */   MCD_OPC_Decode, 170, 10, 238, 1, // Opcode: LSFP64_PostInd_STR
-/* 45679 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 45724
-/* 45683 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 45686 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45705
-/* 45690 */   MCD_OPC_CheckPredicate, 1, 184, 0, // Skip to: 45878
-/* 45694 */   MCD_OPC_CheckField, 21, 1, 1, 178, 0, // Skip to: 45878
-/* 45700 */   MCD_OPC_Decode, 176, 10, 229, 2, // Opcode: LSFP64_Wm_RegOffset_STR
-/* 45705 */   MCD_OPC_FilterValue, 1, 169, 0, // Skip to: 45878
-/* 45709 */   MCD_OPC_CheckPredicate, 1, 165, 0, // Skip to: 45878
-/* 45713 */   MCD_OPC_CheckField, 21, 1, 1, 159, 0, // Skip to: 45878
-/* 45719 */   MCD_OPC_Decode, 178, 10, 230, 2, // Opcode: LSFP64_Xm_RegOffset_STR
-/* 45724 */   MCD_OPC_FilterValue, 3, 150, 0, // Skip to: 45878
-/* 45728 */   MCD_OPC_CheckPredicate, 1, 146, 0, // Skip to: 45878
-/* 45732 */   MCD_OPC_CheckField, 21, 1, 0, 140, 0, // Skip to: 45878
-/* 45738 */   MCD_OPC_Decode, 172, 10, 238, 1, // Opcode: LSFP64_PreInd_STR
-/* 45743 */   MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 45852
-/* 45747 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 45750 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45769
-/* 45754 */   MCD_OPC_CheckPredicate, 1, 120, 0, // Skip to: 45878
-/* 45758 */   MCD_OPC_CheckField, 21, 1, 0, 114, 0, // Skip to: 45878
-/* 45764 */   MCD_OPC_Decode, 168, 10, 228, 2, // Opcode: LSFP64_LDUR
-/* 45769 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 45788
-/* 45773 */   MCD_OPC_CheckPredicate, 1, 101, 0, // Skip to: 45878
-/* 45777 */   MCD_OPC_CheckField, 21, 1, 0, 95, 0, // Skip to: 45878
-/* 45783 */   MCD_OPC_Decode, 169, 10, 238, 1, // Opcode: LSFP64_PostInd_LDR
-/* 45788 */   MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 45833
-/* 45792 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 45795 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 45814
-/* 45799 */   MCD_OPC_CheckPredicate, 1, 75, 0, // Skip to: 45878
-/* 45803 */   MCD_OPC_CheckField, 21, 1, 1, 69, 0, // Skip to: 45878
-/* 45809 */   MCD_OPC_Decode, 175, 10, 229, 2, // Opcode: LSFP64_Wm_RegOffset_LDR
-/* 45814 */   MCD_OPC_FilterValue, 1, 60, 0, // Skip to: 45878
-/* 45818 */   MCD_OPC_CheckPredicate, 1, 56, 0, // Skip to: 45878
-/* 45822 */   MCD_OPC_CheckField, 21, 1, 1, 50, 0, // Skip to: 45878
-/* 45828 */   MCD_OPC_Decode, 177, 10, 230, 2, // Opcode: LSFP64_Xm_RegOffset_LDR
-/* 45833 */   MCD_OPC_FilterValue, 3, 41, 0, // Skip to: 45878
-/* 45837 */   MCD_OPC_CheckPredicate, 1, 37, 0, // Skip to: 45878
-/* 45841 */   MCD_OPC_CheckField, 21, 1, 0, 31, 0, // Skip to: 45878
-/* 45847 */   MCD_OPC_Decode, 171, 10, 238, 1, // Opcode: LSFP64_PreInd_LDR
-/* 45852 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 45865
-/* 45856 */   MCD_OPC_CheckPredicate, 1, 18, 0, // Skip to: 45878
-/* 45860 */   MCD_OPC_Decode, 173, 10, 231, 2, // Opcode: LSFP64_STR
-/* 45865 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 45878
-/* 45869 */   MCD_OPC_CheckPredicate, 1, 5, 0, // Skip to: 45878
-/* 45873 */   MCD_OPC_Decode, 167, 10, 231, 2, // Opcode: LSFP64_LDR
-/* 45878 */   MCD_OPC_Fail,
+/* 24636 */   MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 24701
+/* 24640 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24643 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 24682
+/* 24647 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 24650 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 24663
+/* 24654 */   MCD_OPC_CheckPredicate, 0, 139, 62, // Skip to: 40669
+/* 24658 */   MCD_OPC_Decode, 141, 9, 149, 1, // Opcode: ORRv4i16
+/* 24663 */   MCD_OPC_FilterValue, 1, 130, 62, // Skip to: 40669
+/* 24667 */   MCD_OPC_CheckPredicate, 0, 126, 62, // Skip to: 40669
+/* 24671 */   MCD_OPC_CheckField, 13, 1, 0, 120, 62, // Skip to: 40669
+/* 24677 */   MCD_OPC_Decode, 182, 12, 156, 1, // Opcode: SQSHRNv8i8_shift
+/* 24682 */   MCD_OPC_FilterValue, 1, 111, 62, // Skip to: 40669
+/* 24686 */   MCD_OPC_CheckPredicate, 0, 107, 62, // Skip to: 40669
+/* 24690 */   MCD_OPC_CheckField, 13, 1, 0, 101, 62, // Skip to: 40669
+/* 24696 */   MCD_OPC_Decode, 179, 12, 158, 1, // Opcode: SQSHRNv4i16_shift
+/* 24701 */   MCD_OPC_FilterValue, 1, 92, 62, // Skip to: 40669
+/* 24705 */   MCD_OPC_CheckPredicate, 0, 88, 62, // Skip to: 40669
+/* 24709 */   MCD_OPC_CheckField, 13, 1, 0, 82, 62, // Skip to: 40669
+/* 24715 */   MCD_OPC_Decode, 178, 12, 160, 1, // Opcode: SQSHRNv2i32_shift
+/* 24720 */   MCD_OPC_FilterValue, 1, 73, 62, // Skip to: 40669
+/* 24724 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 24727 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 24746
+/* 24731 */   MCD_OPC_CheckPredicate, 0, 62, 62, // Skip to: 40669
+/* 24735 */   MCD_OPC_CheckField, 19, 3, 0, 56, 62, // Skip to: 40669
+/* 24741 */   MCD_OPC_Decode, 204, 8, 145, 1, // Opcode: MOVIv2s_msl
+/* 24746 */   MCD_OPC_FilterValue, 1, 47, 62, // Skip to: 40669
+/* 24750 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 24753 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 24792
+/* 24757 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24760 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 24779
+/* 24764 */   MCD_OPC_CheckPredicate, 0, 29, 62, // Skip to: 40669
+/* 24768 */   MCD_OPC_CheckField, 19, 2, 0, 23, 62, // Skip to: 40669
+/* 24774 */   MCD_OPC_Decode, 208, 8, 145, 1, // Opcode: MOVIv8b_ns
+/* 24779 */   MCD_OPC_FilterValue, 1, 14, 62, // Skip to: 40669
+/* 24783 */   MCD_OPC_CheckPredicate, 0, 10, 62, // Skip to: 40669
+/* 24787 */   MCD_OPC_Decode, 144, 10, 148, 1, // Opcode: SCVTFv2i32_shift
+/* 24792 */   MCD_OPC_FilterValue, 1, 1, 62, // Skip to: 40669
+/* 24796 */   MCD_OPC_CheckPredicate, 0, 253, 61, // Skip to: 40669
+/* 24800 */   MCD_OPC_CheckField, 19, 3, 0, 247, 61, // Skip to: 40669
+/* 24806 */   MCD_OPC_Decode, 230, 4, 145, 1, // Opcode: FMOVv2f32_ns
+/* 24811 */   MCD_OPC_FilterValue, 3, 238, 61, // Skip to: 40669
+/* 24815 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 24818 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 24877
+/* 24822 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24825 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 24864
+/* 24829 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24832 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 24851
+/* 24836 */   MCD_OPC_CheckPredicate, 0, 213, 61, // Skip to: 40669
+/* 24840 */   MCD_OPC_CheckField, 19, 1, 1, 207, 61, // Skip to: 40669
+/* 24846 */   MCD_OPC_Decode, 192, 9, 156, 1, // Opcode: RSHRNv8i8_shift
+/* 24851 */   MCD_OPC_FilterValue, 1, 198, 61, // Skip to: 40669
+/* 24855 */   MCD_OPC_CheckPredicate, 0, 194, 61, // Skip to: 40669
+/* 24859 */   MCD_OPC_Decode, 189, 9, 158, 1, // Opcode: RSHRNv4i16_shift
+/* 24864 */   MCD_OPC_FilterValue, 1, 185, 61, // Skip to: 40669
+/* 24868 */   MCD_OPC_CheckPredicate, 0, 181, 61, // Skip to: 40669
+/* 24872 */   MCD_OPC_Decode, 188, 9, 160, 1, // Opcode: RSHRNv2i32_shift
+/* 24877 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 24936
+/* 24881 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24884 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 24923
+/* 24888 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24891 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 24910
+/* 24895 */   MCD_OPC_CheckPredicate, 0, 154, 61, // Skip to: 40669
+/* 24899 */   MCD_OPC_CheckField, 19, 1, 1, 148, 61, // Skip to: 40669
+/* 24905 */   MCD_OPC_Decode, 131, 12, 156, 1, // Opcode: SQRSHRNv8i8_shift
+/* 24910 */   MCD_OPC_FilterValue, 1, 139, 61, // Skip to: 40669
+/* 24914 */   MCD_OPC_CheckPredicate, 0, 135, 61, // Skip to: 40669
+/* 24918 */   MCD_OPC_Decode, 128, 12, 158, 1, // Opcode: SQRSHRNv4i16_shift
+/* 24923 */   MCD_OPC_FilterValue, 1, 126, 61, // Skip to: 40669
+/* 24927 */   MCD_OPC_CheckPredicate, 0, 122, 61, // Skip to: 40669
+/* 24931 */   MCD_OPC_Decode, 255, 11, 160, 1, // Opcode: SQRSHRNv2i32_shift
+/* 24936 */   MCD_OPC_FilterValue, 15, 113, 61, // Skip to: 40669
+/* 24940 */   MCD_OPC_CheckPredicate, 0, 109, 61, // Skip to: 40669
+/* 24944 */   MCD_OPC_CheckField, 21, 1, 1, 103, 61, // Skip to: 40669
+/* 24950 */   MCD_OPC_Decode, 248, 3, 148, 1, // Opcode: FCVTZSv2i32_shift
+/* 24955 */   MCD_OPC_FilterValue, 1, 128, 3, // Skip to: 25855
+/* 24959 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 24962 */   MCD_OPC_FilterValue, 1, 233, 2, // Skip to: 25711
+/* 24966 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 24969 */   MCD_OPC_FilterValue, 0, 168, 1, // Skip to: 25397
+/* 24973 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 24976 */   MCD_OPC_FilterValue, 0, 207, 0, // Skip to: 25187
+/* 24980 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 24983 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 25128
+/* 24987 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 24990 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 25069
+/* 24994 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 24997 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25010
+/* 25001 */   MCD_OPC_CheckPredicate, 0, 48, 61, // Skip to: 40669
+/* 25005 */   MCD_OPC_Decode, 239, 8, 145, 1, // Opcode: MVNIv2i32
+/* 25010 */   MCD_OPC_FilterValue, 1, 39, 61, // Skip to: 40669
+/* 25014 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25017 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25030
+/* 25021 */   MCD_OPC_CheckPredicate, 0, 28, 61, // Skip to: 40669
+/* 25025 */   MCD_OPC_Decode, 146, 18, 146, 1, // Opcode: USHRv8i8_shift
+/* 25030 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 25043
+/* 25034 */   MCD_OPC_CheckPredicate, 0, 15, 61, // Skip to: 40669
+/* 25038 */   MCD_OPC_Decode, 242, 17, 146, 1, // Opcode: URSHRv8i8_shift
+/* 25043 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25056
+/* 25047 */   MCD_OPC_CheckPredicate, 0, 2, 61, // Skip to: 40669
+/* 25051 */   MCD_OPC_Decode, 234, 12, 150, 1, // Opcode: SRIv8i8_shift
+/* 25056 */   MCD_OPC_FilterValue, 3, 249, 60, // Skip to: 40669
+/* 25060 */   MCD_OPC_CheckPredicate, 0, 245, 60, // Skip to: 40669
+/* 25064 */   MCD_OPC_Decode, 151, 12, 151, 1, // Opcode: SQSHLUv8i8_shift
+/* 25069 */   MCD_OPC_FilterValue, 1, 236, 60, // Skip to: 40669
+/* 25073 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25076 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25089
+/* 25080 */   MCD_OPC_CheckPredicate, 0, 225, 60, // Skip to: 40669
+/* 25084 */   MCD_OPC_Decode, 143, 18, 147, 1, // Opcode: USHRv4i16_shift
+/* 25089 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 25102
+/* 25093 */   MCD_OPC_CheckPredicate, 0, 212, 60, // Skip to: 40669
+/* 25097 */   MCD_OPC_Decode, 239, 17, 147, 1, // Opcode: URSHRv4i16_shift
+/* 25102 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25115
+/* 25106 */   MCD_OPC_CheckPredicate, 0, 199, 60, // Skip to: 40669
+/* 25110 */   MCD_OPC_Decode, 231, 12, 152, 1, // Opcode: SRIv4i16_shift
+/* 25115 */   MCD_OPC_FilterValue, 3, 190, 60, // Skip to: 40669
+/* 25119 */   MCD_OPC_CheckPredicate, 0, 186, 60, // Skip to: 40669
+/* 25123 */   MCD_OPC_Decode, 148, 12, 153, 1, // Opcode: SQSHLUv4i16_shift
+/* 25128 */   MCD_OPC_FilterValue, 1, 177, 60, // Skip to: 40669
+/* 25132 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25135 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25148
+/* 25139 */   MCD_OPC_CheckPredicate, 0, 166, 60, // Skip to: 40669
+/* 25143 */   MCD_OPC_Decode, 141, 18, 148, 1, // Opcode: USHRv2i32_shift
+/* 25148 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 25161
+/* 25152 */   MCD_OPC_CheckPredicate, 0, 153, 60, // Skip to: 40669
+/* 25156 */   MCD_OPC_Decode, 237, 17, 148, 1, // Opcode: URSHRv2i32_shift
+/* 25161 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25174
+/* 25165 */   MCD_OPC_CheckPredicate, 0, 140, 60, // Skip to: 40669
+/* 25169 */   MCD_OPC_Decode, 229, 12, 154, 1, // Opcode: SRIv2i32_shift
+/* 25174 */   MCD_OPC_FilterValue, 3, 131, 60, // Skip to: 40669
+/* 25178 */   MCD_OPC_CheckPredicate, 0, 127, 60, // Skip to: 40669
+/* 25182 */   MCD_OPC_Decode, 146, 12, 155, 1, // Opcode: SQSHLUv2i32_shift
+/* 25187 */   MCD_OPC_FilterValue, 1, 118, 60, // Skip to: 40669
+/* 25191 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25194 */   MCD_OPC_FilterValue, 0, 140, 0, // Skip to: 25338
+/* 25198 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 25201 */   MCD_OPC_FilterValue, 0, 74, 0, // Skip to: 25279
+/* 25205 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 25208 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 25220
+/* 25212 */   MCD_OPC_CheckPredicate, 0, 93, 60, // Skip to: 40669
+/* 25216 */   MCD_OPC_Decode, 113, 149, 1, // Opcode: BICv2i32
+/* 25220 */   MCD_OPC_FilterValue, 1, 85, 60, // Skip to: 40669
+/* 25224 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25227 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25240
+/* 25231 */   MCD_OPC_CheckPredicate, 0, 74, 60, // Skip to: 40669
+/* 25235 */   MCD_OPC_Decode, 165, 18, 150, 1, // Opcode: USRAv8i8_shift
+/* 25240 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 25253
+/* 25244 */   MCD_OPC_CheckPredicate, 0, 61, 60, // Skip to: 40669
+/* 25248 */   MCD_OPC_Decode, 252, 17, 150, 1, // Opcode: URSRAv8i8_shift
+/* 25253 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25266
+/* 25257 */   MCD_OPC_CheckPredicate, 0, 48, 60, // Skip to: 40669
+/* 25261 */   MCD_OPC_Decode, 201, 10, 162, 1, // Opcode: SLIv8i8_shift
+/* 25266 */   MCD_OPC_FilterValue, 3, 39, 60, // Skip to: 40669
+/* 25270 */   MCD_OPC_CheckPredicate, 0, 35, 60, // Skip to: 40669
+/* 25274 */   MCD_OPC_Decode, 189, 17, 151, 1, // Opcode: UQSHLv8i8_shift
+/* 25279 */   MCD_OPC_FilterValue, 1, 26, 60, // Skip to: 40669
+/* 25283 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25286 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25299
+/* 25290 */   MCD_OPC_CheckPredicate, 0, 15, 60, // Skip to: 40669
+/* 25294 */   MCD_OPC_Decode, 162, 18, 152, 1, // Opcode: USRAv4i16_shift
+/* 25299 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 25312
+/* 25303 */   MCD_OPC_CheckPredicate, 0, 2, 60, // Skip to: 40669
+/* 25307 */   MCD_OPC_Decode, 249, 17, 152, 1, // Opcode: URSRAv4i16_shift
+/* 25312 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25325
+/* 25316 */   MCD_OPC_CheckPredicate, 0, 245, 59, // Skip to: 40669
+/* 25320 */   MCD_OPC_Decode, 198, 10, 163, 1, // Opcode: SLIv4i16_shift
+/* 25325 */   MCD_OPC_FilterValue, 3, 236, 59, // Skip to: 40669
+/* 25329 */   MCD_OPC_CheckPredicate, 0, 232, 59, // Skip to: 40669
+/* 25333 */   MCD_OPC_Decode, 183, 17, 153, 1, // Opcode: UQSHLv4i16_shift
+/* 25338 */   MCD_OPC_FilterValue, 1, 223, 59, // Skip to: 40669
+/* 25342 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25345 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25358
+/* 25349 */   MCD_OPC_CheckPredicate, 0, 212, 59, // Skip to: 40669
+/* 25353 */   MCD_OPC_Decode, 160, 18, 154, 1, // Opcode: USRAv2i32_shift
+/* 25358 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 25371
+/* 25362 */   MCD_OPC_CheckPredicate, 0, 199, 59, // Skip to: 40669
+/* 25366 */   MCD_OPC_Decode, 247, 17, 154, 1, // Opcode: URSRAv2i32_shift
+/* 25371 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 25384
+/* 25375 */   MCD_OPC_CheckPredicate, 0, 186, 59, // Skip to: 40669
+/* 25379 */   MCD_OPC_Decode, 196, 10, 164, 1, // Opcode: SLIv2i32_shift
+/* 25384 */   MCD_OPC_FilterValue, 3, 177, 59, // Skip to: 40669
+/* 25388 */   MCD_OPC_CheckPredicate, 0, 173, 59, // Skip to: 40669
+/* 25392 */   MCD_OPC_Decode, 179, 17, 155, 1, // Opcode: UQSHLv2i32_shift
+/* 25397 */   MCD_OPC_FilterValue, 1, 164, 59, // Skip to: 40669
+/* 25401 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
+/* 25404 */   MCD_OPC_FilterValue, 0, 226, 0, // Skip to: 25634
+/* 25408 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 25411 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 25544
+/* 25415 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25418 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 25511
+/* 25422 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 25425 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 25478
+/* 25429 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 25432 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25445
+/* 25436 */   MCD_OPC_CheckPredicate, 0, 125, 59, // Skip to: 40669
+/* 25440 */   MCD_OPC_Decode, 241, 8, 145, 1, // Opcode: MVNIv4i16
+/* 25445 */   MCD_OPC_FilterValue, 1, 116, 59, // Skip to: 40669
+/* 25449 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 25452 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25465
+/* 25456 */   MCD_OPC_CheckPredicate, 0, 105, 59, // Skip to: 40669
+/* 25460 */   MCD_OPC_Decode, 191, 12, 156, 1, // Opcode: SQSHRUNv8i8_shift
+/* 25465 */   MCD_OPC_FilterValue, 1, 96, 59, // Skip to: 40669
+/* 25469 */   MCD_OPC_CheckPredicate, 0, 92, 59, // Skip to: 40669
+/* 25473 */   MCD_OPC_Decode, 130, 18, 157, 1, // Opcode: USHLLv8i8_shift
+/* 25478 */   MCD_OPC_FilterValue, 1, 83, 59, // Skip to: 40669
+/* 25482 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 25485 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25498
+/* 25489 */   MCD_OPC_CheckPredicate, 0, 72, 59, // Skip to: 40669
+/* 25493 */   MCD_OPC_Decode, 188, 12, 158, 1, // Opcode: SQSHRUNv4i16_shift
+/* 25498 */   MCD_OPC_FilterValue, 1, 63, 59, // Skip to: 40669
+/* 25502 */   MCD_OPC_CheckPredicate, 0, 59, 59, // Skip to: 40669
+/* 25506 */   MCD_OPC_Decode, 255, 17, 159, 1, // Opcode: USHLLv4i16_shift
+/* 25511 */   MCD_OPC_FilterValue, 1, 50, 59, // Skip to: 40669
+/* 25515 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 25518 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25531
+/* 25522 */   MCD_OPC_CheckPredicate, 0, 39, 59, // Skip to: 40669
+/* 25526 */   MCD_OPC_Decode, 187, 12, 160, 1, // Opcode: SQSHRUNv2i32_shift
+/* 25531 */   MCD_OPC_FilterValue, 1, 30, 59, // Skip to: 40669
+/* 25535 */   MCD_OPC_CheckPredicate, 0, 26, 59, // Skip to: 40669
+/* 25539 */   MCD_OPC_Decode, 254, 17, 161, 1, // Opcode: USHLLv2i32_shift
+/* 25544 */   MCD_OPC_FilterValue, 1, 17, 59, // Skip to: 40669
+/* 25548 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25551 */   MCD_OPC_FilterValue, 0, 60, 0, // Skip to: 25615
+/* 25555 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 25558 */   MCD_OPC_FilterValue, 0, 34, 0, // Skip to: 25596
+/* 25562 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 25565 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 25577
+/* 25569 */   MCD_OPC_CheckPredicate, 0, 248, 58, // Skip to: 40669
+/* 25573 */   MCD_OPC_Decode, 114, 149, 1, // Opcode: BICv4i16
+/* 25577 */   MCD_OPC_FilterValue, 1, 240, 58, // Skip to: 40669
+/* 25581 */   MCD_OPC_CheckPredicate, 0, 236, 58, // Skip to: 40669
+/* 25585 */   MCD_OPC_CheckField, 13, 1, 0, 230, 58, // Skip to: 40669
+/* 25591 */   MCD_OPC_Decode, 198, 17, 156, 1, // Opcode: UQSHRNv8i8_shift
+/* 25596 */   MCD_OPC_FilterValue, 1, 221, 58, // Skip to: 40669
+/* 25600 */   MCD_OPC_CheckPredicate, 0, 217, 58, // Skip to: 40669
+/* 25604 */   MCD_OPC_CheckField, 13, 1, 0, 211, 58, // Skip to: 40669
+/* 25610 */   MCD_OPC_Decode, 195, 17, 158, 1, // Opcode: UQSHRNv4i16_shift
+/* 25615 */   MCD_OPC_FilterValue, 1, 202, 58, // Skip to: 40669
+/* 25619 */   MCD_OPC_CheckPredicate, 0, 198, 58, // Skip to: 40669
+/* 25623 */   MCD_OPC_CheckField, 13, 1, 0, 192, 58, // Skip to: 40669
+/* 25629 */   MCD_OPC_Decode, 194, 17, 160, 1, // Opcode: UQSHRNv2i32_shift
+/* 25634 */   MCD_OPC_FilterValue, 1, 183, 58, // Skip to: 40669
+/* 25638 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 25641 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 25660
+/* 25645 */   MCD_OPC_CheckPredicate, 0, 172, 58, // Skip to: 40669
+/* 25649 */   MCD_OPC_CheckField, 19, 3, 0, 166, 58, // Skip to: 40669
+/* 25655 */   MCD_OPC_Decode, 240, 8, 145, 1, // Opcode: MVNIv2s_msl
+/* 25660 */   MCD_OPC_FilterValue, 1, 157, 58, // Skip to: 40669
+/* 25664 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25667 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 25692
+/* 25671 */   MCD_OPC_CheckPredicate, 0, 146, 58, // Skip to: 40669
+/* 25675 */   MCD_OPC_CheckField, 19, 2, 0, 140, 58, // Skip to: 40669
+/* 25681 */   MCD_OPC_CheckField, 12, 1, 0, 134, 58, // Skip to: 40669
+/* 25687 */   MCD_OPC_Decode, 200, 8, 145, 1, // Opcode: MOVID
+/* 25692 */   MCD_OPC_FilterValue, 1, 125, 58, // Skip to: 40669
+/* 25696 */   MCD_OPC_CheckPredicate, 0, 121, 58, // Skip to: 40669
+/* 25700 */   MCD_OPC_CheckField, 12, 1, 0, 115, 58, // Skip to: 40669
+/* 25706 */   MCD_OPC_Decode, 174, 16, 148, 1, // Opcode: UCVTFv2i32_shift
+/* 25711 */   MCD_OPC_FilterValue, 3, 106, 58, // Skip to: 40669
+/* 25715 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 25718 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 25777
+/* 25722 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25725 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 25764
+/* 25729 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 25732 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 25751
+/* 25736 */   MCD_OPC_CheckPredicate, 0, 81, 58, // Skip to: 40669
+/* 25740 */   MCD_OPC_CheckField, 19, 1, 1, 75, 58, // Skip to: 40669
+/* 25746 */   MCD_OPC_Decode, 140, 12, 156, 1, // Opcode: SQRSHRUNv8i8_shift
+/* 25751 */   MCD_OPC_FilterValue, 1, 66, 58, // Skip to: 40669
+/* 25755 */   MCD_OPC_CheckPredicate, 0, 62, 58, // Skip to: 40669
+/* 25759 */   MCD_OPC_Decode, 137, 12, 158, 1, // Opcode: SQRSHRUNv4i16_shift
+/* 25764 */   MCD_OPC_FilterValue, 1, 53, 58, // Skip to: 40669
+/* 25768 */   MCD_OPC_CheckPredicate, 0, 49, 58, // Skip to: 40669
+/* 25772 */   MCD_OPC_Decode, 136, 12, 160, 1, // Opcode: SQRSHRUNv2i32_shift
+/* 25777 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 25836
+/* 25781 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25784 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 25823
+/* 25788 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 25791 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 25810
+/* 25795 */   MCD_OPC_CheckPredicate, 0, 22, 58, // Skip to: 40669
+/* 25799 */   MCD_OPC_CheckField, 19, 1, 1, 16, 58, // Skip to: 40669
+/* 25805 */   MCD_OPC_Decode, 167, 17, 156, 1, // Opcode: UQRSHRNv8i8_shift
+/* 25810 */   MCD_OPC_FilterValue, 1, 7, 58, // Skip to: 40669
+/* 25814 */   MCD_OPC_CheckPredicate, 0, 3, 58, // Skip to: 40669
+/* 25818 */   MCD_OPC_Decode, 164, 17, 158, 1, // Opcode: UQRSHRNv4i16_shift
+/* 25823 */   MCD_OPC_FilterValue, 1, 250, 57, // Skip to: 40669
+/* 25827 */   MCD_OPC_CheckPredicate, 0, 246, 57, // Skip to: 40669
+/* 25831 */   MCD_OPC_Decode, 163, 17, 160, 1, // Opcode: UQRSHRNv2i32_shift
+/* 25836 */   MCD_OPC_FilterValue, 15, 237, 57, // Skip to: 40669
+/* 25840 */   MCD_OPC_CheckPredicate, 0, 233, 57, // Skip to: 40669
+/* 25844 */   MCD_OPC_CheckField, 21, 1, 1, 227, 57, // Skip to: 40669
+/* 25850 */   MCD_OPC_Decode, 149, 4, 148, 1, // Opcode: FCVTZUv2i32_shift
+/* 25855 */   MCD_OPC_FilterValue, 2, 66, 3, // Skip to: 26693
+/* 25859 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 25862 */   MCD_OPC_FilterValue, 1, 171, 2, // Skip to: 26549
+/* 25866 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 25869 */   MCD_OPC_FilterValue, 0, 91, 1, // Skip to: 26220
+/* 25873 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 25876 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 26009
+/* 25880 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 25883 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 25976
+/* 25887 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 25890 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 25943
+/* 25894 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 25897 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25910
+/* 25901 */   MCD_OPC_CheckPredicate, 0, 172, 57, // Skip to: 40669
+/* 25905 */   MCD_OPC_Decode, 206, 8, 145, 1, // Opcode: MOVIv4i32
+/* 25910 */   MCD_OPC_FilterValue, 1, 163, 57, // Skip to: 40669
+/* 25914 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25917 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25930
+/* 25921 */   MCD_OPC_CheckPredicate, 0, 152, 57, // Skip to: 40669
+/* 25925 */   MCD_OPC_Decode, 146, 13, 165, 1, // Opcode: SSHRv16i8_shift
+/* 25930 */   MCD_OPC_FilterValue, 1, 143, 57, // Skip to: 40669
+/* 25934 */   MCD_OPC_CheckPredicate, 0, 139, 57, // Skip to: 40669
+/* 25938 */   MCD_OPC_Decode, 244, 12, 165, 1, // Opcode: SRSHRv16i8_shift
+/* 25943 */   MCD_OPC_FilterValue, 1, 130, 57, // Skip to: 40669
+/* 25947 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25950 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25963
+/* 25954 */   MCD_OPC_CheckPredicate, 0, 119, 57, // Skip to: 40669
+/* 25958 */   MCD_OPC_Decode, 151, 13, 166, 1, // Opcode: SSHRv8i16_shift
+/* 25963 */   MCD_OPC_FilterValue, 1, 110, 57, // Skip to: 40669
+/* 25967 */   MCD_OPC_CheckPredicate, 0, 106, 57, // Skip to: 40669
+/* 25971 */   MCD_OPC_Decode, 249, 12, 166, 1, // Opcode: SRSHRv8i16_shift
+/* 25976 */   MCD_OPC_FilterValue, 1, 97, 57, // Skip to: 40669
+/* 25980 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 25983 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 25996
+/* 25987 */   MCD_OPC_CheckPredicate, 0, 86, 57, // Skip to: 40669
+/* 25991 */   MCD_OPC_Decode, 150, 13, 167, 1, // Opcode: SSHRv4i32_shift
+/* 25996 */   MCD_OPC_FilterValue, 1, 77, 57, // Skip to: 40669
+/* 26000 */   MCD_OPC_CheckPredicate, 0, 73, 57, // Skip to: 40669
+/* 26004 */   MCD_OPC_Decode, 248, 12, 167, 1, // Opcode: SRSHRv4i32_shift
+/* 26009 */   MCD_OPC_FilterValue, 1, 64, 57, // Skip to: 40669
+/* 26013 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26016 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 26161
+/* 26020 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26023 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 26102
+/* 26027 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 26030 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26043
+/* 26034 */   MCD_OPC_CheckPredicate, 0, 39, 57, // Skip to: 40669
+/* 26038 */   MCD_OPC_Decode, 142, 9, 149, 1, // Opcode: ORRv4i32
+/* 26043 */   MCD_OPC_FilterValue, 1, 30, 57, // Skip to: 40669
+/* 26047 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26050 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26063
+/* 26054 */   MCD_OPC_CheckPredicate, 0, 19, 57, // Skip to: 40669
+/* 26058 */   MCD_OPC_Decode, 154, 13, 168, 1, // Opcode: SSRAv16i8_shift
+/* 26063 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26076
+/* 26067 */   MCD_OPC_CheckPredicate, 0, 6, 57, // Skip to: 40669
+/* 26071 */   MCD_OPC_Decode, 252, 12, 168, 1, // Opcode: SRSRAv16i8_shift
+/* 26076 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 26089
+/* 26080 */   MCD_OPC_CheckPredicate, 0, 249, 56, // Skip to: 40669
+/* 26084 */   MCD_OPC_Decode, 175, 10, 169, 1, // Opcode: SHLv16i8_shift
+/* 26089 */   MCD_OPC_FilterValue, 3, 240, 56, // Skip to: 40669
+/* 26093 */   MCD_OPC_CheckPredicate, 0, 236, 56, // Skip to: 40669
+/* 26097 */   MCD_OPC_Decode, 157, 12, 169, 1, // Opcode: SQSHLv16i8_shift
+/* 26102 */   MCD_OPC_FilterValue, 1, 227, 56, // Skip to: 40669
+/* 26106 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26109 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26122
+/* 26113 */   MCD_OPC_CheckPredicate, 0, 216, 56, // Skip to: 40669
+/* 26117 */   MCD_OPC_Decode, 159, 13, 170, 1, // Opcode: SSRAv8i16_shift
+/* 26122 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26135
+/* 26126 */   MCD_OPC_CheckPredicate, 0, 203, 56, // Skip to: 40669
+/* 26130 */   MCD_OPC_Decode, 129, 13, 170, 1, // Opcode: SRSRAv8i16_shift
+/* 26135 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 26148
+/* 26139 */   MCD_OPC_CheckPredicate, 0, 190, 56, // Skip to: 40669
+/* 26143 */   MCD_OPC_Decode, 180, 10, 171, 1, // Opcode: SHLv8i16_shift
+/* 26148 */   MCD_OPC_FilterValue, 3, 181, 56, // Skip to: 40669
+/* 26152 */   MCD_OPC_CheckPredicate, 0, 177, 56, // Skip to: 40669
+/* 26156 */   MCD_OPC_Decode, 171, 12, 171, 1, // Opcode: SQSHLv8i16_shift
+/* 26161 */   MCD_OPC_FilterValue, 1, 168, 56, // Skip to: 40669
+/* 26165 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26168 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26181
+/* 26172 */   MCD_OPC_CheckPredicate, 0, 157, 56, // Skip to: 40669
+/* 26176 */   MCD_OPC_Decode, 158, 13, 172, 1, // Opcode: SSRAv4i32_shift
+/* 26181 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26194
+/* 26185 */   MCD_OPC_CheckPredicate, 0, 144, 56, // Skip to: 40669
+/* 26189 */   MCD_OPC_Decode, 128, 13, 172, 1, // Opcode: SRSRAv4i32_shift
+/* 26194 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 26207
+/* 26198 */   MCD_OPC_CheckPredicate, 0, 131, 56, // Skip to: 40669
+/* 26202 */   MCD_OPC_Decode, 179, 10, 173, 1, // Opcode: SHLv4i32_shift
+/* 26207 */   MCD_OPC_FilterValue, 3, 122, 56, // Skip to: 40669
+/* 26211 */   MCD_OPC_CheckPredicate, 0, 118, 56, // Skip to: 40669
+/* 26215 */   MCD_OPC_Decode, 169, 12, 173, 1, // Opcode: SQSHLv4i32_shift
+/* 26220 */   MCD_OPC_FilterValue, 1, 109, 56, // Skip to: 40669
+/* 26224 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
+/* 26227 */   MCD_OPC_FilterValue, 0, 227, 0, // Skip to: 26458
+/* 26231 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 26234 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 26367
+/* 26238 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26241 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 26334
+/* 26245 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26248 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 26301
+/* 26252 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 26255 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26268
+/* 26259 */   MCD_OPC_CheckPredicate, 0, 70, 56, // Skip to: 40669
+/* 26263 */   MCD_OPC_Decode, 209, 8, 145, 1, // Opcode: MOVIv8i16
+/* 26268 */   MCD_OPC_FilterValue, 1, 61, 56, // Skip to: 40669
+/* 26272 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 26275 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26288
+/* 26279 */   MCD_OPC_CheckPredicate, 0, 50, 56, // Skip to: 40669
+/* 26283 */   MCD_OPC_Decode, 182, 10, 174, 1, // Opcode: SHRNv16i8_shift
+/* 26288 */   MCD_OPC_FilterValue, 1, 41, 56, // Skip to: 40669
+/* 26292 */   MCD_OPC_CheckPredicate, 0, 37, 56, // Skip to: 40669
+/* 26296 */   MCD_OPC_Decode, 131, 13, 169, 1, // Opcode: SSHLLv16i8_shift
+/* 26301 */   MCD_OPC_FilterValue, 1, 28, 56, // Skip to: 40669
+/* 26305 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 26308 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26321
+/* 26312 */   MCD_OPC_CheckPredicate, 0, 17, 56, // Skip to: 40669
+/* 26316 */   MCD_OPC_Decode, 186, 10, 175, 1, // Opcode: SHRNv8i16_shift
+/* 26321 */   MCD_OPC_FilterValue, 1, 8, 56, // Skip to: 40669
+/* 26325 */   MCD_OPC_CheckPredicate, 0, 4, 56, // Skip to: 40669
+/* 26329 */   MCD_OPC_Decode, 135, 13, 171, 1, // Opcode: SSHLLv8i16_shift
+/* 26334 */   MCD_OPC_FilterValue, 1, 251, 55, // Skip to: 40669
+/* 26338 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 26341 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26354
+/* 26345 */   MCD_OPC_CheckPredicate, 0, 240, 55, // Skip to: 40669
+/* 26349 */   MCD_OPC_Decode, 185, 10, 176, 1, // Opcode: SHRNv4i32_shift
+/* 26354 */   MCD_OPC_FilterValue, 1, 231, 55, // Skip to: 40669
+/* 26358 */   MCD_OPC_CheckPredicate, 0, 227, 55, // Skip to: 40669
+/* 26362 */   MCD_OPC_Decode, 134, 13, 173, 1, // Opcode: SSHLLv4i32_shift
+/* 26367 */   MCD_OPC_FilterValue, 1, 218, 55, // Skip to: 40669
+/* 26371 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26374 */   MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 26439
+/* 26378 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26381 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 26420
+/* 26385 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 26388 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26401
+/* 26392 */   MCD_OPC_CheckPredicate, 0, 193, 55, // Skip to: 40669
+/* 26396 */   MCD_OPC_Decode, 143, 9, 149, 1, // Opcode: ORRv8i16
+/* 26401 */   MCD_OPC_FilterValue, 1, 184, 55, // Skip to: 40669
+/* 26405 */   MCD_OPC_CheckPredicate, 0, 180, 55, // Skip to: 40669
+/* 26409 */   MCD_OPC_CheckField, 13, 1, 0, 174, 55, // Skip to: 40669
+/* 26415 */   MCD_OPC_Decode, 177, 12, 174, 1, // Opcode: SQSHRNv16i8_shift
+/* 26420 */   MCD_OPC_FilterValue, 1, 165, 55, // Skip to: 40669
+/* 26424 */   MCD_OPC_CheckPredicate, 0, 161, 55, // Skip to: 40669
+/* 26428 */   MCD_OPC_CheckField, 13, 1, 0, 155, 55, // Skip to: 40669
+/* 26434 */   MCD_OPC_Decode, 181, 12, 175, 1, // Opcode: SQSHRNv8i16_shift
+/* 26439 */   MCD_OPC_FilterValue, 1, 146, 55, // Skip to: 40669
+/* 26443 */   MCD_OPC_CheckPredicate, 0, 142, 55, // Skip to: 40669
+/* 26447 */   MCD_OPC_CheckField, 13, 1, 0, 136, 55, // Skip to: 40669
+/* 26453 */   MCD_OPC_Decode, 180, 12, 176, 1, // Opcode: SQSHRNv4i32_shift
+/* 26458 */   MCD_OPC_FilterValue, 1, 127, 55, // Skip to: 40669
+/* 26462 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 26465 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 26484
+/* 26469 */   MCD_OPC_CheckPredicate, 0, 116, 55, // Skip to: 40669
+/* 26473 */   MCD_OPC_CheckField, 19, 3, 0, 110, 55, // Skip to: 40669
+/* 26479 */   MCD_OPC_Decode, 207, 8, 145, 1, // Opcode: MOVIv4s_msl
+/* 26484 */   MCD_OPC_FilterValue, 1, 101, 55, // Skip to: 40669
+/* 26488 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 26491 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 26530
+/* 26495 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26498 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 26517
+/* 26502 */   MCD_OPC_CheckPredicate, 0, 83, 55, // Skip to: 40669
+/* 26506 */   MCD_OPC_CheckField, 19, 2, 0, 77, 55, // Skip to: 40669
+/* 26512 */   MCD_OPC_Decode, 201, 8, 145, 1, // Opcode: MOVIv16b_ns
+/* 26517 */   MCD_OPC_FilterValue, 1, 68, 55, // Skip to: 40669
+/* 26521 */   MCD_OPC_CheckPredicate, 0, 64, 55, // Skip to: 40669
+/* 26525 */   MCD_OPC_Decode, 147, 10, 167, 1, // Opcode: SCVTFv4i32_shift
+/* 26530 */   MCD_OPC_FilterValue, 1, 55, 55, // Skip to: 40669
+/* 26534 */   MCD_OPC_CheckPredicate, 0, 51, 55, // Skip to: 40669
+/* 26538 */   MCD_OPC_CheckField, 19, 3, 0, 45, 55, // Skip to: 40669
+/* 26544 */   MCD_OPC_Decode, 232, 4, 145, 1, // Opcode: FMOVv4f32_ns
+/* 26549 */   MCD_OPC_FilterValue, 3, 36, 55, // Skip to: 40669
+/* 26553 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 26556 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 26615
+/* 26560 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26563 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 26602
+/* 26567 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26570 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 26589
+/* 26574 */   MCD_OPC_CheckPredicate, 0, 11, 55, // Skip to: 40669
+/* 26578 */   MCD_OPC_CheckField, 19, 1, 1, 5, 55, // Skip to: 40669
+/* 26584 */   MCD_OPC_Decode, 187, 9, 174, 1, // Opcode: RSHRNv16i8_shift
+/* 26589 */   MCD_OPC_FilterValue, 1, 252, 54, // Skip to: 40669
+/* 26593 */   MCD_OPC_CheckPredicate, 0, 248, 54, // Skip to: 40669
+/* 26597 */   MCD_OPC_Decode, 191, 9, 175, 1, // Opcode: RSHRNv8i16_shift
+/* 26602 */   MCD_OPC_FilterValue, 1, 239, 54, // Skip to: 40669
+/* 26606 */   MCD_OPC_CheckPredicate, 0, 235, 54, // Skip to: 40669
+/* 26610 */   MCD_OPC_Decode, 190, 9, 176, 1, // Opcode: RSHRNv4i32_shift
+/* 26615 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 26674
+/* 26619 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26622 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 26661
+/* 26626 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26629 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 26648
+/* 26633 */   MCD_OPC_CheckPredicate, 0, 208, 54, // Skip to: 40669
+/* 26637 */   MCD_OPC_CheckField, 19, 1, 1, 202, 54, // Skip to: 40669
+/* 26643 */   MCD_OPC_Decode, 254, 11, 174, 1, // Opcode: SQRSHRNv16i8_shift
+/* 26648 */   MCD_OPC_FilterValue, 1, 193, 54, // Skip to: 40669
+/* 26652 */   MCD_OPC_CheckPredicate, 0, 189, 54, // Skip to: 40669
+/* 26656 */   MCD_OPC_Decode, 130, 12, 175, 1, // Opcode: SQRSHRNv8i16_shift
+/* 26661 */   MCD_OPC_FilterValue, 1, 180, 54, // Skip to: 40669
+/* 26665 */   MCD_OPC_CheckPredicate, 0, 176, 54, // Skip to: 40669
+/* 26669 */   MCD_OPC_Decode, 129, 12, 176, 1, // Opcode: SQRSHRNv4i32_shift
+/* 26674 */   MCD_OPC_FilterValue, 15, 167, 54, // Skip to: 40669
+/* 26678 */   MCD_OPC_CheckPredicate, 0, 163, 54, // Skip to: 40669
+/* 26682 */   MCD_OPC_CheckField, 21, 1, 1, 157, 54, // Skip to: 40669
+/* 26688 */   MCD_OPC_Decode, 251, 3, 167, 1, // Opcode: FCVTZSv4i32_shift
+/* 26693 */   MCD_OPC_FilterValue, 3, 148, 54, // Skip to: 40669
+/* 26697 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 26700 */   MCD_OPC_FilterValue, 1, 247, 2, // Skip to: 27463
+/* 26704 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 26707 */   MCD_OPC_FilterValue, 0, 168, 1, // Skip to: 27135
+/* 26711 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 26714 */   MCD_OPC_FilterValue, 0, 207, 0, // Skip to: 26925
+/* 26718 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26721 */   MCD_OPC_FilterValue, 0, 141, 0, // Skip to: 26866
+/* 26725 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26728 */   MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 26807
+/* 26732 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 26735 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26748
+/* 26739 */   MCD_OPC_CheckPredicate, 0, 102, 54, // Skip to: 40669
+/* 26743 */   MCD_OPC_Decode, 242, 8, 145, 1, // Opcode: MVNIv4i32
+/* 26748 */   MCD_OPC_FilterValue, 1, 93, 54, // Skip to: 40669
+/* 26752 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26755 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26768
+/* 26759 */   MCD_OPC_CheckPredicate, 0, 82, 54, // Skip to: 40669
+/* 26763 */   MCD_OPC_Decode, 140, 18, 165, 1, // Opcode: USHRv16i8_shift
+/* 26768 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26781
+/* 26772 */   MCD_OPC_CheckPredicate, 0, 69, 54, // Skip to: 40669
+/* 26776 */   MCD_OPC_Decode, 236, 17, 165, 1, // Opcode: URSHRv16i8_shift
+/* 26781 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 26794
+/* 26785 */   MCD_OPC_CheckPredicate, 0, 56, 54, // Skip to: 40669
+/* 26789 */   MCD_OPC_Decode, 228, 12, 168, 1, // Opcode: SRIv16i8_shift
+/* 26794 */   MCD_OPC_FilterValue, 3, 47, 54, // Skip to: 40669
+/* 26798 */   MCD_OPC_CheckPredicate, 0, 43, 54, // Skip to: 40669
+/* 26802 */   MCD_OPC_Decode, 145, 12, 169, 1, // Opcode: SQSHLUv16i8_shift
+/* 26807 */   MCD_OPC_FilterValue, 1, 34, 54, // Skip to: 40669
+/* 26811 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26814 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26827
+/* 26818 */   MCD_OPC_CheckPredicate, 0, 23, 54, // Skip to: 40669
+/* 26822 */   MCD_OPC_Decode, 145, 18, 166, 1, // Opcode: USHRv8i16_shift
+/* 26827 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26840
+/* 26831 */   MCD_OPC_CheckPredicate, 0, 10, 54, // Skip to: 40669
+/* 26835 */   MCD_OPC_Decode, 241, 17, 166, 1, // Opcode: URSHRv8i16_shift
+/* 26840 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 26853
+/* 26844 */   MCD_OPC_CheckPredicate, 0, 253, 53, // Skip to: 40669
+/* 26848 */   MCD_OPC_Decode, 233, 12, 170, 1, // Opcode: SRIv8i16_shift
+/* 26853 */   MCD_OPC_FilterValue, 3, 244, 53, // Skip to: 40669
+/* 26857 */   MCD_OPC_CheckPredicate, 0, 240, 53, // Skip to: 40669
+/* 26861 */   MCD_OPC_Decode, 150, 12, 171, 1, // Opcode: SQSHLUv8i16_shift
+/* 26866 */   MCD_OPC_FilterValue, 1, 231, 53, // Skip to: 40669
+/* 26870 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26873 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26886
+/* 26877 */   MCD_OPC_CheckPredicate, 0, 220, 53, // Skip to: 40669
+/* 26881 */   MCD_OPC_Decode, 144, 18, 167, 1, // Opcode: USHRv4i32_shift
+/* 26886 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26899
+/* 26890 */   MCD_OPC_CheckPredicate, 0, 207, 53, // Skip to: 40669
+/* 26894 */   MCD_OPC_Decode, 240, 17, 167, 1, // Opcode: URSHRv4i32_shift
+/* 26899 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 26912
+/* 26903 */   MCD_OPC_CheckPredicate, 0, 194, 53, // Skip to: 40669
+/* 26907 */   MCD_OPC_Decode, 232, 12, 172, 1, // Opcode: SRIv4i32_shift
+/* 26912 */   MCD_OPC_FilterValue, 3, 185, 53, // Skip to: 40669
+/* 26916 */   MCD_OPC_CheckPredicate, 0, 181, 53, // Skip to: 40669
+/* 26920 */   MCD_OPC_Decode, 149, 12, 173, 1, // Opcode: SQSHLUv4i32_shift
+/* 26925 */   MCD_OPC_FilterValue, 1, 172, 53, // Skip to: 40669
+/* 26929 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 26932 */   MCD_OPC_FilterValue, 0, 140, 0, // Skip to: 27076
+/* 26936 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 26939 */   MCD_OPC_FilterValue, 0, 74, 0, // Skip to: 27017
+/* 26943 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 26946 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 26958
+/* 26950 */   MCD_OPC_CheckPredicate, 0, 147, 53, // Skip to: 40669
+/* 26954 */   MCD_OPC_Decode, 115, 149, 1, // Opcode: BICv4i32
+/* 26958 */   MCD_OPC_FilterValue, 1, 139, 53, // Skip to: 40669
+/* 26962 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 26965 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 26978
+/* 26969 */   MCD_OPC_CheckPredicate, 0, 128, 53, // Skip to: 40669
+/* 26973 */   MCD_OPC_Decode, 159, 18, 168, 1, // Opcode: USRAv16i8_shift
+/* 26978 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 26991
+/* 26982 */   MCD_OPC_CheckPredicate, 0, 115, 53, // Skip to: 40669
+/* 26986 */   MCD_OPC_Decode, 246, 17, 168, 1, // Opcode: URSRAv16i8_shift
+/* 26991 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 27004
+/* 26995 */   MCD_OPC_CheckPredicate, 0, 102, 53, // Skip to: 40669
+/* 26999 */   MCD_OPC_Decode, 195, 10, 177, 1, // Opcode: SLIv16i8_shift
+/* 27004 */   MCD_OPC_FilterValue, 3, 93, 53, // Skip to: 40669
+/* 27008 */   MCD_OPC_CheckPredicate, 0, 89, 53, // Skip to: 40669
+/* 27012 */   MCD_OPC_Decode, 173, 17, 169, 1, // Opcode: UQSHLv16i8_shift
+/* 27017 */   MCD_OPC_FilterValue, 1, 80, 53, // Skip to: 40669
+/* 27021 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 27024 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27037
+/* 27028 */   MCD_OPC_CheckPredicate, 0, 69, 53, // Skip to: 40669
+/* 27032 */   MCD_OPC_Decode, 164, 18, 170, 1, // Opcode: USRAv8i16_shift
+/* 27037 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 27050
+/* 27041 */   MCD_OPC_CheckPredicate, 0, 56, 53, // Skip to: 40669
+/* 27045 */   MCD_OPC_Decode, 251, 17, 170, 1, // Opcode: URSRAv8i16_shift
+/* 27050 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 27063
+/* 27054 */   MCD_OPC_CheckPredicate, 0, 43, 53, // Skip to: 40669
+/* 27058 */   MCD_OPC_Decode, 200, 10, 178, 1, // Opcode: SLIv8i16_shift
+/* 27063 */   MCD_OPC_FilterValue, 3, 34, 53, // Skip to: 40669
+/* 27067 */   MCD_OPC_CheckPredicate, 0, 30, 53, // Skip to: 40669
+/* 27071 */   MCD_OPC_Decode, 187, 17, 171, 1, // Opcode: UQSHLv8i16_shift
+/* 27076 */   MCD_OPC_FilterValue, 1, 21, 53, // Skip to: 40669
+/* 27080 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 27083 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27096
+/* 27087 */   MCD_OPC_CheckPredicate, 0, 10, 53, // Skip to: 40669
+/* 27091 */   MCD_OPC_Decode, 163, 18, 172, 1, // Opcode: USRAv4i32_shift
+/* 27096 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 27109
+/* 27100 */   MCD_OPC_CheckPredicate, 0, 253, 52, // Skip to: 40669
+/* 27104 */   MCD_OPC_Decode, 250, 17, 172, 1, // Opcode: URSRAv4i32_shift
+/* 27109 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 27122
+/* 27113 */   MCD_OPC_CheckPredicate, 0, 240, 52, // Skip to: 40669
+/* 27117 */   MCD_OPC_Decode, 199, 10, 179, 1, // Opcode: SLIv4i32_shift
+/* 27122 */   MCD_OPC_FilterValue, 3, 231, 52, // Skip to: 40669
+/* 27126 */   MCD_OPC_CheckPredicate, 0, 227, 52, // Skip to: 40669
+/* 27130 */   MCD_OPC_Decode, 185, 17, 173, 1, // Opcode: UQSHLv4i32_shift
+/* 27135 */   MCD_OPC_FilterValue, 1, 218, 52, // Skip to: 40669
+/* 27139 */   MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
+/* 27142 */   MCD_OPC_FilterValue, 0, 226, 0, // Skip to: 27372
+/* 27146 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 27149 */   MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 27282
+/* 27153 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 27156 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 27249
+/* 27160 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 27163 */   MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 27216
+/* 27167 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 27170 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27183
+/* 27174 */   MCD_OPC_CheckPredicate, 0, 179, 52, // Skip to: 40669
+/* 27178 */   MCD_OPC_Decode, 244, 8, 145, 1, // Opcode: MVNIv8i16
+/* 27183 */   MCD_OPC_FilterValue, 1, 170, 52, // Skip to: 40669
+/* 27187 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 27190 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27203
+/* 27194 */   MCD_OPC_CheckPredicate, 0, 159, 52, // Skip to: 40669
+/* 27198 */   MCD_OPC_Decode, 186, 12, 174, 1, // Opcode: SQSHRUNv16i8_shift
+/* 27203 */   MCD_OPC_FilterValue, 1, 150, 52, // Skip to: 40669
+/* 27207 */   MCD_OPC_CheckPredicate, 0, 146, 52, // Skip to: 40669
+/* 27211 */   MCD_OPC_Decode, 253, 17, 169, 1, // Opcode: USHLLv16i8_shift
+/* 27216 */   MCD_OPC_FilterValue, 1, 137, 52, // Skip to: 40669
+/* 27220 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 27223 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27236
+/* 27227 */   MCD_OPC_CheckPredicate, 0, 126, 52, // Skip to: 40669
+/* 27231 */   MCD_OPC_Decode, 190, 12, 175, 1, // Opcode: SQSHRUNv8i16_shift
+/* 27236 */   MCD_OPC_FilterValue, 1, 117, 52, // Skip to: 40669
+/* 27240 */   MCD_OPC_CheckPredicate, 0, 113, 52, // Skip to: 40669
+/* 27244 */   MCD_OPC_Decode, 129, 18, 171, 1, // Opcode: USHLLv8i16_shift
+/* 27249 */   MCD_OPC_FilterValue, 1, 104, 52, // Skip to: 40669
+/* 27253 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 27256 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27269
+/* 27260 */   MCD_OPC_CheckPredicate, 0, 93, 52, // Skip to: 40669
+/* 27264 */   MCD_OPC_Decode, 189, 12, 176, 1, // Opcode: SQSHRUNv4i32_shift
+/* 27269 */   MCD_OPC_FilterValue, 1, 84, 52, // Skip to: 40669
+/* 27273 */   MCD_OPC_CheckPredicate, 0, 80, 52, // Skip to: 40669
+/* 27277 */   MCD_OPC_Decode, 128, 18, 173, 1, // Opcode: USHLLv4i32_shift
+/* 27282 */   MCD_OPC_FilterValue, 1, 71, 52, // Skip to: 40669
+/* 27286 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 27289 */   MCD_OPC_FilterValue, 0, 60, 0, // Skip to: 27353
+/* 27293 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 27296 */   MCD_OPC_FilterValue, 0, 34, 0, // Skip to: 27334
+/* 27300 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 27303 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 27315
+/* 27307 */   MCD_OPC_CheckPredicate, 0, 46, 52, // Skip to: 40669
+/* 27311 */   MCD_OPC_Decode, 116, 149, 1, // Opcode: BICv8i16
+/* 27315 */   MCD_OPC_FilterValue, 1, 38, 52, // Skip to: 40669
+/* 27319 */   MCD_OPC_CheckPredicate, 0, 34, 52, // Skip to: 40669
+/* 27323 */   MCD_OPC_CheckField, 13, 1, 0, 28, 52, // Skip to: 40669
+/* 27329 */   MCD_OPC_Decode, 193, 17, 174, 1, // Opcode: UQSHRNv16i8_shift
+/* 27334 */   MCD_OPC_FilterValue, 1, 19, 52, // Skip to: 40669
+/* 27338 */   MCD_OPC_CheckPredicate, 0, 15, 52, // Skip to: 40669
+/* 27342 */   MCD_OPC_CheckField, 13, 1, 0, 9, 52, // Skip to: 40669
+/* 27348 */   MCD_OPC_Decode, 197, 17, 175, 1, // Opcode: UQSHRNv8i16_shift
+/* 27353 */   MCD_OPC_FilterValue, 1, 0, 52, // Skip to: 40669
+/* 27357 */   MCD_OPC_CheckPredicate, 0, 252, 51, // Skip to: 40669
+/* 27361 */   MCD_OPC_CheckField, 13, 1, 0, 246, 51, // Skip to: 40669
+/* 27367 */   MCD_OPC_Decode, 196, 17, 176, 1, // Opcode: UQSHRNv4i32_shift
+/* 27372 */   MCD_OPC_FilterValue, 1, 237, 51, // Skip to: 40669
+/* 27376 */   MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 27379 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 27398
+/* 27383 */   MCD_OPC_CheckPredicate, 0, 226, 51, // Skip to: 40669
+/* 27387 */   MCD_OPC_CheckField, 19, 3, 0, 220, 51, // Skip to: 40669
+/* 27393 */   MCD_OPC_Decode, 243, 8, 145, 1, // Opcode: MVNIv4s_msl
+/* 27398 */   MCD_OPC_FilterValue, 1, 211, 51, // Skip to: 40669
+/* 27402 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 27405 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 27444
+/* 27409 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 27412 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 27431
+/* 27416 */   MCD_OPC_CheckPredicate, 0, 193, 51, // Skip to: 40669
+/* 27420 */   MCD_OPC_CheckField, 19, 2, 0, 187, 51, // Skip to: 40669
+/* 27426 */   MCD_OPC_Decode, 202, 8, 145, 1, // Opcode: MOVIv2d_ns
+/* 27431 */   MCD_OPC_FilterValue, 1, 178, 51, // Skip to: 40669
+/* 27435 */   MCD_OPC_CheckPredicate, 0, 174, 51, // Skip to: 40669
+/* 27439 */   MCD_OPC_Decode, 177, 16, 167, 1, // Opcode: UCVTFv4i32_shift
+/* 27444 */   MCD_OPC_FilterValue, 1, 165, 51, // Skip to: 40669
+/* 27448 */   MCD_OPC_CheckPredicate, 0, 161, 51, // Skip to: 40669
+/* 27452 */   MCD_OPC_CheckField, 19, 3, 0, 155, 51, // Skip to: 40669
+/* 27458 */   MCD_OPC_Decode, 231, 4, 145, 1, // Opcode: FMOVv2f64_ns
+/* 27463 */   MCD_OPC_FilterValue, 3, 146, 51, // Skip to: 40669
+/* 27467 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 27470 */   MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 27529
+/* 27474 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 27477 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 27516
+/* 27481 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 27484 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 27503
+/* 27488 */   MCD_OPC_CheckPredicate, 0, 121, 51, // Skip to: 40669
+/* 27492 */   MCD_OPC_CheckField, 19, 1, 1, 115, 51, // Skip to: 40669
+/* 27498 */   MCD_OPC_Decode, 135, 12, 174, 1, // Opcode: SQRSHRUNv16i8_shift
+/* 27503 */   MCD_OPC_FilterValue, 1, 106, 51, // Skip to: 40669
+/* 27507 */   MCD_OPC_CheckPredicate, 0, 102, 51, // Skip to: 40669
+/* 27511 */   MCD_OPC_Decode, 139, 12, 175, 1, // Opcode: SQRSHRUNv8i16_shift
+/* 27516 */   MCD_OPC_FilterValue, 1, 93, 51, // Skip to: 40669
+/* 27520 */   MCD_OPC_CheckPredicate, 0, 89, 51, // Skip to: 40669
+/* 27524 */   MCD_OPC_Decode, 138, 12, 176, 1, // Opcode: SQRSHRUNv4i32_shift
+/* 27529 */   MCD_OPC_FilterValue, 9, 55, 0, // Skip to: 27588
+/* 27533 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 27536 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 27575
+/* 27540 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 27543 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 27562
+/* 27547 */   MCD_OPC_CheckPredicate, 0, 62, 51, // Skip to: 40669
+/* 27551 */   MCD_OPC_CheckField, 19, 1, 1, 56, 51, // Skip to: 40669
+/* 27557 */   MCD_OPC_Decode, 162, 17, 174, 1, // Opcode: UQRSHRNv16i8_shift
+/* 27562 */   MCD_OPC_FilterValue, 1, 47, 51, // Skip to: 40669
+/* 27566 */   MCD_OPC_CheckPredicate, 0, 43, 51, // Skip to: 40669
+/* 27570 */   MCD_OPC_Decode, 166, 17, 175, 1, // Opcode: UQRSHRNv8i16_shift
+/* 27575 */   MCD_OPC_FilterValue, 1, 34, 51, // Skip to: 40669
+/* 27579 */   MCD_OPC_CheckPredicate, 0, 30, 51, // Skip to: 40669
+/* 27583 */   MCD_OPC_Decode, 165, 17, 176, 1, // Opcode: UQRSHRNv4i32_shift
+/* 27588 */   MCD_OPC_FilterValue, 15, 21, 51, // Skip to: 40669
+/* 27592 */   MCD_OPC_CheckPredicate, 0, 17, 51, // Skip to: 40669
+/* 27596 */   MCD_OPC_CheckField, 21, 1, 1, 11, 51, // Skip to: 40669
+/* 27602 */   MCD_OPC_Decode, 152, 4, 167, 1, // Opcode: FCVTZUv4i32_shift
+/* 27607 */   MCD_OPC_FilterValue, 13, 221, 3, // Skip to: 28600
+/* 27611 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 27614 */   MCD_OPC_FilterValue, 0, 80, 0, // Skip to: 27698
+/* 27618 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 27621 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 27640
+/* 27625 */   MCD_OPC_CheckPredicate, 0, 240, 50, // Skip to: 40669
+/* 27629 */   MCD_OPC_CheckField, 10, 1, 0, 234, 50, // Skip to: 40669
+/* 27635 */   MCD_OPC_Decode, 184, 8, 180, 1, // Opcode: MLAv4i16_indexed
+/* 27640 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 27659
+/* 27644 */   MCD_OPC_CheckPredicate, 0, 221, 50, // Skip to: 40669
+/* 27648 */   MCD_OPC_CheckField, 10, 2, 1, 215, 50, // Skip to: 40669
+/* 27654 */   MCD_OPC_Decode, 148, 13, 181, 1, // Opcode: SSHRv2i64_shift
+/* 27659 */   MCD_OPC_FilterValue, 3, 206, 50, // Skip to: 40669
+/* 27663 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 27666 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27679
+/* 27670 */   MCD_OPC_CheckPredicate, 0, 195, 50, // Skip to: 40669
+/* 27674 */   MCD_OPC_Decode, 188, 8, 182, 1, // Opcode: MLAv8i16_indexed
+/* 27679 */   MCD_OPC_FilterValue, 1, 186, 50, // Skip to: 40669
+/* 27683 */   MCD_OPC_CheckPredicate, 0, 182, 50, // Skip to: 40669
+/* 27687 */   MCD_OPC_CheckField, 11, 1, 0, 176, 50, // Skip to: 40669
+/* 27693 */   MCD_OPC_Decode, 142, 18, 181, 1, // Opcode: USHRv2i64_shift
+/* 27698 */   MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 27743
+/* 27702 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 27705 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 27724
+/* 27709 */   MCD_OPC_CheckPredicate, 0, 156, 50, // Skip to: 40669
+/* 27713 */   MCD_OPC_CheckField, 10, 2, 1, 150, 50, // Skip to: 40669
+/* 27719 */   MCD_OPC_Decode, 156, 13, 183, 1, // Opcode: SSRAv2i64_shift
+/* 27724 */   MCD_OPC_FilterValue, 3, 141, 50, // Skip to: 40669
+/* 27728 */   MCD_OPC_CheckPredicate, 0, 137, 50, // Skip to: 40669
+/* 27732 */   MCD_OPC_CheckField, 10, 2, 1, 131, 50, // Skip to: 40669
+/* 27738 */   MCD_OPC_Decode, 161, 18, 183, 1, // Opcode: USRAv2i64_shift
+/* 27743 */   MCD_OPC_FilterValue, 2, 119, 0, // Skip to: 27866
+/* 27747 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 27750 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 27769
+/* 27754 */   MCD_OPC_CheckPredicate, 0, 111, 50, // Skip to: 40669
+/* 27758 */   MCD_OPC_CheckField, 10, 1, 0, 105, 50, // Skip to: 40669
+/* 27764 */   MCD_OPC_Decode, 241, 10, 184, 1, // Opcode: SMLALv4i16_indexed
+/* 27769 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 27788
+/* 27773 */   MCD_OPC_CheckPredicate, 0, 92, 50, // Skip to: 40669
+/* 27777 */   MCD_OPC_CheckField, 10, 1, 0, 86, 50, // Skip to: 40669
+/* 27783 */   MCD_OPC_Decode, 232, 16, 184, 1, // Opcode: UMLALv4i16_indexed
+/* 27788 */   MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 27827
+/* 27792 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 27795 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27808
+/* 27799 */   MCD_OPC_CheckPredicate, 0, 66, 50, // Skip to: 40669
+/* 27803 */   MCD_OPC_Decode, 245, 10, 182, 1, // Opcode: SMLALv8i16_indexed
+/* 27808 */   MCD_OPC_FilterValue, 1, 57, 50, // Skip to: 40669
+/* 27812 */   MCD_OPC_CheckPredicate, 0, 53, 50, // Skip to: 40669
+/* 27816 */   MCD_OPC_CheckField, 11, 1, 0, 47, 50, // Skip to: 40669
+/* 27822 */   MCD_OPC_Decode, 246, 12, 181, 1, // Opcode: SRSHRv2i64_shift
+/* 27827 */   MCD_OPC_FilterValue, 3, 38, 50, // Skip to: 40669
+/* 27831 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 27834 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27847
+/* 27838 */   MCD_OPC_CheckPredicate, 0, 27, 50, // Skip to: 40669
+/* 27842 */   MCD_OPC_Decode, 236, 16, 182, 1, // Opcode: UMLALv8i16_indexed
+/* 27847 */   MCD_OPC_FilterValue, 1, 18, 50, // Skip to: 40669
+/* 27851 */   MCD_OPC_CheckPredicate, 0, 14, 50, // Skip to: 40669
+/* 27855 */   MCD_OPC_CheckField, 11, 1, 0, 8, 50, // Skip to: 40669
+/* 27861 */   MCD_OPC_Decode, 238, 17, 181, 1, // Opcode: URSHRv2i64_shift
+/* 27866 */   MCD_OPC_FilterValue, 3, 80, 0, // Skip to: 27950
+/* 27870 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 27873 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 27892
+/* 27877 */   MCD_OPC_CheckPredicate, 0, 244, 49, // Skip to: 40669
+/* 27881 */   MCD_OPC_CheckField, 10, 1, 0, 238, 49, // Skip to: 40669
+/* 27887 */   MCD_OPC_Decode, 175, 11, 184, 1, // Opcode: SQDMLALv4i16_indexed
+/* 27892 */   MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 27931
+/* 27896 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 27899 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 27912
+/* 27903 */   MCD_OPC_CheckPredicate, 0, 218, 49, // Skip to: 40669
+/* 27907 */   MCD_OPC_Decode, 179, 11, 182, 1, // Opcode: SQDMLALv8i16_indexed
+/* 27912 */   MCD_OPC_FilterValue, 1, 209, 49, // Skip to: 40669
+/* 27916 */   MCD_OPC_CheckPredicate, 0, 205, 49, // Skip to: 40669
+/* 27920 */   MCD_OPC_CheckField, 11, 1, 0, 199, 49, // Skip to: 40669
+/* 27926 */   MCD_OPC_Decode, 254, 12, 183, 1, // Opcode: SRSRAv2i64_shift
+/* 27931 */   MCD_OPC_FilterValue, 3, 190, 49, // Skip to: 40669
+/* 27935 */   MCD_OPC_CheckPredicate, 0, 186, 49, // Skip to: 40669
+/* 27939 */   MCD_OPC_CheckField, 10, 2, 1, 180, 49, // Skip to: 40669
+/* 27945 */   MCD_OPC_Decode, 248, 17, 183, 1, // Opcode: URSRAv2i64_shift
+/* 27950 */   MCD_OPC_FilterValue, 4, 61, 0, // Skip to: 28015
+/* 27954 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 27957 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 27990
+/* 27961 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 27964 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 27977
+/* 27968 */   MCD_OPC_CheckPredicate, 0, 153, 49, // Skip to: 40669
+/* 27972 */   MCD_OPC_Decode, 194, 8, 180, 1, // Opcode: MLSv4i16_indexed
+/* 27977 */   MCD_OPC_FilterValue, 3, 144, 49, // Skip to: 40669
+/* 27981 */   MCD_OPC_CheckPredicate, 0, 140, 49, // Skip to: 40669
+/* 27985 */   MCD_OPC_Decode, 198, 8, 182, 1, // Opcode: MLSv8i16_indexed
+/* 27990 */   MCD_OPC_FilterValue, 1, 131, 49, // Skip to: 40669
+/* 27994 */   MCD_OPC_CheckPredicate, 0, 127, 49, // Skip to: 40669
+/* 27998 */   MCD_OPC_CheckField, 29, 3, 3, 121, 49, // Skip to: 40669
+/* 28004 */   MCD_OPC_CheckField, 11, 1, 0, 115, 49, // Skip to: 40669
+/* 28010 */   MCD_OPC_Decode, 230, 12, 183, 1, // Opcode: SRIv2i64_shift
+/* 28015 */   MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 28060
+/* 28019 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28022 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28041
+/* 28026 */   MCD_OPC_CheckPredicate, 0, 95, 49, // Skip to: 40669
+/* 28030 */   MCD_OPC_CheckField, 10, 2, 1, 89, 49, // Skip to: 40669
+/* 28036 */   MCD_OPC_Decode, 177, 10, 185, 1, // Opcode: SHLv2i64_shift
+/* 28041 */   MCD_OPC_FilterValue, 3, 80, 49, // Skip to: 40669
+/* 28045 */   MCD_OPC_CheckPredicate, 0, 76, 49, // Skip to: 40669
+/* 28049 */   MCD_OPC_CheckField, 10, 2, 1, 70, 49, // Skip to: 40669
+/* 28055 */   MCD_OPC_Decode, 197, 10, 186, 1, // Opcode: SLIv2i64_shift
+/* 28060 */   MCD_OPC_FilterValue, 6, 99, 0, // Skip to: 28163
+/* 28064 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28067 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28086
+/* 28071 */   MCD_OPC_CheckPredicate, 0, 50, 49, // Skip to: 40669
+/* 28075 */   MCD_OPC_CheckField, 10, 1, 0, 44, 49, // Skip to: 40669
+/* 28081 */   MCD_OPC_Decode, 251, 10, 184, 1, // Opcode: SMLSLv4i16_indexed
+/* 28086 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 28105
+/* 28090 */   MCD_OPC_CheckPredicate, 0, 31, 49, // Skip to: 40669
+/* 28094 */   MCD_OPC_CheckField, 10, 1, 0, 25, 49, // Skip to: 40669
+/* 28100 */   MCD_OPC_Decode, 242, 16, 184, 1, // Opcode: UMLSLv4i16_indexed
+/* 28105 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28124
+/* 28109 */   MCD_OPC_CheckPredicate, 0, 12, 49, // Skip to: 40669
+/* 28113 */   MCD_OPC_CheckField, 10, 1, 0, 6, 49, // Skip to: 40669
+/* 28119 */   MCD_OPC_Decode, 255, 10, 182, 1, // Opcode: SMLSLv8i16_indexed
+/* 28124 */   MCD_OPC_FilterValue, 3, 253, 48, // Skip to: 40669
+/* 28128 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 28131 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28144
+/* 28135 */   MCD_OPC_CheckPredicate, 0, 242, 48, // Skip to: 40669
+/* 28139 */   MCD_OPC_Decode, 246, 16, 182, 1, // Opcode: UMLSLv8i16_indexed
+/* 28144 */   MCD_OPC_FilterValue, 1, 233, 48, // Skip to: 40669
+/* 28148 */   MCD_OPC_CheckPredicate, 0, 229, 48, // Skip to: 40669
+/* 28152 */   MCD_OPC_CheckField, 11, 1, 0, 223, 48, // Skip to: 40669
+/* 28158 */   MCD_OPC_Decode, 147, 12, 185, 1, // Opcode: SQSHLUv2i64_shift
+/* 28163 */   MCD_OPC_FilterValue, 7, 80, 0, // Skip to: 28247
+/* 28167 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28170 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28189
+/* 28174 */   MCD_OPC_CheckPredicate, 0, 203, 48, // Skip to: 40669
+/* 28178 */   MCD_OPC_CheckField, 10, 1, 0, 197, 48, // Skip to: 40669
+/* 28184 */   MCD_OPC_Decode, 187, 11, 184, 1, // Opcode: SQDMLSLv4i16_indexed
+/* 28189 */   MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 28228
+/* 28193 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 28196 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 28209
+/* 28200 */   MCD_OPC_CheckPredicate, 0, 177, 48, // Skip to: 40669
+/* 28204 */   MCD_OPC_Decode, 191, 11, 182, 1, // Opcode: SQDMLSLv8i16_indexed
+/* 28209 */   MCD_OPC_FilterValue, 1, 168, 48, // Skip to: 40669
+/* 28213 */   MCD_OPC_CheckPredicate, 0, 164, 48, // Skip to: 40669
+/* 28217 */   MCD_OPC_CheckField, 11, 1, 0, 158, 48, // Skip to: 40669
+/* 28223 */   MCD_OPC_Decode, 165, 12, 185, 1, // Opcode: SQSHLv2i64_shift
+/* 28228 */   MCD_OPC_FilterValue, 3, 149, 48, // Skip to: 40669
+/* 28232 */   MCD_OPC_CheckPredicate, 0, 145, 48, // Skip to: 40669
+/* 28236 */   MCD_OPC_CheckField, 10, 2, 1, 139, 48, // Skip to: 40669
+/* 28242 */   MCD_OPC_Decode, 181, 17, 185, 1, // Opcode: UQSHLv2i64_shift
+/* 28247 */   MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 28292
+/* 28251 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28254 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28273
+/* 28258 */   MCD_OPC_CheckPredicate, 0, 119, 48, // Skip to: 40669
+/* 28262 */   MCD_OPC_CheckField, 10, 1, 0, 113, 48, // Skip to: 40669
+/* 28268 */   MCD_OPC_Decode, 233, 8, 187, 1, // Opcode: MULv4i16_indexed
+/* 28273 */   MCD_OPC_FilterValue, 2, 104, 48, // Skip to: 40669
+/* 28277 */   MCD_OPC_CheckPredicate, 0, 100, 48, // Skip to: 40669
+/* 28281 */   MCD_OPC_CheckField, 10, 1, 0, 94, 48, // Skip to: 40669
+/* 28287 */   MCD_OPC_Decode, 237, 8, 188, 1, // Opcode: MULv8i16_indexed
+/* 28292 */   MCD_OPC_FilterValue, 10, 79, 0, // Skip to: 28375
+/* 28296 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28299 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28318
+/* 28303 */   MCD_OPC_CheckPredicate, 0, 74, 48, // Skip to: 40669
+/* 28307 */   MCD_OPC_CheckField, 10, 1, 0, 68, 48, // Skip to: 40669
+/* 28313 */   MCD_OPC_Decode, 140, 11, 189, 1, // Opcode: SMULLv4i16_indexed
+/* 28318 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 28337
+/* 28322 */   MCD_OPC_CheckPredicate, 0, 55, 48, // Skip to: 40669
+/* 28326 */   MCD_OPC_CheckField, 10, 1, 0, 49, 48, // Skip to: 40669
+/* 28332 */   MCD_OPC_Decode, 130, 17, 189, 1, // Opcode: UMULLv4i16_indexed
+/* 28337 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28356
+/* 28341 */   MCD_OPC_CheckPredicate, 0, 36, 48, // Skip to: 40669
+/* 28345 */   MCD_OPC_CheckField, 10, 1, 0, 30, 48, // Skip to: 40669
+/* 28351 */   MCD_OPC_Decode, 144, 11, 188, 1, // Opcode: SMULLv8i16_indexed
+/* 28356 */   MCD_OPC_FilterValue, 3, 21, 48, // Skip to: 40669
+/* 28360 */   MCD_OPC_CheckPredicate, 0, 17, 48, // Skip to: 40669
+/* 28364 */   MCD_OPC_CheckField, 10, 1, 0, 11, 48, // Skip to: 40669
+/* 28370 */   MCD_OPC_Decode, 134, 17, 188, 1, // Opcode: UMULLv8i16_indexed
+/* 28375 */   MCD_OPC_FilterValue, 11, 41, 0, // Skip to: 28420
+/* 28379 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28382 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28401
+/* 28386 */   MCD_OPC_CheckPredicate, 0, 247, 47, // Skip to: 40669
+/* 28390 */   MCD_OPC_CheckField, 10, 1, 0, 241, 47, // Skip to: 40669
+/* 28396 */   MCD_OPC_Decode, 211, 11, 189, 1, // Opcode: SQDMULLv4i16_indexed
+/* 28401 */   MCD_OPC_FilterValue, 2, 232, 47, // Skip to: 40669
+/* 28405 */   MCD_OPC_CheckPredicate, 0, 228, 47, // Skip to: 40669
+/* 28409 */   MCD_OPC_CheckField, 10, 1, 0, 222, 47, // Skip to: 40669
+/* 28415 */   MCD_OPC_Decode, 215, 11, 188, 1, // Opcode: SQDMULLv8i16_indexed
+/* 28420 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 28465
+/* 28424 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28427 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28446
+/* 28431 */   MCD_OPC_CheckPredicate, 0, 202, 47, // Skip to: 40669
+/* 28435 */   MCD_OPC_CheckField, 10, 1, 0, 196, 47, // Skip to: 40669
+/* 28441 */   MCD_OPC_Decode, 200, 11, 187, 1, // Opcode: SQDMULHv4i16_indexed
+/* 28446 */   MCD_OPC_FilterValue, 2, 187, 47, // Skip to: 40669
+/* 28450 */   MCD_OPC_CheckPredicate, 0, 183, 47, // Skip to: 40669
+/* 28454 */   MCD_OPC_CheckField, 10, 1, 0, 177, 47, // Skip to: 40669
+/* 28460 */   MCD_OPC_Decode, 204, 11, 188, 1, // Opcode: SQDMULHv8i16_indexed
+/* 28465 */   MCD_OPC_FilterValue, 13, 41, 0, // Skip to: 28510
+/* 28469 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28472 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28491
+/* 28476 */   MCD_OPC_CheckPredicate, 0, 157, 47, // Skip to: 40669
+/* 28480 */   MCD_OPC_CheckField, 10, 1, 0, 151, 47, // Skip to: 40669
+/* 28486 */   MCD_OPC_Decode, 235, 11, 187, 1, // Opcode: SQRDMULHv4i16_indexed
+/* 28491 */   MCD_OPC_FilterValue, 2, 142, 47, // Skip to: 40669
+/* 28495 */   MCD_OPC_CheckPredicate, 0, 138, 47, // Skip to: 40669
+/* 28499 */   MCD_OPC_CheckField, 10, 1, 0, 132, 47, // Skip to: 40669
+/* 28505 */   MCD_OPC_Decode, 239, 11, 188, 1, // Opcode: SQRDMULHv8i16_indexed
+/* 28510 */   MCD_OPC_FilterValue, 14, 41, 0, // Skip to: 28555
+/* 28514 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28517 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28536
+/* 28521 */   MCD_OPC_CheckPredicate, 0, 112, 47, // Skip to: 40669
+/* 28525 */   MCD_OPC_CheckField, 10, 2, 1, 106, 47, // Skip to: 40669
+/* 28531 */   MCD_OPC_Decode, 145, 10, 181, 1, // Opcode: SCVTFv2i64_shift
+/* 28536 */   MCD_OPC_FilterValue, 3, 97, 47, // Skip to: 40669
+/* 28540 */   MCD_OPC_CheckPredicate, 0, 93, 47, // Skip to: 40669
+/* 28544 */   MCD_OPC_CheckField, 10, 2, 1, 87, 47, // Skip to: 40669
+/* 28550 */   MCD_OPC_Decode, 175, 16, 181, 1, // Opcode: UCVTFv2i64_shift
+/* 28555 */   MCD_OPC_FilterValue, 15, 78, 47, // Skip to: 40669
+/* 28559 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28562 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28581
+/* 28566 */   MCD_OPC_CheckPredicate, 0, 67, 47, // Skip to: 40669
+/* 28570 */   MCD_OPC_CheckField, 10, 2, 3, 61, 47, // Skip to: 40669
+/* 28576 */   MCD_OPC_Decode, 249, 3, 181, 1, // Opcode: FCVTZSv2i64_shift
+/* 28581 */   MCD_OPC_FilterValue, 3, 52, 47, // Skip to: 40669
+/* 28585 */   MCD_OPC_CheckPredicate, 0, 48, 47, // Skip to: 40669
+/* 28589 */   MCD_OPC_CheckField, 10, 2, 3, 42, 47, // Skip to: 40669
+/* 28595 */   MCD_OPC_Decode, 150, 4, 181, 1, // Opcode: FCVTZUv2i64_shift
+/* 28600 */   MCD_OPC_FilterValue, 14, 17, 3, // Skip to: 29389
+/* 28604 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 28607 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 28652
+/* 28611 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28614 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 28633
+/* 28618 */   MCD_OPC_CheckPredicate, 0, 15, 47, // Skip to: 40669
+/* 28622 */   MCD_OPC_CheckField, 10, 1, 0, 9, 47, // Skip to: 40669
+/* 28628 */   MCD_OPC_Decode, 182, 8, 190, 1, // Opcode: MLAv2i32_indexed
+/* 28633 */   MCD_OPC_FilterValue, 3, 0, 47, // Skip to: 40669
+/* 28637 */   MCD_OPC_CheckPredicate, 0, 252, 46, // Skip to: 40669
+/* 28641 */   MCD_OPC_CheckField, 10, 1, 0, 246, 46, // Skip to: 40669
+/* 28647 */   MCD_OPC_Decode, 186, 8, 191, 1, // Opcode: MLAv4i32_indexed
+/* 28652 */   MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 28697
+/* 28656 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28659 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28678
+/* 28663 */   MCD_OPC_CheckPredicate, 0, 226, 46, // Skip to: 40669
+/* 28667 */   MCD_OPC_CheckField, 10, 1, 0, 220, 46, // Skip to: 40669
+/* 28673 */   MCD_OPC_Decode, 208, 4, 190, 1, // Opcode: FMLAv2i32_indexed
+/* 28678 */   MCD_OPC_FilterValue, 2, 211, 46, // Skip to: 40669
+/* 28682 */   MCD_OPC_CheckPredicate, 0, 207, 46, // Skip to: 40669
+/* 28686 */   MCD_OPC_CheckField, 10, 1, 0, 201, 46, // Skip to: 40669
+/* 28692 */   MCD_OPC_Decode, 211, 4, 191, 1, // Opcode: FMLAv4i32_indexed
+/* 28697 */   MCD_OPC_FilterValue, 2, 79, 0, // Skip to: 28780
+/* 28701 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28704 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28723
+/* 28708 */   MCD_OPC_CheckPredicate, 0, 181, 46, // Skip to: 40669
+/* 28712 */   MCD_OPC_CheckField, 10, 1, 0, 175, 46, // Skip to: 40669
+/* 28718 */   MCD_OPC_Decode, 239, 10, 192, 1, // Opcode: SMLALv2i32_indexed
+/* 28723 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 28742
+/* 28727 */   MCD_OPC_CheckPredicate, 0, 162, 46, // Skip to: 40669
+/* 28731 */   MCD_OPC_CheckField, 10, 1, 0, 156, 46, // Skip to: 40669
+/* 28737 */   MCD_OPC_Decode, 230, 16, 192, 1, // Opcode: UMLALv2i32_indexed
+/* 28742 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28761
+/* 28746 */   MCD_OPC_CheckPredicate, 0, 143, 46, // Skip to: 40669
+/* 28750 */   MCD_OPC_CheckField, 10, 1, 0, 137, 46, // Skip to: 40669
+/* 28756 */   MCD_OPC_Decode, 243, 10, 191, 1, // Opcode: SMLALv4i32_indexed
+/* 28761 */   MCD_OPC_FilterValue, 3, 128, 46, // Skip to: 40669
+/* 28765 */   MCD_OPC_CheckPredicate, 0, 124, 46, // Skip to: 40669
+/* 28769 */   MCD_OPC_CheckField, 10, 1, 0, 118, 46, // Skip to: 40669
+/* 28775 */   MCD_OPC_Decode, 234, 16, 191, 1, // Opcode: UMLALv4i32_indexed
+/* 28780 */   MCD_OPC_FilterValue, 3, 41, 0, // Skip to: 28825
+/* 28784 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28787 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28806
+/* 28791 */   MCD_OPC_CheckPredicate, 0, 98, 46, // Skip to: 40669
+/* 28795 */   MCD_OPC_CheckField, 10, 1, 0, 92, 46, // Skip to: 40669
+/* 28801 */   MCD_OPC_Decode, 173, 11, 192, 1, // Opcode: SQDMLALv2i32_indexed
+/* 28806 */   MCD_OPC_FilterValue, 2, 83, 46, // Skip to: 40669
+/* 28810 */   MCD_OPC_CheckPredicate, 0, 79, 46, // Skip to: 40669
+/* 28814 */   MCD_OPC_CheckField, 10, 1, 0, 73, 46, // Skip to: 40669
+/* 28820 */   MCD_OPC_Decode, 177, 11, 191, 1, // Opcode: SQDMLALv4i32_indexed
+/* 28825 */   MCD_OPC_FilterValue, 4, 41, 0, // Skip to: 28870
+/* 28829 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28832 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 28851
+/* 28836 */   MCD_OPC_CheckPredicate, 0, 53, 46, // Skip to: 40669
+/* 28840 */   MCD_OPC_CheckField, 10, 1, 0, 47, 46, // Skip to: 40669
+/* 28846 */   MCD_OPC_Decode, 192, 8, 190, 1, // Opcode: MLSv2i32_indexed
+/* 28851 */   MCD_OPC_FilterValue, 3, 38, 46, // Skip to: 40669
+/* 28855 */   MCD_OPC_CheckPredicate, 0, 34, 46, // Skip to: 40669
+/* 28859 */   MCD_OPC_CheckField, 10, 1, 0, 28, 46, // Skip to: 40669
+/* 28865 */   MCD_OPC_Decode, 196, 8, 191, 1, // Opcode: MLSv4i32_indexed
+/* 28870 */   MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 28915
+/* 28874 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28877 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28896
+/* 28881 */   MCD_OPC_CheckPredicate, 0, 8, 46, // Skip to: 40669
+/* 28885 */   MCD_OPC_CheckField, 10, 1, 0, 2, 46, // Skip to: 40669
+/* 28891 */   MCD_OPC_Decode, 216, 4, 190, 1, // Opcode: FMLSv2i32_indexed
+/* 28896 */   MCD_OPC_FilterValue, 2, 249, 45, // Skip to: 40669
+/* 28900 */   MCD_OPC_CheckPredicate, 0, 245, 45, // Skip to: 40669
+/* 28904 */   MCD_OPC_CheckField, 10, 1, 0, 239, 45, // Skip to: 40669
+/* 28910 */   MCD_OPC_Decode, 219, 4, 191, 1, // Opcode: FMLSv4i32_indexed
+/* 28915 */   MCD_OPC_FilterValue, 6, 79, 0, // Skip to: 28998
+/* 28919 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 28922 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 28941
+/* 28926 */   MCD_OPC_CheckPredicate, 0, 219, 45, // Skip to: 40669
+/* 28930 */   MCD_OPC_CheckField, 10, 1, 0, 213, 45, // Skip to: 40669
+/* 28936 */   MCD_OPC_Decode, 249, 10, 192, 1, // Opcode: SMLSLv2i32_indexed
+/* 28941 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 28960
+/* 28945 */   MCD_OPC_CheckPredicate, 0, 200, 45, // Skip to: 40669
+/* 28949 */   MCD_OPC_CheckField, 10, 1, 0, 194, 45, // Skip to: 40669
+/* 28955 */   MCD_OPC_Decode, 240, 16, 192, 1, // Opcode: UMLSLv2i32_indexed
+/* 28960 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 28979
+/* 28964 */   MCD_OPC_CheckPredicate, 0, 181, 45, // Skip to: 40669
+/* 28968 */   MCD_OPC_CheckField, 10, 1, 0, 175, 45, // Skip to: 40669
+/* 28974 */   MCD_OPC_Decode, 253, 10, 191, 1, // Opcode: SMLSLv4i32_indexed
+/* 28979 */   MCD_OPC_FilterValue, 3, 166, 45, // Skip to: 40669
+/* 28983 */   MCD_OPC_CheckPredicate, 0, 162, 45, // Skip to: 40669
+/* 28987 */   MCD_OPC_CheckField, 10, 1, 0, 156, 45, // Skip to: 40669
+/* 28993 */   MCD_OPC_Decode, 244, 16, 191, 1, // Opcode: UMLSLv4i32_indexed
+/* 28998 */   MCD_OPC_FilterValue, 7, 41, 0, // Skip to: 29043
+/* 29002 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29005 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29024
+/* 29009 */   MCD_OPC_CheckPredicate, 0, 136, 45, // Skip to: 40669
+/* 29013 */   MCD_OPC_CheckField, 10, 1, 0, 130, 45, // Skip to: 40669
+/* 29019 */   MCD_OPC_Decode, 185, 11, 192, 1, // Opcode: SQDMLSLv2i32_indexed
+/* 29024 */   MCD_OPC_FilterValue, 2, 121, 45, // Skip to: 40669
+/* 29028 */   MCD_OPC_CheckPredicate, 0, 117, 45, // Skip to: 40669
+/* 29032 */   MCD_OPC_CheckField, 10, 1, 0, 111, 45, // Skip to: 40669
+/* 29038 */   MCD_OPC_Decode, 189, 11, 191, 1, // Opcode: SQDMLSLv4i32_indexed
+/* 29043 */   MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 29088
+/* 29047 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29050 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29069
+/* 29054 */   MCD_OPC_CheckPredicate, 0, 91, 45, // Skip to: 40669
+/* 29058 */   MCD_OPC_CheckField, 10, 1, 0, 85, 45, // Skip to: 40669
+/* 29064 */   MCD_OPC_Decode, 231, 8, 193, 1, // Opcode: MULv2i32_indexed
+/* 29069 */   MCD_OPC_FilterValue, 2, 76, 45, // Skip to: 40669
+/* 29073 */   MCD_OPC_CheckPredicate, 0, 72, 45, // Skip to: 40669
+/* 29077 */   MCD_OPC_CheckField, 10, 1, 0, 66, 45, // Skip to: 40669
+/* 29083 */   MCD_OPC_Decode, 235, 8, 194, 1, // Opcode: MULv4i32_indexed
+/* 29088 */   MCD_OPC_FilterValue, 9, 79, 0, // Skip to: 29171
+/* 29092 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29095 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29114
+/* 29099 */   MCD_OPC_CheckPredicate, 0, 46, 45, // Skip to: 40669
+/* 29103 */   MCD_OPC_CheckField, 10, 1, 0, 40, 45, // Skip to: 40669
+/* 29109 */   MCD_OPC_Decode, 251, 4, 193, 1, // Opcode: FMULv2i32_indexed
+/* 29114 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 29133
+/* 29118 */   MCD_OPC_CheckPredicate, 0, 27, 45, // Skip to: 40669
+/* 29122 */   MCD_OPC_CheckField, 10, 1, 0, 21, 45, // Skip to: 40669
+/* 29128 */   MCD_OPC_Decode, 243, 4, 193, 1, // Opcode: FMULXv2i32_indexed
+/* 29133 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 29152
+/* 29137 */   MCD_OPC_CheckPredicate, 0, 8, 45, // Skip to: 40669
+/* 29141 */   MCD_OPC_CheckField, 10, 1, 0, 2, 45, // Skip to: 40669
+/* 29147 */   MCD_OPC_Decode, 254, 4, 194, 1, // Opcode: FMULv4i32_indexed
+/* 29152 */   MCD_OPC_FilterValue, 3, 249, 44, // Skip to: 40669
+/* 29156 */   MCD_OPC_CheckPredicate, 0, 245, 44, // Skip to: 40669
+/* 29160 */   MCD_OPC_CheckField, 10, 1, 0, 239, 44, // Skip to: 40669
+/* 29166 */   MCD_OPC_Decode, 246, 4, 194, 1, // Opcode: FMULXv4i32_indexed
+/* 29171 */   MCD_OPC_FilterValue, 10, 79, 0, // Skip to: 29254
+/* 29175 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29178 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29197
+/* 29182 */   MCD_OPC_CheckPredicate, 0, 219, 44, // Skip to: 40669
+/* 29186 */   MCD_OPC_CheckField, 10, 1, 0, 213, 44, // Skip to: 40669
+/* 29192 */   MCD_OPC_Decode, 138, 11, 195, 1, // Opcode: SMULLv2i32_indexed
+/* 29197 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 29216
+/* 29201 */   MCD_OPC_CheckPredicate, 0, 200, 44, // Skip to: 40669
+/* 29205 */   MCD_OPC_CheckField, 10, 1, 0, 194, 44, // Skip to: 40669
+/* 29211 */   MCD_OPC_Decode, 128, 17, 195, 1, // Opcode: UMULLv2i32_indexed
+/* 29216 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 29235
+/* 29220 */   MCD_OPC_CheckPredicate, 0, 181, 44, // Skip to: 40669
+/* 29224 */   MCD_OPC_CheckField, 10, 1, 0, 175, 44, // Skip to: 40669
+/* 29230 */   MCD_OPC_Decode, 142, 11, 194, 1, // Opcode: SMULLv4i32_indexed
+/* 29235 */   MCD_OPC_FilterValue, 3, 166, 44, // Skip to: 40669
+/* 29239 */   MCD_OPC_CheckPredicate, 0, 162, 44, // Skip to: 40669
+/* 29243 */   MCD_OPC_CheckField, 10, 1, 0, 156, 44, // Skip to: 40669
+/* 29249 */   MCD_OPC_Decode, 132, 17, 194, 1, // Opcode: UMULLv4i32_indexed
+/* 29254 */   MCD_OPC_FilterValue, 11, 41, 0, // Skip to: 29299
+/* 29258 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29261 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29280
+/* 29265 */   MCD_OPC_CheckPredicate, 0, 136, 44, // Skip to: 40669
+/* 29269 */   MCD_OPC_CheckField, 10, 1, 0, 130, 44, // Skip to: 40669
+/* 29275 */   MCD_OPC_Decode, 209, 11, 195, 1, // Opcode: SQDMULLv2i32_indexed
+/* 29280 */   MCD_OPC_FilterValue, 2, 121, 44, // Skip to: 40669
+/* 29284 */   MCD_OPC_CheckPredicate, 0, 117, 44, // Skip to: 40669
+/* 29288 */   MCD_OPC_CheckField, 10, 1, 0, 111, 44, // Skip to: 40669
+/* 29294 */   MCD_OPC_Decode, 213, 11, 194, 1, // Opcode: SQDMULLv4i32_indexed
+/* 29299 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 29344
+/* 29303 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29306 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29325
+/* 29310 */   MCD_OPC_CheckPredicate, 0, 91, 44, // Skip to: 40669
+/* 29314 */   MCD_OPC_CheckField, 10, 1, 0, 85, 44, // Skip to: 40669
+/* 29320 */   MCD_OPC_Decode, 198, 11, 193, 1, // Opcode: SQDMULHv2i32_indexed
+/* 29325 */   MCD_OPC_FilterValue, 2, 76, 44, // Skip to: 40669
+/* 29329 */   MCD_OPC_CheckPredicate, 0, 72, 44, // Skip to: 40669
+/* 29333 */   MCD_OPC_CheckField, 10, 1, 0, 66, 44, // Skip to: 40669
+/* 29339 */   MCD_OPC_Decode, 202, 11, 194, 1, // Opcode: SQDMULHv4i32_indexed
+/* 29344 */   MCD_OPC_FilterValue, 13, 57, 44, // Skip to: 40669
+/* 29348 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29351 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 29370
+/* 29355 */   MCD_OPC_CheckPredicate, 0, 46, 44, // Skip to: 40669
+/* 29359 */   MCD_OPC_CheckField, 10, 1, 0, 40, 44, // Skip to: 40669
+/* 29365 */   MCD_OPC_Decode, 233, 11, 193, 1, // Opcode: SQRDMULHv2i32_indexed
+/* 29370 */   MCD_OPC_FilterValue, 2, 31, 44, // Skip to: 40669
+/* 29374 */   MCD_OPC_CheckPredicate, 0, 27, 44, // Skip to: 40669
+/* 29378 */   MCD_OPC_CheckField, 10, 1, 0, 21, 44, // Skip to: 40669
+/* 29384 */   MCD_OPC_Decode, 237, 11, 194, 1, // Opcode: SQRDMULHv4i32_indexed
+/* 29389 */   MCD_OPC_FilterValue, 15, 12, 44, // Skip to: 40669
+/* 29393 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 29396 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 29427
+/* 29400 */   MCD_OPC_CheckPredicate, 0, 1, 44, // Skip to: 40669
+/* 29404 */   MCD_OPC_CheckField, 29, 3, 2, 251, 43, // Skip to: 40669
+/* 29410 */   MCD_OPC_CheckField, 21, 1, 0, 245, 43, // Skip to: 40669
+/* 29416 */   MCD_OPC_CheckField, 10, 1, 0, 239, 43, // Skip to: 40669
+/* 29422 */   MCD_OPC_Decode, 209, 4, 196, 1, // Opcode: FMLAv2i64_indexed
+/* 29427 */   MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 29458
+/* 29431 */   MCD_OPC_CheckPredicate, 0, 226, 43, // Skip to: 40669
+/* 29435 */   MCD_OPC_CheckField, 29, 3, 2, 220, 43, // Skip to: 40669
+/* 29441 */   MCD_OPC_CheckField, 21, 1, 0, 214, 43, // Skip to: 40669
+/* 29447 */   MCD_OPC_CheckField, 10, 1, 0, 208, 43, // Skip to: 40669
+/* 29453 */   MCD_OPC_Decode, 217, 4, 196, 1, // Opcode: FMLSv2i64_indexed
+/* 29458 */   MCD_OPC_FilterValue, 9, 199, 43, // Skip to: 40669
+/* 29462 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29465 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 29490
+/* 29469 */   MCD_OPC_CheckPredicate, 0, 188, 43, // Skip to: 40669
+/* 29473 */   MCD_OPC_CheckField, 21, 1, 0, 182, 43, // Skip to: 40669
+/* 29479 */   MCD_OPC_CheckField, 10, 1, 0, 176, 43, // Skip to: 40669
+/* 29485 */   MCD_OPC_Decode, 252, 4, 197, 1, // Opcode: FMULv2i64_indexed
+/* 29490 */   MCD_OPC_FilterValue, 3, 167, 43, // Skip to: 40669
+/* 29494 */   MCD_OPC_CheckPredicate, 0, 163, 43, // Skip to: 40669
+/* 29498 */   MCD_OPC_CheckField, 21, 1, 0, 157, 43, // Skip to: 40669
+/* 29504 */   MCD_OPC_CheckField, 10, 1, 0, 151, 43, // Skip to: 40669
+/* 29510 */   MCD_OPC_Decode, 244, 4, 197, 1, // Opcode: FMULXv2i64_indexed
+/* 29515 */   MCD_OPC_FilterValue, 4, 191, 1, // Skip to: 29966
+/* 29519 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 29522 */   MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 29545
+/* 29526 */   MCD_OPC_ExtractField, 31, 1,  // Inst{31} ...
+/* 29529 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 29537
+/* 29533 */   MCD_OPC_Decode, 79, 198, 1, // Opcode: ADR
+/* 29537 */   MCD_OPC_FilterValue, 1, 120, 43, // Skip to: 40669
+/* 29541 */   MCD_OPC_Decode, 80, 198, 1, // Opcode: ADRP
+/* 29545 */   MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 29620
+/* 29549 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29552 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 29560
+/* 29556 */   MCD_OPC_Decode, 60, 199, 1, // Opcode: ADDWri
+/* 29560 */   MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 29568
+/* 29564 */   MCD_OPC_Decode, 46, 199, 1, // Opcode: ADDSWri
+/* 29568 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 29577
+/* 29572 */   MCD_OPC_Decode, 164, 15, 199, 1, // Opcode: SUBWri
+/* 29577 */   MCD_OPC_FilterValue, 3, 5, 0, // Skip to: 29586
+/* 29581 */   MCD_OPC_Decode, 155, 15, 199, 1, // Opcode: SUBSWri
+/* 29586 */   MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 29594
+/* 29590 */   MCD_OPC_Decode, 64, 199, 1, // Opcode: ADDXri
+/* 29594 */   MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 29602
+/* 29598 */   MCD_OPC_Decode, 50, 199, 1, // Opcode: ADDSXri
+/* 29602 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 29611
+/* 29606 */   MCD_OPC_Decode, 168, 15, 199, 1, // Opcode: SUBXri
+/* 29611 */   MCD_OPC_FilterValue, 7, 46, 43, // Skip to: 40669
+/* 29615 */   MCD_OPC_Decode, 159, 15, 199, 1, // Opcode: SUBSXri
+/* 29620 */   MCD_OPC_FilterValue, 2, 197, 0, // Skip to: 29821
+/* 29624 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29627 */   MCD_OPC_FilterValue, 0, 26, 0, // Skip to: 29657
+/* 29631 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 29634 */   MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 29648
+/* 29638 */   MCD_OPC_CheckField, 22, 1, 0, 17, 43, // Skip to: 40669
+/* 29644 */   MCD_OPC_Decode, 91, 200, 1, // Opcode: ANDWri
+/* 29648 */   MCD_OPC_FilterValue, 1, 9, 43, // Skip to: 40669
+/* 29652 */   MCD_OPC_Decode, 212, 8, 201, 1, // Opcode: MOVNWi
+/* 29657 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 29672
+/* 29661 */   MCD_OPC_CheckField, 22, 2, 0, 250, 42, // Skip to: 40669
+/* 29667 */   MCD_OPC_Decode, 133, 9, 200, 1, // Opcode: ORRWri
+/* 29672 */   MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 29703
+/* 29676 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 29679 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 29694
+/* 29683 */   MCD_OPC_CheckField, 22, 1, 0, 228, 42, // Skip to: 40669
+/* 29689 */   MCD_OPC_Decode, 164, 2, 200, 1, // Opcode: EORWri
+/* 29694 */   MCD_OPC_FilterValue, 1, 219, 42, // Skip to: 40669
+/* 29698 */   MCD_OPC_Decode, 214, 8, 201, 1, // Opcode: MOVZWi
+/* 29703 */   MCD_OPC_FilterValue, 3, 26, 0, // Skip to: 29733
+/* 29707 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 29710 */   MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 29724
+/* 29714 */   MCD_OPC_CheckField, 22, 1, 0, 197, 42, // Skip to: 40669
+/* 29720 */   MCD_OPC_Decode, 85, 200, 1, // Opcode: ANDSWri
+/* 29724 */   MCD_OPC_FilterValue, 1, 189, 42, // Skip to: 40669
+/* 29728 */   MCD_OPC_Decode, 210, 8, 201, 1, // Opcode: MOVKWi
+/* 29733 */   MCD_OPC_FilterValue, 4, 20, 0, // Skip to: 29757
+/* 29737 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 29740 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 29748
+/* 29744 */   MCD_OPC_Decode, 94, 200, 1, // Opcode: ANDXri
+/* 29748 */   MCD_OPC_FilterValue, 1, 165, 42, // Skip to: 40669
+/* 29752 */   MCD_OPC_Decode, 213, 8, 201, 1, // Opcode: MOVNXi
+/* 29757 */   MCD_OPC_FilterValue, 5, 11, 0, // Skip to: 29772
+/* 29761 */   MCD_OPC_CheckField, 23, 1, 0, 150, 42, // Skip to: 40669
+/* 29767 */   MCD_OPC_Decode, 136, 9, 200, 1, // Opcode: ORRXri
+/* 29772 */   MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 29797
+/* 29776 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 29779 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 29788
+/* 29783 */   MCD_OPC_Decode, 167, 2, 200, 1, // Opcode: EORXri
+/* 29788 */   MCD_OPC_FilterValue, 1, 125, 42, // Skip to: 40669
+/* 29792 */   MCD_OPC_Decode, 215, 8, 201, 1, // Opcode: MOVZXi
+/* 29797 */   MCD_OPC_FilterValue, 7, 116, 42, // Skip to: 40669
+/* 29801 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 29804 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 29812
+/* 29808 */   MCD_OPC_Decode, 88, 200, 1, // Opcode: ANDSXri
+/* 29812 */   MCD_OPC_FilterValue, 1, 101, 42, // Skip to: 40669
+/* 29816 */   MCD_OPC_Decode, 211, 8, 201, 1, // Opcode: MOVKXi
+/* 29821 */   MCD_OPC_FilterValue, 3, 92, 42, // Skip to: 40669
+/* 29825 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29828 */   MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 29865
+/* 29832 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 29835 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 29850
+/* 29839 */   MCD_OPC_CheckField, 15, 1, 0, 72, 42, // Skip to: 40669
+/* 29845 */   MCD_OPC_Decode, 128, 10, 202, 1, // Opcode: SBFMWri
+/* 29850 */   MCD_OPC_FilterValue, 4, 63, 42, // Skip to: 40669
+/* 29854 */   MCD_OPC_CheckField, 15, 1, 0, 57, 42, // Skip to: 40669
+/* 29860 */   MCD_OPC_Decode, 173, 2, 203, 1, // Opcode: EXTRWrri
+/* 29865 */   MCD_OPC_FilterValue, 1, 16, 0, // Skip to: 29885
+/* 29869 */   MCD_OPC_CheckField, 21, 3, 0, 42, 42, // Skip to: 40669
+/* 29875 */   MCD_OPC_CheckField, 15, 1, 0, 36, 42, // Skip to: 40669
+/* 29881 */   MCD_OPC_Decode, 102, 204, 1, // Opcode: BFMWri
+/* 29885 */   MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 29906
+/* 29889 */   MCD_OPC_CheckField, 21, 3, 0, 22, 42, // Skip to: 40669
+/* 29895 */   MCD_OPC_CheckField, 15, 1, 0, 16, 42, // Skip to: 40669
+/* 29901 */   MCD_OPC_Decode, 158, 16, 202, 1, // Opcode: UBFMWri
+/* 29906 */   MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 29937
+/* 29910 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 29913 */   MCD_OPC_FilterValue, 1, 5, 0, // Skip to: 29922
+/* 29917 */   MCD_OPC_Decode, 129, 10, 205, 1, // Opcode: SBFMXri
+/* 29922 */   MCD_OPC_FilterValue, 3, 247, 41, // Skip to: 40669
+/* 29926 */   MCD_OPC_CheckField, 21, 1, 0, 241, 41, // Skip to: 40669
+/* 29932 */   MCD_OPC_Decode, 174, 2, 206, 1, // Opcode: EXTRXrri
+/* 29937 */   MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 29951
+/* 29941 */   MCD_OPC_CheckField, 22, 2, 1, 226, 41, // Skip to: 40669
+/* 29947 */   MCD_OPC_Decode, 103, 207, 1, // Opcode: BFMXri
+/* 29951 */   MCD_OPC_FilterValue, 6, 218, 41, // Skip to: 40669
+/* 29955 */   MCD_OPC_CheckField, 22, 2, 1, 212, 41, // Skip to: 40669
+/* 29961 */   MCD_OPC_Decode, 159, 16, 205, 1, // Opcode: UBFMXri
+/* 29966 */   MCD_OPC_FilterValue, 5, 248, 1, // Skip to: 30474
+/* 29970 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 29973 */   MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 29981
+/* 29977 */   MCD_OPC_Decode, 101, 208, 1, // Opcode: B
+/* 29981 */   MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 30024
+/* 29985 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 29988 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 29997
+/* 29992 */   MCD_OPC_Decode, 131, 1, 209, 1, // Opcode: CBZW
+/* 29997 */   MCD_OPC_FilterValue, 1, 5, 0, // Skip to: 30006
+/* 30001 */   MCD_OPC_Decode, 129, 1, 209, 1, // Opcode: CBNZW
+/* 30006 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30015
+/* 30010 */   MCD_OPC_Decode, 213, 15, 210, 1, // Opcode: TBZW
+/* 30015 */   MCD_OPC_FilterValue, 3, 154, 41, // Skip to: 40669
+/* 30019 */   MCD_OPC_Decode, 203, 15, 210, 1, // Opcode: TBNZW
+/* 30024 */   MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 30045
+/* 30028 */   MCD_OPC_CheckField, 24, 2, 0, 139, 41, // Skip to: 40669
+/* 30034 */   MCD_OPC_CheckField, 4, 1, 0, 133, 41, // Skip to: 40669
+/* 30040 */   MCD_OPC_Decode, 128, 1, 211, 1, // Opcode: Bcc
+/* 30045 */   MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 30053
+/* 30049 */   MCD_OPC_Decode, 122, 208, 1, // Opcode: BL
+/* 30053 */   MCD_OPC_FilterValue, 5, 39, 0, // Skip to: 30096
+/* 30057 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 30060 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 30069
+/* 30064 */   MCD_OPC_Decode, 132, 1, 212, 1, // Opcode: CBZX
+/* 30069 */   MCD_OPC_FilterValue, 1, 5, 0, // Skip to: 30078
+/* 30073 */   MCD_OPC_Decode, 130, 1, 212, 1, // Opcode: CBNZX
+/* 30078 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30087
+/* 30082 */   MCD_OPC_Decode, 214, 15, 210, 1, // Opcode: TBZX
+/* 30087 */   MCD_OPC_FilterValue, 3, 82, 41, // Skip to: 40669
+/* 30091 */   MCD_OPC_Decode, 204, 15, 210, 1, // Opcode: TBNZX
+/* 30096 */   MCD_OPC_FilterValue, 6, 73, 41, // Skip to: 40669
+/* 30100 */   MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 30103 */   MCD_OPC_FilterValue, 0, 30, 0, // Skip to: 30137
+/* 30107 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
+/* 30110 */   MCD_OPC_FilterValue, 1, 5, 0, // Skip to: 30119
+/* 30114 */   MCD_OPC_Decode, 192, 15, 213, 1, // Opcode: SVC
+/* 30119 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30128
+/* 30123 */   MCD_OPC_Decode, 207, 5, 213, 1, // Opcode: HVC
+/* 30128 */   MCD_OPC_FilterValue, 3, 41, 41, // Skip to: 40669
+/* 30132 */   MCD_OPC_Decode, 220, 10, 213, 1, // Opcode: SMC
+/* 30137 */   MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 30151
+/* 30141 */   MCD_OPC_CheckField, 0, 5, 0, 26, 41, // Skip to: 40669
+/* 30147 */   MCD_OPC_Decode, 125, 213, 1, // Opcode: BRK
+/* 30151 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 30166
+/* 30155 */   MCD_OPC_CheckField, 0, 5, 0, 12, 41, // Skip to: 40669
+/* 30161 */   MCD_OPC_Decode, 206, 5, 213, 1, // Opcode: HLT
+/* 30166 */   MCD_OPC_FilterValue, 5, 30, 0, // Skip to: 30200
+/* 30170 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
+/* 30173 */   MCD_OPC_FilterValue, 1, 5, 0, // Skip to: 30182
+/* 30177 */   MCD_OPC_Decode, 140, 2, 213, 1, // Opcode: DCPS1
+/* 30182 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30191
+/* 30186 */   MCD_OPC_Decode, 141, 2, 213, 1, // Opcode: DCPS2
+/* 30191 */   MCD_OPC_FilterValue, 3, 234, 40, // Skip to: 40669
+/* 30195 */   MCD_OPC_Decode, 142, 2, 213, 1, // Opcode: DCPS3
+/* 30200 */   MCD_OPC_FilterValue, 8, 141, 0, // Skip to: 30345
+/* 30204 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 30207 */   MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 30336
+/* 30211 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 30214 */   MCD_OPC_FilterValue, 0, 109, 0, // Skip to: 30327
+/* 30218 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 30221 */   MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 30242
+/* 30225 */   MCD_OPC_CheckField, 16, 3, 3, 198, 40, // Skip to: 40669
+/* 30231 */   MCD_OPC_CheckField, 0, 5, 31, 192, 40, // Skip to: 40669
+/* 30237 */   MCD_OPC_Decode, 205, 5, 214, 1, // Opcode: HINT
+/* 30242 */   MCD_OPC_FilterValue, 3, 66, 0, // Skip to: 30312
+/* 30246 */   MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
+/* 30249 */   MCD_OPC_FilterValue, 95, 11, 0, // Skip to: 30264
+/* 30253 */   MCD_OPC_CheckField, 16, 3, 3, 170, 40, // Skip to: 40669
+/* 30259 */   MCD_OPC_Decode, 141, 1, 215, 1, // Opcode: CLREX
+/* 30264 */   MCD_OPC_FilterValue, 159, 1, 11, 0, // Skip to: 30280
+/* 30269 */   MCD_OPC_CheckField, 16, 3, 3, 154, 40, // Skip to: 40669
+/* 30275 */   MCD_OPC_Decode, 145, 2, 215, 1, // Opcode: DSB
+/* 30280 */   MCD_OPC_FilterValue, 191, 1, 11, 0, // Skip to: 30296
+/* 30285 */   MCD_OPC_CheckField, 16, 3, 3, 138, 40, // Skip to: 40669
+/* 30291 */   MCD_OPC_Decode, 143, 2, 215, 1, // Opcode: DMB
+/* 30296 */   MCD_OPC_FilterValue, 223, 1, 128, 40, // Skip to: 40669
+/* 30301 */   MCD_OPC_CheckField, 16, 3, 3, 122, 40, // Skip to: 40669
+/* 30307 */   MCD_OPC_Decode, 216, 5, 215, 1, // Opcode: ISB
+/* 30312 */   MCD_OPC_FilterValue, 4, 113, 40, // Skip to: 40669
+/* 30316 */   MCD_OPC_CheckField, 0, 5, 31, 107, 40, // Skip to: 40669
+/* 30322 */   MCD_OPC_Decode, 226, 8, 216, 1, // Opcode: MSRpstate
+/* 30327 */   MCD_OPC_FilterValue, 1, 98, 40, // Skip to: 40669
+/* 30331 */   MCD_OPC_Decode, 194, 15, 217, 1, // Opcode: SYSxt
+/* 30336 */   MCD_OPC_FilterValue, 1, 89, 40, // Skip to: 40669
+/* 30340 */   MCD_OPC_Decode, 225, 8, 218, 1, // Opcode: MSR
+/* 30345 */   MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 30376
+/* 30349 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 30352 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30367
+/* 30356 */   MCD_OPC_CheckField, 19, 1, 1, 67, 40, // Skip to: 40669
+/* 30362 */   MCD_OPC_Decode, 193, 15, 219, 1, // Opcode: SYSLxt
+/* 30367 */   MCD_OPC_FilterValue, 1, 58, 40, // Skip to: 40669
+/* 30371 */   MCD_OPC_Decode, 224, 8, 220, 1, // Opcode: MRS
+/* 30376 */   MCD_OPC_FilterValue, 16, 17, 0, // Skip to: 30397
+/* 30380 */   MCD_OPC_CheckField, 10, 11, 192, 15, 42, 40, // Skip to: 40669
+/* 30387 */   MCD_OPC_CheckField, 0, 5, 0, 36, 40, // Skip to: 40669
+/* 30393 */   MCD_OPC_Decode, 124, 221, 1, // Opcode: BR
+/* 30397 */   MCD_OPC_FilterValue, 17, 17, 0, // Skip to: 30418
+/* 30401 */   MCD_OPC_CheckField, 10, 11, 192, 15, 21, 40, // Skip to: 40669
+/* 30408 */   MCD_OPC_CheckField, 0, 5, 0, 15, 40, // Skip to: 40669
+/* 30414 */   MCD_OPC_Decode, 123, 221, 1, // Opcode: BLR
+/* 30418 */   MCD_OPC_FilterValue, 18, 18, 0, // Skip to: 30440
+/* 30422 */   MCD_OPC_CheckField, 10, 11, 192, 15, 0, 40, // Skip to: 40669
+/* 30429 */   MCD_OPC_CheckField, 0, 5, 0, 250, 39, // Skip to: 40669
+/* 30435 */   MCD_OPC_Decode, 166, 9, 221, 1, // Opcode: RET
+/* 30440 */   MCD_OPC_FilterValue, 20, 13, 0, // Skip to: 30457
+/* 30444 */   MCD_OPC_CheckField, 0, 21, 224, 135, 124, 233, 39, // Skip to: 40669
+/* 30452 */   MCD_OPC_Decode, 172, 2, 222, 1, // Opcode: ERET
+/* 30457 */   MCD_OPC_FilterValue, 21, 224, 39, // Skip to: 40669
+/* 30461 */   MCD_OPC_CheckField, 0, 21, 224, 135, 124, 216, 39, // Skip to: 40669
+/* 30469 */   MCD_OPC_Decode, 144, 2, 222, 1, // Opcode: DRPS
+/* 30474 */   MCD_OPC_FilterValue, 6, 54, 10, // Skip to: 33092
+/* 30478 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 30481 */   MCD_OPC_FilterValue, 0, 41, 1, // Skip to: 30782
+/* 30485 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 30488 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 30497
+/* 30492 */   MCD_OPC_Decode, 132, 8, 209, 1, // Opcode: LDRWl
+/* 30497 */   MCD_OPC_FilterValue, 2, 244, 0, // Skip to: 30745
+/* 30501 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 30504 */   MCD_OPC_FilterValue, 0, 68, 0, // Skip to: 30576
+/* 30508 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 30511 */   MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 30525
+/* 30515 */   MCD_OPC_CheckField, 12, 4, 0, 164, 39, // Skip to: 40669
+/* 30521 */   MCD_OPC_Decode, 30, 223, 1, // Opcode: ADCWr
+/* 30525 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 30534
+/* 30529 */   MCD_OPC_Decode, 132, 2, 224, 1, // Opcode: CSELWr
+/* 30534 */   MCD_OPC_FilterValue, 6, 147, 39, // Skip to: 40669
+/* 30538 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 30541 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30550
+/* 30545 */   MCD_OPC_Decode, 174, 8, 223, 1, // Opcode: LSLVWr
+/* 30550 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 30563
+/* 30554 */   MCD_OPC_CheckPredicate, 2, 127, 39, // Skip to: 40669
+/* 30558 */   MCD_OPC_Decode, 252, 1, 223, 1, // Opcode: CRC32Brr
+/* 30563 */   MCD_OPC_FilterValue, 5, 118, 39, // Skip to: 40669
+/* 30567 */   MCD_OPC_CheckPredicate, 2, 114, 39, // Skip to: 40669
+/* 30571 */   MCD_OPC_Decode, 253, 1, 223, 1, // Opcode: CRC32CBrr
+/* 30576 */   MCD_OPC_FilterValue, 1, 54, 0, // Skip to: 30634
+/* 30580 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 30583 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 30592
+/* 30587 */   MCD_OPC_Decode, 134, 2, 224, 1, // Opcode: CSINCWr
+/* 30592 */   MCD_OPC_FilterValue, 6, 89, 39, // Skip to: 40669
+/* 30596 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 30599 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30608
+/* 30603 */   MCD_OPC_Decode, 176, 8, 223, 1, // Opcode: LSRVWr
+/* 30608 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 30621
+/* 30612 */   MCD_OPC_CheckPredicate, 2, 69, 39, // Skip to: 40669
+/* 30616 */   MCD_OPC_Decode, 129, 2, 223, 1, // Opcode: CRC32Hrr
+/* 30621 */   MCD_OPC_FilterValue, 5, 60, 39, // Skip to: 40669
+/* 30625 */   MCD_OPC_CheckPredicate, 2, 56, 39, // Skip to: 40669
+/* 30629 */   MCD_OPC_Decode, 254, 1, 223, 1, // Opcode: CRC32CHrr
+/* 30634 */   MCD_OPC_FilterValue, 2, 70, 0, // Skip to: 30708
+/* 30638 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 30641 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30656
+/* 30645 */   MCD_OPC_CheckField, 21, 3, 6, 34, 39, // Skip to: 40669
+/* 30651 */   MCD_OPC_Decode, 178, 16, 223, 1, // Opcode: UDIVWr
+/* 30656 */   MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 30670
+/* 30660 */   MCD_OPC_CheckField, 21, 3, 6, 19, 39, // Skip to: 40669
+/* 30666 */   MCD_OPC_Decode, 99, 223, 1, // Opcode: ASRVWr
+/* 30670 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 30689
+/* 30674 */   MCD_OPC_CheckPredicate, 2, 7, 39, // Skip to: 40669
+/* 30678 */   MCD_OPC_CheckField, 21, 3, 6, 1, 39, // Skip to: 40669
+/* 30684 */   MCD_OPC_Decode, 130, 2, 223, 1, // Opcode: CRC32Wrr
+/* 30689 */   MCD_OPC_FilterValue, 5, 248, 38, // Skip to: 40669
+/* 30693 */   MCD_OPC_CheckPredicate, 2, 244, 38, // Skip to: 40669
+/* 30697 */   MCD_OPC_CheckField, 21, 3, 6, 238, 38, // Skip to: 40669
+/* 30703 */   MCD_OPC_Decode, 255, 1, 223, 1, // Opcode: CRC32CWrr
+/* 30708 */   MCD_OPC_FilterValue, 3, 229, 38, // Skip to: 40669
+/* 30712 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 30715 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30730
+/* 30719 */   MCD_OPC_CheckField, 21, 3, 6, 216, 38, // Skip to: 40669
+/* 30725 */   MCD_OPC_Decode, 148, 10, 223, 1, // Opcode: SDIVWr
+/* 30730 */   MCD_OPC_FilterValue, 2, 207, 38, // Skip to: 40669
+/* 30734 */   MCD_OPC_CheckField, 21, 3, 6, 201, 38, // Skip to: 40669
+/* 30740 */   MCD_OPC_Decode, 185, 9, 223, 1, // Opcode: RORVWr
+/* 30745 */   MCD_OPC_FilterValue, 3, 192, 38, // Skip to: 40669
+/* 30749 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 30752 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30767
+/* 30756 */   MCD_OPC_CheckField, 21, 3, 0, 179, 38, // Skip to: 40669
+/* 30762 */   MCD_OPC_Decode, 178, 8, 225, 1, // Opcode: MADDWrrr
+/* 30767 */   MCD_OPC_FilterValue, 1, 170, 38, // Skip to: 40669
+/* 30771 */   MCD_OPC_CheckField, 21, 3, 0, 164, 38, // Skip to: 40669
+/* 30777 */   MCD_OPC_Decode, 227, 8, 225, 1, // Opcode: MSUBWrrr
+/* 30782 */   MCD_OPC_FilterValue, 1, 224, 1, // Skip to: 31266
+/* 30786 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 30789 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 30882
+/* 30793 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 30796 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30811
+/* 30800 */   MCD_OPC_CheckField, 21, 1, 0, 135, 38, // Skip to: 40669
+/* 30806 */   MCD_OPC_Decode, 134, 15, 226, 1, // Opcode: STURBBi
+/* 30811 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 30826
+/* 30815 */   MCD_OPC_CheckField, 21, 1, 0, 120, 38, // Skip to: 40669
+/* 30821 */   MCD_OPC_Decode, 213, 14, 226, 1, // Opcode: STRBBpost
+/* 30826 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 30867
+/* 30830 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 30833 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 30842
+/* 30837 */   MCD_OPC_Decode, 130, 15, 226, 1, // Opcode: STTRBi
+/* 30842 */   MCD_OPC_FilterValue, 1, 95, 38, // Skip to: 40669
+/* 30846 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 30849 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30858
+/* 30853 */   MCD_OPC_Decode, 215, 14, 227, 1, // Opcode: STRBBroW
+/* 30858 */   MCD_OPC_FilterValue, 3, 79, 38, // Skip to: 40669
+/* 30862 */   MCD_OPC_Decode, 216, 14, 228, 1, // Opcode: STRBBroX
+/* 30867 */   MCD_OPC_FilterValue, 3, 70, 38, // Skip to: 40669
+/* 30871 */   MCD_OPC_CheckField, 21, 1, 0, 64, 38, // Skip to: 40669
+/* 30877 */   MCD_OPC_Decode, 214, 14, 226, 1, // Opcode: STRBBpre
+/* 30882 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 30975
+/* 30886 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 30889 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30904
+/* 30893 */   MCD_OPC_CheckField, 21, 1, 0, 42, 38, // Skip to: 40669
+/* 30899 */   MCD_OPC_Decode, 153, 8, 226, 1, // Opcode: LDURBBi
+/* 30904 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 30919
+/* 30908 */   MCD_OPC_CheckField, 21, 1, 0, 27, 38, // Skip to: 40669
+/* 30914 */   MCD_OPC_Decode, 196, 7, 226, 1, // Opcode: LDRBBpost
+/* 30919 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 30960
+/* 30923 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 30926 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 30935
+/* 30930 */   MCD_OPC_Decode, 144, 8, 226, 1, // Opcode: LDTRBi
+/* 30935 */   MCD_OPC_FilterValue, 1, 2, 38, // Skip to: 40669
+/* 30939 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 30942 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 30951
+/* 30946 */   MCD_OPC_Decode, 198, 7, 227, 1, // Opcode: LDRBBroW
+/* 30951 */   MCD_OPC_FilterValue, 3, 242, 37, // Skip to: 40669
+/* 30955 */   MCD_OPC_Decode, 199, 7, 228, 1, // Opcode: LDRBBroX
+/* 30960 */   MCD_OPC_FilterValue, 3, 233, 37, // Skip to: 40669
+/* 30964 */   MCD_OPC_CheckField, 21, 1, 0, 227, 37, // Skip to: 40669
+/* 30970 */   MCD_OPC_Decode, 197, 7, 226, 1, // Opcode: LDRBBpre
+/* 30975 */   MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 31068
+/* 30979 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 30982 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 30997
+/* 30986 */   MCD_OPC_CheckField, 21, 1, 0, 205, 37, // Skip to: 40669
+/* 30992 */   MCD_OPC_Decode, 160, 8, 226, 1, // Opcode: LDURSBXi
+/* 30997 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 31012
+/* 31001 */   MCD_OPC_CheckField, 21, 1, 0, 190, 37, // Skip to: 40669
+/* 31007 */   MCD_OPC_Decode, 233, 7, 226, 1, // Opcode: LDRSBXpost
+/* 31012 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 31053
+/* 31016 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 31019 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31028
+/* 31023 */   MCD_OPC_Decode, 147, 8, 226, 1, // Opcode: LDTRSBXi
+/* 31028 */   MCD_OPC_FilterValue, 1, 165, 37, // Skip to: 40669
+/* 31032 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 31035 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 31044
+/* 31039 */   MCD_OPC_Decode, 235, 7, 229, 1, // Opcode: LDRSBXroW
+/* 31044 */   MCD_OPC_FilterValue, 3, 149, 37, // Skip to: 40669
+/* 31048 */   MCD_OPC_Decode, 236, 7, 230, 1, // Opcode: LDRSBXroX
+/* 31053 */   MCD_OPC_FilterValue, 3, 140, 37, // Skip to: 40669
+/* 31057 */   MCD_OPC_CheckField, 21, 1, 0, 134, 37, // Skip to: 40669
+/* 31063 */   MCD_OPC_Decode, 234, 7, 226, 1, // Opcode: LDRSBXpre
+/* 31068 */   MCD_OPC_FilterValue, 3, 89, 0, // Skip to: 31161
+/* 31072 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31075 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 31090
+/* 31079 */   MCD_OPC_CheckField, 21, 1, 0, 112, 37, // Skip to: 40669
+/* 31085 */   MCD_OPC_Decode, 159, 8, 226, 1, // Opcode: LDURSBWi
+/* 31090 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 31105
+/* 31094 */   MCD_OPC_CheckField, 21, 1, 0, 97, 37, // Skip to: 40669
+/* 31100 */   MCD_OPC_Decode, 228, 7, 226, 1, // Opcode: LDRSBWpost
+/* 31105 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 31146
+/* 31109 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 31112 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31121
+/* 31116 */   MCD_OPC_Decode, 146, 8, 226, 1, // Opcode: LDTRSBWi
+/* 31121 */   MCD_OPC_FilterValue, 1, 72, 37, // Skip to: 40669
+/* 31125 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 31128 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 31137
+/* 31132 */   MCD_OPC_Decode, 230, 7, 227, 1, // Opcode: LDRSBWroW
+/* 31137 */   MCD_OPC_FilterValue, 3, 56, 37, // Skip to: 40669
+/* 31141 */   MCD_OPC_Decode, 231, 7, 228, 1, // Opcode: LDRSBWroX
+/* 31146 */   MCD_OPC_FilterValue, 3, 47, 37, // Skip to: 40669
+/* 31150 */   MCD_OPC_CheckField, 21, 1, 0, 41, 37, // Skip to: 40669
+/* 31156 */   MCD_OPC_Decode, 229, 7, 226, 1, // Opcode: LDRSBWpre
+/* 31161 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 31170
+/* 31165 */   MCD_OPC_Decode, 217, 14, 231, 1, // Opcode: STRBBui
+/* 31170 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 31179
+/* 31174 */   MCD_OPC_Decode, 200, 7, 231, 1, // Opcode: LDRBBui
+/* 31179 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 31188
+/* 31183 */   MCD_OPC_Decode, 237, 7, 231, 1, // Opcode: LDRSBXui
+/* 31188 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 31197
+/* 31192 */   MCD_OPC_Decode, 232, 7, 231, 1, // Opcode: LDRSBWui
+/* 31197 */   MCD_OPC_FilterValue, 8, 16, 0, // Skip to: 31217
+/* 31201 */   MCD_OPC_CheckField, 21, 1, 0, 246, 36, // Skip to: 40669
+/* 31207 */   MCD_OPC_CheckField, 10, 6, 0, 240, 36, // Skip to: 40669
+/* 31213 */   MCD_OPC_Decode, 28, 223, 1, // Opcode: ADCSWr
+/* 31217 */   MCD_OPC_FilterValue, 9, 232, 36, // Skip to: 40669
+/* 31221 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31224 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 31245
+/* 31228 */   MCD_OPC_CheckField, 21, 1, 0, 219, 36, // Skip to: 40669
+/* 31234 */   MCD_OPC_CheckField, 4, 1, 0, 213, 36, // Skip to: 40669
+/* 31240 */   MCD_OPC_Decode, 134, 1, 232, 1, // Opcode: CCMNWr
+/* 31245 */   MCD_OPC_FilterValue, 2, 204, 36, // Skip to: 40669
+/* 31249 */   MCD_OPC_CheckField, 21, 1, 0, 198, 36, // Skip to: 40669
+/* 31255 */   MCD_OPC_CheckField, 4, 1, 0, 192, 36, // Skip to: 40669
+/* 31261 */   MCD_OPC_Decode, 133, 1, 233, 1, // Opcode: CCMNWi
+/* 31266 */   MCD_OPC_FilterValue, 2, 132, 0, // Skip to: 31402
+/* 31270 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 31273 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31282
+/* 31277 */   MCD_OPC_Decode, 138, 8, 212, 1, // Opcode: LDRXl
+/* 31282 */   MCD_OPC_FilterValue, 2, 167, 36, // Skip to: 40669
+/* 31286 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31289 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 31345
+/* 31293 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 31296 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 31311
+/* 31300 */   MCD_OPC_CheckField, 12, 4, 0, 147, 36, // Skip to: 40669
+/* 31306 */   MCD_OPC_Decode, 254, 9, 223, 1, // Opcode: SBCWr
+/* 31311 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 31320
+/* 31315 */   MCD_OPC_Decode, 136, 2, 224, 1, // Opcode: CSINVWr
+/* 31320 */   MCD_OPC_FilterValue, 6, 129, 36, // Skip to: 40669
+/* 31324 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
+/* 31327 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31336
+/* 31331 */   MCD_OPC_Decode, 162, 9, 234, 1, // Opcode: RBITWr
+/* 31336 */   MCD_OPC_FilterValue, 1, 113, 36, // Skip to: 40669
+/* 31340 */   MCD_OPC_Decode, 150, 1, 234, 1, // Opcode: CLZWr
+/* 31345 */   MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 31386
+/* 31349 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 31352 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 31361
+/* 31356 */   MCD_OPC_Decode, 138, 2, 224, 1, // Opcode: CSNEGWr
+/* 31361 */   MCD_OPC_FilterValue, 6, 88, 36, // Skip to: 40669
+/* 31365 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
+/* 31368 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31377
+/* 31372 */   MCD_OPC_Decode, 168, 9, 234, 1, // Opcode: REV16Wr
+/* 31377 */   MCD_OPC_FilterValue, 1, 72, 36, // Skip to: 40669
+/* 31381 */   MCD_OPC_Decode, 142, 1, 234, 1, // Opcode: CLSWr
+/* 31386 */   MCD_OPC_FilterValue, 2, 63, 36, // Skip to: 40669
+/* 31390 */   MCD_OPC_CheckField, 12, 12, 128, 24, 56, 36, // Skip to: 40669
+/* 31397 */   MCD_OPC_Decode, 183, 9, 234, 1, // Opcode: REVWr
+/* 31402 */   MCD_OPC_FilterValue, 3, 225, 1, // Skip to: 31887
+/* 31406 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 31409 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 31502
+/* 31413 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31416 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 31431
+/* 31420 */   MCD_OPC_CheckField, 21, 1, 0, 27, 36, // Skip to: 40669
+/* 31426 */   MCD_OPC_Decode, 137, 15, 226, 1, // Opcode: STURHHi
+/* 31431 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 31446
+/* 31435 */   MCD_OPC_CheckField, 21, 1, 0, 12, 36, // Skip to: 40669
+/* 31441 */   MCD_OPC_Decode, 228, 14, 226, 1, // Opcode: STRHHpost
+/* 31446 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 31487
+/* 31450 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 31453 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31462
+/* 31457 */   MCD_OPC_Decode, 131, 15, 226, 1, // Opcode: STTRHi
+/* 31462 */   MCD_OPC_FilterValue, 1, 243, 35, // Skip to: 40669
+/* 31466 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 31469 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 31478
+/* 31473 */   MCD_OPC_Decode, 230, 14, 227, 1, // Opcode: STRHHroW
+/* 31478 */   MCD_OPC_FilterValue, 3, 227, 35, // Skip to: 40669
+/* 31482 */   MCD_OPC_Decode, 231, 14, 228, 1, // Opcode: STRHHroX
+/* 31487 */   MCD_OPC_FilterValue, 3, 218, 35, // Skip to: 40669
+/* 31491 */   MCD_OPC_CheckField, 21, 1, 0, 212, 35, // Skip to: 40669
+/* 31497 */   MCD_OPC_Decode, 229, 14, 226, 1, // Opcode: STRHHpre
+/* 31502 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 31595
+/* 31506 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31509 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 31524
+/* 31513 */   MCD_OPC_CheckField, 21, 1, 0, 190, 35, // Skip to: 40669
+/* 31519 */   MCD_OPC_Decode, 156, 8, 226, 1, // Opcode: LDURHHi
+/* 31524 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 31539
+/* 31528 */   MCD_OPC_CheckField, 21, 1, 0, 175, 35, // Skip to: 40669
+/* 31534 */   MCD_OPC_Decode, 212, 7, 226, 1, // Opcode: LDRHHpost
+/* 31539 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 31580
+/* 31543 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 31546 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31555
+/* 31550 */   MCD_OPC_Decode, 145, 8, 226, 1, // Opcode: LDTRHi
+/* 31555 */   MCD_OPC_FilterValue, 1, 150, 35, // Skip to: 40669
+/* 31559 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 31562 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 31571
+/* 31566 */   MCD_OPC_Decode, 214, 7, 227, 1, // Opcode: LDRHHroW
+/* 31571 */   MCD_OPC_FilterValue, 3, 134, 35, // Skip to: 40669
+/* 31575 */   MCD_OPC_Decode, 215, 7, 228, 1, // Opcode: LDRHHroX
+/* 31580 */   MCD_OPC_FilterValue, 3, 125, 35, // Skip to: 40669
+/* 31584 */   MCD_OPC_CheckField, 21, 1, 0, 119, 35, // Skip to: 40669
+/* 31590 */   MCD_OPC_Decode, 213, 7, 226, 1, // Opcode: LDRHHpre
+/* 31595 */   MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 31688
+/* 31599 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31602 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 31617
+/* 31606 */   MCD_OPC_CheckField, 21, 1, 0, 97, 35, // Skip to: 40669
+/* 31612 */   MCD_OPC_Decode, 162, 8, 226, 1, // Opcode: LDURSHXi
+/* 31617 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 31632
+/* 31621 */   MCD_OPC_CheckField, 21, 1, 0, 82, 35, // Skip to: 40669
+/* 31627 */   MCD_OPC_Decode, 243, 7, 226, 1, // Opcode: LDRSHXpost
+/* 31632 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 31673
+/* 31636 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 31639 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31648
+/* 31643 */   MCD_OPC_Decode, 149, 8, 226, 1, // Opcode: LDTRSHXi
+/* 31648 */   MCD_OPC_FilterValue, 1, 57, 35, // Skip to: 40669
+/* 31652 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 31655 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 31664
+/* 31659 */   MCD_OPC_Decode, 245, 7, 229, 1, // Opcode: LDRSHXroW
+/* 31664 */   MCD_OPC_FilterValue, 3, 41, 35, // Skip to: 40669
+/* 31668 */   MCD_OPC_Decode, 246, 7, 230, 1, // Opcode: LDRSHXroX
+/* 31673 */   MCD_OPC_FilterValue, 3, 32, 35, // Skip to: 40669
+/* 31677 */   MCD_OPC_CheckField, 21, 1, 0, 26, 35, // Skip to: 40669
+/* 31683 */   MCD_OPC_Decode, 244, 7, 226, 1, // Opcode: LDRSHXpre
+/* 31688 */   MCD_OPC_FilterValue, 3, 89, 0, // Skip to: 31781
+/* 31692 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31695 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 31710
+/* 31699 */   MCD_OPC_CheckField, 21, 1, 0, 4, 35, // Skip to: 40669
+/* 31705 */   MCD_OPC_Decode, 161, 8, 226, 1, // Opcode: LDURSHWi
+/* 31710 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 31725
+/* 31714 */   MCD_OPC_CheckField, 21, 1, 0, 245, 34, // Skip to: 40669
+/* 31720 */   MCD_OPC_Decode, 238, 7, 226, 1, // Opcode: LDRSHWpost
+/* 31725 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 31766
+/* 31729 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 31732 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31741
+/* 31736 */   MCD_OPC_Decode, 148, 8, 226, 1, // Opcode: LDTRSHWi
+/* 31741 */   MCD_OPC_FilterValue, 1, 220, 34, // Skip to: 40669
+/* 31745 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 31748 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 31757
+/* 31752 */   MCD_OPC_Decode, 240, 7, 227, 1, // Opcode: LDRSHWroW
+/* 31757 */   MCD_OPC_FilterValue, 3, 204, 34, // Skip to: 40669
+/* 31761 */   MCD_OPC_Decode, 241, 7, 228, 1, // Opcode: LDRSHWroX
+/* 31766 */   MCD_OPC_FilterValue, 3, 195, 34, // Skip to: 40669
+/* 31770 */   MCD_OPC_CheckField, 21, 1, 0, 189, 34, // Skip to: 40669
+/* 31776 */   MCD_OPC_Decode, 239, 7, 226, 1, // Opcode: LDRSHWpre
+/* 31781 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 31790
+/* 31785 */   MCD_OPC_Decode, 232, 14, 231, 1, // Opcode: STRHHui
+/* 31790 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 31799
+/* 31794 */   MCD_OPC_Decode, 216, 7, 231, 1, // Opcode: LDRHHui
+/* 31799 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 31808
+/* 31803 */   MCD_OPC_Decode, 247, 7, 231, 1, // Opcode: LDRSHXui
+/* 31808 */   MCD_OPC_FilterValue, 7, 5, 0, // Skip to: 31817
+/* 31812 */   MCD_OPC_Decode, 242, 7, 231, 1, // Opcode: LDRSHWui
+/* 31817 */   MCD_OPC_FilterValue, 8, 17, 0, // Skip to: 31838
+/* 31821 */   MCD_OPC_CheckField, 21, 1, 0, 138, 34, // Skip to: 40669
+/* 31827 */   MCD_OPC_CheckField, 10, 6, 0, 132, 34, // Skip to: 40669
+/* 31833 */   MCD_OPC_Decode, 252, 9, 223, 1, // Opcode: SBCSWr
+/* 31838 */   MCD_OPC_FilterValue, 9, 123, 34, // Skip to: 40669
+/* 31842 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31845 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 31866
+/* 31849 */   MCD_OPC_CheckField, 21, 1, 0, 110, 34, // Skip to: 40669
+/* 31855 */   MCD_OPC_CheckField, 4, 1, 0, 104, 34, // Skip to: 40669
+/* 31861 */   MCD_OPC_Decode, 138, 1, 232, 1, // Opcode: CCMPWr
+/* 31866 */   MCD_OPC_FilterValue, 2, 95, 34, // Skip to: 40669
+/* 31870 */   MCD_OPC_CheckField, 21, 1, 0, 89, 34, // Skip to: 40669
+/* 31876 */   MCD_OPC_CheckField, 4, 1, 0, 83, 34, // Skip to: 40669
+/* 31882 */   MCD_OPC_Decode, 137, 1, 233, 1, // Opcode: CCMPWi
+/* 31887 */   MCD_OPC_FilterValue, 4, 62, 1, // Skip to: 32209
+/* 31891 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 31894 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 31903
+/* 31898 */   MCD_OPC_Decode, 248, 7, 212, 1, // Opcode: LDRSWl
+/* 31903 */   MCD_OPC_FilterValue, 2, 190, 0, // Skip to: 32097
+/* 31907 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 31910 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 31955
+/* 31914 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 31917 */   MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 31931
+/* 31921 */   MCD_OPC_CheckField, 12, 4, 0, 38, 34, // Skip to: 40669
+/* 31927 */   MCD_OPC_Decode, 31, 235, 1, // Opcode: ADCXr
+/* 31931 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 31940
+/* 31935 */   MCD_OPC_Decode, 133, 2, 236, 1, // Opcode: CSELXr
+/* 31940 */   MCD_OPC_FilterValue, 6, 21, 34, // Skip to: 40669
+/* 31944 */   MCD_OPC_CheckField, 12, 4, 2, 15, 34, // Skip to: 40669
+/* 31950 */   MCD_OPC_Decode, 175, 8, 235, 1, // Opcode: LSLVXr
+/* 31955 */   MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 31986
+/* 31959 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 31962 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 31971
+/* 31966 */   MCD_OPC_Decode, 135, 2, 236, 1, // Opcode: CSINCXr
+/* 31971 */   MCD_OPC_FilterValue, 6, 246, 33, // Skip to: 40669
+/* 31975 */   MCD_OPC_CheckField, 12, 4, 2, 240, 33, // Skip to: 40669
+/* 31981 */   MCD_OPC_Decode, 177, 8, 235, 1, // Opcode: LSRVXr
+/* 31986 */   MCD_OPC_FilterValue, 2, 32, 0, // Skip to: 32022
+/* 31990 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 31993 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32008
+/* 31997 */   MCD_OPC_CheckField, 21, 3, 6, 218, 33, // Skip to: 40669
+/* 32003 */   MCD_OPC_Decode, 179, 16, 235, 1, // Opcode: UDIVXr
+/* 32008 */   MCD_OPC_FilterValue, 2, 209, 33, // Skip to: 40669
+/* 32012 */   MCD_OPC_CheckField, 21, 3, 6, 203, 33, // Skip to: 40669
+/* 32018 */   MCD_OPC_Decode, 100, 235, 1, // Opcode: ASRVXr
+/* 32022 */   MCD_OPC_FilterValue, 3, 195, 33, // Skip to: 40669
+/* 32026 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 32029 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32044
+/* 32033 */   MCD_OPC_CheckField, 21, 3, 6, 182, 33, // Skip to: 40669
+/* 32039 */   MCD_OPC_Decode, 149, 10, 235, 1, // Opcode: SDIVXr
+/* 32044 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 32059
+/* 32048 */   MCD_OPC_CheckField, 21, 3, 6, 167, 33, // Skip to: 40669
+/* 32054 */   MCD_OPC_Decode, 186, 9, 235, 1, // Opcode: RORVXr
+/* 32059 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 32078
+/* 32063 */   MCD_OPC_CheckPredicate, 2, 154, 33, // Skip to: 40669
+/* 32067 */   MCD_OPC_CheckField, 21, 3, 6, 148, 33, // Skip to: 40669
+/* 32073 */   MCD_OPC_Decode, 131, 2, 237, 1, // Opcode: CRC32Xrr
+/* 32078 */   MCD_OPC_FilterValue, 5, 139, 33, // Skip to: 40669
+/* 32082 */   MCD_OPC_CheckPredicate, 2, 135, 33, // Skip to: 40669
+/* 32086 */   MCD_OPC_CheckField, 21, 3, 6, 129, 33, // Skip to: 40669
+/* 32092 */   MCD_OPC_Decode, 128, 2, 237, 1, // Opcode: CRC32CXrr
+/* 32097 */   MCD_OPC_FilterValue, 3, 120, 33, // Skip to: 40669
+/* 32101 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 32104 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 32129
+/* 32108 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 32111 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32120
+/* 32115 */   MCD_OPC_Decode, 179, 8, 238, 1, // Opcode: MADDXrrr
+/* 32120 */   MCD_OPC_FilterValue, 1, 97, 33, // Skip to: 40669
+/* 32124 */   MCD_OPC_Decode, 228, 8, 238, 1, // Opcode: MSUBXrrr
+/* 32129 */   MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 32154
+/* 32133 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 32136 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32145
+/* 32140 */   MCD_OPC_Decode, 202, 10, 239, 1, // Opcode: SMADDLrrr
+/* 32145 */   MCD_OPC_FilterValue, 1, 72, 33, // Skip to: 40669
+/* 32149 */   MCD_OPC_Decode, 135, 11, 239, 1, // Opcode: SMSUBLrrr
+/* 32154 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 32169
+/* 32158 */   MCD_OPC_CheckField, 15, 1, 0, 57, 33, // Skip to: 40669
+/* 32164 */   MCD_OPC_Decode, 136, 11, 235, 1, // Opcode: SMULHrr
+/* 32169 */   MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 32194
+/* 32173 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 32176 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32185
+/* 32180 */   MCD_OPC_Decode, 194, 16, 239, 1, // Opcode: UMADDLrrr
+/* 32185 */   MCD_OPC_FilterValue, 1, 32, 33, // Skip to: 40669
+/* 32189 */   MCD_OPC_Decode, 253, 16, 239, 1, // Opcode: UMSUBLrrr
+/* 32194 */   MCD_OPC_FilterValue, 6, 23, 33, // Skip to: 40669
+/* 32198 */   MCD_OPC_CheckField, 15, 1, 0, 17, 33, // Skip to: 40669
+/* 32204 */   MCD_OPC_Decode, 254, 16, 235, 1, // Opcode: UMULHrr
+/* 32209 */   MCD_OPC_FilterValue, 5, 122, 1, // Skip to: 32591
+/* 32213 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 32216 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 32309
+/* 32220 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32223 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32238
+/* 32227 */   MCD_OPC_CheckField, 21, 1, 0, 244, 32, // Skip to: 40669
+/* 32233 */   MCD_OPC_Decode, 141, 15, 226, 1, // Opcode: STURWi
+/* 32238 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 32253
+/* 32242 */   MCD_OPC_CheckField, 21, 1, 0, 229, 32, // Skip to: 40669
+/* 32248 */   MCD_OPC_Decode, 248, 14, 226, 1, // Opcode: STRWpost
+/* 32253 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 32294
+/* 32257 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 32260 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32269
+/* 32264 */   MCD_OPC_Decode, 132, 15, 226, 1, // Opcode: STTRWi
+/* 32269 */   MCD_OPC_FilterValue, 1, 204, 32, // Skip to: 40669
+/* 32273 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 32276 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 32285
+/* 32280 */   MCD_OPC_Decode, 250, 14, 227, 1, // Opcode: STRWroW
+/* 32285 */   MCD_OPC_FilterValue, 3, 188, 32, // Skip to: 40669
+/* 32289 */   MCD_OPC_Decode, 251, 14, 228, 1, // Opcode: STRWroX
+/* 32294 */   MCD_OPC_FilterValue, 3, 179, 32, // Skip to: 40669
+/* 32298 */   MCD_OPC_CheckField, 21, 1, 0, 173, 32, // Skip to: 40669
+/* 32304 */   MCD_OPC_Decode, 249, 14, 226, 1, // Opcode: STRWpre
+/* 32309 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 32402
+/* 32313 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32316 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32331
+/* 32320 */   MCD_OPC_CheckField, 21, 1, 0, 151, 32, // Skip to: 40669
+/* 32326 */   MCD_OPC_Decode, 165, 8, 226, 1, // Opcode: LDURWi
+/* 32331 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 32346
+/* 32335 */   MCD_OPC_CheckField, 21, 1, 0, 136, 32, // Skip to: 40669
+/* 32341 */   MCD_OPC_Decode, 133, 8, 226, 1, // Opcode: LDRWpost
+/* 32346 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 32387
+/* 32350 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 32353 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32362
+/* 32357 */   MCD_OPC_Decode, 151, 8, 226, 1, // Opcode: LDTRWi
+/* 32362 */   MCD_OPC_FilterValue, 1, 111, 32, // Skip to: 40669
+/* 32366 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 32369 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 32378
+/* 32373 */   MCD_OPC_Decode, 135, 8, 227, 1, // Opcode: LDRWroW
+/* 32378 */   MCD_OPC_FilterValue, 3, 95, 32, // Skip to: 40669
+/* 32382 */   MCD_OPC_Decode, 136, 8, 228, 1, // Opcode: LDRWroX
+/* 32387 */   MCD_OPC_FilterValue, 3, 86, 32, // Skip to: 40669
+/* 32391 */   MCD_OPC_CheckField, 21, 1, 0, 80, 32, // Skip to: 40669
+/* 32397 */   MCD_OPC_Decode, 134, 8, 226, 1, // Opcode: LDRWpre
+/* 32402 */   MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 32495
+/* 32406 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32409 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32424
+/* 32413 */   MCD_OPC_CheckField, 21, 1, 0, 58, 32, // Skip to: 40669
+/* 32419 */   MCD_OPC_Decode, 163, 8, 226, 1, // Opcode: LDURSWi
+/* 32424 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 32439
+/* 32428 */   MCD_OPC_CheckField, 21, 1, 0, 43, 32, // Skip to: 40669
+/* 32434 */   MCD_OPC_Decode, 249, 7, 226, 1, // Opcode: LDRSWpost
+/* 32439 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 32480
+/* 32443 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 32446 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32455
+/* 32450 */   MCD_OPC_Decode, 150, 8, 226, 1, // Opcode: LDTRSWi
+/* 32455 */   MCD_OPC_FilterValue, 1, 18, 32, // Skip to: 40669
+/* 32459 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 32462 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 32471
+/* 32466 */   MCD_OPC_Decode, 251, 7, 229, 1, // Opcode: LDRSWroW
+/* 32471 */   MCD_OPC_FilterValue, 3, 2, 32, // Skip to: 40669
+/* 32475 */   MCD_OPC_Decode, 252, 7, 230, 1, // Opcode: LDRSWroX
+/* 32480 */   MCD_OPC_FilterValue, 3, 249, 31, // Skip to: 40669
+/* 32484 */   MCD_OPC_CheckField, 21, 1, 0, 243, 31, // Skip to: 40669
+/* 32490 */   MCD_OPC_Decode, 250, 7, 226, 1, // Opcode: LDRSWpre
+/* 32495 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 32504
+/* 32499 */   MCD_OPC_Decode, 252, 14, 231, 1, // Opcode: STRWui
+/* 32504 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 32513
+/* 32508 */   MCD_OPC_Decode, 137, 8, 231, 1, // Opcode: LDRWui
+/* 32513 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 32522
+/* 32517 */   MCD_OPC_Decode, 253, 7, 231, 1, // Opcode: LDRSWui
+/* 32522 */   MCD_OPC_FilterValue, 8, 16, 0, // Skip to: 32542
+/* 32526 */   MCD_OPC_CheckField, 21, 1, 0, 201, 31, // Skip to: 40669
+/* 32532 */   MCD_OPC_CheckField, 10, 6, 0, 195, 31, // Skip to: 40669
+/* 32538 */   MCD_OPC_Decode, 29, 235, 1, // Opcode: ADCSXr
+/* 32542 */   MCD_OPC_FilterValue, 9, 187, 31, // Skip to: 40669
+/* 32546 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32549 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 32570
+/* 32553 */   MCD_OPC_CheckField, 21, 1, 0, 174, 31, // Skip to: 40669
+/* 32559 */   MCD_OPC_CheckField, 4, 1, 0, 168, 31, // Skip to: 40669
+/* 32565 */   MCD_OPC_Decode, 136, 1, 240, 1, // Opcode: CCMNXr
+/* 32570 */   MCD_OPC_FilterValue, 2, 159, 31, // Skip to: 40669
+/* 32574 */   MCD_OPC_CheckField, 21, 1, 0, 153, 31, // Skip to: 40669
+/* 32580 */   MCD_OPC_CheckField, 4, 1, 0, 147, 31, // Skip to: 40669
+/* 32586 */   MCD_OPC_Decode, 135, 1, 241, 1, // Opcode: CCMNXi
+/* 32591 */   MCD_OPC_FilterValue, 6, 148, 0, // Skip to: 32743
+/* 32595 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 32598 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32607
+/* 32602 */   MCD_OPC_Decode, 151, 9, 242, 1, // Opcode: PRFMl
+/* 32607 */   MCD_OPC_FilterValue, 2, 122, 31, // Skip to: 40669
+/* 32611 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32614 */   MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 32670
+/* 32618 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 32621 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32636
+/* 32625 */   MCD_OPC_CheckField, 12, 4, 0, 102, 31, // Skip to: 40669
+/* 32631 */   MCD_OPC_Decode, 255, 9, 235, 1, // Opcode: SBCXr
+/* 32636 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 32645
+/* 32640 */   MCD_OPC_Decode, 137, 2, 236, 1, // Opcode: CSINVXr
+/* 32645 */   MCD_OPC_FilterValue, 6, 84, 31, // Skip to: 40669
+/* 32649 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
+/* 32652 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32661
+/* 32656 */   MCD_OPC_Decode, 163, 9, 243, 1, // Opcode: RBITXr
+/* 32661 */   MCD_OPC_FilterValue, 1, 68, 31, // Skip to: 40669
+/* 32665 */   MCD_OPC_Decode, 151, 1, 243, 1, // Opcode: CLZXr
+/* 32670 */   MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 32711
+/* 32674 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 32677 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 32686
+/* 32681 */   MCD_OPC_Decode, 139, 2, 236, 1, // Opcode: CSNEGXr
+/* 32686 */   MCD_OPC_FilterValue, 6, 43, 31, // Skip to: 40669
+/* 32690 */   MCD_OPC_ExtractField, 12, 9,  // Inst{20-12} ...
+/* 32693 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32702
+/* 32697 */   MCD_OPC_Decode, 169, 9, 243, 1, // Opcode: REV16Xr
+/* 32702 */   MCD_OPC_FilterValue, 1, 27, 31, // Skip to: 40669
+/* 32706 */   MCD_OPC_Decode, 143, 1, 243, 1, // Opcode: CLSXr
+/* 32711 */   MCD_OPC_FilterValue, 2, 12, 0, // Skip to: 32727
+/* 32715 */   MCD_OPC_CheckField, 12, 12, 128, 24, 11, 31, // Skip to: 40669
+/* 32722 */   MCD_OPC_Decode, 172, 9, 243, 1, // Opcode: REV32Xr
+/* 32727 */   MCD_OPC_FilterValue, 3, 2, 31, // Skip to: 40669
+/* 32731 */   MCD_OPC_CheckField, 12, 12, 128, 24, 251, 30, // Skip to: 40669
+/* 32738 */   MCD_OPC_Decode, 184, 9, 243, 1, // Opcode: REVXr
+/* 32743 */   MCD_OPC_FilterValue, 7, 242, 30, // Skip to: 40669
+/* 32747 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 32750 */   MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 32843
+/* 32754 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32757 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32772
+/* 32761 */   MCD_OPC_CheckField, 21, 1, 0, 222, 30, // Skip to: 40669
+/* 32767 */   MCD_OPC_Decode, 142, 15, 226, 1, // Opcode: STURXi
+/* 32772 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 32787
+/* 32776 */   MCD_OPC_CheckField, 21, 1, 0, 207, 30, // Skip to: 40669
+/* 32782 */   MCD_OPC_Decode, 253, 14, 226, 1, // Opcode: STRXpost
+/* 32787 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 32828
+/* 32791 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 32794 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32803
+/* 32798 */   MCD_OPC_Decode, 133, 15, 226, 1, // Opcode: STTRXi
+/* 32803 */   MCD_OPC_FilterValue, 1, 182, 30, // Skip to: 40669
+/* 32807 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 32810 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 32819
+/* 32814 */   MCD_OPC_Decode, 255, 14, 229, 1, // Opcode: STRXroW
+/* 32819 */   MCD_OPC_FilterValue, 3, 166, 30, // Skip to: 40669
+/* 32823 */   MCD_OPC_Decode, 128, 15, 230, 1, // Opcode: STRXroX
+/* 32828 */   MCD_OPC_FilterValue, 3, 157, 30, // Skip to: 40669
+/* 32832 */   MCD_OPC_CheckField, 21, 1, 0, 151, 30, // Skip to: 40669
+/* 32838 */   MCD_OPC_Decode, 254, 14, 226, 1, // Opcode: STRXpre
+/* 32843 */   MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 32936
+/* 32847 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32850 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32865
+/* 32854 */   MCD_OPC_CheckField, 21, 1, 0, 129, 30, // Skip to: 40669
+/* 32860 */   MCD_OPC_Decode, 166, 8, 226, 1, // Opcode: LDURXi
+/* 32865 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 32880
+/* 32869 */   MCD_OPC_CheckField, 21, 1, 0, 114, 30, // Skip to: 40669
+/* 32875 */   MCD_OPC_Decode, 139, 8, 226, 1, // Opcode: LDRXpost
+/* 32880 */   MCD_OPC_FilterValue, 2, 37, 0, // Skip to: 32921
+/* 32884 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 32887 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 32896
+/* 32891 */   MCD_OPC_Decode, 152, 8, 226, 1, // Opcode: LDTRXi
+/* 32896 */   MCD_OPC_FilterValue, 1, 89, 30, // Skip to: 40669
+/* 32900 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 32903 */   MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 32912
+/* 32907 */   MCD_OPC_Decode, 141, 8, 229, 1, // Opcode: LDRXroW
+/* 32912 */   MCD_OPC_FilterValue, 3, 73, 30, // Skip to: 40669
+/* 32916 */   MCD_OPC_Decode, 142, 8, 230, 1, // Opcode: LDRXroX
+/* 32921 */   MCD_OPC_FilterValue, 3, 64, 30, // Skip to: 40669
+/* 32925 */   MCD_OPC_CheckField, 21, 1, 0, 58, 30, // Skip to: 40669
+/* 32931 */   MCD_OPC_Decode, 140, 8, 226, 1, // Opcode: LDRXpre
+/* 32936 */   MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 32995
+/* 32940 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 32943 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 32958
+/* 32947 */   MCD_OPC_CheckField, 21, 1, 0, 36, 30, // Skip to: 40669
+/* 32953 */   MCD_OPC_Decode, 155, 9, 226, 1, // Opcode: PRFUMi
+/* 32958 */   MCD_OPC_FilterValue, 2, 27, 30, // Skip to: 40669
+/* 32962 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 32965 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 32980
+/* 32969 */   MCD_OPC_CheckField, 21, 1, 1, 14, 30, // Skip to: 40669
+/* 32975 */   MCD_OPC_Decode, 152, 9, 244, 1, // Opcode: PRFMroW
+/* 32980 */   MCD_OPC_FilterValue, 3, 5, 30, // Skip to: 40669
+/* 32984 */   MCD_OPC_CheckField, 21, 1, 1, 255, 29, // Skip to: 40669
+/* 32990 */   MCD_OPC_Decode, 153, 9, 245, 1, // Opcode: PRFMroX
+/* 32995 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 33004
+/* 32999 */   MCD_OPC_Decode, 129, 15, 231, 1, // Opcode: STRXui
+/* 33004 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 33013
+/* 33008 */   MCD_OPC_Decode, 143, 8, 231, 1, // Opcode: LDRXui
+/* 33013 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 33022
+/* 33017 */   MCD_OPC_Decode, 154, 9, 231, 1, // Opcode: PRFMui
+/* 33022 */   MCD_OPC_FilterValue, 8, 17, 0, // Skip to: 33043
+/* 33026 */   MCD_OPC_CheckField, 21, 1, 0, 213, 29, // Skip to: 40669
+/* 33032 */   MCD_OPC_CheckField, 10, 6, 0, 207, 29, // Skip to: 40669
+/* 33038 */   MCD_OPC_Decode, 253, 9, 235, 1, // Opcode: SBCSXr
+/* 33043 */   MCD_OPC_FilterValue, 9, 198, 29, // Skip to: 40669
+/* 33047 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 33050 */   MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 33071
+/* 33054 */   MCD_OPC_CheckField, 21, 1, 0, 185, 29, // Skip to: 40669
+/* 33060 */   MCD_OPC_CheckField, 4, 1, 0, 179, 29, // Skip to: 40669
+/* 33066 */   MCD_OPC_Decode, 140, 1, 240, 1, // Opcode: CCMPXr
+/* 33071 */   MCD_OPC_FilterValue, 2, 170, 29, // Skip to: 40669
+/* 33075 */   MCD_OPC_CheckField, 21, 1, 0, 164, 29, // Skip to: 40669
+/* 33081 */   MCD_OPC_CheckField, 4, 1, 0, 158, 29, // Skip to: 40669
+/* 33087 */   MCD_OPC_Decode, 139, 1, 241, 1, // Opcode: CCMPXi
+/* 33092 */   MCD_OPC_FilterValue, 7, 149, 29, // Skip to: 40669
+/* 33096 */   MCD_OPC_ExtractField, 29, 3,  // Inst{31-29} ...
+/* 33099 */   MCD_OPC_FilterValue, 0, 8, 6, // Skip to: 34647
+/* 33103 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 33106 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 33115
+/* 33110 */   MCD_OPC_Decode, 254, 7, 246, 1, // Opcode: LDRSl
+/* 33115 */   MCD_OPC_FilterValue, 2, 109, 5, // Skip to: 34508
+/* 33119 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 33122 */   MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 33181
+/* 33126 */   MCD_OPC_ExtractField, 15, 6,  // Inst{20-15} ...
+/* 33129 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33142
+/* 33133 */   MCD_OPC_CheckPredicate, 3, 108, 29, // Skip to: 40669
+/* 33137 */   MCD_OPC_Decode, 131, 10, 247, 1, // Opcode: SCVTFSWSri
+/* 33142 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 33155
+/* 33146 */   MCD_OPC_CheckPredicate, 3, 95, 29, // Skip to: 40669
+/* 33150 */   MCD_OPC_Decode, 161, 16, 247, 1, // Opcode: UCVTFSWSri
+/* 33155 */   MCD_OPC_FilterValue, 49, 9, 0, // Skip to: 33168
+/* 33159 */   MCD_OPC_CheckPredicate, 3, 82, 29, // Skip to: 40669
+/* 33163 */   MCD_OPC_Decode, 224, 3, 248, 1, // Opcode: FCVTZSSWSri
+/* 33168 */   MCD_OPC_FilterValue, 51, 73, 29, // Skip to: 40669
+/* 33172 */   MCD_OPC_CheckPredicate, 3, 69, 29, // Skip to: 40669
+/* 33176 */   MCD_OPC_Decode, 253, 3, 248, 1, // Opcode: FCVTZUSWSri
+/* 33181 */   MCD_OPC_FilterValue, 1, 125, 2, // Skip to: 33822
+/* 33185 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 33188 */   MCD_OPC_FilterValue, 0, 204, 1, // Skip to: 33652
+/* 33192 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 33195 */   MCD_OPC_FilterValue, 0, 178, 1, // Skip to: 33633
+/* 33199 */   MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 33202 */   MCD_OPC_FilterValue, 0, 185, 0, // Skip to: 33391
+/* 33206 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 33209 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33222
+/* 33213 */   MCD_OPC_CheckPredicate, 3, 28, 29, // Skip to: 40669
+/* 33217 */   MCD_OPC_Decode, 179, 3, 249, 1, // Opcode: FCVTNSUWSr
+/* 33222 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 33235
+/* 33226 */   MCD_OPC_CheckPredicate, 3, 15, 29, // Skip to: 40669
+/* 33230 */   MCD_OPC_Decode, 188, 3, 249, 1, // Opcode: FCVTNUUWSr
+/* 33235 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 33248
+/* 33239 */   MCD_OPC_CheckPredicate, 3, 2, 29, // Skip to: 40669
+/* 33243 */   MCD_OPC_Decode, 135, 10, 250, 1, // Opcode: SCVTFUWSri
+/* 33248 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 33261
+/* 33252 */   MCD_OPC_CheckPredicate, 3, 245, 28, // Skip to: 40669
+/* 33256 */   MCD_OPC_Decode, 165, 16, 250, 1, // Opcode: UCVTFUWSri
+/* 33261 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 33274
+/* 33265 */   MCD_OPC_CheckPredicate, 3, 232, 28, // Skip to: 40669
+/* 33269 */   MCD_OPC_Decode, 135, 3, 249, 1, // Opcode: FCVTASUWSr
+/* 33274 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33287
+/* 33278 */   MCD_OPC_CheckPredicate, 3, 219, 28, // Skip to: 40669
+/* 33282 */   MCD_OPC_Decode, 144, 3, 249, 1, // Opcode: FCVTAUUWSr
+/* 33287 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 33300
+/* 33291 */   MCD_OPC_CheckPredicate, 3, 206, 28, // Skip to: 40669
+/* 33295 */   MCD_OPC_Decode, 224, 4, 249, 1, // Opcode: FMOVSWr
+/* 33300 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 33313
+/* 33304 */   MCD_OPC_CheckPredicate, 3, 193, 28, // Skip to: 40669
+/* 33308 */   MCD_OPC_Decode, 227, 4, 250, 1, // Opcode: FMOVWSr
+/* 33313 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 33326
+/* 33317 */   MCD_OPC_CheckPredicate, 3, 180, 28, // Skip to: 40669
+/* 33321 */   MCD_OPC_Decode, 201, 3, 249, 1, // Opcode: FCVTPSUWSr
+/* 33326 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 33339
+/* 33330 */   MCD_OPC_CheckPredicate, 3, 167, 28, // Skip to: 40669
+/* 33334 */   MCD_OPC_Decode, 210, 3, 249, 1, // Opcode: FCVTPUUWSr
+/* 33339 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 33352
+/* 33343 */   MCD_OPC_CheckPredicate, 3, 154, 28, // Skip to: 40669
+/* 33347 */   MCD_OPC_Decode, 161, 3, 249, 1, // Opcode: FCVTMSUWSr
+/* 33352 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 33365
+/* 33356 */   MCD_OPC_CheckPredicate, 3, 141, 28, // Skip to: 40669
+/* 33360 */   MCD_OPC_Decode, 170, 3, 249, 1, // Opcode: FCVTMUUWSr
+/* 33365 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 33378
+/* 33369 */   MCD_OPC_CheckPredicate, 3, 128, 28, // Skip to: 40669
+/* 33373 */   MCD_OPC_Decode, 228, 3, 249, 1, // Opcode: FCVTZSUWSr
+/* 33378 */   MCD_OPC_FilterValue, 25, 119, 28, // Skip to: 40669
+/* 33382 */   MCD_OPC_CheckPredicate, 3, 115, 28, // Skip to: 40669
+/* 33386 */   MCD_OPC_Decode, 129, 4, 249, 1, // Opcode: FCVTZUUWSr
+/* 33391 */   MCD_OPC_FilterValue, 1, 55, 0, // Skip to: 33450
+/* 33395 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
+/* 33398 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33411
+/* 33402 */   MCD_OPC_CheckPredicate, 3, 95, 28, // Skip to: 40669
+/* 33406 */   MCD_OPC_Decode, 131, 3, 251, 1, // Opcode: FCMPSrr
+/* 33411 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 33424
+/* 33415 */   MCD_OPC_CheckPredicate, 3, 82, 28, // Skip to: 40669
+/* 33419 */   MCD_OPC_Decode, 130, 3, 252, 1, // Opcode: FCMPSri
+/* 33424 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 33437
+/* 33428 */   MCD_OPC_CheckPredicate, 3, 69, 28, // Skip to: 40669
+/* 33432 */   MCD_OPC_Decode, 129, 3, 251, 1, // Opcode: FCMPESrr
+/* 33437 */   MCD_OPC_FilterValue, 24, 60, 28, // Skip to: 40669
+/* 33441 */   MCD_OPC_CheckPredicate, 3, 56, 28, // Skip to: 40669
+/* 33445 */   MCD_OPC_Decode, 128, 3, 252, 1, // Opcode: FCMPESri
+/* 33450 */   MCD_OPC_FilterValue, 2, 81, 0, // Skip to: 33535
+/* 33454 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 33457 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33470
+/* 33461 */   MCD_OPC_CheckPredicate, 3, 36, 28, // Skip to: 40669
+/* 33465 */   MCD_OPC_Decode, 226, 4, 253, 1, // Opcode: FMOVSr
+/* 33470 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 33483
+/* 33474 */   MCD_OPC_CheckPredicate, 3, 23, 28, // Skip to: 40669
+/* 33478 */   MCD_OPC_Decode, 128, 5, 253, 1, // Opcode: FNEGSr
+/* 33483 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 33496
+/* 33487 */   MCD_OPC_CheckPredicate, 3, 10, 28, // Skip to: 40669
+/* 33491 */   MCD_OPC_Decode, 166, 5, 253, 1, // Opcode: FRINTNSr
+/* 33496 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33509
+/* 33500 */   MCD_OPC_CheckPredicate, 3, 253, 27, // Skip to: 40669
+/* 33504 */   MCD_OPC_Decode, 161, 5, 253, 1, // Opcode: FRINTMSr
+/* 33509 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 33522
+/* 33513 */   MCD_OPC_CheckPredicate, 3, 240, 27, // Skip to: 40669
+/* 33517 */   MCD_OPC_Decode, 151, 5, 253, 1, // Opcode: FRINTASr
+/* 33522 */   MCD_OPC_FilterValue, 7, 231, 27, // Skip to: 40669
+/* 33526 */   MCD_OPC_CheckPredicate, 3, 227, 27, // Skip to: 40669
+/* 33530 */   MCD_OPC_Decode, 176, 5, 253, 1, // Opcode: FRINTXSr
+/* 33535 */   MCD_OPC_FilterValue, 6, 218, 27, // Skip to: 40669
+/* 33539 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 33542 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33555
+/* 33546 */   MCD_OPC_CheckPredicate, 3, 207, 27, // Skip to: 40669
+/* 33550 */   MCD_OPC_Decode, 184, 2, 253, 1, // Opcode: FABSSr
+/* 33555 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 33568
+/* 33559 */   MCD_OPC_CheckPredicate, 3, 194, 27, // Skip to: 40669
+/* 33563 */   MCD_OPC_Decode, 196, 5, 253, 1, // Opcode: FSQRTSr
+/* 33568 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 33581
+/* 33572 */   MCD_OPC_CheckPredicate, 3, 181, 27, // Skip to: 40669
+/* 33576 */   MCD_OPC_Decode, 153, 3, 254, 1, // Opcode: FCVTDSr
+/* 33581 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 33594
+/* 33585 */   MCD_OPC_CheckPredicate, 3, 168, 27, // Skip to: 40669
+/* 33589 */   MCD_OPC_Decode, 155, 3, 255, 1, // Opcode: FCVTHSr
+/* 33594 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 33607
+/* 33598 */   MCD_OPC_CheckPredicate, 3, 155, 27, // Skip to: 40669
+/* 33602 */   MCD_OPC_Decode, 171, 5, 253, 1, // Opcode: FRINTPSr
+/* 33607 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33620
+/* 33611 */   MCD_OPC_CheckPredicate, 3, 142, 27, // Skip to: 40669
+/* 33615 */   MCD_OPC_Decode, 181, 5, 253, 1, // Opcode: FRINTZSr
+/* 33620 */   MCD_OPC_FilterValue, 7, 133, 27, // Skip to: 40669
+/* 33624 */   MCD_OPC_CheckPredicate, 3, 129, 27, // Skip to: 40669
+/* 33628 */   MCD_OPC_Decode, 156, 5, 253, 1, // Opcode: FRINTISr
+/* 33633 */   MCD_OPC_FilterValue, 1, 120, 27, // Skip to: 40669
+/* 33637 */   MCD_OPC_CheckPredicate, 3, 116, 27, // Skip to: 40669
+/* 33641 */   MCD_OPC_CheckField, 5, 5, 0, 110, 27, // Skip to: 40669
+/* 33647 */   MCD_OPC_Decode, 225, 4, 128, 2, // Opcode: FMOVSi
+/* 33652 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 33685
+/* 33656 */   MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 33659 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33672
+/* 33663 */   MCD_OPC_CheckPredicate, 3, 90, 27, // Skip to: 40669
+/* 33667 */   MCD_OPC_Decode, 211, 2, 129, 2, // Opcode: FCCMPSrr
+/* 33672 */   MCD_OPC_FilterValue, 1, 81, 27, // Skip to: 40669
+/* 33676 */   MCD_OPC_CheckPredicate, 3, 77, 27, // Skip to: 40669
+/* 33680 */   MCD_OPC_Decode, 210, 2, 129, 2, // Opcode: FCCMPESrr
+/* 33685 */   MCD_OPC_FilterValue, 2, 120, 0, // Skip to: 33809
+/* 33689 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 33692 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33705
+/* 33696 */   MCD_OPC_CheckPredicate, 3, 57, 27, // Skip to: 40669
+/* 33700 */   MCD_OPC_Decode, 236, 4, 130, 2, // Opcode: FMULSrr
+/* 33705 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 33718
+/* 33709 */   MCD_OPC_CheckPredicate, 3, 44, 27, // Skip to: 40669
+/* 33713 */   MCD_OPC_Decode, 154, 4, 130, 2, // Opcode: FDIVSrr
+/* 33718 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 33731
+/* 33722 */   MCD_OPC_CheckPredicate, 3, 31, 27, // Skip to: 40669
+/* 33726 */   MCD_OPC_Decode, 204, 2, 130, 2, // Opcode: FADDSrr
+/* 33731 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 33744
+/* 33735 */   MCD_OPC_CheckPredicate, 3, 18, 27, // Skip to: 40669
+/* 33739 */   MCD_OPC_Decode, 201, 5, 130, 2, // Opcode: FSUBSrr
+/* 33744 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 33757
+/* 33748 */   MCD_OPC_CheckPredicate, 3, 5, 27, // Skip to: 40669
+/* 33752 */   MCD_OPC_Decode, 177, 4, 130, 2, // Opcode: FMAXSrr
+/* 33757 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33770
+/* 33761 */   MCD_OPC_CheckPredicate, 3, 248, 26, // Skip to: 40669
+/* 33765 */   MCD_OPC_Decode, 199, 4, 130, 2, // Opcode: FMINSrr
+/* 33770 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 33783
+/* 33774 */   MCD_OPC_CheckPredicate, 3, 235, 26, // Skip to: 40669
+/* 33778 */   MCD_OPC_Decode, 167, 4, 130, 2, // Opcode: FMAXNMSrr
+/* 33783 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 33796
+/* 33787 */   MCD_OPC_CheckPredicate, 3, 222, 26, // Skip to: 40669
+/* 33791 */   MCD_OPC_Decode, 189, 4, 130, 2, // Opcode: FMINNMSrr
+/* 33796 */   MCD_OPC_FilterValue, 8, 213, 26, // Skip to: 40669
+/* 33800 */   MCD_OPC_CheckPredicate, 3, 209, 26, // Skip to: 40669
+/* 33804 */   MCD_OPC_Decode, 137, 5, 130, 2, // Opcode: FNMULSrr
+/* 33809 */   MCD_OPC_FilterValue, 3, 200, 26, // Skip to: 40669
+/* 33813 */   MCD_OPC_CheckPredicate, 3, 196, 26, // Skip to: 40669
+/* 33817 */   MCD_OPC_Decode, 133, 3, 131, 2, // Opcode: FCSELSrrr
+/* 33822 */   MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 33881
+/* 33826 */   MCD_OPC_ExtractField, 15, 6,  // Inst{20-15} ...
+/* 33829 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33842
+/* 33833 */   MCD_OPC_CheckPredicate, 3, 176, 26, // Skip to: 40669
+/* 33837 */   MCD_OPC_Decode, 130, 10, 132, 2, // Opcode: SCVTFSWDri
+/* 33842 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 33855
+/* 33846 */   MCD_OPC_CheckPredicate, 3, 163, 26, // Skip to: 40669
+/* 33850 */   MCD_OPC_Decode, 160, 16, 132, 2, // Opcode: UCVTFSWDri
+/* 33855 */   MCD_OPC_FilterValue, 49, 9, 0, // Skip to: 33868
+/* 33859 */   MCD_OPC_CheckPredicate, 3, 150, 26, // Skip to: 40669
+/* 33863 */   MCD_OPC_Decode, 223, 3, 133, 2, // Opcode: FCVTZSSWDri
+/* 33868 */   MCD_OPC_FilterValue, 51, 141, 26, // Skip to: 40669
+/* 33872 */   MCD_OPC_CheckPredicate, 3, 137, 26, // Skip to: 40669
+/* 33876 */   MCD_OPC_Decode, 252, 3, 133, 2, // Opcode: FCVTZUSWDri
+/* 33881 */   MCD_OPC_FilterValue, 3, 76, 2, // Skip to: 34473
+/* 33885 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 33888 */   MCD_OPC_FilterValue, 0, 164, 1, // Skip to: 34312
+/* 33892 */   MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
+/* 33895 */   MCD_OPC_FilterValue, 0, 138, 1, // Skip to: 34293
+/* 33899 */   MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 33902 */   MCD_OPC_FilterValue, 0, 157, 0, // Skip to: 34063
+/* 33906 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 33909 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 33922
+/* 33913 */   MCD_OPC_CheckPredicate, 3, 96, 26, // Skip to: 40669
+/* 33917 */   MCD_OPC_Decode, 178, 3, 134, 2, // Opcode: FCVTNSUWDr
+/* 33922 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 33935
+/* 33926 */   MCD_OPC_CheckPredicate, 3, 83, 26, // Skip to: 40669
+/* 33930 */   MCD_OPC_Decode, 187, 3, 134, 2, // Opcode: FCVTNUUWDr
+/* 33935 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 33947
+/* 33939 */   MCD_OPC_CheckPredicate, 3, 70, 26, // Skip to: 40669
+/* 33943 */   MCD_OPC_Decode, 134, 10, 91, // Opcode: SCVTFUWDri
+/* 33947 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 33959
+/* 33951 */   MCD_OPC_CheckPredicate, 3, 58, 26, // Skip to: 40669
+/* 33955 */   MCD_OPC_Decode, 164, 16, 91, // Opcode: UCVTFUWDri
+/* 33959 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 33972
+/* 33963 */   MCD_OPC_CheckPredicate, 3, 46, 26, // Skip to: 40669
+/* 33967 */   MCD_OPC_Decode, 134, 3, 134, 2, // Opcode: FCVTASUWDr
+/* 33972 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 33985
+/* 33976 */   MCD_OPC_CheckPredicate, 3, 33, 26, // Skip to: 40669
+/* 33980 */   MCD_OPC_Decode, 143, 3, 134, 2, // Opcode: FCVTAUUWDr
+/* 33985 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 33998
+/* 33989 */   MCD_OPC_CheckPredicate, 3, 20, 26, // Skip to: 40669
+/* 33993 */   MCD_OPC_Decode, 200, 3, 134, 2, // Opcode: FCVTPSUWDr
+/* 33998 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 34011
+/* 34002 */   MCD_OPC_CheckPredicate, 3, 7, 26, // Skip to: 40669
+/* 34006 */   MCD_OPC_Decode, 209, 3, 134, 2, // Opcode: FCVTPUUWDr
+/* 34011 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 34024
+/* 34015 */   MCD_OPC_CheckPredicate, 3, 250, 25, // Skip to: 40669
+/* 34019 */   MCD_OPC_Decode, 160, 3, 134, 2, // Opcode: FCVTMSUWDr
+/* 34024 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 34037
+/* 34028 */   MCD_OPC_CheckPredicate, 3, 237, 25, // Skip to: 40669
+/* 34032 */   MCD_OPC_Decode, 169, 3, 134, 2, // Opcode: FCVTMUUWDr
+/* 34037 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 34050
+/* 34041 */   MCD_OPC_CheckPredicate, 3, 224, 25, // Skip to: 40669
+/* 34045 */   MCD_OPC_Decode, 227, 3, 134, 2, // Opcode: FCVTZSUWDr
+/* 34050 */   MCD_OPC_FilterValue, 25, 215, 25, // Skip to: 40669
+/* 34054 */   MCD_OPC_CheckPredicate, 3, 211, 25, // Skip to: 40669
+/* 34058 */   MCD_OPC_Decode, 128, 4, 134, 2, // Opcode: FCVTZUUWDr
+/* 34063 */   MCD_OPC_FilterValue, 1, 55, 0, // Skip to: 34122
+/* 34067 */   MCD_OPC_ExtractField, 0, 5,  // Inst{4-0} ...
+/* 34070 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 34083
+/* 34074 */   MCD_OPC_CheckPredicate, 3, 191, 25, // Skip to: 40669
+/* 34078 */   MCD_OPC_Decode, 253, 2, 135, 2, // Opcode: FCMPDrr
+/* 34083 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 34096
+/* 34087 */   MCD_OPC_CheckPredicate, 3, 178, 25, // Skip to: 40669
+/* 34091 */   MCD_OPC_Decode, 252, 2, 136, 2, // Opcode: FCMPDri
+/* 34096 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 34109
+/* 34100 */   MCD_OPC_CheckPredicate, 3, 165, 25, // Skip to: 40669
+/* 34104 */   MCD_OPC_Decode, 255, 2, 135, 2, // Opcode: FCMPEDrr
+/* 34109 */   MCD_OPC_FilterValue, 24, 156, 25, // Skip to: 40669
+/* 34113 */   MCD_OPC_CheckPredicate, 3, 152, 25, // Skip to: 40669
+/* 34117 */   MCD_OPC_Decode, 254, 2, 136, 2, // Opcode: FCMPEDri
+/* 34122 */   MCD_OPC_FilterValue, 2, 88, 0, // Skip to: 34214
+/* 34126 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 34129 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 34141
+/* 34133 */   MCD_OPC_CheckPredicate, 3, 132, 25, // Skip to: 40669
+/* 34137 */   MCD_OPC_Decode, 223, 4, 90, // Opcode: FMOVDr
+/* 34141 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 34153
+/* 34145 */   MCD_OPC_CheckPredicate, 3, 120, 25, // Skip to: 40669
+/* 34149 */   MCD_OPC_Decode, 255, 4, 90, // Opcode: FNEGDr
+/* 34153 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 34166
+/* 34157 */   MCD_OPC_CheckPredicate, 3, 108, 25, // Skip to: 40669
+/* 34161 */   MCD_OPC_Decode, 218, 3, 144, 1, // Opcode: FCVTSDr
+/* 34166 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 34178
+/* 34170 */   MCD_OPC_CheckPredicate, 3, 95, 25, // Skip to: 40669
+/* 34174 */   MCD_OPC_Decode, 165, 5, 90, // Opcode: FRINTNDr
+/* 34178 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 34190
+/* 34182 */   MCD_OPC_CheckPredicate, 3, 83, 25, // Skip to: 40669
+/* 34186 */   MCD_OPC_Decode, 160, 5, 90, // Opcode: FRINTMDr
+/* 34190 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 34202
+/* 34194 */   MCD_OPC_CheckPredicate, 3, 71, 25, // Skip to: 40669
+/* 34198 */   MCD_OPC_Decode, 150, 5, 90, // Opcode: FRINTADr
+/* 34202 */   MCD_OPC_FilterValue, 7, 63, 25, // Skip to: 40669
+/* 34206 */   MCD_OPC_CheckPredicate, 3, 59, 25, // Skip to: 40669
+/* 34210 */   MCD_OPC_Decode, 175, 5, 90, // Opcode: FRINTXDr
+/* 34214 */   MCD_OPC_FilterValue, 6, 51, 25, // Skip to: 40669
+/* 34218 */   MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 34221 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 34233
+/* 34225 */   MCD_OPC_CheckPredicate, 3, 40, 25, // Skip to: 40669
+/* 34229 */   MCD_OPC_Decode, 183, 2, 90, // Opcode: FABSDr
+/* 34233 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 34245
+/* 34237 */   MCD_OPC_CheckPredicate, 3, 28, 25, // Skip to: 40669
+/* 34241 */   MCD_OPC_Decode, 195, 5, 90, // Opcode: FSQRTDr
+/* 34245 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 34257
+/* 34249 */   MCD_OPC_CheckPredicate, 3, 16, 25, // Skip to: 40669
+/* 34253 */   MCD_OPC_Decode, 154, 3, 100, // Opcode: FCVTHDr
+/* 34257 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 34269
+/* 34261 */   MCD_OPC_CheckPredicate, 3, 4, 25, // Skip to: 40669
+/* 34265 */   MCD_OPC_Decode, 170, 5, 90, // Opcode: FRINTPDr
+/* 34269 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 34281
+/* 34273 */   MCD_OPC_CheckPredicate, 3, 248, 24, // Skip to: 40669
+/* 34277 */   MCD_OPC_Decode, 180, 5, 90, // Opcode: FRINTZDr
+/* 34281 */   MCD_OPC_FilterValue, 7, 240, 24, // Skip to: 40669
+/* 34285 */   MCD_OPC_CheckPredicate, 3, 236, 24, // Skip to: 40669
+/* 34289 */   MCD_OPC_Decode, 155, 5, 90, // Opcode: FRINTIDr
+/* 34293 */   MCD_OPC_FilterValue, 1, 228, 24, // Skip to: 40669
+/* 34297 */   MCD_OPC_CheckPredicate, 3, 224, 24, // Skip to: 40669
+/* 34301 */   MCD_OPC_CheckField, 5, 5, 0, 218, 24, // Skip to: 40669
+/* 34307 */   MCD_OPC_Decode, 222, 4, 137, 2, // Opcode: FMOVDi
+/* 34312 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 34345
+/* 34316 */   MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 34319 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 34332
+/* 34323 */   MCD_OPC_CheckPredicate, 3, 198, 24, // Skip to: 40669
+/* 34327 */   MCD_OPC_Decode, 208, 2, 138, 2, // Opcode: FCCMPDrr
+/* 34332 */   MCD_OPC_FilterValue, 1, 189, 24, // Skip to: 40669
+/* 34336 */   MCD_OPC_CheckPredicate, 3, 185, 24, // Skip to: 40669
+/* 34340 */   MCD_OPC_Decode, 209, 2, 138, 2, // Opcode: FCCMPEDrr
+/* 34345 */   MCD_OPC_FilterValue, 2, 111, 0, // Skip to: 34460
+/* 34349 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 34352 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 34364
+/* 34356 */   MCD_OPC_CheckPredicate, 3, 165, 24, // Skip to: 40669
+/* 34360 */   MCD_OPC_Decode, 235, 4, 89, // Opcode: FMULDrr
+/* 34364 */   MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 34376
+/* 34368 */   MCD_OPC_CheckPredicate, 3, 153, 24, // Skip to: 40669
+/* 34372 */   MCD_OPC_Decode, 153, 4, 89, // Opcode: FDIVDrr
+/* 34376 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 34388
+/* 34380 */   MCD_OPC_CheckPredicate, 3, 141, 24, // Skip to: 40669
+/* 34384 */   MCD_OPC_Decode, 198, 2, 89, // Opcode: FADDDrr
+/* 34388 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 34400
+/* 34392 */   MCD_OPC_CheckPredicate, 3, 129, 24, // Skip to: 40669
+/* 34396 */   MCD_OPC_Decode, 200, 5, 89, // Opcode: FSUBDrr
+/* 34400 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 34412
+/* 34404 */   MCD_OPC_CheckPredicate, 3, 117, 24, // Skip to: 40669
+/* 34408 */   MCD_OPC_Decode, 160, 4, 89, // Opcode: FMAXDrr
+/* 34412 */   MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 34424
+/* 34416 */   MCD_OPC_CheckPredicate, 3, 105, 24, // Skip to: 40669
+/* 34420 */   MCD_OPC_Decode, 182, 4, 89, // Opcode: FMINDrr
+/* 34424 */   MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 34436
+/* 34428 */   MCD_OPC_CheckPredicate, 3, 93, 24, // Skip to: 40669
+/* 34432 */   MCD_OPC_Decode, 161, 4, 89, // Opcode: FMAXNMDrr
+/* 34436 */   MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 34448
+/* 34440 */   MCD_OPC_CheckPredicate, 3, 81, 24, // Skip to: 40669
+/* 34444 */   MCD_OPC_Decode, 183, 4, 89, // Opcode: FMINNMDrr
+/* 34448 */   MCD_OPC_FilterValue, 8, 73, 24, // Skip to: 40669
+/* 34452 */   MCD_OPC_CheckPredicate, 3, 69, 24, // Skip to: 40669
+/* 34456 */   MCD_OPC_Decode, 136, 5, 89, // Opcode: FNMULDrr
+/* 34460 */   MCD_OPC_FilterValue, 3, 61, 24, // Skip to: 40669
+/* 34464 */   MCD_OPC_CheckPredicate, 3, 57, 24, // Skip to: 40669
+/* 34468 */   MCD_OPC_Decode, 132, 3, 139, 2, // Opcode: FCSELDrrr
+/* 34473 */   MCD_OPC_FilterValue, 7, 48, 24, // Skip to: 40669
+/* 34477 */   MCD_OPC_ExtractField, 10, 11,  // Inst{20-10} ...
+/* 34480 */   MCD_OPC_FilterValue, 144, 1, 9, 0, // Skip to: 34494
+/* 34485 */   MCD_OPC_CheckPredicate, 3, 36, 24, // Skip to: 40669
+/* 34489 */   MCD_OPC_Decode, 219, 3, 140, 2, // Opcode: FCVTSHr
+/* 34494 */   MCD_OPC_FilterValue, 176, 1, 26, 24, // Skip to: 40669
+/* 34499 */   MCD_OPC_CheckPredicate, 3, 22, 24, // Skip to: 40669
+/* 34503 */   MCD_OPC_Decode, 152, 3, 141, 2, // Opcode: FCVTDHr
+/* 34508 */   MCD_OPC_FilterValue, 3, 13, 24, // Skip to: 40669
+/* 34512 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 34515 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 34548
+/* 34519 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 34522 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 34535
+/* 34526 */   MCD_OPC_CheckPredicate, 3, 251, 23, // Skip to: 40669
+/* 34530 */   MCD_OPC_Decode, 159, 4, 142, 2, // Opcode: FMADDSrrr
+/* 34535 */   MCD_OPC_FilterValue, 1, 242, 23, // Skip to: 40669
+/* 34539 */   MCD_OPC_CheckPredicate, 3, 238, 23, // Skip to: 40669
+/* 34543 */   MCD_OPC_Decode, 234, 4, 142, 2, // Opcode: FMSUBSrrr
+/* 34548 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 34581
+/* 34552 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 34555 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 34568
+/* 34559 */   MCD_OPC_CheckPredicate, 3, 218, 23, // Skip to: 40669
+/* 34563 */   MCD_OPC_Decode, 133, 5, 142, 2, // Opcode: FNMADDSrrr
+/* 34568 */   MCD_OPC_FilterValue, 1, 209, 23, // Skip to: 40669
+/* 34572 */   MCD_OPC_CheckPredicate, 3, 205, 23, // Skip to: 40669
+/* 34576 */   MCD_OPC_Decode, 135, 5, 142, 2, // Opcode: FNMSUBSrrr
+/* 34581 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 34614
+/* 34585 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 34588 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 34601
+/* 34592 */   MCD_OPC_CheckPredicate, 3, 185, 23, // Skip to: 40669
+/* 34596 */   MCD_OPC_Decode, 158, 4, 143, 2, // Opcode: FMADDDrrr
+/* 34601 */   MCD_OPC_FilterValue, 1, 176, 23, // Skip to: 40669
+/* 34605 */   MCD_OPC_CheckPredicate, 3, 172, 23, // Skip to: 40669
+/* 34609 */   MCD_OPC_Decode, 233, 4, 143, 2, // Opcode: FMSUBDrrr
+/* 34614 */   MCD_OPC_FilterValue, 3, 163, 23, // Skip to: 40669
+/* 34618 */   MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
+/* 34621 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 34634
+/* 34625 */   MCD_OPC_CheckPredicate, 3, 152, 23, // Skip to: 40669
+/* 34629 */   MCD_OPC_Decode, 132, 5, 143, 2, // Opcode: FNMADDDrrr
+/* 34634 */   MCD_OPC_FilterValue, 1, 143, 23, // Skip to: 40669
+/* 34638 */   MCD_OPC_CheckPredicate, 3, 139, 23, // Skip to: 40669
+/* 34642 */   MCD_OPC_Decode, 134, 5, 143, 2, // Opcode: FNMSUBDrrr
+/* 34647 */   MCD_OPC_FilterValue, 1, 139, 1, // Skip to: 35046
+/* 34651 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 34654 */   MCD_OPC_FilterValue, 0, 85, 0, // Skip to: 34743
+/* 34658 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 34661 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 34676
+/* 34665 */   MCD_OPC_CheckField, 21, 1, 0, 110, 23, // Skip to: 40669
+/* 34671 */   MCD_OPC_Decode, 135, 15, 226, 1, // Opcode: STURBi
+/* 34676 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 34691
+/* 34680 */   MCD_OPC_CheckField, 21, 1, 0, 95, 23, // Skip to: 40669
+/* 34686 */   MCD_OPC_Decode, 218, 14, 226, 1, // Opcode: STRBpost
+/* 34691 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 34728
+/* 34695 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 34698 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 34713
+/* 34702 */   MCD_OPC_CheckField, 21, 1, 1, 73, 23, // Skip to: 40669
+/* 34708 */   MCD_OPC_Decode, 220, 14, 144, 2, // Opcode: STRBroW
+/* 34713 */   MCD_OPC_FilterValue, 3, 64, 23, // Skip to: 40669
+/* 34717 */   MCD_OPC_CheckField, 21, 1, 1, 58, 23, // Skip to: 40669
+/* 34723 */   MCD_OPC_Decode, 221, 14, 145, 2, // Opcode: STRBroX
+/* 34728 */   MCD_OPC_FilterValue, 3, 49, 23, // Skip to: 40669
+/* 34732 */   MCD_OPC_CheckField, 21, 1, 0, 43, 23, // Skip to: 40669
+/* 34738 */   MCD_OPC_Decode, 219, 14, 226, 1, // Opcode: STRBpre
+/* 34743 */   MCD_OPC_FilterValue, 1, 85, 0, // Skip to: 34832
+/* 34747 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 34750 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 34765
+/* 34754 */   MCD_OPC_CheckField, 21, 1, 0, 21, 23, // Skip to: 40669
+/* 34760 */   MCD_OPC_Decode, 154, 8, 226, 1, // Opcode: LDURBi
+/* 34765 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 34780
+/* 34769 */   MCD_OPC_CheckField, 21, 1, 0, 6, 23, // Skip to: 40669
+/* 34775 */   MCD_OPC_Decode, 201, 7, 226, 1, // Opcode: LDRBpost
+/* 34780 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 34817
+/* 34784 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 34787 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 34802
+/* 34791 */   MCD_OPC_CheckField, 21, 1, 1, 240, 22, // Skip to: 40669
+/* 34797 */   MCD_OPC_Decode, 203, 7, 144, 2, // Opcode: LDRBroW
+/* 34802 */   MCD_OPC_FilterValue, 3, 231, 22, // Skip to: 40669
+/* 34806 */   MCD_OPC_CheckField, 21, 1, 1, 225, 22, // Skip to: 40669
+/* 34812 */   MCD_OPC_Decode, 204, 7, 145, 2, // Opcode: LDRBroX
+/* 34817 */   MCD_OPC_FilterValue, 3, 216, 22, // Skip to: 40669
+/* 34821 */   MCD_OPC_CheckField, 21, 1, 0, 210, 22, // Skip to: 40669
+/* 34827 */   MCD_OPC_Decode, 202, 7, 226, 1, // Opcode: LDRBpre
+/* 34832 */   MCD_OPC_FilterValue, 2, 85, 0, // Skip to: 34921
+/* 34836 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 34839 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 34854
+/* 34843 */   MCD_OPC_CheckField, 21, 1, 0, 188, 22, // Skip to: 40669
+/* 34849 */   MCD_OPC_Decode, 139, 15, 226, 1, // Opcode: STURQi
+/* 34854 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 34869
+/* 34858 */   MCD_OPC_CheckField, 21, 1, 0, 173, 22, // Skip to: 40669
+/* 34864 */   MCD_OPC_Decode, 238, 14, 226, 1, // Opcode: STRQpost
+/* 34869 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 34906
+/* 34873 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 34876 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 34891
+/* 34880 */   MCD_OPC_CheckField, 21, 1, 1, 151, 22, // Skip to: 40669
+/* 34886 */   MCD_OPC_Decode, 240, 14, 146, 2, // Opcode: STRQroW
+/* 34891 */   MCD_OPC_FilterValue, 3, 142, 22, // Skip to: 40669
+/* 34895 */   MCD_OPC_CheckField, 21, 1, 1, 136, 22, // Skip to: 40669
+/* 34901 */   MCD_OPC_Decode, 241, 14, 147, 2, // Opcode: STRQroX
+/* 34906 */   MCD_OPC_FilterValue, 3, 127, 22, // Skip to: 40669
+/* 34910 */   MCD_OPC_CheckField, 21, 1, 0, 121, 22, // Skip to: 40669
+/* 34916 */   MCD_OPC_Decode, 239, 14, 226, 1, // Opcode: STRQpre
+/* 34921 */   MCD_OPC_FilterValue, 3, 85, 0, // Skip to: 35010
+/* 34925 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 34928 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 34943
+/* 34932 */   MCD_OPC_CheckField, 21, 1, 0, 99, 22, // Skip to: 40669
+/* 34938 */   MCD_OPC_Decode, 158, 8, 226, 1, // Opcode: LDURQi
+/* 34943 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 34958
+/* 34947 */   MCD_OPC_CheckField, 21, 1, 0, 84, 22, // Skip to: 40669
+/* 34953 */   MCD_OPC_Decode, 223, 7, 226, 1, // Opcode: LDRQpost
+/* 34958 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 34995
+/* 34962 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 34965 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 34980
+/* 34969 */   MCD_OPC_CheckField, 21, 1, 1, 62, 22, // Skip to: 40669
+/* 34975 */   MCD_OPC_Decode, 225, 7, 146, 2, // Opcode: LDRQroW
+/* 34980 */   MCD_OPC_FilterValue, 3, 53, 22, // Skip to: 40669
+/* 34984 */   MCD_OPC_CheckField, 21, 1, 1, 47, 22, // Skip to: 40669
+/* 34990 */   MCD_OPC_Decode, 226, 7, 147, 2, // Opcode: LDRQroX
+/* 34995 */   MCD_OPC_FilterValue, 3, 38, 22, // Skip to: 40669
+/* 34999 */   MCD_OPC_CheckField, 21, 1, 0, 32, 22, // Skip to: 40669
+/* 35005 */   MCD_OPC_Decode, 224, 7, 226, 1, // Opcode: LDRQpre
+/* 35010 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 35019
+/* 35014 */   MCD_OPC_Decode, 222, 14, 231, 1, // Opcode: STRBui
+/* 35019 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 35028
+/* 35023 */   MCD_OPC_Decode, 205, 7, 231, 1, // Opcode: LDRBui
+/* 35028 */   MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 35037
+/* 35032 */   MCD_OPC_Decode, 242, 14, 231, 1, // Opcode: STRQui
+/* 35037 */   MCD_OPC_FilterValue, 7, 252, 21, // Skip to: 40669
+/* 35041 */   MCD_OPC_Decode, 227, 7, 231, 1, // Opcode: LDRQui
+/* 35046 */   MCD_OPC_FilterValue, 2, 240, 8, // Skip to: 37338
+/* 35050 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 35053 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 35062
+/* 35057 */   MCD_OPC_Decode, 206, 7, 148, 2, // Opcode: LDRDl
+/* 35062 */   MCD_OPC_FilterValue, 2, 175, 5, // Skip to: 36521
+/* 35066 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 35069 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 35088
+/* 35073 */   MCD_OPC_CheckPredicate, 1, 216, 21, // Skip to: 40669
+/* 35077 */   MCD_OPC_CheckField, 21, 3, 0, 210, 21, // Skip to: 40669
+/* 35083 */   MCD_OPC_Decode, 152, 10, 149, 2, // Opcode: SHA1Crrr
+/* 35088 */   MCD_OPC_FilterValue, 1, 99, 0, // Skip to: 35191
+/* 35092 */   MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 35095 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 35172
+/* 35099 */   MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 35102 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 35153
+/* 35106 */   MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
+/* 35109 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 35134
+/* 35113 */   MCD_OPC_CheckPredicate, 0, 176, 21, // Skip to: 40669
+/* 35117 */   MCD_OPC_CheckField, 21, 3, 0, 170, 21, // Skip to: 40669
+/* 35123 */   MCD_OPC_CheckField, 19, 1, 1, 164, 21, // Skip to: 40669
+/* 35129 */   MCD_OPC_Decode, 250, 1, 150, 2, // Opcode: CPYi64
+/* 35134 */   MCD_OPC_FilterValue, 1, 155, 21, // Skip to: 40669
+/* 35138 */   MCD_OPC_CheckPredicate, 0, 151, 21, // Skip to: 40669
+/* 35142 */   MCD_OPC_CheckField, 21, 3, 0, 145, 21, // Skip to: 40669
+/* 35148 */   MCD_OPC_Decode, 249, 1, 151, 2, // Opcode: CPYi32
+/* 35153 */   MCD_OPC_FilterValue, 1, 136, 21, // Skip to: 40669
+/* 35157 */   MCD_OPC_CheckPredicate, 0, 132, 21, // Skip to: 40669
+/* 35161 */   MCD_OPC_CheckField, 21, 3, 0, 126, 21, // Skip to: 40669
+/* 35167 */   MCD_OPC_Decode, 248, 1, 152, 2, // Opcode: CPYi16
+/* 35172 */   MCD_OPC_FilterValue, 1, 117, 21, // Skip to: 40669
+/* 35176 */   MCD_OPC_CheckPredicate, 0, 113, 21, // Skip to: 40669
+/* 35180 */   MCD_OPC_CheckField, 21, 3, 0, 107, 21, // Skip to: 40669
+/* 35186 */   MCD_OPC_Decode, 251, 1, 153, 2, // Opcode: CPYi8
+/* 35191 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 35210
+/* 35195 */   MCD_OPC_CheckPredicate, 1, 94, 21, // Skip to: 40669
+/* 35199 */   MCD_OPC_CheckField, 16, 8, 40, 88, 21, // Skip to: 40669
+/* 35205 */   MCD_OPC_Decode, 153, 10, 253, 1, // Opcode: SHA1Hrr
+/* 35210 */   MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 35268
+/* 35214 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 35217 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 35230
+/* 35221 */   MCD_OPC_CheckPredicate, 0, 68, 21, // Skip to: 40669
+/* 35225 */   MCD_OPC_Decode, 162, 11, 154, 2, // Opcode: SQADDv1i8
+/* 35230 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 35243
+/* 35234 */   MCD_OPC_CheckPredicate, 0, 55, 21, // Skip to: 40669
+/* 35238 */   MCD_OPC_Decode, 159, 11, 155, 2, // Opcode: SQADDv1i16
+/* 35243 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 35256
+/* 35247 */   MCD_OPC_CheckPredicate, 0, 42, 21, // Skip to: 40669
+/* 35251 */   MCD_OPC_Decode, 160, 11, 130, 2, // Opcode: SQADDv1i32
+/* 35256 */   MCD_OPC_FilterValue, 7, 33, 21, // Skip to: 40669
+/* 35260 */   MCD_OPC_CheckPredicate, 0, 29, 21, // Skip to: 40669
+/* 35264 */   MCD_OPC_Decode, 161, 11, 89, // Opcode: SQADDv1i64
+/* 35268 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 35287
+/* 35272 */   MCD_OPC_CheckPredicate, 1, 17, 21, // Skip to: 40669
+/* 35276 */   MCD_OPC_CheckField, 21, 3, 0, 11, 21, // Skip to: 40669
+/* 35282 */   MCD_OPC_Decode, 155, 10, 149, 2, // Opcode: SHA1Prrr
+/* 35287 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 35305
+/* 35291 */   MCD_OPC_CheckPredicate, 1, 254, 20, // Skip to: 40669
+/* 35295 */   MCD_OPC_CheckField, 16, 8, 40, 248, 20, // Skip to: 40669
+/* 35301 */   MCD_OPC_Decode, 157, 10, 126, // Opcode: SHA1SU1rr
+/* 35305 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 35324
+/* 35309 */   MCD_OPC_CheckPredicate, 1, 236, 20, // Skip to: 40669
+/* 35313 */   MCD_OPC_CheckField, 21, 3, 0, 230, 20, // Skip to: 40669
+/* 35319 */   MCD_OPC_Decode, 154, 10, 149, 2, // Opcode: SHA1Mrrr
+/* 35324 */   MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 35342
+/* 35328 */   MCD_OPC_CheckPredicate, 1, 217, 20, // Skip to: 40669
+/* 35332 */   MCD_OPC_CheckField, 16, 8, 40, 211, 20, // Skip to: 40669
+/* 35338 */   MCD_OPC_Decode, 160, 10, 126, // Opcode: SHA256SU0rr
+/* 35342 */   MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 35400
+/* 35346 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 35349 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 35362
+/* 35353 */   MCD_OPC_CheckPredicate, 0, 192, 20, // Skip to: 40669
+/* 35357 */   MCD_OPC_Decode, 196, 12, 154, 2, // Opcode: SQSUBv1i8
+/* 35362 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 35375
+/* 35366 */   MCD_OPC_CheckPredicate, 0, 179, 20, // Skip to: 40669
+/* 35370 */   MCD_OPC_Decode, 193, 12, 155, 2, // Opcode: SQSUBv1i16
+/* 35375 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 35388
+/* 35379 */   MCD_OPC_CheckPredicate, 0, 166, 20, // Skip to: 40669
+/* 35383 */   MCD_OPC_Decode, 194, 12, 130, 2, // Opcode: SQSUBv1i32
+/* 35388 */   MCD_OPC_FilterValue, 7, 157, 20, // Skip to: 40669
+/* 35392 */   MCD_OPC_CheckPredicate, 0, 153, 20, // Skip to: 40669
+/* 35396 */   MCD_OPC_Decode, 195, 12, 89, // Opcode: SQSUBv1i64
+/* 35400 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 35418
+/* 35404 */   MCD_OPC_CheckPredicate, 1, 141, 20, // Skip to: 40669
+/* 35408 */   MCD_OPC_CheckField, 21, 3, 0, 135, 20, // Skip to: 40669
+/* 35414 */   MCD_OPC_Decode, 156, 10, 120, // Opcode: SHA1SU0rrr
+/* 35418 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 35436
+/* 35422 */   MCD_OPC_CheckPredicate, 0, 123, 20, // Skip to: 40669
+/* 35426 */   MCD_OPC_CheckField, 21, 3, 7, 117, 20, // Skip to: 40669
+/* 35432 */   MCD_OPC_Decode, 192, 1, 89, // Opcode: CMGTv1i64
+/* 35436 */   MCD_OPC_FilterValue, 14, 56, 0, // Skip to: 35496
+/* 35440 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 35443 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 35456
+/* 35447 */   MCD_OPC_CheckPredicate, 0, 98, 20, // Skip to: 40669
+/* 35451 */   MCD_OPC_Decode, 185, 15, 156, 2, // Opcode: SUQADDv1i8
+/* 35456 */   MCD_OPC_FilterValue, 96, 9, 0, // Skip to: 35469
+/* 35460 */   MCD_OPC_CheckPredicate, 0, 85, 20, // Skip to: 40669
+/* 35464 */   MCD_OPC_Decode, 182, 15, 157, 2, // Opcode: SUQADDv1i16
+/* 35469 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 35483
+/* 35474 */   MCD_OPC_CheckPredicate, 0, 71, 20, // Skip to: 40669
+/* 35478 */   MCD_OPC_Decode, 183, 15, 158, 2, // Opcode: SUQADDv1i32
+/* 35483 */   MCD_OPC_FilterValue, 224, 1, 61, 20, // Skip to: 40669
+/* 35488 */   MCD_OPC_CheckPredicate, 0, 57, 20, // Skip to: 40669
+/* 35492 */   MCD_OPC_Decode, 184, 15, 99, // Opcode: SUQADDv1i64
+/* 35496 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 35514
+/* 35500 */   MCD_OPC_CheckPredicate, 0, 45, 20, // Skip to: 40669
+/* 35504 */   MCD_OPC_CheckField, 21, 3, 7, 39, 20, // Skip to: 40669
+/* 35510 */   MCD_OPC_Decode, 176, 1, 89, // Opcode: CMGEv1i64
+/* 35514 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 35532
+/* 35518 */   MCD_OPC_CheckPredicate, 1, 27, 20, // Skip to: 40669
+/* 35522 */   MCD_OPC_CheckField, 21, 3, 0, 21, 20, // Skip to: 40669
+/* 35528 */   MCD_OPC_Decode, 159, 10, 120, // Opcode: SHA256Hrrr
+/* 35532 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 35550
+/* 35536 */   MCD_OPC_CheckPredicate, 0, 9, 20, // Skip to: 40669
+/* 35540 */   MCD_OPC_CheckField, 21, 3, 7, 3, 20, // Skip to: 40669
+/* 35546 */   MCD_OPC_Decode, 138, 13, 89, // Opcode: SSHLv1i64
+/* 35550 */   MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 35597
+/* 35554 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 35557 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 35570
+/* 35561 */   MCD_OPC_CheckPredicate, 0, 240, 19, // Skip to: 40669
+/* 35565 */   MCD_OPC_Decode, 206, 12, 159, 2, // Opcode: SQXTNv1i8
+/* 35570 */   MCD_OPC_FilterValue, 97, 9, 0, // Skip to: 35583
+/* 35574 */   MCD_OPC_CheckPredicate, 0, 227, 19, // Skip to: 40669
+/* 35578 */   MCD_OPC_Decode, 204, 12, 255, 1, // Opcode: SQXTNv1i16
+/* 35583 */   MCD_OPC_FilterValue, 161, 1, 217, 19, // Skip to: 40669
+/* 35588 */   MCD_OPC_CheckPredicate, 0, 213, 19, // Skip to: 40669
+/* 35592 */   MCD_OPC_Decode, 205, 12, 144, 1, // Opcode: SQXTNv1i32
+/* 35597 */   MCD_OPC_FilterValue, 19, 54, 0, // Skip to: 35655
+/* 35601 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 35604 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 35617
+/* 35608 */   MCD_OPC_CheckPredicate, 0, 193, 19, // Skip to: 40669
+/* 35612 */   MCD_OPC_Decode, 161, 12, 154, 2, // Opcode: SQSHLv1i8
+/* 35617 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 35630
+/* 35621 */   MCD_OPC_CheckPredicate, 0, 180, 19, // Skip to: 40669
+/* 35625 */   MCD_OPC_Decode, 158, 12, 155, 2, // Opcode: SQSHLv1i16
+/* 35630 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 35643
+/* 35634 */   MCD_OPC_CheckPredicate, 0, 167, 19, // Skip to: 40669
+/* 35638 */   MCD_OPC_Decode, 159, 12, 130, 2, // Opcode: SQSHLv1i32
+/* 35643 */   MCD_OPC_FilterValue, 7, 158, 19, // Skip to: 40669
+/* 35647 */   MCD_OPC_CheckPredicate, 0, 154, 19, // Skip to: 40669
+/* 35651 */   MCD_OPC_Decode, 160, 12, 89, // Opcode: SQSHLv1i64
+/* 35655 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 35673
+/* 35659 */   MCD_OPC_CheckPredicate, 1, 142, 19, // Skip to: 40669
+/* 35663 */   MCD_OPC_CheckField, 21, 3, 0, 136, 19, // Skip to: 40669
+/* 35669 */   MCD_OPC_Decode, 158, 10, 120, // Opcode: SHA256H2rrr
+/* 35673 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 35691
+/* 35677 */   MCD_OPC_CheckPredicate, 0, 124, 19, // Skip to: 40669
+/* 35681 */   MCD_OPC_CheckField, 21, 3, 7, 118, 19, // Skip to: 40669
+/* 35687 */   MCD_OPC_Decode, 236, 12, 89, // Opcode: SRSHLv1i64
+/* 35691 */   MCD_OPC_FilterValue, 23, 54, 0, // Skip to: 35749
+/* 35695 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 35698 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 35711
+/* 35702 */   MCD_OPC_CheckPredicate, 0, 99, 19, // Skip to: 40669
+/* 35706 */   MCD_OPC_Decode, 244, 11, 154, 2, // Opcode: SQRSHLv1i8
+/* 35711 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 35724
+/* 35715 */   MCD_OPC_CheckPredicate, 0, 86, 19, // Skip to: 40669
+/* 35719 */   MCD_OPC_Decode, 241, 11, 155, 2, // Opcode: SQRSHLv1i16
+/* 35724 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 35737
+/* 35728 */   MCD_OPC_CheckPredicate, 0, 73, 19, // Skip to: 40669
+/* 35732 */   MCD_OPC_Decode, 242, 11, 130, 2, // Opcode: SQRSHLv1i32
+/* 35737 */   MCD_OPC_FilterValue, 7, 64, 19, // Skip to: 40669
+/* 35741 */   MCD_OPC_CheckPredicate, 0, 60, 19, // Skip to: 40669
+/* 35745 */   MCD_OPC_Decode, 243, 11, 89, // Opcode: SQRSHLv1i64
+/* 35749 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 35767
+/* 35753 */   MCD_OPC_CheckPredicate, 1, 48, 19, // Skip to: 40669
+/* 35757 */   MCD_OPC_CheckField, 21, 3, 0, 42, 19, // Skip to: 40669
+/* 35763 */   MCD_OPC_Decode, 161, 10, 120, // Opcode: SHA256SU1rrr
+/* 35767 */   MCD_OPC_FilterValue, 30, 56, 0, // Skip to: 35827
+/* 35771 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 35774 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 35787
+/* 35778 */   MCD_OPC_CheckPredicate, 0, 23, 19, // Skip to: 40669
+/* 35782 */   MCD_OPC_Decode, 151, 11, 160, 2, // Opcode: SQABSv1i8
+/* 35787 */   MCD_OPC_FilterValue, 96, 9, 0, // Skip to: 35800
+/* 35791 */   MCD_OPC_CheckPredicate, 0, 10, 19, // Skip to: 40669
+/* 35795 */   MCD_OPC_Decode, 148, 11, 161, 2, // Opcode: SQABSv1i16
+/* 35800 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 35814
+/* 35805 */   MCD_OPC_CheckPredicate, 0, 252, 18, // Skip to: 40669
+/* 35809 */   MCD_OPC_Decode, 149, 11, 253, 1, // Opcode: SQABSv1i32
+/* 35814 */   MCD_OPC_FilterValue, 224, 1, 242, 18, // Skip to: 40669
+/* 35819 */   MCD_OPC_CheckPredicate, 0, 238, 18, // Skip to: 40669
+/* 35823 */   MCD_OPC_Decode, 150, 11, 90, // Opcode: SQABSv1i64
+/* 35827 */   MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 35844
+/* 35831 */   MCD_OPC_CheckPredicate, 0, 226, 18, // Skip to: 40669
+/* 35835 */   MCD_OPC_CheckField, 21, 3, 7, 220, 18, // Skip to: 40669
+/* 35841 */   MCD_OPC_Decode, 70, 89, // Opcode: ADDv1i64
+/* 35844 */   MCD_OPC_FilterValue, 34, 15, 0, // Skip to: 35863
+/* 35848 */   MCD_OPC_CheckPredicate, 0, 209, 18, // Skip to: 40669
+/* 35852 */   MCD_OPC_CheckField, 16, 8, 224, 1, 202, 18, // Skip to: 40669
+/* 35859 */   MCD_OPC_Decode, 193, 1, 90, // Opcode: CMGTv1i64rz
+/* 35863 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 35881
+/* 35867 */   MCD_OPC_CheckPredicate, 0, 190, 18, // Skip to: 40669
+/* 35871 */   MCD_OPC_CheckField, 21, 3, 7, 184, 18, // Skip to: 40669
+/* 35877 */   MCD_OPC_Decode, 239, 1, 89, // Opcode: CMTSTv1i64
+/* 35881 */   MCD_OPC_FilterValue, 36, 29, 0, // Skip to: 35914
+/* 35885 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 35888 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 35901
+/* 35892 */   MCD_OPC_CheckPredicate, 0, 165, 18, // Skip to: 40669
+/* 35896 */   MCD_OPC_Decode, 169, 11, 162, 2, // Opcode: SQDMLALi16
+/* 35901 */   MCD_OPC_FilterValue, 5, 156, 18, // Skip to: 40669
+/* 35905 */   MCD_OPC_CheckPredicate, 0, 152, 18, // Skip to: 40669
+/* 35909 */   MCD_OPC_Decode, 170, 11, 163, 2, // Opcode: SQDMLALi32
+/* 35914 */   MCD_OPC_FilterValue, 38, 15, 0, // Skip to: 35933
+/* 35918 */   MCD_OPC_CheckPredicate, 0, 139, 18, // Skip to: 40669
+/* 35922 */   MCD_OPC_CheckField, 16, 8, 224, 1, 132, 18, // Skip to: 40669
+/* 35929 */   MCD_OPC_Decode, 161, 1, 90, // Opcode: CMEQv1i64rz
+/* 35933 */   MCD_OPC_FilterValue, 42, 68, 0, // Skip to: 36005
+/* 35937 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 35940 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 35953
+/* 35944 */   MCD_OPC_CheckPredicate, 0, 113, 18, // Skip to: 40669
+/* 35948 */   MCD_OPC_Decode, 182, 3, 253, 1, // Opcode: FCVTNSv1i32
+/* 35953 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 35965
+/* 35957 */   MCD_OPC_CheckPredicate, 0, 100, 18, // Skip to: 40669
+/* 35961 */   MCD_OPC_Decode, 183, 3, 90, // Opcode: FCVTNSv1i64
+/* 35965 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 35979
+/* 35970 */   MCD_OPC_CheckPredicate, 0, 87, 18, // Skip to: 40669
+/* 35974 */   MCD_OPC_Decode, 204, 3, 253, 1, // Opcode: FCVTPSv1i32
+/* 35979 */   MCD_OPC_FilterValue, 224, 1, 8, 0, // Skip to: 35992
+/* 35984 */   MCD_OPC_CheckPredicate, 0, 73, 18, // Skip to: 40669
+/* 35988 */   MCD_OPC_Decode, 231, 1, 90, // Opcode: CMLTv1i64rz
+/* 35992 */   MCD_OPC_FilterValue, 225, 1, 64, 18, // Skip to: 40669
+/* 35997 */   MCD_OPC_CheckPredicate, 0, 60, 18, // Skip to: 40669
+/* 36001 */   MCD_OPC_Decode, 205, 3, 90, // Opcode: FCVTPSv1i64
+/* 36005 */   MCD_OPC_FilterValue, 44, 29, 0, // Skip to: 36038
+/* 36009 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 36012 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 36025
+/* 36016 */   MCD_OPC_CheckPredicate, 0, 41, 18, // Skip to: 40669
+/* 36020 */   MCD_OPC_Decode, 181, 11, 162, 2, // Opcode: SQDMLSLi16
+/* 36025 */   MCD_OPC_FilterValue, 5, 32, 18, // Skip to: 40669
+/* 36029 */   MCD_OPC_CheckPredicate, 0, 28, 18, // Skip to: 40669
+/* 36033 */   MCD_OPC_Decode, 182, 11, 163, 2, // Opcode: SQDMLSLi32
+/* 36038 */   MCD_OPC_FilterValue, 45, 29, 0, // Skip to: 36071
+/* 36042 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 36045 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 36058
+/* 36049 */   MCD_OPC_CheckPredicate, 0, 8, 18, // Skip to: 40669
+/* 36053 */   MCD_OPC_Decode, 193, 11, 155, 2, // Opcode: SQDMULHv1i16
+/* 36058 */   MCD_OPC_FilterValue, 5, 255, 17, // Skip to: 40669
+/* 36062 */   MCD_OPC_CheckPredicate, 0, 251, 17, // Skip to: 40669
+/* 36066 */   MCD_OPC_Decode, 195, 11, 130, 2, // Opcode: SQDMULHv1i32
+/* 36071 */   MCD_OPC_FilterValue, 46, 79, 0, // Skip to: 36154
+/* 36075 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 36078 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 36091
+/* 36082 */   MCD_OPC_CheckPredicate, 0, 231, 17, // Skip to: 40669
+/* 36086 */   MCD_OPC_Decode, 164, 3, 253, 1, // Opcode: FCVTMSv1i32
+/* 36091 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 36103
+/* 36095 */   MCD_OPC_CheckPredicate, 0, 218, 17, // Skip to: 40669
+/* 36099 */   MCD_OPC_Decode, 165, 3, 90, // Opcode: FCVTMSv1i64
+/* 36103 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 36117
+/* 36108 */   MCD_OPC_CheckPredicate, 0, 205, 17, // Skip to: 40669
+/* 36112 */   MCD_OPC_Decode, 244, 3, 253, 1, // Opcode: FCVTZSv1i32
+/* 36117 */   MCD_OPC_FilterValue, 224, 1, 7, 0, // Skip to: 36129
+/* 36122 */   MCD_OPC_CheckPredicate, 0, 191, 17, // Skip to: 40669
+/* 36126 */   MCD_OPC_Decode, 21, 90, // Opcode: ABSv1i64
+/* 36129 */   MCD_OPC_FilterValue, 225, 1, 8, 0, // Skip to: 36142
+/* 36134 */   MCD_OPC_CheckPredicate, 0, 179, 17, // Skip to: 40669
+/* 36138 */   MCD_OPC_Decode, 245, 3, 90, // Opcode: FCVTZSv1i64
+/* 36142 */   MCD_OPC_FilterValue, 241, 1, 170, 17, // Skip to: 40669
+/* 36147 */   MCD_OPC_CheckPredicate, 0, 166, 17, // Skip to: 40669
+/* 36151 */   MCD_OPC_Decode, 41, 95, // Opcode: ADDPv2i64p
+/* 36154 */   MCD_OPC_FilterValue, 50, 55, 0, // Skip to: 36213
+/* 36158 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 36161 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 36174
+/* 36165 */   MCD_OPC_CheckPredicate, 0, 148, 17, // Skip to: 40669
+/* 36169 */   MCD_OPC_Decode, 138, 3, 253, 1, // Opcode: FCVTASv1i32
+/* 36174 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 36186
+/* 36178 */   MCD_OPC_CheckPredicate, 0, 135, 17, // Skip to: 40669
+/* 36182 */   MCD_OPC_Decode, 139, 3, 90, // Opcode: FCVTASv1i64
+/* 36186 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 36200
+/* 36191 */   MCD_OPC_CheckPredicate, 0, 122, 17, // Skip to: 40669
+/* 36195 */   MCD_OPC_Decode, 234, 2, 253, 1, // Opcode: FCMGTv1i32rz
+/* 36200 */   MCD_OPC_FilterValue, 224, 1, 112, 17, // Skip to: 40669
+/* 36205 */   MCD_OPC_CheckPredicate, 0, 108, 17, // Skip to: 40669
+/* 36209 */   MCD_OPC_Decode, 235, 2, 90, // Opcode: FCMGTv1i64rz
+/* 36213 */   MCD_OPC_FilterValue, 52, 29, 0, // Skip to: 36246
+/* 36217 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 36220 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 36233
+/* 36224 */   MCD_OPC_CheckPredicate, 0, 89, 17, // Skip to: 40669
+/* 36228 */   MCD_OPC_Decode, 205, 11, 164, 2, // Opcode: SQDMULLi16
+/* 36233 */   MCD_OPC_FilterValue, 5, 80, 17, // Skip to: 40669
+/* 36237 */   MCD_OPC_CheckPredicate, 0, 76, 17, // Skip to: 40669
+/* 36241 */   MCD_OPC_Decode, 206, 11, 165, 2, // Opcode: SQDMULLi32
+/* 36246 */   MCD_OPC_FilterValue, 54, 82, 0, // Skip to: 36332
+/* 36250 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 36253 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 36266
+/* 36257 */   MCD_OPC_CheckPredicate, 0, 56, 17, // Skip to: 40669
+/* 36261 */   MCD_OPC_Decode, 140, 10, 253, 1, // Opcode: SCVTFv1i32
+/* 36266 */   MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 36278
+/* 36270 */   MCD_OPC_CheckPredicate, 0, 43, 17, // Skip to: 40669
+/* 36274 */   MCD_OPC_Decode, 141, 10, 90, // Opcode: SCVTFv1i64
+/* 36278 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 36292
+/* 36283 */   MCD_OPC_CheckPredicate, 0, 30, 17, // Skip to: 40669
+/* 36287 */   MCD_OPC_Decode, 214, 2, 253, 1, // Opcode: FCMEQv1i32rz
+/* 36292 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 36306
+/* 36297 */   MCD_OPC_CheckPredicate, 0, 16, 17, // Skip to: 40669
+/* 36301 */   MCD_OPC_Decode, 138, 5, 253, 1, // Opcode: FRECPEv1i32
+/* 36306 */   MCD_OPC_FilterValue, 224, 1, 8, 0, // Skip to: 36319
+/* 36311 */   MCD_OPC_CheckPredicate, 0, 2, 17, // Skip to: 40669
+/* 36315 */   MCD_OPC_Decode, 215, 2, 90, // Opcode: FCMEQv1i64rz
+/* 36319 */   MCD_OPC_FilterValue, 225, 1, 249, 16, // Skip to: 40669
+/* 36324 */   MCD_OPC_CheckPredicate, 0, 245, 16, // Skip to: 40669
+/* 36328 */   MCD_OPC_Decode, 139, 5, 90, // Opcode: FRECPEv1i64
+/* 36332 */   MCD_OPC_FilterValue, 55, 28, 0, // Skip to: 36364
+/* 36336 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 36339 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 36352
+/* 36343 */   MCD_OPC_CheckPredicate, 0, 226, 16, // Skip to: 40669
+/* 36347 */   MCD_OPC_Decode, 237, 4, 130, 2, // Opcode: FMULX32
+/* 36352 */   MCD_OPC_FilterValue, 3, 217, 16, // Skip to: 40669
+/* 36356 */   MCD_OPC_CheckPredicate, 0, 213, 16, // Skip to: 40669
+/* 36360 */   MCD_OPC_Decode, 238, 4, 89, // Opcode: FMULX64
+/* 36364 */   MCD_OPC_FilterValue, 57, 28, 0, // Skip to: 36396
+/* 36368 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 36371 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 36384
+/* 36375 */   MCD_OPC_CheckPredicate, 0, 194, 16, // Skip to: 40669
+/* 36379 */   MCD_OPC_Decode, 212, 2, 130, 2, // Opcode: FCMEQ32
+/* 36384 */   MCD_OPC_FilterValue, 3, 185, 16, // Skip to: 40669
+/* 36388 */   MCD_OPC_CheckPredicate, 0, 181, 16, // Skip to: 40669
+/* 36392 */   MCD_OPC_Decode, 213, 2, 89, // Opcode: FCMEQ64
+/* 36396 */   MCD_OPC_FilterValue, 58, 30, 0, // Skip to: 36430
+/* 36400 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 36403 */   MCD_OPC_FilterValue, 160, 1, 9, 0, // Skip to: 36417
+/* 36408 */   MCD_OPC_CheckPredicate, 0, 161, 16, // Skip to: 40669
+/* 36412 */   MCD_OPC_Decode, 247, 2, 253, 1, // Opcode: FCMLTv1i32rz
+/* 36417 */   MCD_OPC_FilterValue, 224, 1, 151, 16, // Skip to: 40669
+/* 36422 */   MCD_OPC_CheckPredicate, 0, 147, 16, // Skip to: 40669
+/* 36426 */   MCD_OPC_Decode, 248, 2, 90, // Opcode: FCMLTv1i64rz
+/* 36430 */   MCD_OPC_FilterValue, 62, 30, 0, // Skip to: 36464
+/* 36434 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 36437 */   MCD_OPC_FilterValue, 161, 1, 9, 0, // Skip to: 36451
+/* 36442 */   MCD_OPC_CheckPredicate, 0, 127, 16, // Skip to: 40669
+/* 36446 */   MCD_OPC_Decode, 148, 5, 253, 1, // Opcode: FRECPXv1i32
+/* 36451 */   MCD_OPC_FilterValue, 225, 1, 117, 16, // Skip to: 40669
+/* 36456 */   MCD_OPC_CheckPredicate, 0, 113, 16, // Skip to: 40669
+/* 36460 */   MCD_OPC_Decode, 149, 5, 90, // Opcode: FRECPXv1i64
+/* 36464 */   MCD_OPC_FilterValue, 63, 105, 16, // Skip to: 40669
+/* 36468 */   MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 36471 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 36484
+/* 36475 */   MCD_OPC_CheckPredicate, 0, 94, 16, // Skip to: 40669
+/* 36479 */   MCD_OPC_Decode, 143, 5, 130, 2, // Opcode: FRECPS32
+/* 36484 */   MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 36496
+/* 36488 */   MCD_OPC_CheckPredicate, 0, 81, 16, // Skip to: 40669
+/* 36492 */   MCD_OPC_Decode, 144, 5, 89, // Opcode: FRECPS64
+/* 36496 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 36509
+/* 36500 */   MCD_OPC_CheckPredicate, 0, 69, 16, // Skip to: 40669
+/* 36504 */   MCD_OPC_Decode, 190, 5, 130, 2, // Opcode: FRSQRTS32
+/* 36509 */   MCD_OPC_FilterValue, 7, 60, 16, // Skip to: 40669
+/* 36513 */   MCD_OPC_CheckPredicate, 0, 56, 16, // Skip to: 40669
+/* 36517 */   MCD_OPC_Decode, 191, 5, 89, // Opcode: FRSQRTS64
+/* 36521 */   MCD_OPC_FilterValue, 3, 48, 16, // Skip to: 40669
+/* 36525 */   MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 36528 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 36553
+/* 36532 */   MCD_OPC_CheckPredicate, 0, 37, 16, // Skip to: 40669
+/* 36536 */   MCD_OPC_CheckField, 22, 2, 1, 31, 16, // Skip to: 40669
+/* 36542 */   MCD_OPC_CheckField, 10, 2, 1, 25, 16, // Skip to: 40669
+/* 36548 */   MCD_OPC_Decode, 145, 13, 166, 2, // Opcode: SSHRd
+/* 36553 */   MCD_OPC_FilterValue, 1, 66, 0, // Skip to: 36623
+/* 36557 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 36560 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 36579
+/* 36564 */   MCD_OPC_CheckPredicate, 0, 5, 16, // Skip to: 40669
+/* 36568 */   MCD_OPC_CheckField, 10, 2, 1, 255, 15, // Skip to: 40669
+/* 36574 */   MCD_OPC_Decode, 153, 13, 167, 2, // Opcode: SSRAd
+/* 36579 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 36598
+/* 36583 */   MCD_OPC_CheckPredicate, 0, 242, 15, // Skip to: 40669
+/* 36587 */   MCD_OPC_CheckField, 10, 1, 0, 236, 15, // Skip to: 40669
+/* 36593 */   MCD_OPC_Decode, 204, 4, 168, 2, // Opcode: FMLAv1i32_indexed
+/* 36598 */   MCD_OPC_FilterValue, 3, 227, 15, // Skip to: 40669
+/* 36602 */   MCD_OPC_CheckPredicate, 0, 223, 15, // Skip to: 40669
+/* 36606 */   MCD_OPC_CheckField, 21, 1, 0, 217, 15, // Skip to: 40669
+/* 36612 */   MCD_OPC_CheckField, 10, 1, 0, 211, 15, // Skip to: 40669
+/* 36618 */   MCD_OPC_Decode, 205, 4, 169, 2, // Opcode: FMLAv1i64_indexed
+/* 36623 */   MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 36648
+/* 36627 */   MCD_OPC_CheckPredicate, 0, 198, 15, // Skip to: 40669
+/* 36631 */   MCD_OPC_CheckField, 22, 2, 1, 192, 15, // Skip to: 40669
+/* 36637 */   MCD_OPC_CheckField, 10, 2, 1, 186, 15, // Skip to: 40669
+/* 36643 */   MCD_OPC_Decode, 243, 12, 166, 2, // Opcode: SRSHRd
+/* 36648 */   MCD_OPC_FilterValue, 3, 61, 0, // Skip to: 36713
+/* 36652 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 36655 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 36688
+/* 36659 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 36662 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 36675
+/* 36666 */   MCD_OPC_CheckPredicate, 0, 159, 15, // Skip to: 40669
+/* 36670 */   MCD_OPC_Decode, 171, 11, 170, 2, // Opcode: SQDMLALv1i32_indexed
+/* 36675 */   MCD_OPC_FilterValue, 2, 150, 15, // Skip to: 40669
+/* 36679 */   MCD_OPC_CheckPredicate, 0, 146, 15, // Skip to: 40669
+/* 36683 */   MCD_OPC_Decode, 172, 11, 171, 2, // Opcode: SQDMLALv1i64_indexed
+/* 36688 */   MCD_OPC_FilterValue, 1, 137, 15, // Skip to: 40669
+/* 36692 */   MCD_OPC_CheckPredicate, 0, 133, 15, // Skip to: 40669
+/* 36696 */   MCD_OPC_CheckField, 22, 2, 1, 127, 15, // Skip to: 40669
+/* 36702 */   MCD_OPC_CheckField, 11, 1, 0, 121, 15, // Skip to: 40669
+/* 36708 */   MCD_OPC_Decode, 251, 12, 167, 2, // Opcode: SRSRAd
+/* 36713 */   MCD_OPC_FilterValue, 5, 66, 0, // Skip to: 36783
+/* 36717 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 36720 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 36739
+/* 36724 */   MCD_OPC_CheckPredicate, 0, 101, 15, // Skip to: 40669
+/* 36728 */   MCD_OPC_CheckField, 10, 2, 1, 95, 15, // Skip to: 40669
+/* 36734 */   MCD_OPC_Decode, 174, 10, 172, 2, // Opcode: SHLd
+/* 36739 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 36758
+/* 36743 */   MCD_OPC_CheckPredicate, 0, 82, 15, // Skip to: 40669
+/* 36747 */   MCD_OPC_CheckField, 10, 1, 0, 76, 15, // Skip to: 40669
+/* 36753 */   MCD_OPC_Decode, 212, 4, 168, 2, // Opcode: FMLSv1i32_indexed
+/* 36758 */   MCD_OPC_FilterValue, 3, 67, 15, // Skip to: 40669
+/* 36762 */   MCD_OPC_CheckPredicate, 0, 63, 15, // Skip to: 40669
+/* 36766 */   MCD_OPC_CheckField, 21, 1, 0, 57, 15, // Skip to: 40669
+/* 36772 */   MCD_OPC_CheckField, 10, 1, 0, 51, 15, // Skip to: 40669
+/* 36778 */   MCD_OPC_Decode, 213, 4, 169, 2, // Opcode: FMLSv1i64_indexed
+/* 36783 */   MCD_OPC_FilterValue, 7, 138, 0, // Skip to: 36925
+/* 36787 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 36790 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 36867
+/* 36794 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 36797 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 36848
+/* 36801 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 36804 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 36829
+/* 36808 */   MCD_OPC_CheckPredicate, 0, 17, 15, // Skip to: 40669
+/* 36812 */   MCD_OPC_CheckField, 19, 1, 1, 11, 15, // Skip to: 40669
+/* 36818 */   MCD_OPC_CheckField, 10, 2, 1, 5, 15, // Skip to: 40669
+/* 36824 */   MCD_OPC_Decode, 152, 12, 173, 2, // Opcode: SQSHLb
+/* 36829 */   MCD_OPC_FilterValue, 1, 252, 14, // Skip to: 40669
+/* 36833 */   MCD_OPC_CheckPredicate, 0, 248, 14, // Skip to: 40669
+/* 36837 */   MCD_OPC_CheckField, 10, 2, 1, 242, 14, // Skip to: 40669
+/* 36843 */   MCD_OPC_Decode, 154, 12, 174, 2, // Opcode: SQSHLh
+/* 36848 */   MCD_OPC_FilterValue, 1, 233, 14, // Skip to: 40669
+/* 36852 */   MCD_OPC_CheckPredicate, 0, 229, 14, // Skip to: 40669
+/* 36856 */   MCD_OPC_CheckField, 10, 2, 1, 223, 14, // Skip to: 40669
+/* 36862 */   MCD_OPC_Decode, 155, 12, 175, 2, // Opcode: SQSHLs
+/* 36867 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 36906
+/* 36871 */   MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 36874 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 36887
+/* 36878 */   MCD_OPC_CheckPredicate, 0, 203, 14, // Skip to: 40669
+/* 36882 */   MCD_OPC_Decode, 183, 11, 170, 2, // Opcode: SQDMLSLv1i32_indexed
+/* 36887 */   MCD_OPC_FilterValue, 1, 194, 14, // Skip to: 40669
+/* 36891 */   MCD_OPC_CheckPredicate, 0, 190, 14, // Skip to: 40669
+/* 36895 */   MCD_OPC_CheckField, 11, 1, 0, 184, 14, // Skip to: 40669
+/* 36901 */   MCD_OPC_Decode, 153, 12, 172, 2, // Opcode: SQSHLd
+/* 36906 */   MCD_OPC_FilterValue, 2, 175, 14, // Skip to: 40669
+/* 36910 */   MCD_OPC_CheckPredicate, 0, 171, 14, // Skip to: 40669
+/* 36914 */   MCD_OPC_CheckField, 10, 1, 0, 165, 14, // Skip to: 40669
+/* 36920 */   MCD_OPC_Decode, 184, 11, 171, 2, // Opcode: SQDMLSLv1i64_indexed
+/* 36925 */   MCD_OPC_FilterValue, 9, 172, 0, // Skip to: 37101
+/* 36929 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 36932 */   MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 37057
+/* 36936 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 36939 */   MCD_OPC_FilterValue, 1, 55, 0, // Skip to: 36998
+/* 36943 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 36946 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 36985
+/* 36950 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 36953 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 36972
+/* 36957 */   MCD_OPC_CheckPredicate, 0, 124, 14, // Skip to: 40669
+/* 36961 */   MCD_OPC_CheckField, 19, 1, 1, 118, 14, // Skip to: 40669
+/* 36967 */   MCD_OPC_Decode, 174, 12, 176, 2, // Opcode: SQSHRNb
+/* 36972 */   MCD_OPC_FilterValue, 1, 109, 14, // Skip to: 40669
+/* 36976 */   MCD_OPC_CheckPredicate, 0, 105, 14, // Skip to: 40669
+/* 36980 */   MCD_OPC_Decode, 175, 12, 177, 2, // Opcode: SQSHRNh
+/* 36985 */   MCD_OPC_FilterValue, 1, 96, 14, // Skip to: 40669
+/* 36989 */   MCD_OPC_CheckPredicate, 0, 92, 14, // Skip to: 40669
+/* 36993 */   MCD_OPC_Decode, 176, 12, 178, 2, // Opcode: SQSHRNs
+/* 36998 */   MCD_OPC_FilterValue, 3, 83, 14, // Skip to: 40669
+/* 37002 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 37005 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 37044
+/* 37009 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 37012 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 37031
+/* 37016 */   MCD_OPC_CheckPredicate, 0, 65, 14, // Skip to: 40669
+/* 37020 */   MCD_OPC_CheckField, 19, 1, 1, 59, 14, // Skip to: 40669
+/* 37026 */   MCD_OPC_Decode, 251, 11, 176, 2, // Opcode: SQRSHRNb
+/* 37031 */   MCD_OPC_FilterValue, 1, 50, 14, // Skip to: 40669
+/* 37035 */   MCD_OPC_CheckPredicate, 0, 46, 14, // Skip to: 40669
+/* 37039 */   MCD_OPC_Decode, 252, 11, 177, 2, // Opcode: SQRSHRNh
+/* 37044 */   MCD_OPC_FilterValue, 1, 37, 14, // Skip to: 40669
+/* 37048 */   MCD_OPC_CheckPredicate, 0, 33, 14, // Skip to: 40669
+/* 37052 */   MCD_OPC_Decode, 253, 11, 178, 2, // Opcode: SQRSHRNs
+/* 37057 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 37076
+/* 37061 */   MCD_OPC_CheckPredicate, 0, 20, 14, // Skip to: 40669
+/* 37065 */   MCD_OPC_CheckField, 10, 1, 0, 14, 14, // Skip to: 40669
+/* 37071 */   MCD_OPC_Decode, 247, 4, 179, 2, // Opcode: FMULv1i32_indexed
+/* 37076 */   MCD_OPC_FilterValue, 3, 5, 14, // Skip to: 40669
+/* 37080 */   MCD_OPC_CheckPredicate, 0, 1, 14, // Skip to: 40669
+/* 37084 */   MCD_OPC_CheckField, 21, 1, 0, 251, 13, // Skip to: 40669
+/* 37090 */   MCD_OPC_CheckField, 10, 1, 0, 245, 13, // Skip to: 40669
+/* 37096 */   MCD_OPC_Decode, 248, 4, 180, 2, // Opcode: FMULv1i64_indexed
+/* 37101 */   MCD_OPC_FilterValue, 11, 41, 0, // Skip to: 37146
+/* 37105 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 37108 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 37127
+/* 37112 */   MCD_OPC_CheckPredicate, 0, 225, 13, // Skip to: 40669
+/* 37116 */   MCD_OPC_CheckField, 10, 1, 0, 219, 13, // Skip to: 40669
+/* 37122 */   MCD_OPC_Decode, 207, 11, 181, 2, // Opcode: SQDMULLv1i32_indexed
+/* 37127 */   MCD_OPC_FilterValue, 2, 210, 13, // Skip to: 40669
+/* 37131 */   MCD_OPC_CheckPredicate, 0, 206, 13, // Skip to: 40669
+/* 37135 */   MCD_OPC_CheckField, 10, 1, 0, 200, 13, // Skip to: 40669
+/* 37141 */   MCD_OPC_Decode, 208, 11, 182, 2, // Opcode: SQDMULLv1i64_indexed
+/* 37146 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 37191
+/* 37150 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 37153 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 37172
+/* 37157 */   MCD_OPC_CheckPredicate, 0, 180, 13, // Skip to: 40669
+/* 37161 */   MCD_OPC_CheckField, 10, 1, 0, 174, 13, // Skip to: 40669
+/* 37167 */   MCD_OPC_Decode, 194, 11, 183, 2, // Opcode: SQDMULHv1i16_indexed
+/* 37172 */   MCD_OPC_FilterValue, 2, 165, 13, // Skip to: 40669
+/* 37176 */   MCD_OPC_CheckPredicate, 0, 161, 13, // Skip to: 40669
+/* 37180 */   MCD_OPC_CheckField, 10, 1, 0, 155, 13, // Skip to: 40669
+/* 37186 */   MCD_OPC_Decode, 196, 11, 179, 2, // Opcode: SQDMULHv1i32_indexed
+/* 37191 */   MCD_OPC_FilterValue, 13, 41, 0, // Skip to: 37236
+/* 37195 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 37198 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 37217
+/* 37202 */   MCD_OPC_CheckPredicate, 0, 135, 13, // Skip to: 40669
+/* 37206 */   MCD_OPC_CheckField, 10, 1, 0, 129, 13, // Skip to: 40669
+/* 37212 */   MCD_OPC_Decode, 229, 11, 183, 2, // Opcode: SQRDMULHv1i16_indexed
+/* 37217 */   MCD_OPC_FilterValue, 2, 120, 13, // Skip to: 40669
+/* 37221 */   MCD_OPC_CheckPredicate, 0, 116, 13, // Skip to: 40669
+/* 37225 */   MCD_OPC_CheckField, 10, 1, 0, 110, 13, // Skip to: 40669
+/* 37231 */   MCD_OPC_Decode, 231, 11, 179, 2, // Opcode: SQRDMULHv1i32_indexed
+/* 37236 */   MCD_OPC_FilterValue, 14, 47, 0, // Skip to: 37287
+/* 37240 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 37243 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 37268
+/* 37247 */   MCD_OPC_CheckPredicate, 0, 90, 13, // Skip to: 40669
+/* 37251 */   MCD_OPC_CheckField, 21, 1, 1, 84, 13, // Skip to: 40669
+/* 37257 */   MCD_OPC_CheckField, 10, 2, 1, 78, 13, // Skip to: 40669
+/* 37263 */   MCD_OPC_Decode, 139, 10, 184, 2, // Opcode: SCVTFs
+/* 37268 */   MCD_OPC_FilterValue, 1, 69, 13, // Skip to: 40669
+/* 37272 */   MCD_OPC_CheckPredicate, 0, 65, 13, // Skip to: 40669
+/* 37276 */   MCD_OPC_CheckField, 10, 2, 1, 59, 13, // Skip to: 40669
+/* 37282 */   MCD_OPC_Decode, 138, 10, 166, 2, // Opcode: SCVTFd
+/* 37287 */   MCD_OPC_FilterValue, 15, 50, 13, // Skip to: 40669
+/* 37291 */   MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 37294 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 37319
+/* 37298 */   MCD_OPC_CheckPredicate, 0, 39, 13, // Skip to: 40669
+/* 37302 */   MCD_OPC_CheckField, 21, 1, 1, 33, 13, // Skip to: 40669
+/* 37308 */   MCD_OPC_CheckField, 10, 2, 3, 27, 13, // Skip to: 40669
+/* 37314 */   MCD_OPC_Decode, 243, 3, 184, 2, // Opcode: FCVTZSs
+/* 37319 */   MCD_OPC_FilterValue, 1, 18, 13, // Skip to: 40669
+/* 37323 */   MCD_OPC_CheckPredicate, 0, 14, 13, // Skip to: 40669
+/* 37327 */   MCD_OPC_CheckField, 10, 2, 3, 8, 13, // Skip to: 40669
+/* 37333 */   MCD_OPC_Decode, 242, 3, 166, 2, // Opcode: FCVTZSd
+/* 37338 */   MCD_OPC_FilterValue, 3, 212, 8, // Skip to: 39602
+/* 37342 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 37345 */   MCD_OPC_FilterValue, 0, 85, 0, // Skip to: 37434
+/* 37349 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 37352 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37367
+/* 37356 */   MCD_OPC_CheckField, 21, 1, 0, 235, 12, // Skip to: 40669
+/* 37362 */   MCD_OPC_Decode, 138, 15, 226, 1, // Opcode: STURHi
+/* 37367 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37382
+/* 37371 */   MCD_OPC_CheckField, 21, 1, 0, 220, 12, // Skip to: 40669
+/* 37377 */   MCD_OPC_Decode, 233, 14, 226, 1, // Opcode: STRHpost
+/* 37382 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 37419
+/* 37386 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 37389 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 37404
+/* 37393 */   MCD_OPC_CheckField, 21, 1, 1, 198, 12, // Skip to: 40669
+/* 37399 */   MCD_OPC_Decode, 235, 14, 185, 2, // Opcode: STRHroW
+/* 37404 */   MCD_OPC_FilterValue, 3, 189, 12, // Skip to: 40669
+/* 37408 */   MCD_OPC_CheckField, 21, 1, 1, 183, 12, // Skip to: 40669
+/* 37414 */   MCD_OPC_Decode, 236, 14, 186, 2, // Opcode: STRHroX
+/* 37419 */   MCD_OPC_FilterValue, 3, 174, 12, // Skip to: 40669
+/* 37423 */   MCD_OPC_CheckField, 21, 1, 0, 168, 12, // Skip to: 40669
+/* 37429 */   MCD_OPC_Decode, 234, 14, 226, 1, // Opcode: STRHpre
+/* 37434 */   MCD_OPC_FilterValue, 1, 85, 0, // Skip to: 37523
+/* 37438 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 37441 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 37456
+/* 37445 */   MCD_OPC_CheckField, 21, 1, 0, 146, 12, // Skip to: 40669
+/* 37451 */   MCD_OPC_Decode, 157, 8, 226, 1, // Opcode: LDURHi
+/* 37456 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 37471
+/* 37460 */   MCD_OPC_CheckField, 21, 1, 0, 131, 12, // Skip to: 40669
+/* 37466 */   MCD_OPC_Decode, 217, 7, 226, 1, // Opcode: LDRHpost
+/* 37471 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 37508
+/* 37475 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 37478 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 37493
+/* 37482 */   MCD_OPC_CheckField, 21, 1, 1, 109, 12, // Skip to: 40669
+/* 37488 */   MCD_OPC_Decode, 219, 7, 185, 2, // Opcode: LDRHroW
+/* 37493 */   MCD_OPC_FilterValue, 3, 100, 12, // Skip to: 40669
+/* 37497 */   MCD_OPC_CheckField, 21, 1, 1, 94, 12, // Skip to: 40669
+/* 37503 */   MCD_OPC_Decode, 220, 7, 186, 2, // Opcode: LDRHroX
+/* 37508 */   MCD_OPC_FilterValue, 3, 85, 12, // Skip to: 40669
+/* 37512 */   MCD_OPC_CheckField, 21, 1, 0, 79, 12, // Skip to: 40669
+/* 37518 */   MCD_OPC_Decode, 218, 7, 226, 1, // Opcode: LDRHpre
+/* 37523 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 37532
+/* 37527 */   MCD_OPC_Decode, 237, 14, 231, 1, // Opcode: STRHui
+/* 37532 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 37541
+/* 37536 */   MCD_OPC_Decode, 221, 7, 231, 1, // Opcode: LDRHui
+/* 37541 */   MCD_OPC_FilterValue, 8, 60, 1, // Skip to: 37861
+/* 37545 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 37548 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 37567
+/* 37552 */   MCD_OPC_CheckPredicate, 0, 41, 12, // Skip to: 40669
+/* 37556 */   MCD_OPC_CheckField, 21, 1, 1, 35, 12, // Skip to: 40669
+/* 37562 */   MCD_OPC_Decode, 141, 17, 154, 2, // Opcode: UQADDv1i8
+/* 37567 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 37586
+/* 37571 */   MCD_OPC_CheckPredicate, 0, 22, 12, // Skip to: 40669
+/* 37575 */   MCD_OPC_CheckField, 16, 6, 33, 16, 12, // Skip to: 40669
+/* 37581 */   MCD_OPC_Decode, 215, 12, 159, 2, // Opcode: SQXTUNv1i8
+/* 37586 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 37605
+/* 37590 */   MCD_OPC_CheckPredicate, 0, 3, 12, // Skip to: 40669
+/* 37594 */   MCD_OPC_CheckField, 21, 1, 1, 253, 11, // Skip to: 40669
+/* 37600 */   MCD_OPC_Decode, 203, 17, 154, 2, // Opcode: UQSUBv1i8
+/* 37605 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 37624
+/* 37609 */   MCD_OPC_CheckPredicate, 0, 240, 11, // Skip to: 40669
+/* 37613 */   MCD_OPC_CheckField, 16, 6, 32, 234, 11, // Skip to: 40669
+/* 37619 */   MCD_OPC_Decode, 151, 18, 156, 2, // Opcode: USQADDv1i8
+/* 37624 */   MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 37643
+/* 37628 */   MCD_OPC_CheckPredicate, 0, 221, 11, // Skip to: 40669
+/* 37632 */   MCD_OPC_CheckField, 16, 6, 33, 215, 11, // Skip to: 40669
+/* 37638 */   MCD_OPC_Decode, 213, 17, 159, 2, // Opcode: UQXTNv1i8
+/* 37643 */   MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 37662
+/* 37647 */   MCD_OPC_CheckPredicate, 0, 202, 11, // Skip to: 40669
+/* 37651 */   MCD_OPC_CheckField, 21, 1, 1, 196, 11, // Skip to: 40669
+/* 37657 */   MCD_OPC_Decode, 177, 17, 154, 2, // Opcode: UQSHLv1i8
+/* 37662 */   MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 37681
+/* 37666 */   MCD_OPC_CheckPredicate, 0, 183, 11, // Skip to: 40669
+/* 37670 */   MCD_OPC_CheckField, 21, 1, 1, 177, 11, // Skip to: 40669
+/* 37676 */   MCD_OPC_Decode, 152, 17, 154, 2, // Opcode: UQRSHLv1i8
+/* 37681 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 37700
+/* 37685 */   MCD_OPC_CheckPredicate, 0, 164, 11, // Skip to: 40669
+/* 37689 */   MCD_OPC_CheckField, 16, 6, 32, 158, 11, // Skip to: 40669
+/* 37695 */   MCD_OPC_Decode, 221, 11, 160, 2, // Opcode: SQNEGv1i8
+/* 37700 */   MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 37719
+/* 37704 */   MCD_OPC_CheckPredicate, 0, 145, 11, // Skip to: 40669
+/* 37708 */   MCD_OPC_CheckField, 16, 6, 33, 139, 11, // Skip to: 40669
+/* 37714 */   MCD_OPC_Decode, 191, 3, 253, 1, // Opcode: FCVTNUv1i32
+/* 37719 */   MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 37738
+/* 37723 */   MCD_OPC_CheckPredicate, 0, 126, 11, // Skip to: 40669
+/* 37727 */   MCD_OPC_CheckField, 16, 6, 33, 120, 11, // Skip to: 40669
+/* 37733 */   MCD_OPC_Decode, 173, 3, 253, 1, // Opcode: FCVTMUv1i32
+/* 37738 */   MCD_OPC_FilterValue, 50, 29, 0, // Skip to: 37771
+/* 37742 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 37745 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 37758
+/* 37749 */   MCD_OPC_CheckPredicate, 0, 100, 11, // Skip to: 40669
+/* 37753 */   MCD_OPC_Decode, 147, 3, 253, 1, // Opcode: FCVTAUv1i32
+/* 37758 */   MCD_OPC_FilterValue, 48, 91, 11, // Skip to: 40669
+/* 37762 */   MCD_OPC_CheckPredicate, 0, 87, 11, // Skip to: 40669
+/* 37766 */   MCD_OPC_Decode, 164, 4, 144, 1, // Opcode: FMAXNMPv2i32p
+/* 37771 */   MCD_OPC_FilterValue, 54, 29, 0, // Skip to: 37804
+/* 37775 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 37778 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 37791
+/* 37782 */   MCD_OPC_CheckPredicate, 0, 67, 11, // Skip to: 40669
+/* 37786 */   MCD_OPC_Decode, 170, 16, 253, 1, // Opcode: UCVTFv1i32
+/* 37791 */   MCD_OPC_FilterValue, 48, 58, 11, // Skip to: 40669
+/* 37795 */   MCD_OPC_CheckPredicate, 0, 54, 11, // Skip to: 40669
+/* 37799 */   MCD_OPC_Decode, 201, 2, 144, 1, // Opcode: FADDPv2i32p
+/* 37804 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 37823
+/* 37808 */   MCD_OPC_CheckPredicate, 0, 41, 11, // Skip to: 40669
+/* 37812 */   MCD_OPC_CheckField, 21, 1, 1, 35, 11, // Skip to: 40669
+/* 37818 */   MCD_OPC_Decode, 222, 2, 130, 2, // Opcode: FCMGE32
+/* 37823 */   MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 37842
+/* 37827 */   MCD_OPC_CheckPredicate, 0, 22, 11, // Skip to: 40669
+/* 37831 */   MCD_OPC_CheckField, 21, 1, 1, 16, 11, // Skip to: 40669
+/* 37837 */   MCD_OPC_Decode, 188, 2, 130, 2, // Opcode: FACGE32
+/* 37842 */   MCD_OPC_FilterValue, 62, 7, 11, // Skip to: 40669
+/* 37846 */   MCD_OPC_CheckPredicate, 0, 3, 11, // Skip to: 40669
+/* 37850 */   MCD_OPC_CheckField, 16, 6, 48, 253, 10, // Skip to: 40669
+/* 37856 */   MCD_OPC_Decode, 174, 4, 144, 1, // Opcode: FMAXPv2i32p
+/* 37861 */   MCD_OPC_FilterValue, 9, 89, 1, // Skip to: 38210
+/* 37865 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 37868 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 37887
+/* 37872 */   MCD_OPC_CheckPredicate, 0, 233, 10, // Skip to: 40669
+/* 37876 */   MCD_OPC_CheckField, 21, 1, 1, 227, 10, // Skip to: 40669
+/* 37882 */   MCD_OPC_Decode, 138, 17, 155, 2, // Opcode: UQADDv1i16
+/* 37887 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 37906
+/* 37891 */   MCD_OPC_CheckPredicate, 0, 214, 10, // Skip to: 40669
+/* 37895 */   MCD_OPC_CheckField, 16, 6, 33, 208, 10, // Skip to: 40669
+/* 37901 */   MCD_OPC_Decode, 213, 12, 255, 1, // Opcode: SQXTUNv1i16
+/* 37906 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 37925
+/* 37910 */   MCD_OPC_CheckPredicate, 0, 195, 10, // Skip to: 40669
+/* 37914 */   MCD_OPC_CheckField, 21, 1, 1, 189, 10, // Skip to: 40669
+/* 37920 */   MCD_OPC_Decode, 200, 17, 155, 2, // Opcode: UQSUBv1i16
+/* 37925 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 37944
+/* 37929 */   MCD_OPC_CheckPredicate, 0, 176, 10, // Skip to: 40669
+/* 37933 */   MCD_OPC_CheckField, 16, 6, 32, 170, 10, // Skip to: 40669
+/* 37939 */   MCD_OPC_Decode, 148, 18, 157, 2, // Opcode: USQADDv1i16
+/* 37944 */   MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 37963
+/* 37948 */   MCD_OPC_CheckPredicate, 0, 157, 10, // Skip to: 40669
+/* 37952 */   MCD_OPC_CheckField, 16, 6, 33, 151, 10, // Skip to: 40669
+/* 37958 */   MCD_OPC_Decode, 211, 17, 255, 1, // Opcode: UQXTNv1i16
+/* 37963 */   MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 37982
+/* 37967 */   MCD_OPC_CheckPredicate, 0, 138, 10, // Skip to: 40669
+/* 37971 */   MCD_OPC_CheckField, 21, 1, 1, 132, 10, // Skip to: 40669
+/* 37977 */   MCD_OPC_Decode, 174, 17, 155, 2, // Opcode: UQSHLv1i16
+/* 37982 */   MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 38001
+/* 37986 */   MCD_OPC_CheckPredicate, 0, 119, 10, // Skip to: 40669
+/* 37990 */   MCD_OPC_CheckField, 21, 1, 1, 113, 10, // Skip to: 40669
+/* 37996 */   MCD_OPC_Decode, 149, 17, 155, 2, // Opcode: UQRSHLv1i16
+/* 38001 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 38020
+/* 38005 */   MCD_OPC_CheckPredicate, 0, 100, 10, // Skip to: 40669
+/* 38009 */   MCD_OPC_CheckField, 16, 6, 33, 94, 10, // Skip to: 40669
+/* 38015 */   MCD_OPC_Decode, 220, 3, 144, 1, // Opcode: FCVTXNv1i64
+/* 38020 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 38039
+/* 38024 */   MCD_OPC_CheckPredicate, 0, 81, 10, // Skip to: 40669
+/* 38028 */   MCD_OPC_CheckField, 16, 6, 32, 75, 10, // Skip to: 40669
+/* 38034 */   MCD_OPC_Decode, 218, 11, 161, 2, // Opcode: SQNEGv1i16
+/* 38039 */   MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 38057
+/* 38043 */   MCD_OPC_CheckPredicate, 0, 62, 10, // Skip to: 40669
+/* 38047 */   MCD_OPC_CheckField, 16, 6, 33, 56, 10, // Skip to: 40669
+/* 38053 */   MCD_OPC_Decode, 192, 3, 90, // Opcode: FCVTNUv1i64
+/* 38057 */   MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 38076
+/* 38061 */   MCD_OPC_CheckPredicate, 0, 44, 10, // Skip to: 40669
+/* 38065 */   MCD_OPC_CheckField, 21, 1, 1, 38, 10, // Skip to: 40669
+/* 38071 */   MCD_OPC_Decode, 228, 11, 155, 2, // Opcode: SQRDMULHv1i16
+/* 38076 */   MCD_OPC_FilterValue, 46, 14, 0, // Skip to: 38094
+/* 38080 */   MCD_OPC_CheckPredicate, 0, 25, 10, // Skip to: 40669
+/* 38084 */   MCD_OPC_CheckField, 16, 6, 33, 19, 10, // Skip to: 40669
+/* 38090 */   MCD_OPC_Decode, 174, 3, 90, // Opcode: FCVTMUv1i64
+/* 38094 */   MCD_OPC_FilterValue, 50, 27, 0, // Skip to: 38125
+/* 38098 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38101 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 38113
+/* 38105 */   MCD_OPC_CheckPredicate, 0, 0, 10, // Skip to: 40669
+/* 38109 */   MCD_OPC_Decode, 148, 3, 90, // Opcode: FCVTAUv1i64
+/* 38113 */   MCD_OPC_FilterValue, 48, 248, 9, // Skip to: 40669
+/* 38117 */   MCD_OPC_CheckPredicate, 0, 244, 9, // Skip to: 40669
+/* 38121 */   MCD_OPC_Decode, 165, 4, 95, // Opcode: FMAXNMPv2i64p
+/* 38125 */   MCD_OPC_FilterValue, 54, 27, 0, // Skip to: 38156
+/* 38129 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38132 */   MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 38144
+/* 38136 */   MCD_OPC_CheckPredicate, 0, 225, 9, // Skip to: 40669
+/* 38140 */   MCD_OPC_Decode, 171, 16, 90, // Opcode: UCVTFv1i64
+/* 38144 */   MCD_OPC_FilterValue, 48, 217, 9, // Skip to: 40669
+/* 38148 */   MCD_OPC_CheckPredicate, 0, 213, 9, // Skip to: 40669
+/* 38152 */   MCD_OPC_Decode, 202, 2, 95, // Opcode: FADDPv2i64p
+/* 38156 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 38174
+/* 38160 */   MCD_OPC_CheckPredicate, 0, 201, 9, // Skip to: 40669
+/* 38164 */   MCD_OPC_CheckField, 21, 1, 1, 195, 9, // Skip to: 40669
+/* 38170 */   MCD_OPC_Decode, 223, 2, 89, // Opcode: FCMGE64
+/* 38174 */   MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 38192
+/* 38178 */   MCD_OPC_CheckPredicate, 0, 183, 9, // Skip to: 40669
+/* 38182 */   MCD_OPC_CheckField, 21, 1, 1, 177, 9, // Skip to: 40669
+/* 38188 */   MCD_OPC_Decode, 189, 2, 89, // Opcode: FACGE64
+/* 38192 */   MCD_OPC_FilterValue, 62, 169, 9, // Skip to: 40669
+/* 38196 */   MCD_OPC_CheckPredicate, 0, 165, 9, // Skip to: 40669
+/* 38200 */   MCD_OPC_CheckField, 16, 6, 48, 159, 9, // Skip to: 40669
+/* 38206 */   MCD_OPC_Decode, 175, 4, 95, // Opcode: FMAXPv2i64p
+/* 38210 */   MCD_OPC_FilterValue, 10, 98, 1, // Skip to: 38568
+/* 38214 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 38217 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 38236
+/* 38221 */   MCD_OPC_CheckPredicate, 0, 140, 9, // Skip to: 40669
+/* 38225 */   MCD_OPC_CheckField, 21, 1, 1, 134, 9, // Skip to: 40669
+/* 38231 */   MCD_OPC_Decode, 139, 17, 130, 2, // Opcode: UQADDv1i32
+/* 38236 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 38255
+/* 38240 */   MCD_OPC_CheckPredicate, 0, 121, 9, // Skip to: 40669
+/* 38244 */   MCD_OPC_CheckField, 16, 6, 33, 115, 9, // Skip to: 40669
+/* 38250 */   MCD_OPC_Decode, 214, 12, 144, 1, // Opcode: SQXTUNv1i32
+/* 38255 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 38274
+/* 38259 */   MCD_OPC_CheckPredicate, 0, 102, 9, // Skip to: 40669
+/* 38263 */   MCD_OPC_CheckField, 21, 1, 1, 96, 9, // Skip to: 40669
+/* 38269 */   MCD_OPC_Decode, 201, 17, 130, 2, // Opcode: UQSUBv1i32
+/* 38274 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 38293
+/* 38278 */   MCD_OPC_CheckPredicate, 0, 83, 9, // Skip to: 40669
+/* 38282 */   MCD_OPC_CheckField, 16, 6, 32, 77, 9, // Skip to: 40669
+/* 38288 */   MCD_OPC_Decode, 149, 18, 158, 2, // Opcode: USQADDv1i32
+/* 38293 */   MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 38312
+/* 38297 */   MCD_OPC_CheckPredicate, 0, 64, 9, // Skip to: 40669
+/* 38301 */   MCD_OPC_CheckField, 16, 6, 33, 58, 9, // Skip to: 40669
+/* 38307 */   MCD_OPC_Decode, 212, 17, 144, 1, // Opcode: UQXTNv1i32
+/* 38312 */   MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 38331
+/* 38316 */   MCD_OPC_CheckPredicate, 0, 45, 9, // Skip to: 40669
+/* 38320 */   MCD_OPC_CheckField, 21, 1, 1, 39, 9, // Skip to: 40669
+/* 38326 */   MCD_OPC_Decode, 175, 17, 130, 2, // Opcode: UQSHLv1i32
+/* 38331 */   MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 38350
+/* 38335 */   MCD_OPC_CheckPredicate, 0, 26, 9, // Skip to: 40669
+/* 38339 */   MCD_OPC_CheckField, 21, 1, 1, 20, 9, // Skip to: 40669
+/* 38345 */   MCD_OPC_Decode, 150, 17, 130, 2, // Opcode: UQRSHLv1i32
+/* 38350 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 38369
+/* 38354 */   MCD_OPC_CheckPredicate, 0, 7, 9, // Skip to: 40669
+/* 38358 */   MCD_OPC_CheckField, 16, 6, 32, 1, 9, // Skip to: 40669
+/* 38364 */   MCD_OPC_Decode, 219, 11, 253, 1, // Opcode: SQNEGv1i32
+/* 38369 */   MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 38388
+/* 38373 */   MCD_OPC_CheckPredicate, 0, 244, 8, // Skip to: 40669
+/* 38377 */   MCD_OPC_CheckField, 16, 6, 33, 238, 8, // Skip to: 40669
+/* 38383 */   MCD_OPC_Decode, 213, 3, 253, 1, // Opcode: FCVTPUv1i32
+/* 38388 */   MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 38407
+/* 38392 */   MCD_OPC_CheckPredicate, 0, 225, 8, // Skip to: 40669
+/* 38396 */   MCD_OPC_CheckField, 21, 1, 1, 219, 8, // Skip to: 40669
+/* 38402 */   MCD_OPC_Decode, 230, 11, 130, 2, // Opcode: SQRDMULHv1i32
+/* 38407 */   MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 38426
+/* 38411 */   MCD_OPC_CheckPredicate, 0, 206, 8, // Skip to: 40669
+/* 38415 */   MCD_OPC_CheckField, 16, 6, 33, 200, 8, // Skip to: 40669
+/* 38421 */   MCD_OPC_Decode, 145, 4, 253, 1, // Opcode: FCVTZUv1i32
+/* 38426 */   MCD_OPC_FilterValue, 50, 29, 0, // Skip to: 38459
+/* 38430 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38433 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 38446
+/* 38437 */   MCD_OPC_CheckPredicate, 0, 180, 8, // Skip to: 40669
+/* 38441 */   MCD_OPC_Decode, 224, 2, 253, 1, // Opcode: FCMGEv1i32rz
+/* 38446 */   MCD_OPC_FilterValue, 48, 171, 8, // Skip to: 40669
+/* 38450 */   MCD_OPC_CheckPredicate, 0, 167, 8, // Skip to: 40669
+/* 38454 */   MCD_OPC_Decode, 186, 4, 144, 1, // Opcode: FMINNMPv2i32p
+/* 38459 */   MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 38478
+/* 38463 */   MCD_OPC_CheckPredicate, 0, 154, 8, // Skip to: 40669
+/* 38467 */   MCD_OPC_CheckField, 21, 1, 1, 148, 8, // Skip to: 40669
+/* 38473 */   MCD_OPC_Decode, 178, 2, 130, 2, // Opcode: FABD32
+/* 38478 */   MCD_OPC_FilterValue, 54, 29, 0, // Skip to: 38511
+/* 38482 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38485 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 38498
+/* 38489 */   MCD_OPC_CheckPredicate, 0, 128, 8, // Skip to: 40669
+/* 38493 */   MCD_OPC_Decode, 242, 2, 253, 1, // Opcode: FCMLEv1i32rz
+/* 38498 */   MCD_OPC_FilterValue, 33, 119, 8, // Skip to: 40669
+/* 38502 */   MCD_OPC_CheckPredicate, 0, 115, 8, // Skip to: 40669
+/* 38506 */   MCD_OPC_Decode, 185, 5, 253, 1, // Opcode: FRSQRTEv1i32
+/* 38511 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 38530
+/* 38515 */   MCD_OPC_CheckPredicate, 0, 102, 8, // Skip to: 40669
+/* 38519 */   MCD_OPC_CheckField, 21, 1, 1, 96, 8, // Skip to: 40669
+/* 38525 */   MCD_OPC_Decode, 232, 2, 130, 2, // Opcode: FCMGT32
+/* 38530 */   MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 38549
+/* 38534 */   MCD_OPC_CheckPredicate, 0, 83, 8, // Skip to: 40669
+/* 38538 */   MCD_OPC_CheckField, 21, 1, 1, 77, 8, // Skip to: 40669
+/* 38544 */   MCD_OPC_Decode, 193, 2, 130, 2, // Opcode: FACGT32
+/* 38549 */   MCD_OPC_FilterValue, 62, 68, 8, // Skip to: 40669
+/* 38553 */   MCD_OPC_CheckPredicate, 0, 64, 8, // Skip to: 40669
+/* 38557 */   MCD_OPC_CheckField, 16, 6, 48, 58, 8, // Skip to: 40669
+/* 38563 */   MCD_OPC_Decode, 196, 4, 144, 1, // Opcode: FMINPv2i32p
+/* 38568 */   MCD_OPC_FilterValue, 11, 182, 1, // Skip to: 39010
+/* 38572 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 38575 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 38593
+/* 38579 */   MCD_OPC_CheckPredicate, 0, 38, 8, // Skip to: 40669
+/* 38583 */   MCD_OPC_CheckField, 21, 1, 1, 32, 8, // Skip to: 40669
+/* 38589 */   MCD_OPC_Decode, 140, 17, 89, // Opcode: UQADDv1i64
+/* 38593 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 38611
+/* 38597 */   MCD_OPC_CheckPredicate, 0, 20, 8, // Skip to: 40669
+/* 38601 */   MCD_OPC_CheckField, 21, 1, 1, 14, 8, // Skip to: 40669
+/* 38607 */   MCD_OPC_Decode, 202, 17, 89, // Opcode: UQSUBv1i64
+/* 38611 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 38629
+/* 38615 */   MCD_OPC_CheckPredicate, 0, 2, 8, // Skip to: 40669
+/* 38619 */   MCD_OPC_CheckField, 21, 1, 1, 252, 7, // Skip to: 40669
+/* 38625 */   MCD_OPC_Decode, 207, 1, 89, // Opcode: CMHIv1i64
+/* 38629 */   MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 38647
+/* 38633 */   MCD_OPC_CheckPredicate, 0, 240, 7, // Skip to: 40669
+/* 38637 */   MCD_OPC_CheckField, 16, 6, 32, 234, 7, // Skip to: 40669
+/* 38643 */   MCD_OPC_Decode, 150, 18, 99, // Opcode: USQADDv1i64
+/* 38647 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 38665
+/* 38651 */   MCD_OPC_CheckPredicate, 0, 222, 7, // Skip to: 40669
+/* 38655 */   MCD_OPC_CheckField, 21, 1, 1, 216, 7, // Skip to: 40669
+/* 38661 */   MCD_OPC_Decode, 215, 1, 89, // Opcode: CMHSv1i64
+/* 38665 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 38683
+/* 38669 */   MCD_OPC_CheckPredicate, 0, 204, 7, // Skip to: 40669
+/* 38673 */   MCD_OPC_CheckField, 21, 1, 1, 198, 7, // Skip to: 40669
+/* 38679 */   MCD_OPC_Decode, 132, 18, 89, // Opcode: USHLv1i64
+/* 38683 */   MCD_OPC_FilterValue, 19, 14, 0, // Skip to: 38701
+/* 38687 */   MCD_OPC_CheckPredicate, 0, 186, 7, // Skip to: 40669
+/* 38691 */   MCD_OPC_CheckField, 21, 1, 1, 180, 7, // Skip to: 40669
+/* 38697 */   MCD_OPC_Decode, 176, 17, 89, // Opcode: UQSHLv1i64
+/* 38701 */   MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 38719
+/* 38705 */   MCD_OPC_CheckPredicate, 0, 168, 7, // Skip to: 40669
+/* 38709 */   MCD_OPC_CheckField, 21, 1, 1, 162, 7, // Skip to: 40669
+/* 38715 */   MCD_OPC_Decode, 228, 17, 89, // Opcode: URSHLv1i64
+/* 38719 */   MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 38737
+/* 38723 */   MCD_OPC_CheckPredicate, 0, 150, 7, // Skip to: 40669
+/* 38727 */   MCD_OPC_CheckField, 21, 1, 1, 144, 7, // Skip to: 40669
+/* 38733 */   MCD_OPC_Decode, 151, 17, 89, // Opcode: UQRSHLv1i64
+/* 38737 */   MCD_OPC_FilterValue, 30, 14, 0, // Skip to: 38755
+/* 38741 */   MCD_OPC_CheckPredicate, 0, 132, 7, // Skip to: 40669
+/* 38745 */   MCD_OPC_CheckField, 16, 6, 32, 126, 7, // Skip to: 40669
+/* 38751 */   MCD_OPC_Decode, 220, 11, 90, // Opcode: SQNEGv1i64
+/* 38755 */   MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 38773
+/* 38759 */   MCD_OPC_CheckPredicate, 0, 114, 7, // Skip to: 40669
+/* 38763 */   MCD_OPC_CheckField, 21, 1, 1, 108, 7, // Skip to: 40669
+/* 38769 */   MCD_OPC_Decode, 174, 15, 89, // Opcode: SUBv1i64
+/* 38773 */   MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 38791
+/* 38777 */   MCD_OPC_CheckPredicate, 0, 96, 7, // Skip to: 40669
+/* 38781 */   MCD_OPC_CheckField, 16, 6, 32, 90, 7, // Skip to: 40669
+/* 38787 */   MCD_OPC_Decode, 177, 1, 90, // Opcode: CMGEv1i64rz
+/* 38791 */   MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 38809
+/* 38795 */   MCD_OPC_CheckPredicate, 0, 78, 7, // Skip to: 40669
+/* 38799 */   MCD_OPC_CheckField, 21, 1, 1, 72, 7, // Skip to: 40669
+/* 38805 */   MCD_OPC_Decode, 160, 1, 89, // Opcode: CMEQv1i64
+/* 38809 */   MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 38827
+/* 38813 */   MCD_OPC_CheckPredicate, 0, 60, 7, // Skip to: 40669
+/* 38817 */   MCD_OPC_CheckField, 16, 6, 32, 54, 7, // Skip to: 40669
+/* 38823 */   MCD_OPC_Decode, 223, 1, 90, // Opcode: CMLEv1i64rz
+/* 38827 */   MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 38845
+/* 38831 */   MCD_OPC_CheckPredicate, 0, 42, 7, // Skip to: 40669
+/* 38835 */   MCD_OPC_CheckField, 16, 6, 33, 36, 7, // Skip to: 40669
+/* 38841 */   MCD_OPC_Decode, 214, 3, 90, // Opcode: FCVTPUv1i64
+/* 38845 */   MCD_OPC_FilterValue, 46, 27, 0, // Skip to: 38876
+/* 38849 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38852 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 38864
+/* 38856 */   MCD_OPC_CheckPredicate, 0, 17, 7, // Skip to: 40669
+/* 38860 */   MCD_OPC_Decode, 246, 8, 90, // Opcode: NEGv1i64
+/* 38864 */   MCD_OPC_FilterValue, 33, 9, 7, // Skip to: 40669
+/* 38868 */   MCD_OPC_CheckPredicate, 0, 5, 7, // Skip to: 40669
+/* 38872 */   MCD_OPC_Decode, 146, 4, 90, // Opcode: FCVTZUv1i64
+/* 38876 */   MCD_OPC_FilterValue, 50, 27, 0, // Skip to: 38907
+/* 38880 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38883 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 38895
+/* 38887 */   MCD_OPC_CheckPredicate, 0, 242, 6, // Skip to: 40669
+/* 38891 */   MCD_OPC_Decode, 225, 2, 90, // Opcode: FCMGEv1i64rz
+/* 38895 */   MCD_OPC_FilterValue, 48, 234, 6, // Skip to: 40669
+/* 38899 */   MCD_OPC_CheckPredicate, 0, 230, 6, // Skip to: 40669
+/* 38903 */   MCD_OPC_Decode, 187, 4, 95, // Opcode: FMINNMPv2i64p
+/* 38907 */   MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 38925
+/* 38911 */   MCD_OPC_CheckPredicate, 0, 218, 6, // Skip to: 40669
+/* 38915 */   MCD_OPC_CheckField, 21, 1, 1, 212, 6, // Skip to: 40669
+/* 38921 */   MCD_OPC_Decode, 179, 2, 89, // Opcode: FABD64
+/* 38925 */   MCD_OPC_FilterValue, 54, 27, 0, // Skip to: 38956
+/* 38929 */   MCD_OPC_ExtractField, 16, 6,  // Inst{21-16} ...
+/* 38932 */   MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 38944
+/* 38936 */   MCD_OPC_CheckPredicate, 0, 193, 6, // Skip to: 40669
+/* 38940 */   MCD_OPC_Decode, 243, 2, 90, // Opcode: FCMLEv1i64rz
+/* 38944 */   MCD_OPC_FilterValue, 33, 185, 6, // Skip to: 40669
+/* 38948 */   MCD_OPC_CheckPredicate, 0, 181, 6, // Skip to: 40669
+/* 38952 */   MCD_OPC_Decode, 186, 5, 90, // Opcode: FRSQRTEv1i64
+/* 38956 */   MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 38974
+/* 38960 */   MCD_OPC_CheckPredicate, 0, 169, 6, // Skip to: 40669
+/* 38964 */   MCD_OPC_CheckField, 21, 1, 1, 163, 6, // Skip to: 40669
+/* 38970 */   MCD_OPC_Decode, 233, 2, 89, // Opcode: FCMGT64
+/* 38974 */   MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 38992
+/* 38978 */   MCD_OPC_CheckPredicate, 0, 151, 6, // Skip to: 40669
+/* 38982 */   MCD_OPC_CheckField, 21, 1, 1, 145, 6, // Skip to: 40669
+/* 38988 */   MCD_OPC_Decode, 194, 2, 89, // Opcode: FACGT64
+/* 38992 */   MCD_OPC_FilterValue, 62, 137, 6, // Skip to: 40669
+/* 38996 */   MCD_OPC_CheckPredicate, 0, 133, 6, // Skip to: 40669
+/* 39000 */   MCD_OPC_CheckField, 16, 6, 48, 127, 6, // Skip to: 40669
+/* 39006 */   MCD_OPC_Decode, 197, 4, 95, // Opcode: FMINPv2i64p
+/* 39010 */   MCD_OPC_FilterValue, 12, 139, 1, // Skip to: 39409
+/* 39014 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 39017 */   MCD_OPC_FilterValue, 25, 55, 0, // Skip to: 39076
+/* 39021 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 39024 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 39063
+/* 39028 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 39031 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39050
+/* 39035 */   MCD_OPC_CheckPredicate, 0, 94, 6, // Skip to: 40669
+/* 39039 */   MCD_OPC_CheckField, 19, 1, 1, 88, 6, // Skip to: 40669
+/* 39045 */   MCD_OPC_Decode, 141, 12, 173, 2, // Opcode: SQSHLUb
+/* 39050 */   MCD_OPC_FilterValue, 1, 79, 6, // Skip to: 40669
+/* 39054 */   MCD_OPC_CheckPredicate, 0, 75, 6, // Skip to: 40669
+/* 39058 */   MCD_OPC_Decode, 143, 12, 174, 2, // Opcode: SQSHLUh
+/* 39063 */   MCD_OPC_FilterValue, 1, 66, 6, // Skip to: 40669
+/* 39067 */   MCD_OPC_CheckPredicate, 0, 62, 6, // Skip to: 40669
+/* 39071 */   MCD_OPC_Decode, 144, 12, 175, 2, // Opcode: SQSHLUs
+/* 39076 */   MCD_OPC_FilterValue, 29, 55, 0, // Skip to: 39135
+/* 39080 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 39083 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 39122
+/* 39087 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 39090 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39109
+/* 39094 */   MCD_OPC_CheckPredicate, 0, 35, 6, // Skip to: 40669
+/* 39098 */   MCD_OPC_CheckField, 19, 1, 1, 29, 6, // Skip to: 40669
+/* 39104 */   MCD_OPC_Decode, 168, 17, 173, 2, // Opcode: UQSHLb
+/* 39109 */   MCD_OPC_FilterValue, 1, 20, 6, // Skip to: 40669
+/* 39113 */   MCD_OPC_CheckPredicate, 0, 16, 6, // Skip to: 40669
+/* 39117 */   MCD_OPC_Decode, 170, 17, 174, 2, // Opcode: UQSHLh
+/* 39122 */   MCD_OPC_FilterValue, 1, 7, 6, // Skip to: 40669
+/* 39126 */   MCD_OPC_CheckPredicate, 0, 3, 6, // Skip to: 40669
+/* 39130 */   MCD_OPC_Decode, 171, 17, 175, 2, // Opcode: UQSHLs
+/* 39135 */   MCD_OPC_FilterValue, 33, 55, 0, // Skip to: 39194
+/* 39139 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 39142 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 39181
+/* 39146 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 39149 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39168
+/* 39153 */   MCD_OPC_CheckPredicate, 0, 232, 5, // Skip to: 40669
+/* 39157 */   MCD_OPC_CheckField, 19, 1, 1, 226, 5, // Skip to: 40669
+/* 39163 */   MCD_OPC_Decode, 183, 12, 176, 2, // Opcode: SQSHRUNb
+/* 39168 */   MCD_OPC_FilterValue, 1, 217, 5, // Skip to: 40669
+/* 39172 */   MCD_OPC_CheckPredicate, 0, 213, 5, // Skip to: 40669
+/* 39176 */   MCD_OPC_Decode, 184, 12, 177, 2, // Opcode: SQSHRUNh
+/* 39181 */   MCD_OPC_FilterValue, 1, 204, 5, // Skip to: 40669
+/* 39185 */   MCD_OPC_CheckPredicate, 0, 200, 5, // Skip to: 40669
+/* 39189 */   MCD_OPC_Decode, 185, 12, 178, 2, // Opcode: SQSHRUNs
+/* 39194 */   MCD_OPC_FilterValue, 35, 55, 0, // Skip to: 39253
+/* 39198 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 39201 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 39240
+/* 39205 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 39208 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39227
+/* 39212 */   MCD_OPC_CheckPredicate, 0, 173, 5, // Skip to: 40669
+/* 39216 */   MCD_OPC_CheckField, 19, 1, 1, 167, 5, // Skip to: 40669
+/* 39222 */   MCD_OPC_Decode, 132, 12, 176, 2, // Opcode: SQRSHRUNb
+/* 39227 */   MCD_OPC_FilterValue, 1, 158, 5, // Skip to: 40669
+/* 39231 */   MCD_OPC_CheckPredicate, 0, 154, 5, // Skip to: 40669
+/* 39235 */   MCD_OPC_Decode, 133, 12, 177, 2, // Opcode: SQRSHRUNh
+/* 39240 */   MCD_OPC_FilterValue, 1, 145, 5, // Skip to: 40669
+/* 39244 */   MCD_OPC_CheckPredicate, 0, 141, 5, // Skip to: 40669
+/* 39248 */   MCD_OPC_Decode, 134, 12, 178, 2, // Opcode: SQRSHRUNs
+/* 39253 */   MCD_OPC_FilterValue, 37, 55, 0, // Skip to: 39312
+/* 39257 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 39260 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 39299
+/* 39264 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 39267 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39286
+/* 39271 */   MCD_OPC_CheckPredicate, 0, 114, 5, // Skip to: 40669
+/* 39275 */   MCD_OPC_CheckField, 19, 1, 1, 108, 5, // Skip to: 40669
+/* 39281 */   MCD_OPC_Decode, 190, 17, 176, 2, // Opcode: UQSHRNb
+/* 39286 */   MCD_OPC_FilterValue, 1, 99, 5, // Skip to: 40669
+/* 39290 */   MCD_OPC_CheckPredicate, 0, 95, 5, // Skip to: 40669
+/* 39294 */   MCD_OPC_Decode, 191, 17, 177, 2, // Opcode: UQSHRNh
+/* 39299 */   MCD_OPC_FilterValue, 1, 86, 5, // Skip to: 40669
+/* 39303 */   MCD_OPC_CheckPredicate, 0, 82, 5, // Skip to: 40669
+/* 39307 */   MCD_OPC_Decode, 192, 17, 178, 2, // Opcode: UQSHRNs
+/* 39312 */   MCD_OPC_FilterValue, 39, 55, 0, // Skip to: 39371
+/* 39316 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 39319 */   MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 39358
+/* 39323 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 39326 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 39345
+/* 39330 */   MCD_OPC_CheckPredicate, 0, 55, 5, // Skip to: 40669
+/* 39334 */   MCD_OPC_CheckField, 19, 1, 1, 49, 5, // Skip to: 40669
+/* 39340 */   MCD_OPC_Decode, 159, 17, 176, 2, // Opcode: UQRSHRNb
+/* 39345 */   MCD_OPC_FilterValue, 1, 40, 5, // Skip to: 40669
+/* 39349 */   MCD_OPC_CheckPredicate, 0, 36, 5, // Skip to: 40669
+/* 39353 */   MCD_OPC_Decode, 160, 17, 177, 2, // Opcode: UQRSHRNh
+/* 39358 */   MCD_OPC_FilterValue, 1, 27, 5, // Skip to: 40669
+/* 39362 */   MCD_OPC_CheckPredicate, 0, 23, 5, // Skip to: 40669
+/* 39366 */   MCD_OPC_Decode, 161, 17, 178, 2, // Opcode: UQRSHRNs
+/* 39371 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 39390
+/* 39375 */   MCD_OPC_CheckPredicate, 0, 10, 5, // Skip to: 40669
+/* 39379 */   MCD_OPC_CheckField, 21, 1, 1, 4, 5, // Skip to: 40669
+/* 39385 */   MCD_OPC_Decode, 169, 16, 184, 2, // Opcode: UCVTFs
+/* 39390 */   MCD_OPC_FilterValue, 63, 251, 4, // Skip to: 40669
+/* 39394 */   MCD_OPC_CheckPredicate, 0, 247, 4, // Skip to: 40669
+/* 39398 */   MCD_OPC_CheckField, 21, 1, 1, 241, 4, // Skip to: 40669
+/* 39404 */   MCD_OPC_Decode, 144, 4, 184, 2, // Opcode: FCVTZUs
+/* 39409 */   MCD_OPC_FilterValue, 13, 133, 0, // Skip to: 39546
+/* 39413 */   MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 39416 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 39429
+/* 39420 */   MCD_OPC_CheckPredicate, 0, 221, 4, // Skip to: 40669
+/* 39424 */   MCD_OPC_Decode, 139, 18, 166, 2, // Opcode: USHRd
+/* 39429 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 39442
+/* 39433 */   MCD_OPC_CheckPredicate, 0, 208, 4, // Skip to: 40669
+/* 39437 */   MCD_OPC_Decode, 158, 18, 167, 2, // Opcode: USRAd
+/* 39442 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 39455
+/* 39446 */   MCD_OPC_CheckPredicate, 0, 195, 4, // Skip to: 40669
+/* 39450 */   MCD_OPC_Decode, 235, 17, 166, 2, // Opcode: URSHRd
+/* 39455 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 39468
+/* 39459 */   MCD_OPC_CheckPredicate, 0, 182, 4, // Skip to: 40669
+/* 39463 */   MCD_OPC_Decode, 245, 17, 167, 2, // Opcode: URSRAd
+/* 39468 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 39481
+/* 39472 */   MCD_OPC_CheckPredicate, 0, 169, 4, // Skip to: 40669
+/* 39476 */   MCD_OPC_Decode, 227, 12, 167, 2, // Opcode: SRId
+/* 39481 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 39494
+/* 39485 */   MCD_OPC_CheckPredicate, 0, 156, 4, // Skip to: 40669
+/* 39489 */   MCD_OPC_Decode, 194, 10, 187, 2, // Opcode: SLId
+/* 39494 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 39507
+/* 39498 */   MCD_OPC_CheckPredicate, 0, 143, 4, // Skip to: 40669
+/* 39502 */   MCD_OPC_Decode, 142, 12, 172, 2, // Opcode: SQSHLUd
+/* 39507 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 39520
+/* 39511 */   MCD_OPC_CheckPredicate, 0, 130, 4, // Skip to: 40669
+/* 39515 */   MCD_OPC_Decode, 169, 17, 172, 2, // Opcode: UQSHLd
+/* 39520 */   MCD_OPC_FilterValue, 57, 9, 0, // Skip to: 39533
+/* 39524 */   MCD_OPC_CheckPredicate, 0, 117, 4, // Skip to: 40669
+/* 39528 */   MCD_OPC_Decode, 168, 16, 166, 2, // Opcode: UCVTFd
+/* 39533 */   MCD_OPC_FilterValue, 63, 108, 4, // Skip to: 40669
+/* 39537 */   MCD_OPC_CheckPredicate, 0, 104, 4, // Skip to: 40669
+/* 39541 */   MCD_OPC_Decode, 143, 4, 166, 2, // Opcode: FCVTZUd
+/* 39546 */   MCD_OPC_FilterValue, 14, 21, 0, // Skip to: 39571
+/* 39550 */   MCD_OPC_CheckPredicate, 0, 91, 4, // Skip to: 40669
+/* 39554 */   MCD_OPC_CheckField, 12, 4, 9, 85, 4, // Skip to: 40669
+/* 39560 */   MCD_OPC_CheckField, 10, 1, 0, 79, 4, // Skip to: 40669
+/* 39566 */   MCD_OPC_Decode, 239, 4, 179, 2, // Opcode: FMULXv1i32_indexed
+/* 39571 */   MCD_OPC_FilterValue, 15, 70, 4, // Skip to: 40669
+/* 39575 */   MCD_OPC_CheckPredicate, 0, 66, 4, // Skip to: 40669
+/* 39579 */   MCD_OPC_CheckField, 21, 1, 0, 60, 4, // Skip to: 40669
+/* 39585 */   MCD_OPC_CheckField, 12, 4, 9, 54, 4, // Skip to: 40669
+/* 39591 */   MCD_OPC_CheckField, 10, 1, 0, 48, 4, // Skip to: 40669
+/* 39597 */   MCD_OPC_Decode, 240, 4, 180, 2, // Opcode: FMULXv1i64_indexed
+/* 39602 */   MCD_OPC_FilterValue, 4, 145, 2, // Skip to: 40263
+/* 39606 */   MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 39609 */   MCD_OPC_FilterValue, 0, 5, 0, // Skip to: 39618
+/* 39613 */   MCD_OPC_Decode, 222, 7, 188, 2, // Opcode: LDRQl
+/* 39618 */   MCD_OPC_FilterValue, 2, 23, 4, // Skip to: 40669
+/* 39622 */   MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 39625 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 39638
+/* 39629 */   MCD_OPC_CheckPredicate, 3, 12, 4, // Skip to: 40669
+/* 39633 */   MCD_OPC_Decode, 133, 10, 189, 2, // Opcode: SCVTFSXSri
+/* 39638 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 39651
+/* 39642 */   MCD_OPC_CheckPredicate, 3, 255, 3, // Skip to: 40669
+/* 39646 */   MCD_OPC_Decode, 163, 16, 189, 2, // Opcode: UCVTFSXSri
+/* 39651 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 39664
+/* 39655 */   MCD_OPC_CheckPredicate, 3, 242, 3, // Skip to: 40669
+/* 39659 */   MCD_OPC_Decode, 226, 3, 190, 2, // Opcode: FCVTZSSXSri
+/* 39664 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 39677
+/* 39668 */   MCD_OPC_CheckPredicate, 3, 229, 3, // Skip to: 40669
+/* 39672 */   MCD_OPC_Decode, 255, 3, 190, 2, // Opcode: FCVTZUSXSri
+/* 39677 */   MCD_OPC_FilterValue, 32, 15, 0, // Skip to: 39696
+/* 39681 */   MCD_OPC_CheckPredicate, 3, 216, 3, // Skip to: 40669
+/* 39685 */   MCD_OPC_CheckField, 10, 6, 0, 210, 3, // Skip to: 40669
+/* 39691 */   MCD_OPC_Decode, 181, 3, 191, 2, // Opcode: FCVTNSUXSr
+/* 39696 */   MCD_OPC_FilterValue, 33, 15, 0, // Skip to: 39715
+/* 39700 */   MCD_OPC_CheckPredicate, 3, 197, 3, // Skip to: 40669
+/* 39704 */   MCD_OPC_CheckField, 10, 6, 0, 191, 3, // Skip to: 40669
+/* 39710 */   MCD_OPC_Decode, 190, 3, 191, 2, // Opcode: FCVTNUUXSr
+/* 39715 */   MCD_OPC_FilterValue, 34, 15, 0, // Skip to: 39734
+/* 39719 */   MCD_OPC_CheckPredicate, 3, 178, 3, // Skip to: 40669
+/* 39723 */   MCD_OPC_CheckField, 10, 6, 0, 172, 3, // Skip to: 40669
+/* 39729 */   MCD_OPC_Decode, 137, 10, 192, 2, // Opcode: SCVTFUXSri
+/* 39734 */   MCD_OPC_FilterValue, 35, 15, 0, // Skip to: 39753
+/* 39738 */   MCD_OPC_CheckPredicate, 3, 159, 3, // Skip to: 40669
+/* 39742 */   MCD_OPC_CheckField, 10, 6, 0, 153, 3, // Skip to: 40669
+/* 39748 */   MCD_OPC_Decode, 167, 16, 192, 2, // Opcode: UCVTFUXSri
+/* 39753 */   MCD_OPC_FilterValue, 36, 15, 0, // Skip to: 39772
+/* 39757 */   MCD_OPC_CheckPredicate, 3, 140, 3, // Skip to: 40669
+/* 39761 */   MCD_OPC_CheckField, 10, 6, 0, 134, 3, // Skip to: 40669
+/* 39767 */   MCD_OPC_Decode, 137, 3, 191, 2, // Opcode: FCVTASUXSr
+/* 39772 */   MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 39791
+/* 39776 */   MCD_OPC_CheckPredicate, 3, 121, 3, // Skip to: 40669
+/* 39780 */   MCD_OPC_CheckField, 10, 6, 0, 115, 3, // Skip to: 40669
+/* 39786 */   MCD_OPC_Decode, 146, 3, 191, 2, // Opcode: FCVTAUUXSr
+/* 39791 */   MCD_OPC_FilterValue, 40, 15, 0, // Skip to: 39810
+/* 39795 */   MCD_OPC_CheckPredicate, 3, 102, 3, // Skip to: 40669
+/* 39799 */   MCD_OPC_CheckField, 10, 6, 0, 96, 3, // Skip to: 40669
+/* 39805 */   MCD_OPC_Decode, 203, 3, 191, 2, // Opcode: FCVTPSUXSr
+/* 39810 */   MCD_OPC_FilterValue, 41, 15, 0, // Skip to: 39829
+/* 39814 */   MCD_OPC_CheckPredicate, 3, 83, 3, // Skip to: 40669
+/* 39818 */   MCD_OPC_CheckField, 10, 6, 0, 77, 3, // Skip to: 40669
+/* 39824 */   MCD_OPC_Decode, 212, 3, 191, 2, // Opcode: FCVTPUUXSr
+/* 39829 */   MCD_OPC_FilterValue, 48, 15, 0, // Skip to: 39848
+/* 39833 */   MCD_OPC_CheckPredicate, 3, 64, 3, // Skip to: 40669
+/* 39837 */   MCD_OPC_CheckField, 10, 6, 0, 58, 3, // Skip to: 40669
+/* 39843 */   MCD_OPC_Decode, 163, 3, 191, 2, // Opcode: FCVTMSUXSr
+/* 39848 */   MCD_OPC_FilterValue, 49, 15, 0, // Skip to: 39867
+/* 39852 */   MCD_OPC_CheckPredicate, 3, 45, 3, // Skip to: 40669
+/* 39856 */   MCD_OPC_CheckField, 10, 6, 0, 39, 3, // Skip to: 40669
+/* 39862 */   MCD_OPC_Decode, 172, 3, 191, 2, // Opcode: FCVTMUUXSr
+/* 39867 */   MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 39886
+/* 39871 */   MCD_OPC_CheckPredicate, 3, 26, 3, // Skip to: 40669
+/* 39875 */   MCD_OPC_CheckField, 10, 6, 0, 20, 3, // Skip to: 40669
+/* 39881 */   MCD_OPC_Decode, 230, 3, 191, 2, // Opcode: FCVTZSUXSr
+/* 39886 */   MCD_OPC_FilterValue, 57, 15, 0, // Skip to: 39905
+/* 39890 */   MCD_OPC_CheckPredicate, 3, 7, 3, // Skip to: 40669
+/* 39894 */   MCD_OPC_CheckField, 10, 6, 0, 1, 3, // Skip to: 40669
+/* 39900 */   MCD_OPC_Decode, 131, 4, 191, 2, // Opcode: FCVTZUUXSr
+/* 39905 */   MCD_OPC_FilterValue, 66, 9, 0, // Skip to: 39918
+/* 39909 */   MCD_OPC_CheckPredicate, 3, 244, 2, // Skip to: 40669
+/* 39913 */   MCD_OPC_Decode, 132, 10, 193, 2, // Opcode: SCVTFSXDri
+/* 39918 */   MCD_OPC_FilterValue, 67, 9, 0, // Skip to: 39931
+/* 39922 */   MCD_OPC_CheckPredicate, 3, 231, 2, // Skip to: 40669
+/* 39926 */   MCD_OPC_Decode, 162, 16, 193, 2, // Opcode: UCVTFSXDri
+/* 39931 */   MCD_OPC_FilterValue, 88, 9, 0, // Skip to: 39944
+/* 39935 */   MCD_OPC_CheckPredicate, 3, 218, 2, // Skip to: 40669
+/* 39939 */   MCD_OPC_Decode, 225, 3, 194, 2, // Opcode: FCVTZSSXDri
+/* 39944 */   MCD_OPC_FilterValue, 89, 9, 0, // Skip to: 39957
+/* 39948 */   MCD_OPC_CheckPredicate, 3, 205, 2, // Skip to: 40669
+/* 39952 */   MCD_OPC_Decode, 254, 3, 194, 2, // Opcode: FCVTZUSXDri
+/* 39957 */   MCD_OPC_FilterValue, 96, 15, 0, // Skip to: 39976
+/* 39961 */   MCD_OPC_CheckPredicate, 3, 192, 2, // Skip to: 40669
+/* 39965 */   MCD_OPC_CheckField, 10, 6, 0, 186, 2, // Skip to: 40669
+/* 39971 */   MCD_OPC_Decode, 180, 3, 195, 2, // Opcode: FCVTNSUXDr
+/* 39976 */   MCD_OPC_FilterValue, 97, 15, 0, // Skip to: 39995
+/* 39980 */   MCD_OPC_CheckPredicate, 3, 173, 2, // Skip to: 40669
+/* 39984 */   MCD_OPC_CheckField, 10, 6, 0, 167, 2, // Skip to: 40669
+/* 39990 */   MCD_OPC_Decode, 189, 3, 195, 2, // Opcode: FCVTNUUXDr
+/* 39995 */   MCD_OPC_FilterValue, 98, 15, 0, // Skip to: 40014
+/* 39999 */   MCD_OPC_CheckPredicate, 3, 154, 2, // Skip to: 40669
+/* 40003 */   MCD_OPC_CheckField, 10, 6, 0, 148, 2, // Skip to: 40669
+/* 40009 */   MCD_OPC_Decode, 136, 10, 196, 2, // Opcode: SCVTFUXDri
+/* 40014 */   MCD_OPC_FilterValue, 99, 15, 0, // Skip to: 40033
+/* 40018 */   MCD_OPC_CheckPredicate, 3, 135, 2, // Skip to: 40669
+/* 40022 */   MCD_OPC_CheckField, 10, 6, 0, 129, 2, // Skip to: 40669
+/* 40028 */   MCD_OPC_Decode, 166, 16, 196, 2, // Opcode: UCVTFUXDri
+/* 40033 */   MCD_OPC_FilterValue, 100, 15, 0, // Skip to: 40052
+/* 40037 */   MCD_OPC_CheckPredicate, 3, 116, 2, // Skip to: 40669
+/* 40041 */   MCD_OPC_CheckField, 10, 6, 0, 110, 2, // Skip to: 40669
+/* 40047 */   MCD_OPC_Decode, 136, 3, 195, 2, // Opcode: FCVTASUXDr
+/* 40052 */   MCD_OPC_FilterValue, 101, 15, 0, // Skip to: 40071
+/* 40056 */   MCD_OPC_CheckPredicate, 3, 97, 2, // Skip to: 40669
+/* 40060 */   MCD_OPC_CheckField, 10, 6, 0, 91, 2, // Skip to: 40669
+/* 40066 */   MCD_OPC_Decode, 145, 3, 195, 2, // Opcode: FCVTAUUXDr
+/* 40071 */   MCD_OPC_FilterValue, 102, 15, 0, // Skip to: 40090
+/* 40075 */   MCD_OPC_CheckPredicate, 3, 78, 2, // Skip to: 40669
+/* 40079 */   MCD_OPC_CheckField, 10, 6, 0, 72, 2, // Skip to: 40669
+/* 40085 */   MCD_OPC_Decode, 221, 4, 195, 2, // Opcode: FMOVDXr
+/* 40090 */   MCD_OPC_FilterValue, 103, 15, 0, // Skip to: 40109
+/* 40094 */   MCD_OPC_CheckPredicate, 3, 59, 2, // Skip to: 40669
+/* 40098 */   MCD_OPC_CheckField, 10, 6, 0, 53, 2, // Skip to: 40669
+/* 40104 */   MCD_OPC_Decode, 229, 4, 196, 2, // Opcode: FMOVXDr
+/* 40109 */   MCD_OPC_FilterValue, 104, 15, 0, // Skip to: 40128
+/* 40113 */   MCD_OPC_CheckPredicate, 3, 40, 2, // Skip to: 40669
+/* 40117 */   MCD_OPC_CheckField, 10, 6, 0, 34, 2, // Skip to: 40669
+/* 40123 */   MCD_OPC_Decode, 202, 3, 195, 2, // Opcode: FCVTPSUXDr
+/* 40128 */   MCD_OPC_FilterValue, 105, 15, 0, // Skip to: 40147
+/* 40132 */   MCD_OPC_CheckPredicate, 3, 21, 2, // Skip to: 40669
+/* 40136 */   MCD_OPC_CheckField, 10, 6, 0, 15, 2, // Skip to: 40669
+/* 40142 */   MCD_OPC_Decode, 211, 3, 195, 2, // Opcode: FCVTPUUXDr
+/* 40147 */   MCD_OPC_FilterValue, 112, 15, 0, // Skip to: 40166
+/* 40151 */   MCD_OPC_CheckPredicate, 3, 2, 2, // Skip to: 40669
+/* 40155 */   MCD_OPC_CheckField, 10, 6, 0, 252, 1, // Skip to: 40669
+/* 40161 */   MCD_OPC_Decode, 162, 3, 195, 2, // Opcode: FCVTMSUXDr
+/* 40166 */   MCD_OPC_FilterValue, 113, 15, 0, // Skip to: 40185
+/* 40170 */   MCD_OPC_CheckPredicate, 3, 239, 1, // Skip to: 40669
+/* 40174 */   MCD_OPC_CheckField, 10, 6, 0, 233, 1, // Skip to: 40669
+/* 40180 */   MCD_OPC_Decode, 171, 3, 195, 2, // Opcode: FCVTMUUXDr
+/* 40185 */   MCD_OPC_FilterValue, 120, 15, 0, // Skip to: 40204
+/* 40189 */   MCD_OPC_CheckPredicate, 3, 220, 1, // Skip to: 40669
+/* 40193 */   MCD_OPC_CheckField, 10, 6, 0, 214, 1, // Skip to: 40669
+/* 40199 */   MCD_OPC_Decode, 229, 3, 195, 2, // Opcode: FCVTZSUXDr
+/* 40204 */   MCD_OPC_FilterValue, 121, 15, 0, // Skip to: 40223
+/* 40208 */   MCD_OPC_CheckPredicate, 3, 201, 1, // Skip to: 40669
+/* 40212 */   MCD_OPC_CheckField, 10, 6, 0, 195, 1, // Skip to: 40669
+/* 40218 */   MCD_OPC_Decode, 130, 4, 195, 2, // Opcode: FCVTZUUXDr
+/* 40223 */   MCD_OPC_FilterValue, 174, 1, 15, 0, // Skip to: 40243
+/* 40228 */   MCD_OPC_CheckPredicate, 3, 181, 1, // Skip to: 40669
+/* 40232 */   MCD_OPC_CheckField, 10, 6, 0, 175, 1, // Skip to: 40669
+/* 40238 */   MCD_OPC_Decode, 220, 4, 197, 2, // Opcode: FMOVDXHighr
+/* 40243 */   MCD_OPC_FilterValue, 175, 1, 165, 1, // Skip to: 40669
+/* 40248 */   MCD_OPC_CheckPredicate, 3, 161, 1, // Skip to: 40669
+/* 40252 */   MCD_OPC_CheckField, 10, 6, 0, 155, 1, // Skip to: 40669
+/* 40258 */   MCD_OPC_Decode, 228, 4, 197, 2, // Opcode: FMOVXDHighr
+/* 40263 */   MCD_OPC_FilterValue, 5, 199, 0, // Skip to: 40466
+/* 40267 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 40270 */   MCD_OPC_FilterValue, 0, 85, 0, // Skip to: 40359
+/* 40274 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 40277 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 40292
+/* 40281 */   MCD_OPC_CheckField, 21, 1, 0, 126, 1, // Skip to: 40669
+/* 40287 */   MCD_OPC_Decode, 140, 15, 226, 1, // Opcode: STURSi
+/* 40292 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 40307
+/* 40296 */   MCD_OPC_CheckField, 21, 1, 0, 111, 1, // Skip to: 40669
+/* 40302 */   MCD_OPC_Decode, 243, 14, 226, 1, // Opcode: STRSpost
+/* 40307 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 40344
+/* 40311 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 40314 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 40329
+/* 40318 */   MCD_OPC_CheckField, 21, 1, 1, 89, 1, // Skip to: 40669
+/* 40324 */   MCD_OPC_Decode, 245, 14, 198, 2, // Opcode: STRSroW
+/* 40329 */   MCD_OPC_FilterValue, 3, 80, 1, // Skip to: 40669
+/* 40333 */   MCD_OPC_CheckField, 21, 1, 1, 74, 1, // Skip to: 40669
+/* 40339 */   MCD_OPC_Decode, 246, 14, 199, 2, // Opcode: STRSroX
+/* 40344 */   MCD_OPC_FilterValue, 3, 65, 1, // Skip to: 40669
+/* 40348 */   MCD_OPC_CheckField, 21, 1, 0, 59, 1, // Skip to: 40669
+/* 40354 */   MCD_OPC_Decode, 244, 14, 226, 1, // Opcode: STRSpre
+/* 40359 */   MCD_OPC_FilterValue, 1, 85, 0, // Skip to: 40448
+/* 40363 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 40366 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 40381
+/* 40370 */   MCD_OPC_CheckField, 21, 1, 0, 37, 1, // Skip to: 40669
+/* 40376 */   MCD_OPC_Decode, 164, 8, 226, 1, // Opcode: LDURSi
+/* 40381 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 40396
+/* 40385 */   MCD_OPC_CheckField, 21, 1, 0, 22, 1, // Skip to: 40669
+/* 40391 */   MCD_OPC_Decode, 255, 7, 226, 1, // Opcode: LDRSpost
+/* 40396 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 40433
+/* 40400 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 40403 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 40418
+/* 40407 */   MCD_OPC_CheckField, 21, 1, 1, 0, 1, // Skip to: 40669
+/* 40413 */   MCD_OPC_Decode, 129, 8, 198, 2, // Opcode: LDRSroW
+/* 40418 */   MCD_OPC_FilterValue, 3, 247, 0, // Skip to: 40669
+/* 40422 */   MCD_OPC_CheckField, 21, 1, 1, 241, 0, // Skip to: 40669
+/* 40428 */   MCD_OPC_Decode, 130, 8, 199, 2, // Opcode: LDRSroX
+/* 40433 */   MCD_OPC_FilterValue, 3, 232, 0, // Skip to: 40669
+/* 40437 */   MCD_OPC_CheckField, 21, 1, 0, 226, 0, // Skip to: 40669
+/* 40443 */   MCD_OPC_Decode, 128, 8, 226, 1, // Opcode: LDRSpre
+/* 40448 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 40457
+/* 40452 */   MCD_OPC_Decode, 247, 14, 231, 1, // Opcode: STRSui
+/* 40457 */   MCD_OPC_FilterValue, 5, 208, 0, // Skip to: 40669
+/* 40461 */   MCD_OPC_Decode, 131, 8, 231, 1, // Opcode: LDRSui
+/* 40466 */   MCD_OPC_FilterValue, 7, 199, 0, // Skip to: 40669
+/* 40470 */   MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 40473 */   MCD_OPC_FilterValue, 0, 85, 0, // Skip to: 40562
+/* 40477 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 40480 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 40495
+/* 40484 */   MCD_OPC_CheckField, 21, 1, 0, 179, 0, // Skip to: 40669
+/* 40490 */   MCD_OPC_Decode, 136, 15, 226, 1, // Opcode: STURDi
+/* 40495 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 40510
+/* 40499 */   MCD_OPC_CheckField, 21, 1, 0, 164, 0, // Skip to: 40669
+/* 40505 */   MCD_OPC_Decode, 223, 14, 226, 1, // Opcode: STRDpost
+/* 40510 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 40547
+/* 40514 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 40517 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 40532
+/* 40521 */   MCD_OPC_CheckField, 21, 1, 1, 142, 0, // Skip to: 40669
+/* 40527 */   MCD_OPC_Decode, 225, 14, 200, 2, // Opcode: STRDroW
+/* 40532 */   MCD_OPC_FilterValue, 3, 133, 0, // Skip to: 40669
+/* 40536 */   MCD_OPC_CheckField, 21, 1, 1, 127, 0, // Skip to: 40669
+/* 40542 */   MCD_OPC_Decode, 226, 14, 201, 2, // Opcode: STRDroX
+/* 40547 */   MCD_OPC_FilterValue, 3, 118, 0, // Skip to: 40669
+/* 40551 */   MCD_OPC_CheckField, 21, 1, 0, 112, 0, // Skip to: 40669
+/* 40557 */   MCD_OPC_Decode, 224, 14, 226, 1, // Opcode: STRDpre
+/* 40562 */   MCD_OPC_FilterValue, 1, 85, 0, // Skip to: 40651
+/* 40566 */   MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 40569 */   MCD_OPC_FilterValue, 0, 11, 0, // Skip to: 40584
+/* 40573 */   MCD_OPC_CheckField, 21, 1, 0, 90, 0, // Skip to: 40669
+/* 40579 */   MCD_OPC_Decode, 155, 8, 226, 1, // Opcode: LDURDi
+/* 40584 */   MCD_OPC_FilterValue, 1, 11, 0, // Skip to: 40599
+/* 40588 */   MCD_OPC_CheckField, 21, 1, 0, 75, 0, // Skip to: 40669
+/* 40594 */   MCD_OPC_Decode, 207, 7, 226, 1, // Opcode: LDRDpost
+/* 40599 */   MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 40636
+/* 40603 */   MCD_OPC_ExtractField, 13, 2,  // Inst{14-13} ...
+/* 40606 */   MCD_OPC_FilterValue, 2, 11, 0, // Skip to: 40621
+/* 40610 */   MCD_OPC_CheckField, 21, 1, 1, 53, 0, // Skip to: 40669
+/* 40616 */   MCD_OPC_Decode, 209, 7, 200, 2, // Opcode: LDRDroW
+/* 40621 */   MCD_OPC_FilterValue, 3, 44, 0, // Skip to: 40669
+/* 40625 */   MCD_OPC_CheckField, 21, 1, 1, 38, 0, // Skip to: 40669
+/* 40631 */   MCD_OPC_Decode, 210, 7, 201, 2, // Opcode: LDRDroX
+/* 40636 */   MCD_OPC_FilterValue, 3, 29, 0, // Skip to: 40669
+/* 40640 */   MCD_OPC_CheckField, 21, 1, 0, 23, 0, // Skip to: 40669
+/* 40646 */   MCD_OPC_Decode, 208, 7, 226, 1, // Opcode: LDRDpre
+/* 40651 */   MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 40660
+/* 40655 */   MCD_OPC_Decode, 227, 14, 231, 1, // Opcode: STRDui
+/* 40660 */   MCD_OPC_FilterValue, 5, 5, 0, // Skip to: 40669
+/* 40664 */   MCD_OPC_Decode, 211, 7, 231, 1, // Opcode: LDRDui
+/* 40669 */   MCD_OPC_Fail,
   0
 };
 
@@ -10905,11 +9598,13 @@
   switch (Idx) {
   default: // llvm_unreachable("Invalid index!");
   case 0:
-    return getbool(Bits & AArch64_FeatureNEON);
+    return getbool((Bits & AArch64_FeatureNEON));
   case 1:
-    return getbool(Bits & AArch64_FeatureFPARMv8);
+    return getbool((Bits & AArch64_FeatureCrypto));
   case 2:
-    return getbool((Bits & AArch64_FeatureNEON) && (Bits & AArch64_FeatureCrypto));
+    return getbool((Bits & AArch64_FeatureCRC));
+  case 3:
+    return getbool((Bits & AArch64_FeatureFPARMv8));
   }
 }
 
@@ -10921,806 +9616,1028 @@
   switch (Idx) { \
   default: \
   case 0: \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeExclusiveLdStInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 1: \
-    if (!Check(&S, DecodeLDSTPairInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeThreeAddrSRegInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 2: \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeAddSubERegInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 3: \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodePairLdStInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 4: \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDDDDRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 5: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDDDRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 6: \
-    if (!Check(&S, DecodeLoadPairExclusiveInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 7: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDDRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 8: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, Decode32BitShiftOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 9: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, Decode32BitShiftOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 10: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, Decode32BitShiftOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 11: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 12: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDDDDRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 13: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeDDDRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 14: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 15: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 16: \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDDRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 17: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 18: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 16: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 17: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 18: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 19: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 20: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 21: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 22: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 3); \
-    if (!Check(&S, DecodeRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 23: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeDQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 24: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeDTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 25: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 26: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeDPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 27: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 28: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 29: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 25: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 26: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 27: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 28: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 29: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 30: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 31: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeDQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 32: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeDTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 33: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 34: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeDPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 35: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 36: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 37: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 38: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 39: \
-    if (!Check(&S, DecodeVLDSTPostInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 40: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 41: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 42: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 11, 2) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 2); \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 43: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 2) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 2); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 44: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 1); \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 45: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 46: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 47: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 48: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 1) << 0); \
     tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 47: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 48: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 49: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 50: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 11, 2) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 2); \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 51: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 2) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 2); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 52: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 1); \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 53: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 54: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 1); \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 55: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 56: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 57: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 58: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 2) << 0); \
     tmp |= (fieldname(insn, 30, 1) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 59: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 2) << 0); \
     tmp |= (fieldname(insn, 30, 1) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 60: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 1) << 0); \
     tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 61: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 62: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 1); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 63: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 30, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 64: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 10, 3) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 3); \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 65: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 66: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 67: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 68: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 10, 3) << 0); \
     tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 66: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 2) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 67: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 2) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 68: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 30, 1) << 1); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 69: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 70: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 71: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 10, 3) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 72: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 73: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 74: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 75: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 2) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 76: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 1) << 0); \
     tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 71: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 30, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 72: \
-    if (!Check(&S, DecodeVLDSTLanePostInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 73: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 74: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 75: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 76: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 77: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 17, 4); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 78: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 79: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 80: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 81: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 30, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 82: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 83: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 30, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 84: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 85: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 86: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 17, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 87: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 88: \
+  case 85: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 89: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
+    tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 90: \
+  case 86: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 19, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 87: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 88: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 17, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 89: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 90: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 91: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 92: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 93: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 94: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
@@ -11728,25 +10645,23 @@
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 96: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 97: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 17, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 98: \
     tmp = fieldname(insn, 0, 5); \
@@ -11754,18 +10669,110 @@
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 99: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 100: \
     tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 101: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 19, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 102: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 103: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 104: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 105: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 106: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 107: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 108: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 109: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 110: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 111: \
+    tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11774,204 +10781,119 @@
     tmp = fieldname(insn, 11, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 101: \
-    if (!Check(&S, DecodeSHLLInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 102: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 103: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 104: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 105: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 106: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 17, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 107: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 108: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 109: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 110: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 111: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 112: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 113: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 114: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 17, 4); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 19, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 115: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 116: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 117: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 118: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 18, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 119: \
+  case 116: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 17, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 117: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 118: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 119: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 120: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQPairRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 121: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 122: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 20, 1); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 122: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 19, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 123: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 124: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 17, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQTripleRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 125: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
@@ -11981,31 +10903,31 @@
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeQQuadRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 127: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 19, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 128: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 4); \
+    tmp = fieldname(insn, 18, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 129: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 17, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 130: \
     tmp = fieldname(insn, 0, 5); \
@@ -12013,253 +10935,185 @@
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 14, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 131: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 132: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 3); \
+    tmp = fieldname(insn, 20, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 133: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 134: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 135: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 136: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeQQQQRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 137: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 138: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 17, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 134: \
+  case 139: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 140: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 14, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 141: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 19, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 13, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 142: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 143: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 17, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 144: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 135: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 2); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, false))) return MCDisassembler_Fail; \
-    return S; \
-  case 136: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRightImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 137: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftRightImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 138: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 139: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 2); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, false))) return MCDisassembler_Fail; \
-    return S; \
-  case 140: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRightImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 141: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftLeftImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 142: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftRightImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 143: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftLeftImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 144: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
   case 145: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftLeftImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeModImmInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 146: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 1); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, true))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftR8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 147: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRightImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftR16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 148: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftLeftImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftR32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 149: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftRightImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeModImmTiedInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 150: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftLeftImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftR8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 151: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 152: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftLeftImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 153: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 1); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, true))) return MCDisassembler_Fail; \
-    return S; \
-  case 154: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 1); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_MSL, false))) return MCDisassembler_Fail; \
-    return S; \
-  case 155: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 156: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftLeftImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftL8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 157: \
+  case 152: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -12267,9 +11121,17 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftLeftImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 158: \
+  case 153: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftL16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 154: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -12277,63 +11139,93 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftLeftImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 155: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftL32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 156: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftR16ImmNarrow(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 157: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftL8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 158: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftR32ImmNarrow(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 159: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 2); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, false))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftL16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 160: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRightImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftR64ImmNarrow(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 161: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftRightImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftL32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 162: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftL8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 163: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 2); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, false))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftL16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 164: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRightImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftL32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 165: \
     tmp = fieldname(insn, 0, 5); \
@@ -12341,25 +11233,23 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftLeftImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 166: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftRightImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 167: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftLeftImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftR32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 168: \
     tmp = fieldname(insn, 0, 5); \
@@ -12368,56 +11258,52 @@
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftR8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 169: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftLeftImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftL8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 170: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 1); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, true))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftR16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 171: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
+    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 13, 1); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_LSL, true))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftL16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 172: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 1); \
-    if (!Check(&S, DecodeNeonMovImmShiftOperand(MI, tmp, Address, Decoder, A64SE_MSL, false))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftR32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 173: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 5) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftL32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 174: \
     tmp = fieldname(insn, 0, 5); \
@@ -12427,7 +11313,7 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftLeftImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR16ImmNarrow(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 175: \
     tmp = fieldname(insn, 0, 5); \
@@ -12437,7 +11323,7 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftLeftImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR32ImmNarrow(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 176: \
     tmp = fieldname(insn, 0, 5); \
@@ -12447,29 +11333,27 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftLeftImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR64ImmNarrow(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 177: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftL8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 178: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftRightImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftL16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 179: \
     tmp = fieldname(insn, 0, 5); \
@@ -12478,44 +11362,44 @@
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftL32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 180: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 1) << 2); \
     tmp |= (fieldname(insn, 20, 2) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 180: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftRightImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
   case 181: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeVecShiftR64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 182: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftLeftImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 183: \
     tmp = fieldname(insn, 0, 5); \
@@ -12525,15 +11409,17 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftLeftImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 184: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 1) << 2); \
     tmp |= (fieldname(insn, 20, 2) << 0); \
@@ -12544,70 +11430,60 @@
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeVecShiftL64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 186: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeVecShiftL64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 187: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 1); \
-    tmp |= (fieldname(insn, 21, 1) << 0); \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 188: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 1); \
-    tmp |= (fieldname(insn, 21, 1) << 0); \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 189: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 1); \
-    tmp |= (fieldname(insn, 21, 1) << 0); \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 190: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -12620,6 +11496,8 @@
   case 191: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -12632,6 +11510,8 @@
   case 192: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -12643,14 +11523,14 @@
     return S; \
   case 193: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 1); \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 1); \
+    tmp |= (fieldname(insn, 21, 1) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 194: \
@@ -12660,790 +11540,653 @@
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 1); \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 1); \
+    tmp |= (fieldname(insn, 21, 1) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 195: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 5, 19) << 2); \
-    tmp |= (fieldname(insn, 29, 2) << 0); \
+    tmp |= (fieldname(insn, 11, 1) << 1); \
+    tmp |= (fieldname(insn, 21, 1) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 196: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 197: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 198: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeAdrInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 199: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeBaseAddSubImm(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 200: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeLogicalImmInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 201: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeMoveImmInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 202: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32wspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 13); \
-    if (!Check(&S, DecodeLogicalImmOperand(MI, tmp, Address, Decoder, 32))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 10, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 203: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 18); \
-    if (!Check(&S, DecodeMoveWideImmOperand(MI, tmp, Address, Decoder, 32))) return MCDisassembler_Fail; \
-    return S; \
-  case 204: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 13); \
-    if (!Check(&S, DecodeLogicalImmOperand(MI, tmp, Address, Decoder, 32))) return MCDisassembler_Fail; \
-    return S; \
-  case 205: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 18); \
-    if (!Check(&S, DecodeMoveWideImmOperand(MI, tmp, Address, Decoder, 32))) return MCDisassembler_Fail; \
-    return S; \
-  case 206: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 13); \
-    if (!Check(&S, DecodeLogicalImmOperand(MI, tmp, Address, Decoder, 64))) return MCDisassembler_Fail; \
-    return S; \
-  case 207: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 18); \
-    if (!Check(&S, DecodeMoveWideImmOperand(MI, tmp, Address, Decoder, 64))) return MCDisassembler_Fail; \
-    return S; \
-  case 208: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 13); \
-    if (!Check(&S, DecodeLogicalImmOperand(MI, tmp, Address, Decoder, 64))) return MCDisassembler_Fail; \
-    return S; \
-  case 209: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 18); \
-    if (!Check(&S, DecodeMoveWideImmOperand(MI, tmp, Address, Decoder, 64))) return MCDisassembler_Fail; \
-    return S; \
-  case 210: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 211: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeBitfield32ImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 212: \
-    if (!Check(&S, DecodeBitfieldInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 213: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, DecodeBitfield32ImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 214: \
+  case 204: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 10, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 215: \
+  case 205: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
     MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 216: \
-    tmp = fieldname(insn, 0, 26); \
+    tmp = fieldname(insn, 10, 6); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 217: \
+  case 206: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 6); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 207: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 10, 6); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 208: \
+    if (!Check(&S, DecodeUnconditionalBranch(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 209: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 19); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 218: \
+  case 210: \
+    if (!Check(&S, DecodeTestAndBranch(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 211: \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 19); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 212: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 19); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 213: \
+    tmp = fieldname(insn, 5, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 214: \
+    tmp = fieldname(insn, 5, 7); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 215: \
+    tmp = fieldname(insn, 8, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 216: \
+    if (!Check(&S, DecodeSystemPStateInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 217: \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 8, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 218: \
+    tmp = fieldname(insn, 5, 15); \
+    if (!Check(&S, DecodeMSRSystemRegister(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 219: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 5, 14); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 8, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 220: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 19, 5) << 0); \
-    tmp |= (fieldname(insn, 31, 1) << 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 5, 14); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 15); \
+    if (!Check(&S, DecodeMRSSystemRegister(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 221: \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 5, 19); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 222: \
-    tmp = fieldname(insn, 5, 16); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 223: \
-    tmp = fieldname(insn, 8, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 224: \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64DB_DBarrierMapper))) return MCDisassembler_Fail; \
-    return S; \
-  case 225: \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64ISB_ISBMapper))) return MCDisassembler_Fail; \
-    return S; \
-  case 226: \
-    tmp = fieldname(insn, 5, 7); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 227: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 3) << 0); \
-    tmp |= (fieldname(insn, 16, 3) << 3); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64PState_PStateMapper))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 228: \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 8, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 5, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 225: \
     tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 226: \
+    if (!Check(&S, DecodeSignedLdStInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 227: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 228: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 229: \
-    tmp = fieldname(insn, 5, 16); \
-    if (!Check(&S, DecodeMSROperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 230: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 8, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 5, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 231: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 16); \
-    if (!Check(&S, DecodeMRSOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeUnsignedLdStInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 232: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 233: \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 234: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 235: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 236: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 237: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 238: \
-    if (!Check(&S, DecodeSingleIndexedInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 239: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 240: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 241: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 242: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 19); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 243: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 244: \
+    tmp = fieldname(insn, 0, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 245: \
+    tmp = fieldname(insn, 0, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 244: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 245: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 246: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 19); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 247: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeFixedPointScaleImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 248: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeFixedPointScaleImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 249: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 250: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 251: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 252: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 253: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 254: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64PRFM_PRFMMapper))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 19); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 255: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 256: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64PRFM_PRFMMapper))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 13, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 257: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64PRFM_PRFMMapper))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 258: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64PRFM_PRFMMapper))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 259: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeNamedImmOperand(MI, tmp, Address, Decoder, &A64PRFM_PRFMMapper))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 260: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 19); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeFixedPointScaleImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 261: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, DecodeCVT32FixedPosOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeFixedPointScaleImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 262: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, DecodeCVT32FixedPosOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 263: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 264: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 265: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 13, 8); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 266: \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPZeroOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 267: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 268: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 269: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 270: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 13, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 271: \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 271: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 272: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 273: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 274: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, DecodeCVT32FixedPosOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 275: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    if (!Check(&S, DecodeCVT32FixedPosOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 276: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 277: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 278: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPZeroOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 279: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 13, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 280: \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 281: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 282: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 283: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 284: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 285: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 286: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 287: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 288: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 289: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 290: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 291: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 292: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 293: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 294: \
-    tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 19); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 295: \
+  case 277: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13453,7 +12196,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 296: \
+  case 278: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13461,7 +12204,7 @@
     tmp = fieldname(insn, 20, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 297: \
+  case 279: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13469,7 +12212,7 @@
     tmp = fieldname(insn, 19, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 298: \
+  case 280: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13477,7 +12220,7 @@
     tmp = fieldname(insn, 18, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 299: \
+  case 281: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13485,7 +12228,7 @@
     tmp = fieldname(insn, 17, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 300: \
+  case 282: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13493,7 +12236,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 301: \
+  case 283: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13501,7 +12244,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 302: \
+  case 284: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13509,7 +12252,7 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 303: \
+  case 285: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13517,7 +12260,7 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 304: \
+  case 286: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13525,25 +12268,25 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 305: \
+  case 287: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 306: \
+  case 288: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 307: \
+  case 289: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 308: \
+  case 290: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13553,7 +12296,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 309: \
+  case 291: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13563,7 +12306,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 310: \
+  case 292: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13571,7 +12314,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 311: \
+  case 293: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
@@ -13579,15 +12322,15 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 312: \
+  case 294: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftRightImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 313: \
+  case 295: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13595,9 +12338,9 @@
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftRightImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVecShiftR64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 314: \
+  case 296: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -13611,6 +12354,192 @@
     tmp |= (fieldname(insn, 21, 1) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 297: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 298: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 299: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 1); \
+    tmp |= (fieldname(insn, 21, 1) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 300: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeVecShiftL64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 301: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftL8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 302: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftL16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 303: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftL32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 304: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeVecShiftR8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 305: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeVecShiftR16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 306: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftR32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 307: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 1); \
+    tmp |= (fieldname(insn, 21, 1) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 308: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 309: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 310: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 1); \
+    tmp |= (fieldname(insn, 21, 1) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 311: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeFPR128_loRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 1) << 2); \
+    tmp |= (fieldname(insn, 20, 2) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 312: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeVecShiftR32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 313: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 314: \
+    tmp = fieldname(insn, 0, 5); \
+    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 5); \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 315: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -13618,419 +12547,121 @@
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeVecShiftL64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 316: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR64LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 19); \
+    if (!Check(&S, DecodePCRelLabel19(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 317: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 6); \
+    if (!Check(&S, DecodeFixedPointScaleImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 318: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 10, 6); \
+    if (!Check(&S, DecodeFixedPointScaleImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 319: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 1); \
-    tmp |= (fieldname(insn, 21, 1) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 320: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftLeftImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 321: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftLeftImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 6); \
+    if (!Check(&S, DecodeFixedPointScaleImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 322: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftLeftImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 10, 6); \
+    if (!Check(&S, DecodeFixedPointScaleImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 323: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftLeftImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 324: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRightImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 325: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeShiftRightImm16(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeFMOVLaneInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 326: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 327: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 1); \
-    tmp |= (fieldname(insn, 21, 1) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 328: \
     tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 1) << 0); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 329: \
     tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR64LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 330: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 331: \
-    tmp = fieldname(insn, 0, 5); \
     if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 332: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 1); \
-    tmp |= (fieldname(insn, 21, 1) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 333: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR64LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 334: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeFPR128LoRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 1) << 2); \
-    tmp |= (fieldname(insn, 20, 2) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 335: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 336: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeShiftRightImm32(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 337: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 338: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 339: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeGPR64spRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 340: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR16RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 341: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftLeftImm64(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 342: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR128RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 19); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 343: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 344: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 345: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 346: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 347: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 348: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 349: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 350: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 351: \
-    if (!Check(&S, DecodeFMOVLaneInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 352: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 353: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 354: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 355: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 356: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 9); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 357: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR32RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 358: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (!Check(&S, DecodeGPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 1) << 0); \
-    tmp |= (fieldname(insn, 14, 2) << 1); \
-    if (!Check(&S, DecodeAddrRegExtendOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 359: \
-    tmp = fieldname(insn, 0, 5); \
-    if (!Check(&S, DecodeFPR64RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 5); \
-    if (!Check(&S, DecodeGPR64xspRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 10, 12); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp |= (fieldname(insn, 15, 1) << 1); \
+    if (!Check(&S, DecodeMemExtend(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   } \
 } 
@@ -14090,9 +12721,9 @@
       break; \
     } \
     case MCD_OPC_Decode: { \
-      Opc = (uint32_t)decodeULEB128(++Ptr, &Len); \
+      Opc = (unsigned)decodeULEB128(++Ptr, &Len); \
       Ptr += Len; \
-      DecodeIdx = (uint32_t)decodeULEB128(Ptr, &Len); \
+      DecodeIdx = (unsigned)decodeULEB128(Ptr, &Len); \
       Ptr += Len; \
       MCInst_setOpcode(MI, Opc); \
       return decoder(S, DecodeIdx, insn, MI, Address, MRI); \
diff --git a/arch/AArch64/AArch64GenInstrInfo.inc b/arch/AArch64/AArch64GenInstrInfo.inc
index 984e365..bb024a7 100644
--- a/arch/AArch64/AArch64GenInstrInfo.inc
+++ b/arch/AArch64/AArch64GenInstrInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_INSTRINFO_ENUM
@@ -16,7 +16,7 @@
 enum {
     AArch64_PHI	= 0,
     AArch64_INLINEASM	= 1,
-    AArch64_PROLOG_LABEL	= 2,
+    AArch64_CFI_INSTRUCTION	= 2,
     AArch64_EH_LABEL	= 3,
     AArch64_GC_LABEL	= 4,
     AArch64_KILL	= 5,
@@ -33,2771 +33,2376 @@
     AArch64_LIFETIME_END	= 16,
     AArch64_STACKMAP	= 17,
     AArch64_PATCHPOINT	= 18,
-    AArch64_ABS16b	= 19,
-    AArch64_ABS2d	= 20,
-    AArch64_ABS2s	= 21,
-    AArch64_ABS4h	= 22,
-    AArch64_ABS4s	= 23,
-    AArch64_ABS8b	= 24,
-    AArch64_ABS8h	= 25,
-    AArch64_ABSdd	= 26,
-    AArch64_ADCSwww	= 27,
-    AArch64_ADCSxxx	= 28,
-    AArch64_ADCwww	= 29,
-    AArch64_ADCxxx	= 30,
-    AArch64_ADDHN2vvv_16b8h	= 31,
-    AArch64_ADDHN2vvv_4s2d	= 32,
-    AArch64_ADDHN2vvv_8h4s	= 33,
-    AArch64_ADDHNvvv_2s2d	= 34,
-    AArch64_ADDHNvvv_4h4s	= 35,
-    AArch64_ADDHNvvv_8b8h	= 36,
-    AArch64_ADDP_16B	= 37,
-    AArch64_ADDP_2D	= 38,
-    AArch64_ADDP_2S	= 39,
-    AArch64_ADDP_4H	= 40,
-    AArch64_ADDP_4S	= 41,
-    AArch64_ADDP_8B	= 42,
-    AArch64_ADDP_8H	= 43,
-    AArch64_ADDPvv_D_2D	= 44,
-    AArch64_ADDSwww_asr	= 45,
-    AArch64_ADDSwww_lsl	= 46,
-    AArch64_ADDSwww_lsr	= 47,
-    AArch64_ADDSwww_sxtb	= 48,
-    AArch64_ADDSwww_sxth	= 49,
-    AArch64_ADDSwww_sxtw	= 50,
-    AArch64_ADDSwww_sxtx	= 51,
-    AArch64_ADDSwww_uxtb	= 52,
-    AArch64_ADDSwww_uxth	= 53,
-    AArch64_ADDSwww_uxtw	= 54,
-    AArch64_ADDSwww_uxtx	= 55,
-    AArch64_ADDSxxw_sxtb	= 56,
-    AArch64_ADDSxxw_sxth	= 57,
-    AArch64_ADDSxxw_sxtw	= 58,
-    AArch64_ADDSxxw_uxtb	= 59,
-    AArch64_ADDSxxw_uxth	= 60,
-    AArch64_ADDSxxw_uxtw	= 61,
-    AArch64_ADDSxxx_asr	= 62,
-    AArch64_ADDSxxx_lsl	= 63,
-    AArch64_ADDSxxx_lsr	= 64,
-    AArch64_ADDSxxx_sxtx	= 65,
-    AArch64_ADDSxxx_uxtx	= 66,
-    AArch64_ADDV_1b16b	= 67,
-    AArch64_ADDV_1b8b	= 68,
-    AArch64_ADDV_1h4h	= 69,
-    AArch64_ADDV_1h8h	= 70,
-    AArch64_ADDV_1s4s	= 71,
-    AArch64_ADDddd	= 72,
-    AArch64_ADDvvv_16B	= 73,
-    AArch64_ADDvvv_2D	= 74,
-    AArch64_ADDvvv_2S	= 75,
-    AArch64_ADDvvv_4H	= 76,
-    AArch64_ADDvvv_4S	= 77,
-    AArch64_ADDvvv_8B	= 78,
-    AArch64_ADDvvv_8H	= 79,
-    AArch64_ADDwwi_lsl0_S	= 80,
-    AArch64_ADDwwi_lsl0_cmp	= 81,
-    AArch64_ADDwwi_lsl0_s	= 82,
-    AArch64_ADDwwi_lsl12_S	= 83,
-    AArch64_ADDwwi_lsl12_cmp	= 84,
-    AArch64_ADDwwi_lsl12_s	= 85,
-    AArch64_ADDwww_asr	= 86,
-    AArch64_ADDwww_lsl	= 87,
-    AArch64_ADDwww_lsr	= 88,
-    AArch64_ADDwww_sxtb	= 89,
-    AArch64_ADDwww_sxth	= 90,
-    AArch64_ADDwww_sxtw	= 91,
-    AArch64_ADDwww_sxtx	= 92,
-    AArch64_ADDwww_uxtb	= 93,
-    AArch64_ADDwww_uxth	= 94,
-    AArch64_ADDwww_uxtw	= 95,
-    AArch64_ADDwww_uxtx	= 96,
-    AArch64_ADDxxi_lsl0_S	= 97,
-    AArch64_ADDxxi_lsl0_cmp	= 98,
-    AArch64_ADDxxi_lsl0_s	= 99,
-    AArch64_ADDxxi_lsl12_S	= 100,
-    AArch64_ADDxxi_lsl12_cmp	= 101,
-    AArch64_ADDxxi_lsl12_s	= 102,
-    AArch64_ADDxxw_sxtb	= 103,
-    AArch64_ADDxxw_sxth	= 104,
-    AArch64_ADDxxw_sxtw	= 105,
-    AArch64_ADDxxw_uxtb	= 106,
-    AArch64_ADDxxw_uxth	= 107,
-    AArch64_ADDxxw_uxtw	= 108,
-    AArch64_ADDxxx_asr	= 109,
-    AArch64_ADDxxx_lsl	= 110,
-    AArch64_ADDxxx_lsr	= 111,
-    AArch64_ADDxxx_sxtx	= 112,
-    AArch64_ADDxxx_uxtx	= 113,
-    AArch64_ADJCALLSTACKDOWN	= 114,
-    AArch64_ADJCALLSTACKUP	= 115,
-    AArch64_ADRPxi	= 116,
-    AArch64_ADRxi	= 117,
-    AArch64_AESD	= 118,
-    AArch64_AESE	= 119,
-    AArch64_AESIMC	= 120,
-    AArch64_AESMC	= 121,
-    AArch64_ANDSwwi	= 122,
-    AArch64_ANDSwww_asr	= 123,
-    AArch64_ANDSwww_lsl	= 124,
-    AArch64_ANDSwww_lsr	= 125,
-    AArch64_ANDSwww_ror	= 126,
-    AArch64_ANDSxxi	= 127,
-    AArch64_ANDSxxx_asr	= 128,
-    AArch64_ANDSxxx_lsl	= 129,
-    AArch64_ANDSxxx_lsr	= 130,
-    AArch64_ANDSxxx_ror	= 131,
-    AArch64_ANDvvv_16B	= 132,
-    AArch64_ANDvvv_8B	= 133,
-    AArch64_ANDwwi	= 134,
-    AArch64_ANDwww_asr	= 135,
-    AArch64_ANDwww_lsl	= 136,
-    AArch64_ANDwww_lsr	= 137,
-    AArch64_ANDwww_ror	= 138,
-    AArch64_ANDxxi	= 139,
-    AArch64_ANDxxx_asr	= 140,
-    AArch64_ANDxxx_lsl	= 141,
-    AArch64_ANDxxx_lsr	= 142,
-    AArch64_ANDxxx_ror	= 143,
-    AArch64_ASRVwww	= 144,
-    AArch64_ASRVxxx	= 145,
-    AArch64_ASRwwi	= 146,
-    AArch64_ASRxxi	= 147,
-    AArch64_ATOMIC_CMP_SWAP_I16	= 148,
-    AArch64_ATOMIC_CMP_SWAP_I32	= 149,
-    AArch64_ATOMIC_CMP_SWAP_I64	= 150,
-    AArch64_ATOMIC_CMP_SWAP_I8	= 151,
-    AArch64_ATOMIC_LOAD_ADD_I16	= 152,
-    AArch64_ATOMIC_LOAD_ADD_I32	= 153,
-    AArch64_ATOMIC_LOAD_ADD_I64	= 154,
-    AArch64_ATOMIC_LOAD_ADD_I8	= 155,
-    AArch64_ATOMIC_LOAD_AND_I16	= 156,
-    AArch64_ATOMIC_LOAD_AND_I32	= 157,
-    AArch64_ATOMIC_LOAD_AND_I64	= 158,
-    AArch64_ATOMIC_LOAD_AND_I8	= 159,
-    AArch64_ATOMIC_LOAD_MAX_I16	= 160,
-    AArch64_ATOMIC_LOAD_MAX_I32	= 161,
-    AArch64_ATOMIC_LOAD_MAX_I64	= 162,
-    AArch64_ATOMIC_LOAD_MAX_I8	= 163,
-    AArch64_ATOMIC_LOAD_MIN_I16	= 164,
-    AArch64_ATOMIC_LOAD_MIN_I32	= 165,
-    AArch64_ATOMIC_LOAD_MIN_I64	= 166,
-    AArch64_ATOMIC_LOAD_MIN_I8	= 167,
-    AArch64_ATOMIC_LOAD_NAND_I16	= 168,
-    AArch64_ATOMIC_LOAD_NAND_I32	= 169,
-    AArch64_ATOMIC_LOAD_NAND_I64	= 170,
-    AArch64_ATOMIC_LOAD_NAND_I8	= 171,
-    AArch64_ATOMIC_LOAD_OR_I16	= 172,
-    AArch64_ATOMIC_LOAD_OR_I32	= 173,
-    AArch64_ATOMIC_LOAD_OR_I64	= 174,
-    AArch64_ATOMIC_LOAD_OR_I8	= 175,
-    AArch64_ATOMIC_LOAD_SUB_I16	= 176,
-    AArch64_ATOMIC_LOAD_SUB_I32	= 177,
-    AArch64_ATOMIC_LOAD_SUB_I64	= 178,
-    AArch64_ATOMIC_LOAD_SUB_I8	= 179,
-    AArch64_ATOMIC_LOAD_UMAX_I16	= 180,
-    AArch64_ATOMIC_LOAD_UMAX_I32	= 181,
-    AArch64_ATOMIC_LOAD_UMAX_I64	= 182,
-    AArch64_ATOMIC_LOAD_UMAX_I8	= 183,
-    AArch64_ATOMIC_LOAD_UMIN_I16	= 184,
-    AArch64_ATOMIC_LOAD_UMIN_I32	= 185,
-    AArch64_ATOMIC_LOAD_UMIN_I64	= 186,
-    AArch64_ATOMIC_LOAD_UMIN_I8	= 187,
-    AArch64_ATOMIC_LOAD_XOR_I16	= 188,
-    AArch64_ATOMIC_LOAD_XOR_I32	= 189,
-    AArch64_ATOMIC_LOAD_XOR_I64	= 190,
-    AArch64_ATOMIC_LOAD_XOR_I8	= 191,
-    AArch64_ATOMIC_SWAP_I16	= 192,
-    AArch64_ATOMIC_SWAP_I32	= 193,
-    AArch64_ATOMIC_SWAP_I64	= 194,
-    AArch64_ATOMIC_SWAP_I8	= 195,
-    AArch64_ATix	= 196,
-    AArch64_BFIwwii	= 197,
-    AArch64_BFIxxii	= 198,
-    AArch64_BFMwwii	= 199,
-    AArch64_BFMxxii	= 200,
-    AArch64_BFXILwwii	= 201,
-    AArch64_BFXILxxii	= 202,
-    AArch64_BICSwww_asr	= 203,
-    AArch64_BICSwww_lsl	= 204,
-    AArch64_BICSwww_lsr	= 205,
-    AArch64_BICSwww_ror	= 206,
-    AArch64_BICSxxx_asr	= 207,
-    AArch64_BICSxxx_lsl	= 208,
-    AArch64_BICSxxx_lsr	= 209,
-    AArch64_BICSxxx_ror	= 210,
-    AArch64_BICvi_lsl_2S	= 211,
-    AArch64_BICvi_lsl_4H	= 212,
-    AArch64_BICvi_lsl_4S	= 213,
-    AArch64_BICvi_lsl_8H	= 214,
-    AArch64_BICvvv_16B	= 215,
-    AArch64_BICvvv_8B	= 216,
-    AArch64_BICwww_asr	= 217,
-    AArch64_BICwww_lsl	= 218,
-    AArch64_BICwww_lsr	= 219,
-    AArch64_BICwww_ror	= 220,
-    AArch64_BICxxx_asr	= 221,
-    AArch64_BICxxx_lsl	= 222,
-    AArch64_BICxxx_lsr	= 223,
-    AArch64_BICxxx_ror	= 224,
-    AArch64_BIFvvv_16B	= 225,
-    AArch64_BIFvvv_8B	= 226,
-    AArch64_BITvvv_16B	= 227,
-    AArch64_BITvvv_8B	= 228,
-    AArch64_BLRx	= 229,
-    AArch64_BLimm	= 230,
-    AArch64_BRKi	= 231,
-    AArch64_BRx	= 232,
-    AArch64_BSLvvv_16B	= 233,
-    AArch64_BSLvvv_8B	= 234,
-    AArch64_Bcc	= 235,
-    AArch64_Bimm	= 236,
-    AArch64_CBNZw	= 237,
-    AArch64_CBNZx	= 238,
-    AArch64_CBZw	= 239,
-    AArch64_CBZx	= 240,
-    AArch64_CCMNwi	= 241,
-    AArch64_CCMNww	= 242,
-    AArch64_CCMNxi	= 243,
-    AArch64_CCMNxx	= 244,
-    AArch64_CCMPwi	= 245,
-    AArch64_CCMPww	= 246,
-    AArch64_CCMPxi	= 247,
-    AArch64_CCMPxx	= 248,
-    AArch64_CLREXi	= 249,
-    AArch64_CLS16b	= 250,
-    AArch64_CLS2s	= 251,
-    AArch64_CLS4h	= 252,
-    AArch64_CLS4s	= 253,
-    AArch64_CLS8b	= 254,
-    AArch64_CLS8h	= 255,
-    AArch64_CLSww	= 256,
-    AArch64_CLSxx	= 257,
-    AArch64_CLZ16b	= 258,
-    AArch64_CLZ2s	= 259,
-    AArch64_CLZ4h	= 260,
-    AArch64_CLZ4s	= 261,
-    AArch64_CLZ8b	= 262,
-    AArch64_CLZ8h	= 263,
-    AArch64_CLZww	= 264,
-    AArch64_CLZxx	= 265,
-    AArch64_CMEQddd	= 266,
-    AArch64_CMEQddi	= 267,
-    AArch64_CMEQvvi_16B	= 268,
-    AArch64_CMEQvvi_2D	= 269,
-    AArch64_CMEQvvi_2S	= 270,
-    AArch64_CMEQvvi_4H	= 271,
-    AArch64_CMEQvvi_4S	= 272,
-    AArch64_CMEQvvi_8B	= 273,
-    AArch64_CMEQvvi_8H	= 274,
-    AArch64_CMEQvvv_16B	= 275,
-    AArch64_CMEQvvv_2D	= 276,
-    AArch64_CMEQvvv_2S	= 277,
-    AArch64_CMEQvvv_4H	= 278,
-    AArch64_CMEQvvv_4S	= 279,
-    AArch64_CMEQvvv_8B	= 280,
-    AArch64_CMEQvvv_8H	= 281,
-    AArch64_CMGEddd	= 282,
-    AArch64_CMGEddi	= 283,
-    AArch64_CMGEvvi_16B	= 284,
-    AArch64_CMGEvvi_2D	= 285,
-    AArch64_CMGEvvi_2S	= 286,
-    AArch64_CMGEvvi_4H	= 287,
-    AArch64_CMGEvvi_4S	= 288,
-    AArch64_CMGEvvi_8B	= 289,
-    AArch64_CMGEvvi_8H	= 290,
-    AArch64_CMGEvvv_16B	= 291,
-    AArch64_CMGEvvv_2D	= 292,
-    AArch64_CMGEvvv_2S	= 293,
-    AArch64_CMGEvvv_4H	= 294,
-    AArch64_CMGEvvv_4S	= 295,
-    AArch64_CMGEvvv_8B	= 296,
-    AArch64_CMGEvvv_8H	= 297,
-    AArch64_CMGTddd	= 298,
-    AArch64_CMGTddi	= 299,
-    AArch64_CMGTvvi_16B	= 300,
-    AArch64_CMGTvvi_2D	= 301,
-    AArch64_CMGTvvi_2S	= 302,
-    AArch64_CMGTvvi_4H	= 303,
-    AArch64_CMGTvvi_4S	= 304,
-    AArch64_CMGTvvi_8B	= 305,
-    AArch64_CMGTvvi_8H	= 306,
-    AArch64_CMGTvvv_16B	= 307,
-    AArch64_CMGTvvv_2D	= 308,
-    AArch64_CMGTvvv_2S	= 309,
-    AArch64_CMGTvvv_4H	= 310,
-    AArch64_CMGTvvv_4S	= 311,
-    AArch64_CMGTvvv_8B	= 312,
-    AArch64_CMGTvvv_8H	= 313,
-    AArch64_CMHIddd	= 314,
-    AArch64_CMHIvvv_16B	= 315,
-    AArch64_CMHIvvv_2D	= 316,
-    AArch64_CMHIvvv_2S	= 317,
-    AArch64_CMHIvvv_4H	= 318,
-    AArch64_CMHIvvv_4S	= 319,
-    AArch64_CMHIvvv_8B	= 320,
-    AArch64_CMHIvvv_8H	= 321,
-    AArch64_CMHSddd	= 322,
-    AArch64_CMHSvvv_16B	= 323,
-    AArch64_CMHSvvv_2D	= 324,
-    AArch64_CMHSvvv_2S	= 325,
-    AArch64_CMHSvvv_4H	= 326,
-    AArch64_CMHSvvv_4S	= 327,
-    AArch64_CMHSvvv_8B	= 328,
-    AArch64_CMHSvvv_8H	= 329,
-    AArch64_CMLEddi	= 330,
-    AArch64_CMLEvvi_16B	= 331,
-    AArch64_CMLEvvi_2D	= 332,
-    AArch64_CMLEvvi_2S	= 333,
-    AArch64_CMLEvvi_4H	= 334,
-    AArch64_CMLEvvi_4S	= 335,
-    AArch64_CMLEvvi_8B	= 336,
-    AArch64_CMLEvvi_8H	= 337,
-    AArch64_CMLTddi	= 338,
-    AArch64_CMLTvvi_16B	= 339,
-    AArch64_CMLTvvi_2D	= 340,
-    AArch64_CMLTvvi_2S	= 341,
-    AArch64_CMLTvvi_4H	= 342,
-    AArch64_CMLTvvi_4S	= 343,
-    AArch64_CMLTvvi_8B	= 344,
-    AArch64_CMLTvvi_8H	= 345,
-    AArch64_CMNww_asr	= 346,
-    AArch64_CMNww_lsl	= 347,
-    AArch64_CMNww_lsr	= 348,
-    AArch64_CMNww_sxtb	= 349,
-    AArch64_CMNww_sxth	= 350,
-    AArch64_CMNww_sxtw	= 351,
-    AArch64_CMNww_sxtx	= 352,
-    AArch64_CMNww_uxtb	= 353,
-    AArch64_CMNww_uxth	= 354,
-    AArch64_CMNww_uxtw	= 355,
-    AArch64_CMNww_uxtx	= 356,
-    AArch64_CMNxw_sxtb	= 357,
-    AArch64_CMNxw_sxth	= 358,
-    AArch64_CMNxw_sxtw	= 359,
-    AArch64_CMNxw_uxtb	= 360,
-    AArch64_CMNxw_uxth	= 361,
-    AArch64_CMNxw_uxtw	= 362,
-    AArch64_CMNxx_asr	= 363,
-    AArch64_CMNxx_lsl	= 364,
-    AArch64_CMNxx_lsr	= 365,
-    AArch64_CMNxx_sxtx	= 366,
-    AArch64_CMNxx_uxtx	= 367,
-    AArch64_CMPww_asr	= 368,
-    AArch64_CMPww_lsl	= 369,
-    AArch64_CMPww_lsr	= 370,
-    AArch64_CMPww_sxtb	= 371,
-    AArch64_CMPww_sxth	= 372,
-    AArch64_CMPww_sxtw	= 373,
-    AArch64_CMPww_sxtx	= 374,
-    AArch64_CMPww_uxtb	= 375,
-    AArch64_CMPww_uxth	= 376,
-    AArch64_CMPww_uxtw	= 377,
-    AArch64_CMPww_uxtx	= 378,
-    AArch64_CMPxw_sxtb	= 379,
-    AArch64_CMPxw_sxth	= 380,
-    AArch64_CMPxw_sxtw	= 381,
-    AArch64_CMPxw_uxtb	= 382,
-    AArch64_CMPxw_uxth	= 383,
-    AArch64_CMPxw_uxtw	= 384,
-    AArch64_CMPxx_asr	= 385,
-    AArch64_CMPxx_lsl	= 386,
-    AArch64_CMPxx_lsr	= 387,
-    AArch64_CMPxx_sxtx	= 388,
-    AArch64_CMPxx_uxtx	= 389,
-    AArch64_CMTSTddd	= 390,
-    AArch64_CMTSTvvv_16B	= 391,
-    AArch64_CMTSTvvv_2D	= 392,
-    AArch64_CMTSTvvv_2S	= 393,
-    AArch64_CMTSTvvv_4H	= 394,
-    AArch64_CMTSTvvv_4S	= 395,
-    AArch64_CMTSTvvv_8B	= 396,
-    AArch64_CMTSTvvv_8H	= 397,
-    AArch64_CNT16b	= 398,
-    AArch64_CNT8b	= 399,
-    AArch64_CRC32B_www	= 400,
-    AArch64_CRC32CB_www	= 401,
-    AArch64_CRC32CH_www	= 402,
-    AArch64_CRC32CW_www	= 403,
-    AArch64_CRC32CX_wwx	= 404,
-    AArch64_CRC32H_www	= 405,
-    AArch64_CRC32W_www	= 406,
-    AArch64_CRC32X_wwx	= 407,
-    AArch64_CSELwwwc	= 408,
-    AArch64_CSELxxxc	= 409,
-    AArch64_CSINCwwwc	= 410,
-    AArch64_CSINCxxxc	= 411,
-    AArch64_CSINVwwwc	= 412,
-    AArch64_CSINVxxxc	= 413,
-    AArch64_CSNEGwwwc	= 414,
-    AArch64_CSNEGxxxc	= 415,
-    AArch64_DCPS1i	= 416,
-    AArch64_DCPS2i	= 417,
-    AArch64_DCPS3i	= 418,
-    AArch64_DCix	= 419,
-    AArch64_DMBi	= 420,
-    AArch64_DRPS	= 421,
-    AArch64_DSBi	= 422,
-    AArch64_DUP16b	= 423,
-    AArch64_DUP2d	= 424,
-    AArch64_DUP2s	= 425,
-    AArch64_DUP4h	= 426,
-    AArch64_DUP4s	= 427,
-    AArch64_DUP8b	= 428,
-    AArch64_DUP8h	= 429,
-    AArch64_DUPELT16b	= 430,
-    AArch64_DUPELT2d	= 431,
-    AArch64_DUPELT2s	= 432,
-    AArch64_DUPELT4h	= 433,
-    AArch64_DUPELT4s	= 434,
-    AArch64_DUPELT8b	= 435,
-    AArch64_DUPELT8h	= 436,
-    AArch64_DUPbv_B	= 437,
-    AArch64_DUPdv_D	= 438,
-    AArch64_DUPhv_H	= 439,
-    AArch64_DUPsv_S	= 440,
-    AArch64_EONwww_asr	= 441,
-    AArch64_EONwww_lsl	= 442,
-    AArch64_EONwww_lsr	= 443,
-    AArch64_EONwww_ror	= 444,
-    AArch64_EONxxx_asr	= 445,
-    AArch64_EONxxx_lsl	= 446,
-    AArch64_EONxxx_lsr	= 447,
-    AArch64_EONxxx_ror	= 448,
-    AArch64_EORvvv_16B	= 449,
-    AArch64_EORvvv_8B	= 450,
-    AArch64_EORwwi	= 451,
-    AArch64_EORwww_asr	= 452,
-    AArch64_EORwww_lsl	= 453,
-    AArch64_EORwww_lsr	= 454,
-    AArch64_EORwww_ror	= 455,
-    AArch64_EORxxi	= 456,
-    AArch64_EORxxx_asr	= 457,
-    AArch64_EORxxx_lsl	= 458,
-    AArch64_EORxxx_lsr	= 459,
-    AArch64_EORxxx_ror	= 460,
-    AArch64_ERET	= 461,
-    AArch64_EXTRwwwi	= 462,
-    AArch64_EXTRxxxi	= 463,
-    AArch64_EXTvvvi_16b	= 464,
-    AArch64_EXTvvvi_8b	= 465,
-    AArch64_F128CSEL	= 466,
-    AArch64_FABDddd	= 467,
-    AArch64_FABDsss	= 468,
-    AArch64_FABDvvv_2D	= 469,
-    AArch64_FABDvvv_2S	= 470,
-    AArch64_FABDvvv_4S	= 471,
-    AArch64_FABS2d	= 472,
-    AArch64_FABS2s	= 473,
-    AArch64_FABS4s	= 474,
-    AArch64_FABSdd	= 475,
-    AArch64_FABSss	= 476,
-    AArch64_FACGEddd	= 477,
-    AArch64_FACGEsss	= 478,
-    AArch64_FACGEvvv_2D	= 479,
-    AArch64_FACGEvvv_2S	= 480,
-    AArch64_FACGEvvv_4S	= 481,
-    AArch64_FACGTddd	= 482,
-    AArch64_FACGTsss	= 483,
-    AArch64_FACGTvvv_2D	= 484,
-    AArch64_FACGTvvv_2S	= 485,
-    AArch64_FACGTvvv_4S	= 486,
-    AArch64_FADDP_2D	= 487,
-    AArch64_FADDP_2S	= 488,
-    AArch64_FADDP_4S	= 489,
-    AArch64_FADDPvv_D_2D	= 490,
-    AArch64_FADDPvv_S_2S	= 491,
-    AArch64_FADDddd	= 492,
-    AArch64_FADDsss	= 493,
-    AArch64_FADDvvv_2D	= 494,
-    AArch64_FADDvvv_2S	= 495,
-    AArch64_FADDvvv_4S	= 496,
-    AArch64_FCCMPEdd	= 497,
-    AArch64_FCCMPEss	= 498,
-    AArch64_FCCMPdd	= 499,
-    AArch64_FCCMPss	= 500,
-    AArch64_FCMEQZddi	= 501,
-    AArch64_FCMEQZssi	= 502,
-    AArch64_FCMEQddd	= 503,
-    AArch64_FCMEQsss	= 504,
-    AArch64_FCMEQvvi_2D	= 505,
-    AArch64_FCMEQvvi_2S	= 506,
-    AArch64_FCMEQvvi_4S	= 507,
-    AArch64_FCMEQvvv_2D	= 508,
-    AArch64_FCMEQvvv_2S	= 509,
-    AArch64_FCMEQvvv_4S	= 510,
-    AArch64_FCMGEZddi	= 511,
-    AArch64_FCMGEZssi	= 512,
-    AArch64_FCMGEddd	= 513,
-    AArch64_FCMGEsss	= 514,
-    AArch64_FCMGEvvi_2D	= 515,
-    AArch64_FCMGEvvi_2S	= 516,
-    AArch64_FCMGEvvi_4S	= 517,
-    AArch64_FCMGEvvv_2D	= 518,
-    AArch64_FCMGEvvv_2S	= 519,
-    AArch64_FCMGEvvv_4S	= 520,
-    AArch64_FCMGTZddi	= 521,
-    AArch64_FCMGTZssi	= 522,
-    AArch64_FCMGTddd	= 523,
-    AArch64_FCMGTsss	= 524,
-    AArch64_FCMGTvvi_2D	= 525,
-    AArch64_FCMGTvvi_2S	= 526,
-    AArch64_FCMGTvvi_4S	= 527,
-    AArch64_FCMGTvvv_2D	= 528,
-    AArch64_FCMGTvvv_2S	= 529,
-    AArch64_FCMGTvvv_4S	= 530,
-    AArch64_FCMLEZddi	= 531,
-    AArch64_FCMLEZssi	= 532,
-    AArch64_FCMLEvvi_2D	= 533,
-    AArch64_FCMLEvvi_2S	= 534,
-    AArch64_FCMLEvvi_4S	= 535,
-    AArch64_FCMLTZddi	= 536,
-    AArch64_FCMLTZssi	= 537,
-    AArch64_FCMLTvvi_2D	= 538,
-    AArch64_FCMLTvvi_2S	= 539,
-    AArch64_FCMLTvvi_4S	= 540,
-    AArch64_FCMPdd_quiet	= 541,
-    AArch64_FCMPdd_sig	= 542,
-    AArch64_FCMPdi_quiet	= 543,
-    AArch64_FCMPdi_sig	= 544,
-    AArch64_FCMPsi_quiet	= 545,
-    AArch64_FCMPsi_sig	= 546,
-    AArch64_FCMPss_quiet	= 547,
-    AArch64_FCMPss_sig	= 548,
-    AArch64_FCSELdddc	= 549,
-    AArch64_FCSELsssc	= 550,
-    AArch64_FCVTAS_2d	= 551,
-    AArch64_FCVTAS_2s	= 552,
-    AArch64_FCVTAS_4s	= 553,
-    AArch64_FCVTASdd	= 554,
-    AArch64_FCVTASss	= 555,
-    AArch64_FCVTASwd	= 556,
-    AArch64_FCVTASws	= 557,
-    AArch64_FCVTASxd	= 558,
-    AArch64_FCVTASxs	= 559,
-    AArch64_FCVTAU_2d	= 560,
-    AArch64_FCVTAU_2s	= 561,
-    AArch64_FCVTAU_4s	= 562,
-    AArch64_FCVTAUdd	= 563,
-    AArch64_FCVTAUss	= 564,
-    AArch64_FCVTAUwd	= 565,
-    AArch64_FCVTAUws	= 566,
-    AArch64_FCVTAUxd	= 567,
-    AArch64_FCVTAUxs	= 568,
-    AArch64_FCVTL2s2d	= 569,
-    AArch64_FCVTL4h4s	= 570,
-    AArch64_FCVTL4s2d	= 571,
-    AArch64_FCVTL8h4s	= 572,
-    AArch64_FCVTMS_2d	= 573,
-    AArch64_FCVTMS_2s	= 574,
-    AArch64_FCVTMS_4s	= 575,
-    AArch64_FCVTMSdd	= 576,
-    AArch64_FCVTMSss	= 577,
-    AArch64_FCVTMSwd	= 578,
-    AArch64_FCVTMSws	= 579,
-    AArch64_FCVTMSxd	= 580,
-    AArch64_FCVTMSxs	= 581,
-    AArch64_FCVTMU_2d	= 582,
-    AArch64_FCVTMU_2s	= 583,
-    AArch64_FCVTMU_4s	= 584,
-    AArch64_FCVTMUdd	= 585,
-    AArch64_FCVTMUss	= 586,
-    AArch64_FCVTMUwd	= 587,
-    AArch64_FCVTMUws	= 588,
-    AArch64_FCVTMUxd	= 589,
-    AArch64_FCVTMUxs	= 590,
-    AArch64_FCVTN2d2s	= 591,
-    AArch64_FCVTN2d4s	= 592,
-    AArch64_FCVTN4s4h	= 593,
-    AArch64_FCVTN4s8h	= 594,
-    AArch64_FCVTNS_2d	= 595,
-    AArch64_FCVTNS_2s	= 596,
-    AArch64_FCVTNS_4s	= 597,
-    AArch64_FCVTNSdd	= 598,
-    AArch64_FCVTNSss	= 599,
-    AArch64_FCVTNSwd	= 600,
-    AArch64_FCVTNSws	= 601,
-    AArch64_FCVTNSxd	= 602,
-    AArch64_FCVTNSxs	= 603,
-    AArch64_FCVTNU_2d	= 604,
-    AArch64_FCVTNU_2s	= 605,
-    AArch64_FCVTNU_4s	= 606,
-    AArch64_FCVTNUdd	= 607,
-    AArch64_FCVTNUss	= 608,
-    AArch64_FCVTNUwd	= 609,
-    AArch64_FCVTNUws	= 610,
-    AArch64_FCVTNUxd	= 611,
-    AArch64_FCVTNUxs	= 612,
-    AArch64_FCVTPS_2d	= 613,
-    AArch64_FCVTPS_2s	= 614,
-    AArch64_FCVTPS_4s	= 615,
-    AArch64_FCVTPSdd	= 616,
-    AArch64_FCVTPSss	= 617,
-    AArch64_FCVTPSwd	= 618,
-    AArch64_FCVTPSws	= 619,
-    AArch64_FCVTPSxd	= 620,
-    AArch64_FCVTPSxs	= 621,
-    AArch64_FCVTPU_2d	= 622,
-    AArch64_FCVTPU_2s	= 623,
-    AArch64_FCVTPU_4s	= 624,
-    AArch64_FCVTPUdd	= 625,
-    AArch64_FCVTPUss	= 626,
-    AArch64_FCVTPUwd	= 627,
-    AArch64_FCVTPUws	= 628,
-    AArch64_FCVTPUxd	= 629,
-    AArch64_FCVTPUxs	= 630,
-    AArch64_FCVTXN	= 631,
-    AArch64_FCVTXN2d2s	= 632,
-    AArch64_FCVTXN2d4s	= 633,
-    AArch64_FCVTZS_2d	= 634,
-    AArch64_FCVTZS_2s	= 635,
-    AArch64_FCVTZS_4s	= 636,
-    AArch64_FCVTZS_Nddi	= 637,
-    AArch64_FCVTZS_Nssi	= 638,
-    AArch64_FCVTZSdd	= 639,
-    AArch64_FCVTZSss	= 640,
-    AArch64_FCVTZSwd	= 641,
-    AArch64_FCVTZSwdi	= 642,
-    AArch64_FCVTZSws	= 643,
-    AArch64_FCVTZSwsi	= 644,
-    AArch64_FCVTZSxd	= 645,
-    AArch64_FCVTZSxdi	= 646,
-    AArch64_FCVTZSxs	= 647,
-    AArch64_FCVTZSxsi	= 648,
-    AArch64_FCVTZU_2d	= 649,
-    AArch64_FCVTZU_2s	= 650,
-    AArch64_FCVTZU_4s	= 651,
-    AArch64_FCVTZU_Nddi	= 652,
-    AArch64_FCVTZU_Nssi	= 653,
-    AArch64_FCVTZUdd	= 654,
-    AArch64_FCVTZUss	= 655,
-    AArch64_FCVTZUwd	= 656,
-    AArch64_FCVTZUwdi	= 657,
-    AArch64_FCVTZUws	= 658,
-    AArch64_FCVTZUwsi	= 659,
-    AArch64_FCVTZUxd	= 660,
-    AArch64_FCVTZUxdi	= 661,
-    AArch64_FCVTZUxs	= 662,
-    AArch64_FCVTZUxsi	= 663,
-    AArch64_FCVTdh	= 664,
-    AArch64_FCVTds	= 665,
-    AArch64_FCVThd	= 666,
-    AArch64_FCVThs	= 667,
-    AArch64_FCVTsd	= 668,
-    AArch64_FCVTsh	= 669,
-    AArch64_FDIVddd	= 670,
-    AArch64_FDIVsss	= 671,
-    AArch64_FDIVvvv_2D	= 672,
-    AArch64_FDIVvvv_2S	= 673,
-    AArch64_FDIVvvv_4S	= 674,
-    AArch64_FMADDdddd	= 675,
-    AArch64_FMADDssss	= 676,
-    AArch64_FMAXNMPvv_D_2D	= 677,
-    AArch64_FMAXNMPvv_S_2S	= 678,
-    AArch64_FMAXNMPvvv_2D	= 679,
-    AArch64_FMAXNMPvvv_2S	= 680,
-    AArch64_FMAXNMPvvv_4S	= 681,
-    AArch64_FMAXNMV_1s4s	= 682,
-    AArch64_FMAXNMddd	= 683,
-    AArch64_FMAXNMsss	= 684,
-    AArch64_FMAXNMvvv_2D	= 685,
-    AArch64_FMAXNMvvv_2S	= 686,
-    AArch64_FMAXNMvvv_4S	= 687,
-    AArch64_FMAXPvv_D_2D	= 688,
-    AArch64_FMAXPvv_S_2S	= 689,
-    AArch64_FMAXPvvv_2D	= 690,
-    AArch64_FMAXPvvv_2S	= 691,
-    AArch64_FMAXPvvv_4S	= 692,
-    AArch64_FMAXV_1s4s	= 693,
-    AArch64_FMAXddd	= 694,
-    AArch64_FMAXsss	= 695,
-    AArch64_FMAXvvv_2D	= 696,
-    AArch64_FMAXvvv_2S	= 697,
-    AArch64_FMAXvvv_4S	= 698,
-    AArch64_FMINNMPvv_D_2D	= 699,
-    AArch64_FMINNMPvv_S_2S	= 700,
-    AArch64_FMINNMPvvv_2D	= 701,
-    AArch64_FMINNMPvvv_2S	= 702,
-    AArch64_FMINNMPvvv_4S	= 703,
-    AArch64_FMINNMV_1s4s	= 704,
-    AArch64_FMINNMddd	= 705,
-    AArch64_FMINNMsss	= 706,
-    AArch64_FMINNMvvv_2D	= 707,
-    AArch64_FMINNMvvv_2S	= 708,
-    AArch64_FMINNMvvv_4S	= 709,
-    AArch64_FMINPvv_D_2D	= 710,
-    AArch64_FMINPvv_S_2S	= 711,
-    AArch64_FMINPvvv_2D	= 712,
-    AArch64_FMINPvvv_2S	= 713,
-    AArch64_FMINPvvv_4S	= 714,
-    AArch64_FMINV_1s4s	= 715,
-    AArch64_FMINddd	= 716,
-    AArch64_FMINsss	= 717,
-    AArch64_FMINvvv_2D	= 718,
-    AArch64_FMINvvv_2S	= 719,
-    AArch64_FMINvvv_4S	= 720,
-    AArch64_FMLAddv_2D	= 721,
-    AArch64_FMLAssv_4S	= 722,
-    AArch64_FMLAvve_2d2d	= 723,
-    AArch64_FMLAvve_2s4s	= 724,
-    AArch64_FMLAvve_4s4s	= 725,
-    AArch64_FMLAvvv_2D	= 726,
-    AArch64_FMLAvvv_2S	= 727,
-    AArch64_FMLAvvv_4S	= 728,
-    AArch64_FMLSddv_2D	= 729,
-    AArch64_FMLSssv_4S	= 730,
-    AArch64_FMLSvve_2d2d	= 731,
-    AArch64_FMLSvve_2s4s	= 732,
-    AArch64_FMLSvve_4s4s	= 733,
-    AArch64_FMLSvvv_2D	= 734,
-    AArch64_FMLSvvv_2S	= 735,
-    AArch64_FMLSvvv_4S	= 736,
-    AArch64_FMOVdd	= 737,
-    AArch64_FMOVdi	= 738,
-    AArch64_FMOVdx	= 739,
-    AArch64_FMOVsi	= 740,
-    AArch64_FMOVss	= 741,
-    AArch64_FMOVsw	= 742,
-    AArch64_FMOVvi_2D	= 743,
-    AArch64_FMOVvi_2S	= 744,
-    AArch64_FMOVvi_4S	= 745,
-    AArch64_FMOVvx	= 746,
-    AArch64_FMOVws	= 747,
-    AArch64_FMOVxd	= 748,
-    AArch64_FMOVxv	= 749,
-    AArch64_FMSUBdddd	= 750,
-    AArch64_FMSUBssss	= 751,
-    AArch64_FMULXddd	= 752,
-    AArch64_FMULXddv_2D	= 753,
-    AArch64_FMULXsss	= 754,
-    AArch64_FMULXssv_4S	= 755,
-    AArch64_FMULXve_2d2d	= 756,
-    AArch64_FMULXve_2s4s	= 757,
-    AArch64_FMULXve_4s4s	= 758,
-    AArch64_FMULXvvv_2D	= 759,
-    AArch64_FMULXvvv_2S	= 760,
-    AArch64_FMULXvvv_4S	= 761,
-    AArch64_FMULddd	= 762,
-    AArch64_FMULddv_2D	= 763,
-    AArch64_FMULsss	= 764,
-    AArch64_FMULssv_4S	= 765,
-    AArch64_FMULve_2d2d	= 766,
-    AArch64_FMULve_2s4s	= 767,
-    AArch64_FMULve_4s4s	= 768,
-    AArch64_FMULvvv_2D	= 769,
-    AArch64_FMULvvv_2S	= 770,
-    AArch64_FMULvvv_4S	= 771,
-    AArch64_FNEG2d	= 772,
-    AArch64_FNEG2s	= 773,
-    AArch64_FNEG4s	= 774,
-    AArch64_FNEGdd	= 775,
-    AArch64_FNEGss	= 776,
-    AArch64_FNMADDdddd	= 777,
-    AArch64_FNMADDssss	= 778,
-    AArch64_FNMSUBdddd	= 779,
-    AArch64_FNMSUBssss	= 780,
-    AArch64_FNMULddd	= 781,
-    AArch64_FNMULsss	= 782,
-    AArch64_FRECPE_2d	= 783,
-    AArch64_FRECPE_2s	= 784,
-    AArch64_FRECPE_4s	= 785,
-    AArch64_FRECPEdd	= 786,
-    AArch64_FRECPEss	= 787,
-    AArch64_FRECPSddd	= 788,
-    AArch64_FRECPSsss	= 789,
-    AArch64_FRECPSvvv_2D	= 790,
-    AArch64_FRECPSvvv_2S	= 791,
-    AArch64_FRECPSvvv_4S	= 792,
-    AArch64_FRECPXdd	= 793,
-    AArch64_FRECPXss	= 794,
-    AArch64_FRINTA_2d	= 795,
-    AArch64_FRINTA_2s	= 796,
-    AArch64_FRINTA_4s	= 797,
-    AArch64_FRINTAdd	= 798,
-    AArch64_FRINTAss	= 799,
-    AArch64_FRINTI_2d	= 800,
-    AArch64_FRINTI_2s	= 801,
-    AArch64_FRINTI_4s	= 802,
-    AArch64_FRINTIdd	= 803,
-    AArch64_FRINTIss	= 804,
-    AArch64_FRINTM_2d	= 805,
-    AArch64_FRINTM_2s	= 806,
-    AArch64_FRINTM_4s	= 807,
-    AArch64_FRINTMdd	= 808,
-    AArch64_FRINTMss	= 809,
-    AArch64_FRINTN_2d	= 810,
-    AArch64_FRINTN_2s	= 811,
-    AArch64_FRINTN_4s	= 812,
-    AArch64_FRINTNdd	= 813,
-    AArch64_FRINTNss	= 814,
-    AArch64_FRINTP_2d	= 815,
-    AArch64_FRINTP_2s	= 816,
-    AArch64_FRINTP_4s	= 817,
-    AArch64_FRINTPdd	= 818,
-    AArch64_FRINTPss	= 819,
-    AArch64_FRINTX_2d	= 820,
-    AArch64_FRINTX_2s	= 821,
-    AArch64_FRINTX_4s	= 822,
-    AArch64_FRINTXdd	= 823,
-    AArch64_FRINTXss	= 824,
-    AArch64_FRINTZ_2d	= 825,
-    AArch64_FRINTZ_2s	= 826,
-    AArch64_FRINTZ_4s	= 827,
-    AArch64_FRINTZdd	= 828,
-    AArch64_FRINTZss	= 829,
-    AArch64_FRSQRTE_2d	= 830,
-    AArch64_FRSQRTE_2s	= 831,
-    AArch64_FRSQRTE_4s	= 832,
-    AArch64_FRSQRTEdd	= 833,
-    AArch64_FRSQRTEss	= 834,
-    AArch64_FRSQRTSddd	= 835,
-    AArch64_FRSQRTSsss	= 836,
-    AArch64_FRSQRTSvvv_2D	= 837,
-    AArch64_FRSQRTSvvv_2S	= 838,
-    AArch64_FRSQRTSvvv_4S	= 839,
-    AArch64_FSQRT_2d	= 840,
-    AArch64_FSQRT_2s	= 841,
-    AArch64_FSQRT_4s	= 842,
-    AArch64_FSQRTdd	= 843,
-    AArch64_FSQRTss	= 844,
-    AArch64_FSUBddd	= 845,
-    AArch64_FSUBsss	= 846,
-    AArch64_FSUBvvv_2D	= 847,
-    AArch64_FSUBvvv_2S	= 848,
-    AArch64_FSUBvvv_4S	= 849,
-    AArch64_HINTi	= 850,
-    AArch64_HLTi	= 851,
-    AArch64_HVCi	= 852,
-    AArch64_ICi	= 853,
-    AArch64_ICix	= 854,
-    AArch64_INSELb	= 855,
-    AArch64_INSELd	= 856,
-    AArch64_INSELh	= 857,
-    AArch64_INSELs	= 858,
-    AArch64_INSbw	= 859,
-    AArch64_INSdx	= 860,
-    AArch64_INShw	= 861,
-    AArch64_INSsw	= 862,
-    AArch64_ISBi	= 863,
-    AArch64_LD1LN_B	= 864,
-    AArch64_LD1LN_D	= 865,
-    AArch64_LD1LN_H	= 866,
-    AArch64_LD1LN_S	= 867,
-    AArch64_LD1LN_WB_B_fixed	= 868,
-    AArch64_LD1LN_WB_B_register	= 869,
-    AArch64_LD1LN_WB_D_fixed	= 870,
-    AArch64_LD1LN_WB_D_register	= 871,
-    AArch64_LD1LN_WB_H_fixed	= 872,
-    AArch64_LD1LN_WB_H_register	= 873,
-    AArch64_LD1LN_WB_S_fixed	= 874,
-    AArch64_LD1LN_WB_S_register	= 875,
-    AArch64_LD1R_16B	= 876,
-    AArch64_LD1R_1D	= 877,
-    AArch64_LD1R_2D	= 878,
-    AArch64_LD1R_2S	= 879,
-    AArch64_LD1R_4H	= 880,
-    AArch64_LD1R_4S	= 881,
-    AArch64_LD1R_8B	= 882,
-    AArch64_LD1R_8H	= 883,
-    AArch64_LD1R_WB_16B_fixed	= 884,
-    AArch64_LD1R_WB_16B_register	= 885,
-    AArch64_LD1R_WB_1D_fixed	= 886,
-    AArch64_LD1R_WB_1D_register	= 887,
-    AArch64_LD1R_WB_2D_fixed	= 888,
-    AArch64_LD1R_WB_2D_register	= 889,
-    AArch64_LD1R_WB_2S_fixed	= 890,
-    AArch64_LD1R_WB_2S_register	= 891,
-    AArch64_LD1R_WB_4H_fixed	= 892,
-    AArch64_LD1R_WB_4H_register	= 893,
-    AArch64_LD1R_WB_4S_fixed	= 894,
-    AArch64_LD1R_WB_4S_register	= 895,
-    AArch64_LD1R_WB_8B_fixed	= 896,
-    AArch64_LD1R_WB_8B_register	= 897,
-    AArch64_LD1R_WB_8H_fixed	= 898,
-    AArch64_LD1R_WB_8H_register	= 899,
-    AArch64_LD1WB_16B_fixed	= 900,
-    AArch64_LD1WB_16B_register	= 901,
-    AArch64_LD1WB_1D_fixed	= 902,
-    AArch64_LD1WB_1D_register	= 903,
-    AArch64_LD1WB_2D_fixed	= 904,
-    AArch64_LD1WB_2D_register	= 905,
-    AArch64_LD1WB_2S_fixed	= 906,
-    AArch64_LD1WB_2S_register	= 907,
-    AArch64_LD1WB_4H_fixed	= 908,
-    AArch64_LD1WB_4H_register	= 909,
-    AArch64_LD1WB_4S_fixed	= 910,
-    AArch64_LD1WB_4S_register	= 911,
-    AArch64_LD1WB_8B_fixed	= 912,
-    AArch64_LD1WB_8B_register	= 913,
-    AArch64_LD1WB_8H_fixed	= 914,
-    AArch64_LD1WB_8H_register	= 915,
-    AArch64_LD1_16B	= 916,
-    AArch64_LD1_1D	= 917,
-    AArch64_LD1_2D	= 918,
-    AArch64_LD1_2S	= 919,
-    AArch64_LD1_4H	= 920,
-    AArch64_LD1_4S	= 921,
-    AArch64_LD1_8B	= 922,
-    AArch64_LD1_8H	= 923,
-    AArch64_LD1x2WB_16B_fixed	= 924,
-    AArch64_LD1x2WB_16B_register	= 925,
-    AArch64_LD1x2WB_1D_fixed	= 926,
-    AArch64_LD1x2WB_1D_register	= 927,
-    AArch64_LD1x2WB_2D_fixed	= 928,
-    AArch64_LD1x2WB_2D_register	= 929,
-    AArch64_LD1x2WB_2S_fixed	= 930,
-    AArch64_LD1x2WB_2S_register	= 931,
-    AArch64_LD1x2WB_4H_fixed	= 932,
-    AArch64_LD1x2WB_4H_register	= 933,
-    AArch64_LD1x2WB_4S_fixed	= 934,
-    AArch64_LD1x2WB_4S_register	= 935,
-    AArch64_LD1x2WB_8B_fixed	= 936,
-    AArch64_LD1x2WB_8B_register	= 937,
-    AArch64_LD1x2WB_8H_fixed	= 938,
-    AArch64_LD1x2WB_8H_register	= 939,
-    AArch64_LD1x2_16B	= 940,
-    AArch64_LD1x2_1D	= 941,
-    AArch64_LD1x2_2D	= 942,
-    AArch64_LD1x2_2S	= 943,
-    AArch64_LD1x2_4H	= 944,
-    AArch64_LD1x2_4S	= 945,
-    AArch64_LD1x2_8B	= 946,
-    AArch64_LD1x2_8H	= 947,
-    AArch64_LD1x3WB_16B_fixed	= 948,
-    AArch64_LD1x3WB_16B_register	= 949,
-    AArch64_LD1x3WB_1D_fixed	= 950,
-    AArch64_LD1x3WB_1D_register	= 951,
-    AArch64_LD1x3WB_2D_fixed	= 952,
-    AArch64_LD1x3WB_2D_register	= 953,
-    AArch64_LD1x3WB_2S_fixed	= 954,
-    AArch64_LD1x3WB_2S_register	= 955,
-    AArch64_LD1x3WB_4H_fixed	= 956,
-    AArch64_LD1x3WB_4H_register	= 957,
-    AArch64_LD1x3WB_4S_fixed	= 958,
-    AArch64_LD1x3WB_4S_register	= 959,
-    AArch64_LD1x3WB_8B_fixed	= 960,
-    AArch64_LD1x3WB_8B_register	= 961,
-    AArch64_LD1x3WB_8H_fixed	= 962,
-    AArch64_LD1x3WB_8H_register	= 963,
-    AArch64_LD1x3_16B	= 964,
-    AArch64_LD1x3_1D	= 965,
-    AArch64_LD1x3_2D	= 966,
-    AArch64_LD1x3_2S	= 967,
-    AArch64_LD1x3_4H	= 968,
-    AArch64_LD1x3_4S	= 969,
-    AArch64_LD1x3_8B	= 970,
-    AArch64_LD1x3_8H	= 971,
-    AArch64_LD1x4WB_16B_fixed	= 972,
-    AArch64_LD1x4WB_16B_register	= 973,
-    AArch64_LD1x4WB_1D_fixed	= 974,
-    AArch64_LD1x4WB_1D_register	= 975,
-    AArch64_LD1x4WB_2D_fixed	= 976,
-    AArch64_LD1x4WB_2D_register	= 977,
-    AArch64_LD1x4WB_2S_fixed	= 978,
-    AArch64_LD1x4WB_2S_register	= 979,
-    AArch64_LD1x4WB_4H_fixed	= 980,
-    AArch64_LD1x4WB_4H_register	= 981,
-    AArch64_LD1x4WB_4S_fixed	= 982,
-    AArch64_LD1x4WB_4S_register	= 983,
-    AArch64_LD1x4WB_8B_fixed	= 984,
-    AArch64_LD1x4WB_8B_register	= 985,
-    AArch64_LD1x4WB_8H_fixed	= 986,
-    AArch64_LD1x4WB_8H_register	= 987,
-    AArch64_LD1x4_16B	= 988,
-    AArch64_LD1x4_1D	= 989,
-    AArch64_LD1x4_2D	= 990,
-    AArch64_LD1x4_2S	= 991,
-    AArch64_LD1x4_4H	= 992,
-    AArch64_LD1x4_4S	= 993,
-    AArch64_LD1x4_8B	= 994,
-    AArch64_LD1x4_8H	= 995,
-    AArch64_LD2LN_B	= 996,
-    AArch64_LD2LN_D	= 997,
-    AArch64_LD2LN_H	= 998,
-    AArch64_LD2LN_S	= 999,
-    AArch64_LD2LN_WB_B_fixed	= 1000,
-    AArch64_LD2LN_WB_B_register	= 1001,
-    AArch64_LD2LN_WB_D_fixed	= 1002,
-    AArch64_LD2LN_WB_D_register	= 1003,
-    AArch64_LD2LN_WB_H_fixed	= 1004,
-    AArch64_LD2LN_WB_H_register	= 1005,
-    AArch64_LD2LN_WB_S_fixed	= 1006,
-    AArch64_LD2LN_WB_S_register	= 1007,
-    AArch64_LD2R_16B	= 1008,
-    AArch64_LD2R_1D	= 1009,
-    AArch64_LD2R_2D	= 1010,
-    AArch64_LD2R_2S	= 1011,
-    AArch64_LD2R_4H	= 1012,
-    AArch64_LD2R_4S	= 1013,
-    AArch64_LD2R_8B	= 1014,
-    AArch64_LD2R_8H	= 1015,
-    AArch64_LD2R_WB_16B_fixed	= 1016,
-    AArch64_LD2R_WB_16B_register	= 1017,
-    AArch64_LD2R_WB_1D_fixed	= 1018,
-    AArch64_LD2R_WB_1D_register	= 1019,
-    AArch64_LD2R_WB_2D_fixed	= 1020,
-    AArch64_LD2R_WB_2D_register	= 1021,
-    AArch64_LD2R_WB_2S_fixed	= 1022,
-    AArch64_LD2R_WB_2S_register	= 1023,
-    AArch64_LD2R_WB_4H_fixed	= 1024,
-    AArch64_LD2R_WB_4H_register	= 1025,
-    AArch64_LD2R_WB_4S_fixed	= 1026,
-    AArch64_LD2R_WB_4S_register	= 1027,
-    AArch64_LD2R_WB_8B_fixed	= 1028,
-    AArch64_LD2R_WB_8B_register	= 1029,
-    AArch64_LD2R_WB_8H_fixed	= 1030,
-    AArch64_LD2R_WB_8H_register	= 1031,
-    AArch64_LD2WB_16B_fixed	= 1032,
-    AArch64_LD2WB_16B_register	= 1033,
-    AArch64_LD2WB_2D_fixed	= 1034,
-    AArch64_LD2WB_2D_register	= 1035,
-    AArch64_LD2WB_2S_fixed	= 1036,
-    AArch64_LD2WB_2S_register	= 1037,
-    AArch64_LD2WB_4H_fixed	= 1038,
-    AArch64_LD2WB_4H_register	= 1039,
-    AArch64_LD2WB_4S_fixed	= 1040,
-    AArch64_LD2WB_4S_register	= 1041,
-    AArch64_LD2WB_8B_fixed	= 1042,
-    AArch64_LD2WB_8B_register	= 1043,
-    AArch64_LD2WB_8H_fixed	= 1044,
-    AArch64_LD2WB_8H_register	= 1045,
-    AArch64_LD2_16B	= 1046,
-    AArch64_LD2_2D	= 1047,
-    AArch64_LD2_2S	= 1048,
-    AArch64_LD2_4H	= 1049,
-    AArch64_LD2_4S	= 1050,
-    AArch64_LD2_8B	= 1051,
-    AArch64_LD2_8H	= 1052,
-    AArch64_LD3LN_B	= 1053,
-    AArch64_LD3LN_D	= 1054,
-    AArch64_LD3LN_H	= 1055,
-    AArch64_LD3LN_S	= 1056,
-    AArch64_LD3LN_WB_B_fixed	= 1057,
-    AArch64_LD3LN_WB_B_register	= 1058,
-    AArch64_LD3LN_WB_D_fixed	= 1059,
-    AArch64_LD3LN_WB_D_register	= 1060,
-    AArch64_LD3LN_WB_H_fixed	= 1061,
-    AArch64_LD3LN_WB_H_register	= 1062,
-    AArch64_LD3LN_WB_S_fixed	= 1063,
-    AArch64_LD3LN_WB_S_register	= 1064,
-    AArch64_LD3R_16B	= 1065,
-    AArch64_LD3R_1D	= 1066,
-    AArch64_LD3R_2D	= 1067,
-    AArch64_LD3R_2S	= 1068,
-    AArch64_LD3R_4H	= 1069,
-    AArch64_LD3R_4S	= 1070,
-    AArch64_LD3R_8B	= 1071,
-    AArch64_LD3R_8H	= 1072,
-    AArch64_LD3R_WB_16B_fixed	= 1073,
-    AArch64_LD3R_WB_16B_register	= 1074,
-    AArch64_LD3R_WB_1D_fixed	= 1075,
-    AArch64_LD3R_WB_1D_register	= 1076,
-    AArch64_LD3R_WB_2D_fixed	= 1077,
-    AArch64_LD3R_WB_2D_register	= 1078,
-    AArch64_LD3R_WB_2S_fixed	= 1079,
-    AArch64_LD3R_WB_2S_register	= 1080,
-    AArch64_LD3R_WB_4H_fixed	= 1081,
-    AArch64_LD3R_WB_4H_register	= 1082,
-    AArch64_LD3R_WB_4S_fixed	= 1083,
-    AArch64_LD3R_WB_4S_register	= 1084,
-    AArch64_LD3R_WB_8B_fixed	= 1085,
-    AArch64_LD3R_WB_8B_register	= 1086,
-    AArch64_LD3R_WB_8H_fixed	= 1087,
-    AArch64_LD3R_WB_8H_register	= 1088,
-    AArch64_LD3WB_16B_fixed	= 1089,
-    AArch64_LD3WB_16B_register	= 1090,
-    AArch64_LD3WB_2D_fixed	= 1091,
-    AArch64_LD3WB_2D_register	= 1092,
-    AArch64_LD3WB_2S_fixed	= 1093,
-    AArch64_LD3WB_2S_register	= 1094,
-    AArch64_LD3WB_4H_fixed	= 1095,
-    AArch64_LD3WB_4H_register	= 1096,
-    AArch64_LD3WB_4S_fixed	= 1097,
-    AArch64_LD3WB_4S_register	= 1098,
-    AArch64_LD3WB_8B_fixed	= 1099,
-    AArch64_LD3WB_8B_register	= 1100,
-    AArch64_LD3WB_8H_fixed	= 1101,
-    AArch64_LD3WB_8H_register	= 1102,
-    AArch64_LD3_16B	= 1103,
-    AArch64_LD3_2D	= 1104,
-    AArch64_LD3_2S	= 1105,
-    AArch64_LD3_4H	= 1106,
-    AArch64_LD3_4S	= 1107,
-    AArch64_LD3_8B	= 1108,
-    AArch64_LD3_8H	= 1109,
-    AArch64_LD4LN_B	= 1110,
-    AArch64_LD4LN_D	= 1111,
-    AArch64_LD4LN_H	= 1112,
-    AArch64_LD4LN_S	= 1113,
-    AArch64_LD4LN_WB_B_fixed	= 1114,
-    AArch64_LD4LN_WB_B_register	= 1115,
-    AArch64_LD4LN_WB_D_fixed	= 1116,
-    AArch64_LD4LN_WB_D_register	= 1117,
-    AArch64_LD4LN_WB_H_fixed	= 1118,
-    AArch64_LD4LN_WB_H_register	= 1119,
-    AArch64_LD4LN_WB_S_fixed	= 1120,
-    AArch64_LD4LN_WB_S_register	= 1121,
-    AArch64_LD4R_16B	= 1122,
-    AArch64_LD4R_1D	= 1123,
-    AArch64_LD4R_2D	= 1124,
-    AArch64_LD4R_2S	= 1125,
-    AArch64_LD4R_4H	= 1126,
-    AArch64_LD4R_4S	= 1127,
-    AArch64_LD4R_8B	= 1128,
-    AArch64_LD4R_8H	= 1129,
-    AArch64_LD4R_WB_16B_fixed	= 1130,
-    AArch64_LD4R_WB_16B_register	= 1131,
-    AArch64_LD4R_WB_1D_fixed	= 1132,
-    AArch64_LD4R_WB_1D_register	= 1133,
-    AArch64_LD4R_WB_2D_fixed	= 1134,
-    AArch64_LD4R_WB_2D_register	= 1135,
-    AArch64_LD4R_WB_2S_fixed	= 1136,
-    AArch64_LD4R_WB_2S_register	= 1137,
-    AArch64_LD4R_WB_4H_fixed	= 1138,
-    AArch64_LD4R_WB_4H_register	= 1139,
-    AArch64_LD4R_WB_4S_fixed	= 1140,
-    AArch64_LD4R_WB_4S_register	= 1141,
-    AArch64_LD4R_WB_8B_fixed	= 1142,
-    AArch64_LD4R_WB_8B_register	= 1143,
-    AArch64_LD4R_WB_8H_fixed	= 1144,
-    AArch64_LD4R_WB_8H_register	= 1145,
-    AArch64_LD4WB_16B_fixed	= 1146,
-    AArch64_LD4WB_16B_register	= 1147,
-    AArch64_LD4WB_2D_fixed	= 1148,
-    AArch64_LD4WB_2D_register	= 1149,
-    AArch64_LD4WB_2S_fixed	= 1150,
-    AArch64_LD4WB_2S_register	= 1151,
-    AArch64_LD4WB_4H_fixed	= 1152,
-    AArch64_LD4WB_4H_register	= 1153,
-    AArch64_LD4WB_4S_fixed	= 1154,
-    AArch64_LD4WB_4S_register	= 1155,
-    AArch64_LD4WB_8B_fixed	= 1156,
-    AArch64_LD4WB_8B_register	= 1157,
-    AArch64_LD4WB_8H_fixed	= 1158,
-    AArch64_LD4WB_8H_register	= 1159,
-    AArch64_LD4_16B	= 1160,
-    AArch64_LD4_2D	= 1161,
-    AArch64_LD4_2S	= 1162,
-    AArch64_LD4_4H	= 1163,
-    AArch64_LD4_4S	= 1164,
-    AArch64_LD4_8B	= 1165,
-    AArch64_LD4_8H	= 1166,
-    AArch64_LDAR_byte	= 1167,
-    AArch64_LDAR_dword	= 1168,
-    AArch64_LDAR_hword	= 1169,
-    AArch64_LDAR_word	= 1170,
-    AArch64_LDAXP_dword	= 1171,
-    AArch64_LDAXP_word	= 1172,
-    AArch64_LDAXR_byte	= 1173,
-    AArch64_LDAXR_dword	= 1174,
-    AArch64_LDAXR_hword	= 1175,
-    AArch64_LDAXR_word	= 1176,
-    AArch64_LDPSWx	= 1177,
-    AArch64_LDPSWx_PostInd	= 1178,
-    AArch64_LDPSWx_PreInd	= 1179,
-    AArch64_LDRSBw	= 1180,
-    AArch64_LDRSBw_PostInd	= 1181,
-    AArch64_LDRSBw_PreInd	= 1182,
-    AArch64_LDRSBw_U	= 1183,
-    AArch64_LDRSBw_Wm_RegOffset	= 1184,
-    AArch64_LDRSBw_Xm_RegOffset	= 1185,
-    AArch64_LDRSBx	= 1186,
-    AArch64_LDRSBx_PostInd	= 1187,
-    AArch64_LDRSBx_PreInd	= 1188,
-    AArch64_LDRSBx_U	= 1189,
-    AArch64_LDRSBx_Wm_RegOffset	= 1190,
-    AArch64_LDRSBx_Xm_RegOffset	= 1191,
-    AArch64_LDRSHw	= 1192,
-    AArch64_LDRSHw_PostInd	= 1193,
-    AArch64_LDRSHw_PreInd	= 1194,
-    AArch64_LDRSHw_U	= 1195,
-    AArch64_LDRSHw_Wm_RegOffset	= 1196,
-    AArch64_LDRSHw_Xm_RegOffset	= 1197,
-    AArch64_LDRSHx	= 1198,
-    AArch64_LDRSHx_PostInd	= 1199,
-    AArch64_LDRSHx_PreInd	= 1200,
-    AArch64_LDRSHx_U	= 1201,
-    AArch64_LDRSHx_Wm_RegOffset	= 1202,
-    AArch64_LDRSHx_Xm_RegOffset	= 1203,
-    AArch64_LDRSWx	= 1204,
-    AArch64_LDRSWx_PostInd	= 1205,
-    AArch64_LDRSWx_PreInd	= 1206,
-    AArch64_LDRSWx_Wm_RegOffset	= 1207,
-    AArch64_LDRSWx_Xm_RegOffset	= 1208,
-    AArch64_LDRSWx_lit	= 1209,
-    AArch64_LDRd_lit	= 1210,
-    AArch64_LDRq_lit	= 1211,
-    AArch64_LDRs_lit	= 1212,
-    AArch64_LDRw_lit	= 1213,
-    AArch64_LDRx_lit	= 1214,
-    AArch64_LDTRSBw	= 1215,
-    AArch64_LDTRSBx	= 1216,
-    AArch64_LDTRSHw	= 1217,
-    AArch64_LDTRSHx	= 1218,
-    AArch64_LDTRSWx	= 1219,
-    AArch64_LDURSWx	= 1220,
-    AArch64_LDXP_dword	= 1221,
-    AArch64_LDXP_word	= 1222,
-    AArch64_LDXR_byte	= 1223,
-    AArch64_LDXR_dword	= 1224,
-    AArch64_LDXR_hword	= 1225,
-    AArch64_LDXR_word	= 1226,
-    AArch64_LS16_LDR	= 1227,
-    AArch64_LS16_LDUR	= 1228,
-    AArch64_LS16_PostInd_LDR	= 1229,
-    AArch64_LS16_PostInd_STR	= 1230,
-    AArch64_LS16_PreInd_LDR	= 1231,
-    AArch64_LS16_PreInd_STR	= 1232,
-    AArch64_LS16_STR	= 1233,
-    AArch64_LS16_STUR	= 1234,
-    AArch64_LS16_UnPriv_LDR	= 1235,
-    AArch64_LS16_UnPriv_STR	= 1236,
-    AArch64_LS16_Wm_RegOffset_LDR	= 1237,
-    AArch64_LS16_Wm_RegOffset_STR	= 1238,
-    AArch64_LS16_Xm_RegOffset_LDR	= 1239,
-    AArch64_LS16_Xm_RegOffset_STR	= 1240,
-    AArch64_LS32_LDR	= 1241,
-    AArch64_LS32_LDUR	= 1242,
-    AArch64_LS32_PostInd_LDR	= 1243,
-    AArch64_LS32_PostInd_STR	= 1244,
-    AArch64_LS32_PreInd_LDR	= 1245,
-    AArch64_LS32_PreInd_STR	= 1246,
-    AArch64_LS32_STR	= 1247,
-    AArch64_LS32_STUR	= 1248,
-    AArch64_LS32_UnPriv_LDR	= 1249,
-    AArch64_LS32_UnPriv_STR	= 1250,
-    AArch64_LS32_Wm_RegOffset_LDR	= 1251,
-    AArch64_LS32_Wm_RegOffset_STR	= 1252,
-    AArch64_LS32_Xm_RegOffset_LDR	= 1253,
-    AArch64_LS32_Xm_RegOffset_STR	= 1254,
-    AArch64_LS64_LDR	= 1255,
-    AArch64_LS64_LDUR	= 1256,
-    AArch64_LS64_PostInd_LDR	= 1257,
-    AArch64_LS64_PostInd_STR	= 1258,
-    AArch64_LS64_PreInd_LDR	= 1259,
-    AArch64_LS64_PreInd_STR	= 1260,
-    AArch64_LS64_STR	= 1261,
-    AArch64_LS64_STUR	= 1262,
-    AArch64_LS64_UnPriv_LDR	= 1263,
-    AArch64_LS64_UnPriv_STR	= 1264,
-    AArch64_LS64_Wm_RegOffset_LDR	= 1265,
-    AArch64_LS64_Wm_RegOffset_STR	= 1266,
-    AArch64_LS64_Xm_RegOffset_LDR	= 1267,
-    AArch64_LS64_Xm_RegOffset_STR	= 1268,
-    AArch64_LS8_LDR	= 1269,
-    AArch64_LS8_LDUR	= 1270,
-    AArch64_LS8_PostInd_LDR	= 1271,
-    AArch64_LS8_PostInd_STR	= 1272,
-    AArch64_LS8_PreInd_LDR	= 1273,
-    AArch64_LS8_PreInd_STR	= 1274,
-    AArch64_LS8_STR	= 1275,
-    AArch64_LS8_STUR	= 1276,
-    AArch64_LS8_UnPriv_LDR	= 1277,
-    AArch64_LS8_UnPriv_STR	= 1278,
-    AArch64_LS8_Wm_RegOffset_LDR	= 1279,
-    AArch64_LS8_Wm_RegOffset_STR	= 1280,
-    AArch64_LS8_Xm_RegOffset_LDR	= 1281,
-    AArch64_LS8_Xm_RegOffset_STR	= 1282,
-    AArch64_LSFP128_LDR	= 1283,
-    AArch64_LSFP128_LDUR	= 1284,
-    AArch64_LSFP128_PostInd_LDR	= 1285,
-    AArch64_LSFP128_PostInd_STR	= 1286,
-    AArch64_LSFP128_PreInd_LDR	= 1287,
-    AArch64_LSFP128_PreInd_STR	= 1288,
-    AArch64_LSFP128_STR	= 1289,
-    AArch64_LSFP128_STUR	= 1290,
-    AArch64_LSFP128_Wm_RegOffset_LDR	= 1291,
-    AArch64_LSFP128_Wm_RegOffset_STR	= 1292,
-    AArch64_LSFP128_Xm_RegOffset_LDR	= 1293,
-    AArch64_LSFP128_Xm_RegOffset_STR	= 1294,
-    AArch64_LSFP16_LDR	= 1295,
-    AArch64_LSFP16_LDUR	= 1296,
-    AArch64_LSFP16_PostInd_LDR	= 1297,
-    AArch64_LSFP16_PostInd_STR	= 1298,
-    AArch64_LSFP16_PreInd_LDR	= 1299,
-    AArch64_LSFP16_PreInd_STR	= 1300,
-    AArch64_LSFP16_STR	= 1301,
-    AArch64_LSFP16_STUR	= 1302,
-    AArch64_LSFP16_Wm_RegOffset_LDR	= 1303,
-    AArch64_LSFP16_Wm_RegOffset_STR	= 1304,
-    AArch64_LSFP16_Xm_RegOffset_LDR	= 1305,
-    AArch64_LSFP16_Xm_RegOffset_STR	= 1306,
-    AArch64_LSFP32_LDR	= 1307,
-    AArch64_LSFP32_LDUR	= 1308,
-    AArch64_LSFP32_PostInd_LDR	= 1309,
-    AArch64_LSFP32_PostInd_STR	= 1310,
-    AArch64_LSFP32_PreInd_LDR	= 1311,
-    AArch64_LSFP32_PreInd_STR	= 1312,
-    AArch64_LSFP32_STR	= 1313,
-    AArch64_LSFP32_STUR	= 1314,
-    AArch64_LSFP32_Wm_RegOffset_LDR	= 1315,
-    AArch64_LSFP32_Wm_RegOffset_STR	= 1316,
-    AArch64_LSFP32_Xm_RegOffset_LDR	= 1317,
-    AArch64_LSFP32_Xm_RegOffset_STR	= 1318,
-    AArch64_LSFP64_LDR	= 1319,
-    AArch64_LSFP64_LDUR	= 1320,
-    AArch64_LSFP64_PostInd_LDR	= 1321,
-    AArch64_LSFP64_PostInd_STR	= 1322,
-    AArch64_LSFP64_PreInd_LDR	= 1323,
-    AArch64_LSFP64_PreInd_STR	= 1324,
-    AArch64_LSFP64_STR	= 1325,
-    AArch64_LSFP64_STUR	= 1326,
-    AArch64_LSFP64_Wm_RegOffset_LDR	= 1327,
-    AArch64_LSFP64_Wm_RegOffset_STR	= 1328,
-    AArch64_LSFP64_Xm_RegOffset_LDR	= 1329,
-    AArch64_LSFP64_Xm_RegOffset_STR	= 1330,
-    AArch64_LSFP8_LDR	= 1331,
-    AArch64_LSFP8_LDUR	= 1332,
-    AArch64_LSFP8_PostInd_LDR	= 1333,
-    AArch64_LSFP8_PostInd_STR	= 1334,
-    AArch64_LSFP8_PreInd_LDR	= 1335,
-    AArch64_LSFP8_PreInd_STR	= 1336,
-    AArch64_LSFP8_STR	= 1337,
-    AArch64_LSFP8_STUR	= 1338,
-    AArch64_LSFP8_Wm_RegOffset_LDR	= 1339,
-    AArch64_LSFP8_Wm_RegOffset_STR	= 1340,
-    AArch64_LSFP8_Xm_RegOffset_LDR	= 1341,
-    AArch64_LSFP8_Xm_RegOffset_STR	= 1342,
-    AArch64_LSFPPair128_LDR	= 1343,
-    AArch64_LSFPPair128_NonTemp_LDR	= 1344,
-    AArch64_LSFPPair128_NonTemp_STR	= 1345,
-    AArch64_LSFPPair128_PostInd_LDR	= 1346,
-    AArch64_LSFPPair128_PostInd_STR	= 1347,
-    AArch64_LSFPPair128_PreInd_LDR	= 1348,
-    AArch64_LSFPPair128_PreInd_STR	= 1349,
-    AArch64_LSFPPair128_STR	= 1350,
-    AArch64_LSFPPair32_LDR	= 1351,
-    AArch64_LSFPPair32_NonTemp_LDR	= 1352,
-    AArch64_LSFPPair32_NonTemp_STR	= 1353,
-    AArch64_LSFPPair32_PostInd_LDR	= 1354,
-    AArch64_LSFPPair32_PostInd_STR	= 1355,
-    AArch64_LSFPPair32_PreInd_LDR	= 1356,
-    AArch64_LSFPPair32_PreInd_STR	= 1357,
-    AArch64_LSFPPair32_STR	= 1358,
-    AArch64_LSFPPair64_LDR	= 1359,
-    AArch64_LSFPPair64_NonTemp_LDR	= 1360,
-    AArch64_LSFPPair64_NonTemp_STR	= 1361,
-    AArch64_LSFPPair64_PostInd_LDR	= 1362,
-    AArch64_LSFPPair64_PostInd_STR	= 1363,
-    AArch64_LSFPPair64_PreInd_LDR	= 1364,
-    AArch64_LSFPPair64_PreInd_STR	= 1365,
-    AArch64_LSFPPair64_STR	= 1366,
-    AArch64_LSLVwww	= 1367,
-    AArch64_LSLVxxx	= 1368,
-    AArch64_LSLwwi	= 1369,
-    AArch64_LSLxxi	= 1370,
-    AArch64_LSPair32_LDR	= 1371,
-    AArch64_LSPair32_NonTemp_LDR	= 1372,
-    AArch64_LSPair32_NonTemp_STR	= 1373,
-    AArch64_LSPair32_PostInd_LDR	= 1374,
-    AArch64_LSPair32_PostInd_STR	= 1375,
-    AArch64_LSPair32_PreInd_LDR	= 1376,
-    AArch64_LSPair32_PreInd_STR	= 1377,
-    AArch64_LSPair32_STR	= 1378,
-    AArch64_LSPair64_LDR	= 1379,
-    AArch64_LSPair64_NonTemp_LDR	= 1380,
-    AArch64_LSPair64_NonTemp_STR	= 1381,
-    AArch64_LSPair64_PostInd_LDR	= 1382,
-    AArch64_LSPair64_PostInd_STR	= 1383,
-    AArch64_LSPair64_PreInd_LDR	= 1384,
-    AArch64_LSPair64_PreInd_STR	= 1385,
-    AArch64_LSPair64_STR	= 1386,
-    AArch64_LSRVwww	= 1387,
-    AArch64_LSRVxxx	= 1388,
-    AArch64_LSRwwi	= 1389,
-    AArch64_LSRxxi	= 1390,
-    AArch64_MADDwwww	= 1391,
-    AArch64_MADDxxxx	= 1392,
-    AArch64_MLAvve_2s4s	= 1393,
-    AArch64_MLAvve_4h8h	= 1394,
-    AArch64_MLAvve_4s4s	= 1395,
-    AArch64_MLAvve_8h8h	= 1396,
-    AArch64_MLAvvv_16B	= 1397,
-    AArch64_MLAvvv_2S	= 1398,
-    AArch64_MLAvvv_4H	= 1399,
-    AArch64_MLAvvv_4S	= 1400,
-    AArch64_MLAvvv_8B	= 1401,
-    AArch64_MLAvvv_8H	= 1402,
-    AArch64_MLSvve_2s4s	= 1403,
-    AArch64_MLSvve_4h8h	= 1404,
-    AArch64_MLSvve_4s4s	= 1405,
-    AArch64_MLSvve_8h8h	= 1406,
-    AArch64_MLSvvv_16B	= 1407,
-    AArch64_MLSvvv_2S	= 1408,
-    AArch64_MLSvvv_4H	= 1409,
-    AArch64_MLSvvv_4S	= 1410,
-    AArch64_MLSvvv_8B	= 1411,
-    AArch64_MLSvvv_8H	= 1412,
-    AArch64_MOVIdi	= 1413,
-    AArch64_MOVIvi_16B	= 1414,
-    AArch64_MOVIvi_2D	= 1415,
-    AArch64_MOVIvi_8B	= 1416,
-    AArch64_MOVIvi_lsl_2S	= 1417,
-    AArch64_MOVIvi_lsl_4H	= 1418,
-    AArch64_MOVIvi_lsl_4S	= 1419,
-    AArch64_MOVIvi_lsl_8H	= 1420,
-    AArch64_MOVIvi_msl_2S	= 1421,
-    AArch64_MOVIvi_msl_4S	= 1422,
-    AArch64_MOVKwii	= 1423,
-    AArch64_MOVKxii	= 1424,
-    AArch64_MOVNwii	= 1425,
-    AArch64_MOVNxii	= 1426,
-    AArch64_MOVZwii	= 1427,
-    AArch64_MOVZxii	= 1428,
-    AArch64_MRSxi	= 1429,
-    AArch64_MSRii	= 1430,
-    AArch64_MSRix	= 1431,
-    AArch64_MSUBwwww	= 1432,
-    AArch64_MSUBxxxx	= 1433,
-    AArch64_MULve_2s4s	= 1434,
-    AArch64_MULve_4h8h	= 1435,
-    AArch64_MULve_4s4s	= 1436,
-    AArch64_MULve_8h8h	= 1437,
-    AArch64_MULvvv_16B	= 1438,
-    AArch64_MULvvv_2S	= 1439,
-    AArch64_MULvvv_4H	= 1440,
-    AArch64_MULvvv_4S	= 1441,
-    AArch64_MULvvv_8B	= 1442,
-    AArch64_MULvvv_8H	= 1443,
-    AArch64_MVNIvi_lsl_2S	= 1444,
-    AArch64_MVNIvi_lsl_4H	= 1445,
-    AArch64_MVNIvi_lsl_4S	= 1446,
-    AArch64_MVNIvi_lsl_8H	= 1447,
-    AArch64_MVNIvi_msl_2S	= 1448,
-    AArch64_MVNIvi_msl_4S	= 1449,
-    AArch64_MVNww_asr	= 1450,
-    AArch64_MVNww_lsl	= 1451,
-    AArch64_MVNww_lsr	= 1452,
-    AArch64_MVNww_ror	= 1453,
-    AArch64_MVNxx_asr	= 1454,
-    AArch64_MVNxx_lsl	= 1455,
-    AArch64_MVNxx_lsr	= 1456,
-    AArch64_MVNxx_ror	= 1457,
-    AArch64_NEG16b	= 1458,
-    AArch64_NEG2d	= 1459,
-    AArch64_NEG2s	= 1460,
-    AArch64_NEG4h	= 1461,
-    AArch64_NEG4s	= 1462,
-    AArch64_NEG8b	= 1463,
-    AArch64_NEG8h	= 1464,
-    AArch64_NEGdd	= 1465,
-    AArch64_NOT16b	= 1466,
-    AArch64_NOT8b	= 1467,
-    AArch64_ORNvvv_16B	= 1468,
-    AArch64_ORNvvv_8B	= 1469,
-    AArch64_ORNwww_asr	= 1470,
-    AArch64_ORNwww_lsl	= 1471,
-    AArch64_ORNwww_lsr	= 1472,
-    AArch64_ORNwww_ror	= 1473,
-    AArch64_ORNxxx_asr	= 1474,
-    AArch64_ORNxxx_lsl	= 1475,
-    AArch64_ORNxxx_lsr	= 1476,
-    AArch64_ORNxxx_ror	= 1477,
-    AArch64_ORRvi_lsl_2S	= 1478,
-    AArch64_ORRvi_lsl_4H	= 1479,
-    AArch64_ORRvi_lsl_4S	= 1480,
-    AArch64_ORRvi_lsl_8H	= 1481,
-    AArch64_ORRvvv_16B	= 1482,
-    AArch64_ORRvvv_8B	= 1483,
-    AArch64_ORRwwi	= 1484,
-    AArch64_ORRwww_asr	= 1485,
-    AArch64_ORRwww_lsl	= 1486,
-    AArch64_ORRwww_lsr	= 1487,
-    AArch64_ORRwww_ror	= 1488,
-    AArch64_ORRxxi	= 1489,
-    AArch64_ORRxxx_asr	= 1490,
-    AArch64_ORRxxx_lsl	= 1491,
-    AArch64_ORRxxx_lsr	= 1492,
-    AArch64_ORRxxx_ror	= 1493,
-    AArch64_PMULL2vvv_1q2d	= 1494,
-    AArch64_PMULL2vvv_8h16b	= 1495,
-    AArch64_PMULLvvv_1q1d	= 1496,
-    AArch64_PMULLvvv_8h8b	= 1497,
-    AArch64_PMULvvv_16B	= 1498,
-    AArch64_PMULvvv_8B	= 1499,
-    AArch64_PRFM	= 1500,
-    AArch64_PRFM_Wm_RegOffset	= 1501,
-    AArch64_PRFM_Xm_RegOffset	= 1502,
-    AArch64_PRFM_lit	= 1503,
-    AArch64_PRFUM	= 1504,
-    AArch64_QRSHRUNvvi_16B	= 1505,
-    AArch64_QRSHRUNvvi_2S	= 1506,
-    AArch64_QRSHRUNvvi_4H	= 1507,
-    AArch64_QRSHRUNvvi_4S	= 1508,
-    AArch64_QRSHRUNvvi_8B	= 1509,
-    AArch64_QRSHRUNvvi_8H	= 1510,
-    AArch64_QSHRUNvvi_16B	= 1511,
-    AArch64_QSHRUNvvi_2S	= 1512,
-    AArch64_QSHRUNvvi_4H	= 1513,
-    AArch64_QSHRUNvvi_4S	= 1514,
-    AArch64_QSHRUNvvi_8B	= 1515,
-    AArch64_QSHRUNvvi_8H	= 1516,
-    AArch64_RADDHN2vvv_16b8h	= 1517,
-    AArch64_RADDHN2vvv_4s2d	= 1518,
-    AArch64_RADDHN2vvv_8h4s	= 1519,
-    AArch64_RADDHNvvv_2s2d	= 1520,
-    AArch64_RADDHNvvv_4h4s	= 1521,
-    AArch64_RADDHNvvv_8b8h	= 1522,
-    AArch64_RBIT16b	= 1523,
-    AArch64_RBIT8b	= 1524,
-    AArch64_RBITww	= 1525,
-    AArch64_RBITxx	= 1526,
-    AArch64_RET	= 1527,
-    AArch64_RETx	= 1528,
-    AArch64_REV16_16b	= 1529,
-    AArch64_REV16_8b	= 1530,
-    AArch64_REV16ww	= 1531,
-    AArch64_REV16xx	= 1532,
-    AArch64_REV32_16b	= 1533,
-    AArch64_REV32_4h	= 1534,
-    AArch64_REV32_8b	= 1535,
-    AArch64_REV32_8h	= 1536,
-    AArch64_REV32xx	= 1537,
-    AArch64_REV64_16b	= 1538,
-    AArch64_REV64_2s	= 1539,
-    AArch64_REV64_4h	= 1540,
-    AArch64_REV64_4s	= 1541,
-    AArch64_REV64_8b	= 1542,
-    AArch64_REV64_8h	= 1543,
-    AArch64_REVww	= 1544,
-    AArch64_REVxx	= 1545,
-    AArch64_RORVwww	= 1546,
-    AArch64_RORVxxx	= 1547,
-    AArch64_RSHRNvvi_16B	= 1548,
-    AArch64_RSHRNvvi_2S	= 1549,
-    AArch64_RSHRNvvi_4H	= 1550,
-    AArch64_RSHRNvvi_4S	= 1551,
-    AArch64_RSHRNvvi_8B	= 1552,
-    AArch64_RSHRNvvi_8H	= 1553,
-    AArch64_RSUBHN2vvv_16b8h	= 1554,
-    AArch64_RSUBHN2vvv_4s2d	= 1555,
-    AArch64_RSUBHN2vvv_8h4s	= 1556,
-    AArch64_RSUBHNvvv_2s2d	= 1557,
-    AArch64_RSUBHNvvv_4h4s	= 1558,
-    AArch64_RSUBHNvvv_8b8h	= 1559,
-    AArch64_SABAL2vvv_2d2s	= 1560,
-    AArch64_SABAL2vvv_4s4h	= 1561,
-    AArch64_SABAL2vvv_8h8b	= 1562,
-    AArch64_SABALvvv_2d2s	= 1563,
-    AArch64_SABALvvv_4s4h	= 1564,
-    AArch64_SABALvvv_8h8b	= 1565,
-    AArch64_SABAvvv_16B	= 1566,
-    AArch64_SABAvvv_2S	= 1567,
-    AArch64_SABAvvv_4H	= 1568,
-    AArch64_SABAvvv_4S	= 1569,
-    AArch64_SABAvvv_8B	= 1570,
-    AArch64_SABAvvv_8H	= 1571,
-    AArch64_SABDL2vvv_2d2s	= 1572,
-    AArch64_SABDL2vvv_4s4h	= 1573,
-    AArch64_SABDL2vvv_8h8b	= 1574,
-    AArch64_SABDLvvv_2d2s	= 1575,
-    AArch64_SABDLvvv_4s4h	= 1576,
-    AArch64_SABDLvvv_8h8b	= 1577,
-    AArch64_SABDvvv_16B	= 1578,
-    AArch64_SABDvvv_2S	= 1579,
-    AArch64_SABDvvv_4H	= 1580,
-    AArch64_SABDvvv_4S	= 1581,
-    AArch64_SABDvvv_8B	= 1582,
-    AArch64_SABDvvv_8H	= 1583,
-    AArch64_SADALP16b8h	= 1584,
-    AArch64_SADALP2s1d	= 1585,
-    AArch64_SADALP4h2s	= 1586,
-    AArch64_SADALP4s2d	= 1587,
-    AArch64_SADALP8b4h	= 1588,
-    AArch64_SADALP8h4s	= 1589,
-    AArch64_SADDL2vvv_2d4s	= 1590,
-    AArch64_SADDL2vvv_4s8h	= 1591,
-    AArch64_SADDL2vvv_8h16b	= 1592,
-    AArch64_SADDLP16b8h	= 1593,
-    AArch64_SADDLP2s1d	= 1594,
-    AArch64_SADDLP4h2s	= 1595,
-    AArch64_SADDLP4s2d	= 1596,
-    AArch64_SADDLP8b4h	= 1597,
-    AArch64_SADDLP8h4s	= 1598,
-    AArch64_SADDLV_1d4s	= 1599,
-    AArch64_SADDLV_1h16b	= 1600,
-    AArch64_SADDLV_1h8b	= 1601,
-    AArch64_SADDLV_1s4h	= 1602,
-    AArch64_SADDLV_1s8h	= 1603,
-    AArch64_SADDLvvv_2d2s	= 1604,
-    AArch64_SADDLvvv_4s4h	= 1605,
-    AArch64_SADDLvvv_8h8b	= 1606,
-    AArch64_SADDW2vvv_2d4s	= 1607,
-    AArch64_SADDW2vvv_4s8h	= 1608,
-    AArch64_SADDW2vvv_8h16b	= 1609,
-    AArch64_SADDWvvv_2d2s	= 1610,
-    AArch64_SADDWvvv_4s4h	= 1611,
-    AArch64_SADDWvvv_8h8b	= 1612,
-    AArch64_SBCSwww	= 1613,
-    AArch64_SBCSxxx	= 1614,
-    AArch64_SBCwww	= 1615,
-    AArch64_SBCxxx	= 1616,
-    AArch64_SBFIZwwii	= 1617,
-    AArch64_SBFIZxxii	= 1618,
-    AArch64_SBFMwwii	= 1619,
-    AArch64_SBFMxxii	= 1620,
-    AArch64_SBFXwwii	= 1621,
-    AArch64_SBFXxxii	= 1622,
-    AArch64_SCVTF_2d	= 1623,
-    AArch64_SCVTF_2s	= 1624,
-    AArch64_SCVTF_4s	= 1625,
-    AArch64_SCVTF_Nddi	= 1626,
-    AArch64_SCVTF_Nssi	= 1627,
-    AArch64_SCVTFdd	= 1628,
-    AArch64_SCVTFdw	= 1629,
-    AArch64_SCVTFdwi	= 1630,
-    AArch64_SCVTFdx	= 1631,
-    AArch64_SCVTFdxi	= 1632,
-    AArch64_SCVTFss	= 1633,
-    AArch64_SCVTFsw	= 1634,
-    AArch64_SCVTFswi	= 1635,
-    AArch64_SCVTFsx	= 1636,
-    AArch64_SCVTFsxi	= 1637,
-    AArch64_SDIVwww	= 1638,
-    AArch64_SDIVxxx	= 1639,
-    AArch64_SHA1C	= 1640,
-    AArch64_SHA1H	= 1641,
-    AArch64_SHA1M	= 1642,
-    AArch64_SHA1P	= 1643,
-    AArch64_SHA1SU0	= 1644,
-    AArch64_SHA1SU1	= 1645,
-    AArch64_SHA256H	= 1646,
-    AArch64_SHA256H2	= 1647,
-    AArch64_SHA256SU0	= 1648,
-    AArch64_SHA256SU1	= 1649,
-    AArch64_SHADDvvv_16B	= 1650,
-    AArch64_SHADDvvv_2S	= 1651,
-    AArch64_SHADDvvv_4H	= 1652,
-    AArch64_SHADDvvv_4S	= 1653,
-    AArch64_SHADDvvv_8B	= 1654,
-    AArch64_SHADDvvv_8H	= 1655,
-    AArch64_SHLL16b8h	= 1656,
-    AArch64_SHLL2s2d	= 1657,
-    AArch64_SHLL4h4s	= 1658,
-    AArch64_SHLL4s2d	= 1659,
-    AArch64_SHLL8b8h	= 1660,
-    AArch64_SHLL8h4s	= 1661,
-    AArch64_SHLddi	= 1662,
-    AArch64_SHLvvi_16B	= 1663,
-    AArch64_SHLvvi_2D	= 1664,
-    AArch64_SHLvvi_2S	= 1665,
-    AArch64_SHLvvi_4H	= 1666,
-    AArch64_SHLvvi_4S	= 1667,
-    AArch64_SHLvvi_8B	= 1668,
-    AArch64_SHLvvi_8H	= 1669,
-    AArch64_SHRNvvi_16B	= 1670,
-    AArch64_SHRNvvi_2S	= 1671,
-    AArch64_SHRNvvi_4H	= 1672,
-    AArch64_SHRNvvi_4S	= 1673,
-    AArch64_SHRNvvi_8B	= 1674,
-    AArch64_SHRNvvi_8H	= 1675,
-    AArch64_SHSUBvvv_16B	= 1676,
-    AArch64_SHSUBvvv_2S	= 1677,
-    AArch64_SHSUBvvv_4H	= 1678,
-    AArch64_SHSUBvvv_4S	= 1679,
-    AArch64_SHSUBvvv_8B	= 1680,
-    AArch64_SHSUBvvv_8H	= 1681,
-    AArch64_SLI	= 1682,
-    AArch64_SLIvvi_16B	= 1683,
-    AArch64_SLIvvi_2D	= 1684,
-    AArch64_SLIvvi_2S	= 1685,
-    AArch64_SLIvvi_4H	= 1686,
-    AArch64_SLIvvi_4S	= 1687,
-    AArch64_SLIvvi_8B	= 1688,
-    AArch64_SLIvvi_8H	= 1689,
-    AArch64_SMADDLxwwx	= 1690,
-    AArch64_SMAXPvvv_16B	= 1691,
-    AArch64_SMAXPvvv_2S	= 1692,
-    AArch64_SMAXPvvv_4H	= 1693,
-    AArch64_SMAXPvvv_4S	= 1694,
-    AArch64_SMAXPvvv_8B	= 1695,
-    AArch64_SMAXPvvv_8H	= 1696,
-    AArch64_SMAXV_1b16b	= 1697,
-    AArch64_SMAXV_1b8b	= 1698,
-    AArch64_SMAXV_1h4h	= 1699,
-    AArch64_SMAXV_1h8h	= 1700,
-    AArch64_SMAXV_1s4s	= 1701,
-    AArch64_SMAXvvv_16B	= 1702,
-    AArch64_SMAXvvv_2S	= 1703,
-    AArch64_SMAXvvv_4H	= 1704,
-    AArch64_SMAXvvv_4S	= 1705,
-    AArch64_SMAXvvv_8B	= 1706,
-    AArch64_SMAXvvv_8H	= 1707,
-    AArch64_SMCi	= 1708,
-    AArch64_SMINPvvv_16B	= 1709,
-    AArch64_SMINPvvv_2S	= 1710,
-    AArch64_SMINPvvv_4H	= 1711,
-    AArch64_SMINPvvv_4S	= 1712,
-    AArch64_SMINPvvv_8B	= 1713,
-    AArch64_SMINPvvv_8H	= 1714,
-    AArch64_SMINV_1b16b	= 1715,
-    AArch64_SMINV_1b8b	= 1716,
-    AArch64_SMINV_1h4h	= 1717,
-    AArch64_SMINV_1h8h	= 1718,
-    AArch64_SMINV_1s4s	= 1719,
-    AArch64_SMINvvv_16B	= 1720,
-    AArch64_SMINvvv_2S	= 1721,
-    AArch64_SMINvvv_4H	= 1722,
-    AArch64_SMINvvv_4S	= 1723,
-    AArch64_SMINvvv_8B	= 1724,
-    AArch64_SMINvvv_8H	= 1725,
-    AArch64_SMLAL2vvv_2d4s	= 1726,
-    AArch64_SMLAL2vvv_4s8h	= 1727,
-    AArch64_SMLAL2vvv_8h16b	= 1728,
-    AArch64_SMLALvve_2d2s	= 1729,
-    AArch64_SMLALvve_2d4s	= 1730,
-    AArch64_SMLALvve_4s4h	= 1731,
-    AArch64_SMLALvve_4s8h	= 1732,
-    AArch64_SMLALvvv_2d2s	= 1733,
-    AArch64_SMLALvvv_4s4h	= 1734,
-    AArch64_SMLALvvv_8h8b	= 1735,
-    AArch64_SMLSL2vvv_2d4s	= 1736,
-    AArch64_SMLSL2vvv_4s8h	= 1737,
-    AArch64_SMLSL2vvv_8h16b	= 1738,
-    AArch64_SMLSLvve_2d2s	= 1739,
-    AArch64_SMLSLvve_2d4s	= 1740,
-    AArch64_SMLSLvve_4s4h	= 1741,
-    AArch64_SMLSLvve_4s8h	= 1742,
-    AArch64_SMLSLvvv_2d2s	= 1743,
-    AArch64_SMLSLvvv_4s4h	= 1744,
-    AArch64_SMLSLvvv_8h8b	= 1745,
-    AArch64_SMOVwb	= 1746,
-    AArch64_SMOVwh	= 1747,
-    AArch64_SMOVxb	= 1748,
-    AArch64_SMOVxh	= 1749,
-    AArch64_SMOVxs	= 1750,
-    AArch64_SMSUBLxwwx	= 1751,
-    AArch64_SMULHxxx	= 1752,
-    AArch64_SMULL2vvv_2d4s	= 1753,
-    AArch64_SMULL2vvv_4s8h	= 1754,
-    AArch64_SMULL2vvv_8h16b	= 1755,
-    AArch64_SMULLve_2d2s	= 1756,
-    AArch64_SMULLve_2d4s	= 1757,
-    AArch64_SMULLve_4s4h	= 1758,
-    AArch64_SMULLve_4s8h	= 1759,
-    AArch64_SMULLvvv_2d2s	= 1760,
-    AArch64_SMULLvvv_4s4h	= 1761,
-    AArch64_SMULLvvv_8h8b	= 1762,
-    AArch64_SQABS16b	= 1763,
-    AArch64_SQABS2d	= 1764,
-    AArch64_SQABS2s	= 1765,
-    AArch64_SQABS4h	= 1766,
-    AArch64_SQABS4s	= 1767,
-    AArch64_SQABS8b	= 1768,
-    AArch64_SQABS8h	= 1769,
-    AArch64_SQABSbb	= 1770,
-    AArch64_SQABSdd	= 1771,
-    AArch64_SQABShh	= 1772,
-    AArch64_SQABSss	= 1773,
-    AArch64_SQADDbbb	= 1774,
-    AArch64_SQADDddd	= 1775,
-    AArch64_SQADDhhh	= 1776,
-    AArch64_SQADDsss	= 1777,
-    AArch64_SQADDvvv_16B	= 1778,
-    AArch64_SQADDvvv_2D	= 1779,
-    AArch64_SQADDvvv_2S	= 1780,
-    AArch64_SQADDvvv_4H	= 1781,
-    AArch64_SQADDvvv_4S	= 1782,
-    AArch64_SQADDvvv_8B	= 1783,
-    AArch64_SQADDvvv_8H	= 1784,
-    AArch64_SQDMLAL2vvv_2d4s	= 1785,
-    AArch64_SQDMLAL2vvv_4s8h	= 1786,
-    AArch64_SQDMLALdss	= 1787,
-    AArch64_SQDMLALdsv_2S	= 1788,
-    AArch64_SQDMLALdsv_4S	= 1789,
-    AArch64_SQDMLALshh	= 1790,
-    AArch64_SQDMLALshv_4H	= 1791,
-    AArch64_SQDMLALshv_8H	= 1792,
-    AArch64_SQDMLALvve_2d2s	= 1793,
-    AArch64_SQDMLALvve_2d4s	= 1794,
-    AArch64_SQDMLALvve_4s4h	= 1795,
-    AArch64_SQDMLALvve_4s8h	= 1796,
-    AArch64_SQDMLALvvv_2d2s	= 1797,
-    AArch64_SQDMLALvvv_4s4h	= 1798,
-    AArch64_SQDMLSL2vvv_2d4s	= 1799,
-    AArch64_SQDMLSL2vvv_4s8h	= 1800,
-    AArch64_SQDMLSLdss	= 1801,
-    AArch64_SQDMLSLdsv_2S	= 1802,
-    AArch64_SQDMLSLdsv_4S	= 1803,
-    AArch64_SQDMLSLshh	= 1804,
-    AArch64_SQDMLSLshv_4H	= 1805,
-    AArch64_SQDMLSLshv_8H	= 1806,
-    AArch64_SQDMLSLvve_2d2s	= 1807,
-    AArch64_SQDMLSLvve_2d4s	= 1808,
-    AArch64_SQDMLSLvve_4s4h	= 1809,
-    AArch64_SQDMLSLvve_4s8h	= 1810,
-    AArch64_SQDMLSLvvv_2d2s	= 1811,
-    AArch64_SQDMLSLvvv_4s4h	= 1812,
-    AArch64_SQDMULHhhh	= 1813,
-    AArch64_SQDMULHhhv_4H	= 1814,
-    AArch64_SQDMULHhhv_8H	= 1815,
-    AArch64_SQDMULHsss	= 1816,
-    AArch64_SQDMULHssv_2S	= 1817,
-    AArch64_SQDMULHssv_4S	= 1818,
-    AArch64_SQDMULHve_2s4s	= 1819,
-    AArch64_SQDMULHve_4h8h	= 1820,
-    AArch64_SQDMULHve_4s4s	= 1821,
-    AArch64_SQDMULHve_8h8h	= 1822,
-    AArch64_SQDMULHvvv_2S	= 1823,
-    AArch64_SQDMULHvvv_4H	= 1824,
-    AArch64_SQDMULHvvv_4S	= 1825,
-    AArch64_SQDMULHvvv_8H	= 1826,
-    AArch64_SQDMULL2vvv_2d4s	= 1827,
-    AArch64_SQDMULL2vvv_4s8h	= 1828,
-    AArch64_SQDMULLdss	= 1829,
-    AArch64_SQDMULLdsv_2S	= 1830,
-    AArch64_SQDMULLdsv_4S	= 1831,
-    AArch64_SQDMULLshh	= 1832,
-    AArch64_SQDMULLshv_4H	= 1833,
-    AArch64_SQDMULLshv_8H	= 1834,
-    AArch64_SQDMULLve_2d2s	= 1835,
-    AArch64_SQDMULLve_2d4s	= 1836,
-    AArch64_SQDMULLve_4s4h	= 1837,
-    AArch64_SQDMULLve_4s8h	= 1838,
-    AArch64_SQDMULLvvv_2d2s	= 1839,
-    AArch64_SQDMULLvvv_4s4h	= 1840,
-    AArch64_SQNEG16b	= 1841,
-    AArch64_SQNEG2d	= 1842,
-    AArch64_SQNEG2s	= 1843,
-    AArch64_SQNEG4h	= 1844,
-    AArch64_SQNEG4s	= 1845,
-    AArch64_SQNEG8b	= 1846,
-    AArch64_SQNEG8h	= 1847,
-    AArch64_SQNEGbb	= 1848,
-    AArch64_SQNEGdd	= 1849,
-    AArch64_SQNEGhh	= 1850,
-    AArch64_SQNEGss	= 1851,
-    AArch64_SQRDMULHhhh	= 1852,
-    AArch64_SQRDMULHhhv_4H	= 1853,
-    AArch64_SQRDMULHhhv_8H	= 1854,
-    AArch64_SQRDMULHsss	= 1855,
-    AArch64_SQRDMULHssv_2S	= 1856,
-    AArch64_SQRDMULHssv_4S	= 1857,
-    AArch64_SQRDMULHve_2s4s	= 1858,
-    AArch64_SQRDMULHve_4h8h	= 1859,
-    AArch64_SQRDMULHve_4s4s	= 1860,
-    AArch64_SQRDMULHve_8h8h	= 1861,
-    AArch64_SQRDMULHvvv_2S	= 1862,
-    AArch64_SQRDMULHvvv_4H	= 1863,
-    AArch64_SQRDMULHvvv_4S	= 1864,
-    AArch64_SQRDMULHvvv_8H	= 1865,
-    AArch64_SQRSHLbbb	= 1866,
-    AArch64_SQRSHLddd	= 1867,
-    AArch64_SQRSHLhhh	= 1868,
-    AArch64_SQRSHLsss	= 1869,
-    AArch64_SQRSHLvvv_16B	= 1870,
-    AArch64_SQRSHLvvv_2D	= 1871,
-    AArch64_SQRSHLvvv_2S	= 1872,
-    AArch64_SQRSHLvvv_4H	= 1873,
-    AArch64_SQRSHLvvv_4S	= 1874,
-    AArch64_SQRSHLvvv_8B	= 1875,
-    AArch64_SQRSHLvvv_8H	= 1876,
-    AArch64_SQRSHRNbhi	= 1877,
-    AArch64_SQRSHRNhsi	= 1878,
-    AArch64_SQRSHRNsdi	= 1879,
-    AArch64_SQRSHRNvvi_16B	= 1880,
-    AArch64_SQRSHRNvvi_2S	= 1881,
-    AArch64_SQRSHRNvvi_4H	= 1882,
-    AArch64_SQRSHRNvvi_4S	= 1883,
-    AArch64_SQRSHRNvvi_8B	= 1884,
-    AArch64_SQRSHRNvvi_8H	= 1885,
-    AArch64_SQRSHRUNbhi	= 1886,
-    AArch64_SQRSHRUNhsi	= 1887,
-    AArch64_SQRSHRUNsdi	= 1888,
-    AArch64_SQSHLUbbi	= 1889,
-    AArch64_SQSHLUddi	= 1890,
-    AArch64_SQSHLUhhi	= 1891,
-    AArch64_SQSHLUssi	= 1892,
-    AArch64_SQSHLUvvi_16B	= 1893,
-    AArch64_SQSHLUvvi_2D	= 1894,
-    AArch64_SQSHLUvvi_2S	= 1895,
-    AArch64_SQSHLUvvi_4H	= 1896,
-    AArch64_SQSHLUvvi_4S	= 1897,
-    AArch64_SQSHLUvvi_8B	= 1898,
-    AArch64_SQSHLUvvi_8H	= 1899,
-    AArch64_SQSHLbbb	= 1900,
-    AArch64_SQSHLbbi	= 1901,
-    AArch64_SQSHLddd	= 1902,
-    AArch64_SQSHLddi	= 1903,
-    AArch64_SQSHLhhh	= 1904,
-    AArch64_SQSHLhhi	= 1905,
-    AArch64_SQSHLssi	= 1906,
-    AArch64_SQSHLsss	= 1907,
-    AArch64_SQSHLvvi_16B	= 1908,
-    AArch64_SQSHLvvi_2D	= 1909,
-    AArch64_SQSHLvvi_2S	= 1910,
-    AArch64_SQSHLvvi_4H	= 1911,
-    AArch64_SQSHLvvi_4S	= 1912,
-    AArch64_SQSHLvvi_8B	= 1913,
-    AArch64_SQSHLvvi_8H	= 1914,
-    AArch64_SQSHLvvv_16B	= 1915,
-    AArch64_SQSHLvvv_2D	= 1916,
-    AArch64_SQSHLvvv_2S	= 1917,
-    AArch64_SQSHLvvv_4H	= 1918,
-    AArch64_SQSHLvvv_4S	= 1919,
-    AArch64_SQSHLvvv_8B	= 1920,
-    AArch64_SQSHLvvv_8H	= 1921,
-    AArch64_SQSHRNbhi	= 1922,
-    AArch64_SQSHRNhsi	= 1923,
-    AArch64_SQSHRNsdi	= 1924,
-    AArch64_SQSHRNvvi_16B	= 1925,
-    AArch64_SQSHRNvvi_2S	= 1926,
-    AArch64_SQSHRNvvi_4H	= 1927,
-    AArch64_SQSHRNvvi_4S	= 1928,
-    AArch64_SQSHRNvvi_8B	= 1929,
-    AArch64_SQSHRNvvi_8H	= 1930,
-    AArch64_SQSHRUNbhi	= 1931,
-    AArch64_SQSHRUNhsi	= 1932,
-    AArch64_SQSHRUNsdi	= 1933,
-    AArch64_SQSUBbbb	= 1934,
-    AArch64_SQSUBddd	= 1935,
-    AArch64_SQSUBhhh	= 1936,
-    AArch64_SQSUBsss	= 1937,
-    AArch64_SQSUBvvv_16B	= 1938,
-    AArch64_SQSUBvvv_2D	= 1939,
-    AArch64_SQSUBvvv_2S	= 1940,
-    AArch64_SQSUBvvv_4H	= 1941,
-    AArch64_SQSUBvvv_4S	= 1942,
-    AArch64_SQSUBvvv_8B	= 1943,
-    AArch64_SQSUBvvv_8H	= 1944,
-    AArch64_SQXTN2d2s	= 1945,
-    AArch64_SQXTN2d4s	= 1946,
-    AArch64_SQXTN4s4h	= 1947,
-    AArch64_SQXTN4s8h	= 1948,
-    AArch64_SQXTN8h16b	= 1949,
-    AArch64_SQXTN8h8b	= 1950,
-    AArch64_SQXTNbh	= 1951,
-    AArch64_SQXTNhs	= 1952,
-    AArch64_SQXTNsd	= 1953,
-    AArch64_SQXTUN2d2s	= 1954,
-    AArch64_SQXTUN2d4s	= 1955,
-    AArch64_SQXTUN4s4h	= 1956,
-    AArch64_SQXTUN4s8h	= 1957,
-    AArch64_SQXTUN8h16b	= 1958,
-    AArch64_SQXTUN8h8b	= 1959,
-    AArch64_SQXTUNbh	= 1960,
-    AArch64_SQXTUNhs	= 1961,
-    AArch64_SQXTUNsd	= 1962,
-    AArch64_SRHADDvvv_16B	= 1963,
-    AArch64_SRHADDvvv_2S	= 1964,
-    AArch64_SRHADDvvv_4H	= 1965,
-    AArch64_SRHADDvvv_4S	= 1966,
-    AArch64_SRHADDvvv_8B	= 1967,
-    AArch64_SRHADDvvv_8H	= 1968,
-    AArch64_SRI	= 1969,
-    AArch64_SRIvvi_16B	= 1970,
-    AArch64_SRIvvi_2D	= 1971,
-    AArch64_SRIvvi_2S	= 1972,
-    AArch64_SRIvvi_4H	= 1973,
-    AArch64_SRIvvi_4S	= 1974,
-    AArch64_SRIvvi_8B	= 1975,
-    AArch64_SRIvvi_8H	= 1976,
-    AArch64_SRSHLddd	= 1977,
-    AArch64_SRSHLvvv_16B	= 1978,
-    AArch64_SRSHLvvv_2D	= 1979,
-    AArch64_SRSHLvvv_2S	= 1980,
-    AArch64_SRSHLvvv_4H	= 1981,
-    AArch64_SRSHLvvv_4S	= 1982,
-    AArch64_SRSHLvvv_8B	= 1983,
-    AArch64_SRSHLvvv_8H	= 1984,
-    AArch64_SRSHRddi	= 1985,
-    AArch64_SRSHRvvi_16B	= 1986,
-    AArch64_SRSHRvvi_2D	= 1987,
-    AArch64_SRSHRvvi_2S	= 1988,
-    AArch64_SRSHRvvi_4H	= 1989,
-    AArch64_SRSHRvvi_4S	= 1990,
-    AArch64_SRSHRvvi_8B	= 1991,
-    AArch64_SRSHRvvi_8H	= 1992,
-    AArch64_SRSRA	= 1993,
-    AArch64_SRSRAvvi_16B	= 1994,
-    AArch64_SRSRAvvi_2D	= 1995,
-    AArch64_SRSRAvvi_2S	= 1996,
-    AArch64_SRSRAvvi_4H	= 1997,
-    AArch64_SRSRAvvi_4S	= 1998,
-    AArch64_SRSRAvvi_8B	= 1999,
-    AArch64_SRSRAvvi_8H	= 2000,
-    AArch64_SSHLLvvi_16B	= 2001,
-    AArch64_SSHLLvvi_2S	= 2002,
-    AArch64_SSHLLvvi_4H	= 2003,
-    AArch64_SSHLLvvi_4S	= 2004,
-    AArch64_SSHLLvvi_8B	= 2005,
-    AArch64_SSHLLvvi_8H	= 2006,
-    AArch64_SSHLddd	= 2007,
-    AArch64_SSHLvvv_16B	= 2008,
-    AArch64_SSHLvvv_2D	= 2009,
-    AArch64_SSHLvvv_2S	= 2010,
-    AArch64_SSHLvvv_4H	= 2011,
-    AArch64_SSHLvvv_4S	= 2012,
-    AArch64_SSHLvvv_8B	= 2013,
-    AArch64_SSHLvvv_8H	= 2014,
-    AArch64_SSHRddi	= 2015,
-    AArch64_SSHRvvi_16B	= 2016,
-    AArch64_SSHRvvi_2D	= 2017,
-    AArch64_SSHRvvi_2S	= 2018,
-    AArch64_SSHRvvi_4H	= 2019,
-    AArch64_SSHRvvi_4S	= 2020,
-    AArch64_SSHRvvi_8B	= 2021,
-    AArch64_SSHRvvi_8H	= 2022,
-    AArch64_SSRA	= 2023,
-    AArch64_SSRAvvi_16B	= 2024,
-    AArch64_SSRAvvi_2D	= 2025,
-    AArch64_SSRAvvi_2S	= 2026,
-    AArch64_SSRAvvi_4H	= 2027,
-    AArch64_SSRAvvi_4S	= 2028,
-    AArch64_SSRAvvi_8B	= 2029,
-    AArch64_SSRAvvi_8H	= 2030,
-    AArch64_SSUBL2vvv_2d4s	= 2031,
-    AArch64_SSUBL2vvv_4s8h	= 2032,
-    AArch64_SSUBL2vvv_8h16b	= 2033,
-    AArch64_SSUBLvvv_2d2s	= 2034,
-    AArch64_SSUBLvvv_4s4h	= 2035,
-    AArch64_SSUBLvvv_8h8b	= 2036,
-    AArch64_SSUBW2vvv_2d4s	= 2037,
-    AArch64_SSUBW2vvv_4s8h	= 2038,
-    AArch64_SSUBW2vvv_8h16b	= 2039,
-    AArch64_SSUBWvvv_2d2s	= 2040,
-    AArch64_SSUBWvvv_4s4h	= 2041,
-    AArch64_SSUBWvvv_8h8b	= 2042,
-    AArch64_ST1LN_B	= 2043,
-    AArch64_ST1LN_D	= 2044,
-    AArch64_ST1LN_H	= 2045,
-    AArch64_ST1LN_S	= 2046,
-    AArch64_ST1LN_WB_B_fixed	= 2047,
-    AArch64_ST1LN_WB_B_register	= 2048,
-    AArch64_ST1LN_WB_D_fixed	= 2049,
-    AArch64_ST1LN_WB_D_register	= 2050,
-    AArch64_ST1LN_WB_H_fixed	= 2051,
-    AArch64_ST1LN_WB_H_register	= 2052,
-    AArch64_ST1LN_WB_S_fixed	= 2053,
-    AArch64_ST1LN_WB_S_register	= 2054,
-    AArch64_ST1WB_16B_fixed	= 2055,
-    AArch64_ST1WB_16B_register	= 2056,
-    AArch64_ST1WB_1D_fixed	= 2057,
-    AArch64_ST1WB_1D_register	= 2058,
-    AArch64_ST1WB_2D_fixed	= 2059,
-    AArch64_ST1WB_2D_register	= 2060,
-    AArch64_ST1WB_2S_fixed	= 2061,
-    AArch64_ST1WB_2S_register	= 2062,
-    AArch64_ST1WB_4H_fixed	= 2063,
-    AArch64_ST1WB_4H_register	= 2064,
-    AArch64_ST1WB_4S_fixed	= 2065,
-    AArch64_ST1WB_4S_register	= 2066,
-    AArch64_ST1WB_8B_fixed	= 2067,
-    AArch64_ST1WB_8B_register	= 2068,
-    AArch64_ST1WB_8H_fixed	= 2069,
-    AArch64_ST1WB_8H_register	= 2070,
-    AArch64_ST1_16B	= 2071,
-    AArch64_ST1_1D	= 2072,
-    AArch64_ST1_2D	= 2073,
-    AArch64_ST1_2S	= 2074,
-    AArch64_ST1_4H	= 2075,
-    AArch64_ST1_4S	= 2076,
-    AArch64_ST1_8B	= 2077,
-    AArch64_ST1_8H	= 2078,
-    AArch64_ST1x2WB_16B_fixed	= 2079,
-    AArch64_ST1x2WB_16B_register	= 2080,
-    AArch64_ST1x2WB_1D_fixed	= 2081,
-    AArch64_ST1x2WB_1D_register	= 2082,
-    AArch64_ST1x2WB_2D_fixed	= 2083,
-    AArch64_ST1x2WB_2D_register	= 2084,
-    AArch64_ST1x2WB_2S_fixed	= 2085,
-    AArch64_ST1x2WB_2S_register	= 2086,
-    AArch64_ST1x2WB_4H_fixed	= 2087,
-    AArch64_ST1x2WB_4H_register	= 2088,
-    AArch64_ST1x2WB_4S_fixed	= 2089,
-    AArch64_ST1x2WB_4S_register	= 2090,
-    AArch64_ST1x2WB_8B_fixed	= 2091,
-    AArch64_ST1x2WB_8B_register	= 2092,
-    AArch64_ST1x2WB_8H_fixed	= 2093,
-    AArch64_ST1x2WB_8H_register	= 2094,
-    AArch64_ST1x2_16B	= 2095,
-    AArch64_ST1x2_1D	= 2096,
-    AArch64_ST1x2_2D	= 2097,
-    AArch64_ST1x2_2S	= 2098,
-    AArch64_ST1x2_4H	= 2099,
-    AArch64_ST1x2_4S	= 2100,
-    AArch64_ST1x2_8B	= 2101,
-    AArch64_ST1x2_8H	= 2102,
-    AArch64_ST1x3WB_16B_fixed	= 2103,
-    AArch64_ST1x3WB_16B_register	= 2104,
-    AArch64_ST1x3WB_1D_fixed	= 2105,
-    AArch64_ST1x3WB_1D_register	= 2106,
-    AArch64_ST1x3WB_2D_fixed	= 2107,
-    AArch64_ST1x3WB_2D_register	= 2108,
-    AArch64_ST1x3WB_2S_fixed	= 2109,
-    AArch64_ST1x3WB_2S_register	= 2110,
-    AArch64_ST1x3WB_4H_fixed	= 2111,
-    AArch64_ST1x3WB_4H_register	= 2112,
-    AArch64_ST1x3WB_4S_fixed	= 2113,
-    AArch64_ST1x3WB_4S_register	= 2114,
-    AArch64_ST1x3WB_8B_fixed	= 2115,
-    AArch64_ST1x3WB_8B_register	= 2116,
-    AArch64_ST1x3WB_8H_fixed	= 2117,
-    AArch64_ST1x3WB_8H_register	= 2118,
-    AArch64_ST1x3_16B	= 2119,
-    AArch64_ST1x3_1D	= 2120,
-    AArch64_ST1x3_2D	= 2121,
-    AArch64_ST1x3_2S	= 2122,
-    AArch64_ST1x3_4H	= 2123,
-    AArch64_ST1x3_4S	= 2124,
-    AArch64_ST1x3_8B	= 2125,
-    AArch64_ST1x3_8H	= 2126,
-    AArch64_ST1x4WB_16B_fixed	= 2127,
-    AArch64_ST1x4WB_16B_register	= 2128,
-    AArch64_ST1x4WB_1D_fixed	= 2129,
-    AArch64_ST1x4WB_1D_register	= 2130,
-    AArch64_ST1x4WB_2D_fixed	= 2131,
-    AArch64_ST1x4WB_2D_register	= 2132,
-    AArch64_ST1x4WB_2S_fixed	= 2133,
-    AArch64_ST1x4WB_2S_register	= 2134,
-    AArch64_ST1x4WB_4H_fixed	= 2135,
-    AArch64_ST1x4WB_4H_register	= 2136,
-    AArch64_ST1x4WB_4S_fixed	= 2137,
-    AArch64_ST1x4WB_4S_register	= 2138,
-    AArch64_ST1x4WB_8B_fixed	= 2139,
-    AArch64_ST1x4WB_8B_register	= 2140,
-    AArch64_ST1x4WB_8H_fixed	= 2141,
-    AArch64_ST1x4WB_8H_register	= 2142,
-    AArch64_ST1x4_16B	= 2143,
-    AArch64_ST1x4_1D	= 2144,
-    AArch64_ST1x4_2D	= 2145,
-    AArch64_ST1x4_2S	= 2146,
-    AArch64_ST1x4_4H	= 2147,
-    AArch64_ST1x4_4S	= 2148,
-    AArch64_ST1x4_8B	= 2149,
-    AArch64_ST1x4_8H	= 2150,
-    AArch64_ST2LN_B	= 2151,
-    AArch64_ST2LN_D	= 2152,
-    AArch64_ST2LN_H	= 2153,
-    AArch64_ST2LN_S	= 2154,
-    AArch64_ST2LN_WB_B_fixed	= 2155,
-    AArch64_ST2LN_WB_B_register	= 2156,
-    AArch64_ST2LN_WB_D_fixed	= 2157,
-    AArch64_ST2LN_WB_D_register	= 2158,
-    AArch64_ST2LN_WB_H_fixed	= 2159,
-    AArch64_ST2LN_WB_H_register	= 2160,
-    AArch64_ST2LN_WB_S_fixed	= 2161,
-    AArch64_ST2LN_WB_S_register	= 2162,
-    AArch64_ST2WB_16B_fixed	= 2163,
-    AArch64_ST2WB_16B_register	= 2164,
-    AArch64_ST2WB_2D_fixed	= 2165,
-    AArch64_ST2WB_2D_register	= 2166,
-    AArch64_ST2WB_2S_fixed	= 2167,
-    AArch64_ST2WB_2S_register	= 2168,
-    AArch64_ST2WB_4H_fixed	= 2169,
-    AArch64_ST2WB_4H_register	= 2170,
-    AArch64_ST2WB_4S_fixed	= 2171,
-    AArch64_ST2WB_4S_register	= 2172,
-    AArch64_ST2WB_8B_fixed	= 2173,
-    AArch64_ST2WB_8B_register	= 2174,
-    AArch64_ST2WB_8H_fixed	= 2175,
-    AArch64_ST2WB_8H_register	= 2176,
-    AArch64_ST2_16B	= 2177,
-    AArch64_ST2_2D	= 2178,
-    AArch64_ST2_2S	= 2179,
-    AArch64_ST2_4H	= 2180,
-    AArch64_ST2_4S	= 2181,
-    AArch64_ST2_8B	= 2182,
-    AArch64_ST2_8H	= 2183,
-    AArch64_ST3LN_B	= 2184,
-    AArch64_ST3LN_D	= 2185,
-    AArch64_ST3LN_H	= 2186,
-    AArch64_ST3LN_S	= 2187,
-    AArch64_ST3LN_WB_B_fixed	= 2188,
-    AArch64_ST3LN_WB_B_register	= 2189,
-    AArch64_ST3LN_WB_D_fixed	= 2190,
-    AArch64_ST3LN_WB_D_register	= 2191,
-    AArch64_ST3LN_WB_H_fixed	= 2192,
-    AArch64_ST3LN_WB_H_register	= 2193,
-    AArch64_ST3LN_WB_S_fixed	= 2194,
-    AArch64_ST3LN_WB_S_register	= 2195,
-    AArch64_ST3WB_16B_fixed	= 2196,
-    AArch64_ST3WB_16B_register	= 2197,
-    AArch64_ST3WB_2D_fixed	= 2198,
-    AArch64_ST3WB_2D_register	= 2199,
-    AArch64_ST3WB_2S_fixed	= 2200,
-    AArch64_ST3WB_2S_register	= 2201,
-    AArch64_ST3WB_4H_fixed	= 2202,
-    AArch64_ST3WB_4H_register	= 2203,
-    AArch64_ST3WB_4S_fixed	= 2204,
-    AArch64_ST3WB_4S_register	= 2205,
-    AArch64_ST3WB_8B_fixed	= 2206,
-    AArch64_ST3WB_8B_register	= 2207,
-    AArch64_ST3WB_8H_fixed	= 2208,
-    AArch64_ST3WB_8H_register	= 2209,
-    AArch64_ST3_16B	= 2210,
-    AArch64_ST3_2D	= 2211,
-    AArch64_ST3_2S	= 2212,
-    AArch64_ST3_4H	= 2213,
-    AArch64_ST3_4S	= 2214,
-    AArch64_ST3_8B	= 2215,
-    AArch64_ST3_8H	= 2216,
-    AArch64_ST4LN_B	= 2217,
-    AArch64_ST4LN_D	= 2218,
-    AArch64_ST4LN_H	= 2219,
-    AArch64_ST4LN_S	= 2220,
-    AArch64_ST4LN_WB_B_fixed	= 2221,
-    AArch64_ST4LN_WB_B_register	= 2222,
-    AArch64_ST4LN_WB_D_fixed	= 2223,
-    AArch64_ST4LN_WB_D_register	= 2224,
-    AArch64_ST4LN_WB_H_fixed	= 2225,
-    AArch64_ST4LN_WB_H_register	= 2226,
-    AArch64_ST4LN_WB_S_fixed	= 2227,
-    AArch64_ST4LN_WB_S_register	= 2228,
-    AArch64_ST4WB_16B_fixed	= 2229,
-    AArch64_ST4WB_16B_register	= 2230,
-    AArch64_ST4WB_2D_fixed	= 2231,
-    AArch64_ST4WB_2D_register	= 2232,
-    AArch64_ST4WB_2S_fixed	= 2233,
-    AArch64_ST4WB_2S_register	= 2234,
-    AArch64_ST4WB_4H_fixed	= 2235,
-    AArch64_ST4WB_4H_register	= 2236,
-    AArch64_ST4WB_4S_fixed	= 2237,
-    AArch64_ST4WB_4S_register	= 2238,
-    AArch64_ST4WB_8B_fixed	= 2239,
-    AArch64_ST4WB_8B_register	= 2240,
-    AArch64_ST4WB_8H_fixed	= 2241,
-    AArch64_ST4WB_8H_register	= 2242,
-    AArch64_ST4_16B	= 2243,
-    AArch64_ST4_2D	= 2244,
-    AArch64_ST4_2S	= 2245,
-    AArch64_ST4_4H	= 2246,
-    AArch64_ST4_4S	= 2247,
-    AArch64_ST4_8B	= 2248,
-    AArch64_ST4_8H	= 2249,
-    AArch64_STLR_byte	= 2250,
-    AArch64_STLR_dword	= 2251,
-    AArch64_STLR_hword	= 2252,
-    AArch64_STLR_word	= 2253,
-    AArch64_STLXP_dword	= 2254,
-    AArch64_STLXP_word	= 2255,
-    AArch64_STLXR_byte	= 2256,
-    AArch64_STLXR_dword	= 2257,
-    AArch64_STLXR_hword	= 2258,
-    AArch64_STLXR_word	= 2259,
-    AArch64_STXP_dword	= 2260,
-    AArch64_STXP_word	= 2261,
-    AArch64_STXR_byte	= 2262,
-    AArch64_STXR_dword	= 2263,
-    AArch64_STXR_hword	= 2264,
-    AArch64_STXR_word	= 2265,
-    AArch64_SUBHN2vvv_16b8h	= 2266,
-    AArch64_SUBHN2vvv_4s2d	= 2267,
-    AArch64_SUBHN2vvv_8h4s	= 2268,
-    AArch64_SUBHNvvv_2s2d	= 2269,
-    AArch64_SUBHNvvv_4h4s	= 2270,
-    AArch64_SUBHNvvv_8b8h	= 2271,
-    AArch64_SUBSwww_asr	= 2272,
-    AArch64_SUBSwww_lsl	= 2273,
-    AArch64_SUBSwww_lsr	= 2274,
-    AArch64_SUBSwww_sxtb	= 2275,
-    AArch64_SUBSwww_sxth	= 2276,
-    AArch64_SUBSwww_sxtw	= 2277,
-    AArch64_SUBSwww_sxtx	= 2278,
-    AArch64_SUBSwww_uxtb	= 2279,
-    AArch64_SUBSwww_uxth	= 2280,
-    AArch64_SUBSwww_uxtw	= 2281,
-    AArch64_SUBSwww_uxtx	= 2282,
-    AArch64_SUBSxxw_sxtb	= 2283,
-    AArch64_SUBSxxw_sxth	= 2284,
-    AArch64_SUBSxxw_sxtw	= 2285,
-    AArch64_SUBSxxw_uxtb	= 2286,
-    AArch64_SUBSxxw_uxth	= 2287,
-    AArch64_SUBSxxw_uxtw	= 2288,
-    AArch64_SUBSxxx_asr	= 2289,
-    AArch64_SUBSxxx_lsl	= 2290,
-    AArch64_SUBSxxx_lsr	= 2291,
-    AArch64_SUBSxxx_sxtx	= 2292,
-    AArch64_SUBSxxx_uxtx	= 2293,
-    AArch64_SUBddd	= 2294,
-    AArch64_SUBvvv_16B	= 2295,
-    AArch64_SUBvvv_2D	= 2296,
-    AArch64_SUBvvv_2S	= 2297,
-    AArch64_SUBvvv_4H	= 2298,
-    AArch64_SUBvvv_4S	= 2299,
-    AArch64_SUBvvv_8B	= 2300,
-    AArch64_SUBvvv_8H	= 2301,
-    AArch64_SUBwwi_lsl0_S	= 2302,
-    AArch64_SUBwwi_lsl0_cmp	= 2303,
-    AArch64_SUBwwi_lsl0_s	= 2304,
-    AArch64_SUBwwi_lsl12_S	= 2305,
-    AArch64_SUBwwi_lsl12_cmp	= 2306,
-    AArch64_SUBwwi_lsl12_s	= 2307,
-    AArch64_SUBwww_asr	= 2308,
-    AArch64_SUBwww_lsl	= 2309,
-    AArch64_SUBwww_lsr	= 2310,
-    AArch64_SUBwww_sxtb	= 2311,
-    AArch64_SUBwww_sxth	= 2312,
-    AArch64_SUBwww_sxtw	= 2313,
-    AArch64_SUBwww_sxtx	= 2314,
-    AArch64_SUBwww_uxtb	= 2315,
-    AArch64_SUBwww_uxth	= 2316,
-    AArch64_SUBwww_uxtw	= 2317,
-    AArch64_SUBwww_uxtx	= 2318,
-    AArch64_SUBxxi_lsl0_S	= 2319,
-    AArch64_SUBxxi_lsl0_cmp	= 2320,
-    AArch64_SUBxxi_lsl0_s	= 2321,
-    AArch64_SUBxxi_lsl12_S	= 2322,
-    AArch64_SUBxxi_lsl12_cmp	= 2323,
-    AArch64_SUBxxi_lsl12_s	= 2324,
-    AArch64_SUBxxw_sxtb	= 2325,
-    AArch64_SUBxxw_sxth	= 2326,
-    AArch64_SUBxxw_sxtw	= 2327,
-    AArch64_SUBxxw_uxtb	= 2328,
-    AArch64_SUBxxw_uxth	= 2329,
-    AArch64_SUBxxw_uxtw	= 2330,
-    AArch64_SUBxxx_asr	= 2331,
-    AArch64_SUBxxx_lsl	= 2332,
-    AArch64_SUBxxx_lsr	= 2333,
-    AArch64_SUBxxx_sxtx	= 2334,
-    AArch64_SUBxxx_uxtx	= 2335,
-    AArch64_SUQADD16b	= 2336,
-    AArch64_SUQADD2d	= 2337,
-    AArch64_SUQADD2s	= 2338,
-    AArch64_SUQADD4h	= 2339,
-    AArch64_SUQADD4s	= 2340,
-    AArch64_SUQADD8b	= 2341,
-    AArch64_SUQADD8h	= 2342,
-    AArch64_SUQADDbb	= 2343,
-    AArch64_SUQADDdd	= 2344,
-    AArch64_SUQADDhh	= 2345,
-    AArch64_SUQADDss	= 2346,
-    AArch64_SVCi	= 2347,
-    AArch64_SXTBww	= 2348,
-    AArch64_SXTBxw	= 2349,
-    AArch64_SXTHww	= 2350,
-    AArch64_SXTHxw	= 2351,
-    AArch64_SXTWxw	= 2352,
-    AArch64_SYSLxicci	= 2353,
-    AArch64_SYSiccix	= 2354,
-    AArch64_TAIL_BRx	= 2355,
-    AArch64_TAIL_Bimm	= 2356,
-    AArch64_TBL1_16b	= 2357,
-    AArch64_TBL1_8b	= 2358,
-    AArch64_TBL2_16b	= 2359,
-    AArch64_TBL2_8b	= 2360,
-    AArch64_TBL3_16b	= 2361,
-    AArch64_TBL3_8b	= 2362,
-    AArch64_TBL4_16b	= 2363,
-    AArch64_TBL4_8b	= 2364,
-    AArch64_TBNZwii	= 2365,
-    AArch64_TBNZxii	= 2366,
-    AArch64_TBX1_16b	= 2367,
-    AArch64_TBX1_8b	= 2368,
-    AArch64_TBX2_16b	= 2369,
-    AArch64_TBX2_8b	= 2370,
-    AArch64_TBX3_16b	= 2371,
-    AArch64_TBX3_8b	= 2372,
-    AArch64_TBX4_16b	= 2373,
-    AArch64_TBX4_8b	= 2374,
-    AArch64_TBZwii	= 2375,
-    AArch64_TBZxii	= 2376,
-    AArch64_TC_RETURNdi	= 2377,
-    AArch64_TC_RETURNxi	= 2378,
-    AArch64_TLBIi	= 2379,
-    AArch64_TLBIix	= 2380,
-    AArch64_TLSDESCCALL	= 2381,
-    AArch64_TLSDESC_BLRx	= 2382,
-    AArch64_TRN1vvv_16b	= 2383,
-    AArch64_TRN1vvv_2d	= 2384,
-    AArch64_TRN1vvv_2s	= 2385,
-    AArch64_TRN1vvv_4h	= 2386,
-    AArch64_TRN1vvv_4s	= 2387,
-    AArch64_TRN1vvv_8b	= 2388,
-    AArch64_TRN1vvv_8h	= 2389,
-    AArch64_TRN2vvv_16b	= 2390,
-    AArch64_TRN2vvv_2d	= 2391,
-    AArch64_TRN2vvv_2s	= 2392,
-    AArch64_TRN2vvv_4h	= 2393,
-    AArch64_TRN2vvv_4s	= 2394,
-    AArch64_TRN2vvv_8b	= 2395,
-    AArch64_TRN2vvv_8h	= 2396,
-    AArch64_TSTww_asr	= 2397,
-    AArch64_TSTww_lsl	= 2398,
-    AArch64_TSTww_lsr	= 2399,
-    AArch64_TSTww_ror	= 2400,
-    AArch64_TSTxx_asr	= 2401,
-    AArch64_TSTxx_lsl	= 2402,
-    AArch64_TSTxx_lsr	= 2403,
-    AArch64_TSTxx_ror	= 2404,
-    AArch64_UABAL2vvv_2d2s	= 2405,
-    AArch64_UABAL2vvv_4s4h	= 2406,
-    AArch64_UABAL2vvv_8h8b	= 2407,
-    AArch64_UABALvvv_2d2s	= 2408,
-    AArch64_UABALvvv_4s4h	= 2409,
-    AArch64_UABALvvv_8h8b	= 2410,
-    AArch64_UABAvvv_16B	= 2411,
-    AArch64_UABAvvv_2S	= 2412,
-    AArch64_UABAvvv_4H	= 2413,
-    AArch64_UABAvvv_4S	= 2414,
-    AArch64_UABAvvv_8B	= 2415,
-    AArch64_UABAvvv_8H	= 2416,
-    AArch64_UABDL2vvv_2d2s	= 2417,
-    AArch64_UABDL2vvv_4s4h	= 2418,
-    AArch64_UABDL2vvv_8h8b	= 2419,
-    AArch64_UABDLvvv_2d2s	= 2420,
-    AArch64_UABDLvvv_4s4h	= 2421,
-    AArch64_UABDLvvv_8h8b	= 2422,
-    AArch64_UABDvvv_16B	= 2423,
-    AArch64_UABDvvv_2S	= 2424,
-    AArch64_UABDvvv_4H	= 2425,
-    AArch64_UABDvvv_4S	= 2426,
-    AArch64_UABDvvv_8B	= 2427,
-    AArch64_UABDvvv_8H	= 2428,
-    AArch64_UADALP16b8h	= 2429,
-    AArch64_UADALP2s1d	= 2430,
-    AArch64_UADALP4h2s	= 2431,
-    AArch64_UADALP4s2d	= 2432,
-    AArch64_UADALP8b4h	= 2433,
-    AArch64_UADALP8h4s	= 2434,
-    AArch64_UADDL2vvv_2d4s	= 2435,
-    AArch64_UADDL2vvv_4s8h	= 2436,
-    AArch64_UADDL2vvv_8h16b	= 2437,
-    AArch64_UADDLP16b8h	= 2438,
-    AArch64_UADDLP2s1d	= 2439,
-    AArch64_UADDLP4h2s	= 2440,
-    AArch64_UADDLP4s2d	= 2441,
-    AArch64_UADDLP8b4h	= 2442,
-    AArch64_UADDLP8h4s	= 2443,
-    AArch64_UADDLV_1d4s	= 2444,
-    AArch64_UADDLV_1h16b	= 2445,
-    AArch64_UADDLV_1h8b	= 2446,
-    AArch64_UADDLV_1s4h	= 2447,
-    AArch64_UADDLV_1s8h	= 2448,
-    AArch64_UADDLvvv_2d2s	= 2449,
-    AArch64_UADDLvvv_4s4h	= 2450,
-    AArch64_UADDLvvv_8h8b	= 2451,
-    AArch64_UADDW2vvv_2d4s	= 2452,
-    AArch64_UADDW2vvv_4s8h	= 2453,
-    AArch64_UADDW2vvv_8h16b	= 2454,
-    AArch64_UADDWvvv_2d2s	= 2455,
-    AArch64_UADDWvvv_4s4h	= 2456,
-    AArch64_UADDWvvv_8h8b	= 2457,
-    AArch64_UBFIZwwii	= 2458,
-    AArch64_UBFIZxxii	= 2459,
-    AArch64_UBFMwwii	= 2460,
-    AArch64_UBFMxxii	= 2461,
-    AArch64_UBFXwwii	= 2462,
-    AArch64_UBFXxxii	= 2463,
-    AArch64_UCVTF_2d	= 2464,
-    AArch64_UCVTF_2s	= 2465,
-    AArch64_UCVTF_4s	= 2466,
-    AArch64_UCVTF_Nddi	= 2467,
-    AArch64_UCVTF_Nssi	= 2468,
-    AArch64_UCVTFdd	= 2469,
-    AArch64_UCVTFdw	= 2470,
-    AArch64_UCVTFdwi	= 2471,
-    AArch64_UCVTFdx	= 2472,
-    AArch64_UCVTFdxi	= 2473,
-    AArch64_UCVTFss	= 2474,
-    AArch64_UCVTFsw	= 2475,
-    AArch64_UCVTFswi	= 2476,
-    AArch64_UCVTFsx	= 2477,
-    AArch64_UCVTFsxi	= 2478,
-    AArch64_UDIVwww	= 2479,
-    AArch64_UDIVxxx	= 2480,
-    AArch64_UHADDvvv_16B	= 2481,
-    AArch64_UHADDvvv_2S	= 2482,
-    AArch64_UHADDvvv_4H	= 2483,
-    AArch64_UHADDvvv_4S	= 2484,
-    AArch64_UHADDvvv_8B	= 2485,
-    AArch64_UHADDvvv_8H	= 2486,
-    AArch64_UHSUBvvv_16B	= 2487,
-    AArch64_UHSUBvvv_2S	= 2488,
-    AArch64_UHSUBvvv_4H	= 2489,
-    AArch64_UHSUBvvv_4S	= 2490,
-    AArch64_UHSUBvvv_8B	= 2491,
-    AArch64_UHSUBvvv_8H	= 2492,
-    AArch64_UMADDLxwwx	= 2493,
-    AArch64_UMAXPvvv_16B	= 2494,
-    AArch64_UMAXPvvv_2S	= 2495,
-    AArch64_UMAXPvvv_4H	= 2496,
-    AArch64_UMAXPvvv_4S	= 2497,
-    AArch64_UMAXPvvv_8B	= 2498,
-    AArch64_UMAXPvvv_8H	= 2499,
-    AArch64_UMAXV_1b16b	= 2500,
-    AArch64_UMAXV_1b8b	= 2501,
-    AArch64_UMAXV_1h4h	= 2502,
-    AArch64_UMAXV_1h8h	= 2503,
-    AArch64_UMAXV_1s4s	= 2504,
-    AArch64_UMAXvvv_16B	= 2505,
-    AArch64_UMAXvvv_2S	= 2506,
-    AArch64_UMAXvvv_4H	= 2507,
-    AArch64_UMAXvvv_4S	= 2508,
-    AArch64_UMAXvvv_8B	= 2509,
-    AArch64_UMAXvvv_8H	= 2510,
-    AArch64_UMINPvvv_16B	= 2511,
-    AArch64_UMINPvvv_2S	= 2512,
-    AArch64_UMINPvvv_4H	= 2513,
-    AArch64_UMINPvvv_4S	= 2514,
-    AArch64_UMINPvvv_8B	= 2515,
-    AArch64_UMINPvvv_8H	= 2516,
-    AArch64_UMINV_1b16b	= 2517,
-    AArch64_UMINV_1b8b	= 2518,
-    AArch64_UMINV_1h4h	= 2519,
-    AArch64_UMINV_1h8h	= 2520,
-    AArch64_UMINV_1s4s	= 2521,
-    AArch64_UMINvvv_16B	= 2522,
-    AArch64_UMINvvv_2S	= 2523,
-    AArch64_UMINvvv_4H	= 2524,
-    AArch64_UMINvvv_4S	= 2525,
-    AArch64_UMINvvv_8B	= 2526,
-    AArch64_UMINvvv_8H	= 2527,
-    AArch64_UMLAL2vvv_2d4s	= 2528,
-    AArch64_UMLAL2vvv_4s8h	= 2529,
-    AArch64_UMLAL2vvv_8h16b	= 2530,
-    AArch64_UMLALvve_2d2s	= 2531,
-    AArch64_UMLALvve_2d4s	= 2532,
-    AArch64_UMLALvve_4s4h	= 2533,
-    AArch64_UMLALvve_4s8h	= 2534,
-    AArch64_UMLALvvv_2d2s	= 2535,
-    AArch64_UMLALvvv_4s4h	= 2536,
-    AArch64_UMLALvvv_8h8b	= 2537,
-    AArch64_UMLSL2vvv_2d4s	= 2538,
-    AArch64_UMLSL2vvv_4s8h	= 2539,
-    AArch64_UMLSL2vvv_8h16b	= 2540,
-    AArch64_UMLSLvve_2d2s	= 2541,
-    AArch64_UMLSLvve_2d4s	= 2542,
-    AArch64_UMLSLvve_4s4h	= 2543,
-    AArch64_UMLSLvve_4s8h	= 2544,
-    AArch64_UMLSLvvv_2d2s	= 2545,
-    AArch64_UMLSLvvv_4s4h	= 2546,
-    AArch64_UMLSLvvv_8h8b	= 2547,
-    AArch64_UMOVwb	= 2548,
-    AArch64_UMOVwh	= 2549,
-    AArch64_UMOVws	= 2550,
-    AArch64_UMOVxd	= 2551,
-    AArch64_UMSUBLxwwx	= 2552,
-    AArch64_UMULHxxx	= 2553,
-    AArch64_UMULL2vvv_2d4s	= 2554,
-    AArch64_UMULL2vvv_4s8h	= 2555,
-    AArch64_UMULL2vvv_8h16b	= 2556,
-    AArch64_UMULLve_2d2s	= 2557,
-    AArch64_UMULLve_2d4s	= 2558,
-    AArch64_UMULLve_4s4h	= 2559,
-    AArch64_UMULLve_4s8h	= 2560,
-    AArch64_UMULLvvv_2d2s	= 2561,
-    AArch64_UMULLvvv_4s4h	= 2562,
-    AArch64_UMULLvvv_8h8b	= 2563,
-    AArch64_UQADDbbb	= 2564,
-    AArch64_UQADDddd	= 2565,
-    AArch64_UQADDhhh	= 2566,
-    AArch64_UQADDsss	= 2567,
-    AArch64_UQADDvvv_16B	= 2568,
-    AArch64_UQADDvvv_2D	= 2569,
-    AArch64_UQADDvvv_2S	= 2570,
-    AArch64_UQADDvvv_4H	= 2571,
-    AArch64_UQADDvvv_4S	= 2572,
-    AArch64_UQADDvvv_8B	= 2573,
-    AArch64_UQADDvvv_8H	= 2574,
-    AArch64_UQRSHLbbb	= 2575,
-    AArch64_UQRSHLddd	= 2576,
-    AArch64_UQRSHLhhh	= 2577,
-    AArch64_UQRSHLsss	= 2578,
-    AArch64_UQRSHLvvv_16B	= 2579,
-    AArch64_UQRSHLvvv_2D	= 2580,
-    AArch64_UQRSHLvvv_2S	= 2581,
-    AArch64_UQRSHLvvv_4H	= 2582,
-    AArch64_UQRSHLvvv_4S	= 2583,
-    AArch64_UQRSHLvvv_8B	= 2584,
-    AArch64_UQRSHLvvv_8H	= 2585,
-    AArch64_UQRSHRNbhi	= 2586,
-    AArch64_UQRSHRNhsi	= 2587,
-    AArch64_UQRSHRNsdi	= 2588,
-    AArch64_UQRSHRNvvi_16B	= 2589,
-    AArch64_UQRSHRNvvi_2S	= 2590,
-    AArch64_UQRSHRNvvi_4H	= 2591,
-    AArch64_UQRSHRNvvi_4S	= 2592,
-    AArch64_UQRSHRNvvi_8B	= 2593,
-    AArch64_UQRSHRNvvi_8H	= 2594,
-    AArch64_UQSHLbbb	= 2595,
-    AArch64_UQSHLbbi	= 2596,
-    AArch64_UQSHLddd	= 2597,
-    AArch64_UQSHLddi	= 2598,
-    AArch64_UQSHLhhh	= 2599,
-    AArch64_UQSHLhhi	= 2600,
-    AArch64_UQSHLssi	= 2601,
-    AArch64_UQSHLsss	= 2602,
-    AArch64_UQSHLvvi_16B	= 2603,
-    AArch64_UQSHLvvi_2D	= 2604,
-    AArch64_UQSHLvvi_2S	= 2605,
-    AArch64_UQSHLvvi_4H	= 2606,
-    AArch64_UQSHLvvi_4S	= 2607,
-    AArch64_UQSHLvvi_8B	= 2608,
-    AArch64_UQSHLvvi_8H	= 2609,
-    AArch64_UQSHLvvv_16B	= 2610,
-    AArch64_UQSHLvvv_2D	= 2611,
-    AArch64_UQSHLvvv_2S	= 2612,
-    AArch64_UQSHLvvv_4H	= 2613,
-    AArch64_UQSHLvvv_4S	= 2614,
-    AArch64_UQSHLvvv_8B	= 2615,
-    AArch64_UQSHLvvv_8H	= 2616,
-    AArch64_UQSHRNbhi	= 2617,
-    AArch64_UQSHRNhsi	= 2618,
-    AArch64_UQSHRNsdi	= 2619,
-    AArch64_UQSHRNvvi_16B	= 2620,
-    AArch64_UQSHRNvvi_2S	= 2621,
-    AArch64_UQSHRNvvi_4H	= 2622,
-    AArch64_UQSHRNvvi_4S	= 2623,
-    AArch64_UQSHRNvvi_8B	= 2624,
-    AArch64_UQSHRNvvi_8H	= 2625,
-    AArch64_UQSUBbbb	= 2626,
-    AArch64_UQSUBddd	= 2627,
-    AArch64_UQSUBhhh	= 2628,
-    AArch64_UQSUBsss	= 2629,
-    AArch64_UQSUBvvv_16B	= 2630,
-    AArch64_UQSUBvvv_2D	= 2631,
-    AArch64_UQSUBvvv_2S	= 2632,
-    AArch64_UQSUBvvv_4H	= 2633,
-    AArch64_UQSUBvvv_4S	= 2634,
-    AArch64_UQSUBvvv_8B	= 2635,
-    AArch64_UQSUBvvv_8H	= 2636,
-    AArch64_UQXTN2d2s	= 2637,
-    AArch64_UQXTN2d4s	= 2638,
-    AArch64_UQXTN4s4h	= 2639,
-    AArch64_UQXTN4s8h	= 2640,
-    AArch64_UQXTN8h16b	= 2641,
-    AArch64_UQXTN8h8b	= 2642,
-    AArch64_UQXTNbh	= 2643,
-    AArch64_UQXTNhs	= 2644,
-    AArch64_UQXTNsd	= 2645,
-    AArch64_URECPE2s	= 2646,
-    AArch64_URECPE4s	= 2647,
-    AArch64_URHADDvvv_16B	= 2648,
-    AArch64_URHADDvvv_2S	= 2649,
-    AArch64_URHADDvvv_4H	= 2650,
-    AArch64_URHADDvvv_4S	= 2651,
-    AArch64_URHADDvvv_8B	= 2652,
-    AArch64_URHADDvvv_8H	= 2653,
-    AArch64_URSHLddd	= 2654,
-    AArch64_URSHLvvv_16B	= 2655,
-    AArch64_URSHLvvv_2D	= 2656,
-    AArch64_URSHLvvv_2S	= 2657,
-    AArch64_URSHLvvv_4H	= 2658,
-    AArch64_URSHLvvv_4S	= 2659,
-    AArch64_URSHLvvv_8B	= 2660,
-    AArch64_URSHLvvv_8H	= 2661,
-    AArch64_URSHRddi	= 2662,
-    AArch64_URSHRvvi_16B	= 2663,
-    AArch64_URSHRvvi_2D	= 2664,
-    AArch64_URSHRvvi_2S	= 2665,
-    AArch64_URSHRvvi_4H	= 2666,
-    AArch64_URSHRvvi_4S	= 2667,
-    AArch64_URSHRvvi_8B	= 2668,
-    AArch64_URSHRvvi_8H	= 2669,
-    AArch64_URSQRTE2s	= 2670,
-    AArch64_URSQRTE4s	= 2671,
-    AArch64_URSRA	= 2672,
-    AArch64_URSRAvvi_16B	= 2673,
-    AArch64_URSRAvvi_2D	= 2674,
-    AArch64_URSRAvvi_2S	= 2675,
-    AArch64_URSRAvvi_4H	= 2676,
-    AArch64_URSRAvvi_4S	= 2677,
-    AArch64_URSRAvvi_8B	= 2678,
-    AArch64_URSRAvvi_8H	= 2679,
-    AArch64_USHLLvvi_16B	= 2680,
-    AArch64_USHLLvvi_2S	= 2681,
-    AArch64_USHLLvvi_4H	= 2682,
-    AArch64_USHLLvvi_4S	= 2683,
-    AArch64_USHLLvvi_8B	= 2684,
-    AArch64_USHLLvvi_8H	= 2685,
-    AArch64_USHLddd	= 2686,
-    AArch64_USHLvvv_16B	= 2687,
-    AArch64_USHLvvv_2D	= 2688,
-    AArch64_USHLvvv_2S	= 2689,
-    AArch64_USHLvvv_4H	= 2690,
-    AArch64_USHLvvv_4S	= 2691,
-    AArch64_USHLvvv_8B	= 2692,
-    AArch64_USHLvvv_8H	= 2693,
-    AArch64_USHRddi	= 2694,
-    AArch64_USHRvvi_16B	= 2695,
-    AArch64_USHRvvi_2D	= 2696,
-    AArch64_USHRvvi_2S	= 2697,
-    AArch64_USHRvvi_4H	= 2698,
-    AArch64_USHRvvi_4S	= 2699,
-    AArch64_USHRvvi_8B	= 2700,
-    AArch64_USHRvvi_8H	= 2701,
-    AArch64_USQADD16b	= 2702,
-    AArch64_USQADD2d	= 2703,
-    AArch64_USQADD2s	= 2704,
-    AArch64_USQADD4h	= 2705,
-    AArch64_USQADD4s	= 2706,
-    AArch64_USQADD8b	= 2707,
-    AArch64_USQADD8h	= 2708,
-    AArch64_USQADDbb	= 2709,
-    AArch64_USQADDdd	= 2710,
-    AArch64_USQADDhh	= 2711,
-    AArch64_USQADDss	= 2712,
-    AArch64_USRA	= 2713,
-    AArch64_USRAvvi_16B	= 2714,
-    AArch64_USRAvvi_2D	= 2715,
-    AArch64_USRAvvi_2S	= 2716,
-    AArch64_USRAvvi_4H	= 2717,
-    AArch64_USRAvvi_4S	= 2718,
-    AArch64_USRAvvi_8B	= 2719,
-    AArch64_USRAvvi_8H	= 2720,
-    AArch64_USUBL2vvv_2d4s	= 2721,
-    AArch64_USUBL2vvv_4s8h	= 2722,
-    AArch64_USUBL2vvv_8h16b	= 2723,
-    AArch64_USUBLvvv_2d2s	= 2724,
-    AArch64_USUBLvvv_4s4h	= 2725,
-    AArch64_USUBLvvv_8h8b	= 2726,
-    AArch64_USUBW2vvv_2d4s	= 2727,
-    AArch64_USUBW2vvv_4s8h	= 2728,
-    AArch64_USUBW2vvv_8h16b	= 2729,
-    AArch64_USUBWvvv_2d2s	= 2730,
-    AArch64_USUBWvvv_4s4h	= 2731,
-    AArch64_USUBWvvv_8h8b	= 2732,
-    AArch64_UXTBww	= 2733,
-    AArch64_UXTBxw	= 2734,
-    AArch64_UXTHww	= 2735,
-    AArch64_UXTHxw	= 2736,
-    AArch64_UZP1vvv_16b	= 2737,
-    AArch64_UZP1vvv_2d	= 2738,
-    AArch64_UZP1vvv_2s	= 2739,
-    AArch64_UZP1vvv_4h	= 2740,
-    AArch64_UZP1vvv_4s	= 2741,
-    AArch64_UZP1vvv_8b	= 2742,
-    AArch64_UZP1vvv_8h	= 2743,
-    AArch64_UZP2vvv_16b	= 2744,
-    AArch64_UZP2vvv_2d	= 2745,
-    AArch64_UZP2vvv_2s	= 2746,
-    AArch64_UZP2vvv_4h	= 2747,
-    AArch64_UZP2vvv_4s	= 2748,
-    AArch64_UZP2vvv_8b	= 2749,
-    AArch64_UZP2vvv_8h	= 2750,
-    AArch64_VCVTf2xs_2D	= 2751,
-    AArch64_VCVTf2xs_2S	= 2752,
-    AArch64_VCVTf2xs_4S	= 2753,
-    AArch64_VCVTf2xu_2D	= 2754,
-    AArch64_VCVTf2xu_2S	= 2755,
-    AArch64_VCVTf2xu_4S	= 2756,
-    AArch64_VCVTxs2f_2D	= 2757,
-    AArch64_VCVTxs2f_2S	= 2758,
-    AArch64_VCVTxs2f_4S	= 2759,
-    AArch64_VCVTxu2f_2D	= 2760,
-    AArch64_VCVTxu2f_2S	= 2761,
-    AArch64_VCVTxu2f_4S	= 2762,
-    AArch64_XTN2d2s	= 2763,
-    AArch64_XTN2d4s	= 2764,
-    AArch64_XTN4s4h	= 2765,
-    AArch64_XTN4s8h	= 2766,
-    AArch64_XTN8h16b	= 2767,
-    AArch64_XTN8h8b	= 2768,
-    AArch64_ZIP1vvv_16b	= 2769,
-    AArch64_ZIP1vvv_2d	= 2770,
-    AArch64_ZIP1vvv_2s	= 2771,
-    AArch64_ZIP1vvv_4h	= 2772,
-    AArch64_ZIP1vvv_4s	= 2773,
-    AArch64_ZIP1vvv_8b	= 2774,
-    AArch64_ZIP1vvv_8h	= 2775,
-    AArch64_ZIP2vvv_16b	= 2776,
-    AArch64_ZIP2vvv_2d	= 2777,
-    AArch64_ZIP2vvv_2s	= 2778,
-    AArch64_ZIP2vvv_4h	= 2779,
-    AArch64_ZIP2vvv_4s	= 2780,
-    AArch64_ZIP2vvv_8b	= 2781,
-    AArch64_ZIP2vvv_8h	= 2782,
-    AArch64_INSTRUCTION_LIST_END = 2783
+    AArch64_LOAD_STACK_GUARD	= 19,
+    AArch64_ABSv16i8	= 20,
+    AArch64_ABSv1i64	= 21,
+    AArch64_ABSv2i32	= 22,
+    AArch64_ABSv2i64	= 23,
+    AArch64_ABSv4i16	= 24,
+    AArch64_ABSv4i32	= 25,
+    AArch64_ABSv8i16	= 26,
+    AArch64_ABSv8i8	= 27,
+    AArch64_ADCSWr	= 28,
+    AArch64_ADCSXr	= 29,
+    AArch64_ADCWr	= 30,
+    AArch64_ADCXr	= 31,
+    AArch64_ADDHNv2i64_v2i32	= 32,
+    AArch64_ADDHNv2i64_v4i32	= 33,
+    AArch64_ADDHNv4i32_v4i16	= 34,
+    AArch64_ADDHNv4i32_v8i16	= 35,
+    AArch64_ADDHNv8i16_v16i8	= 36,
+    AArch64_ADDHNv8i16_v8i8	= 37,
+    AArch64_ADDPv16i8	= 38,
+    AArch64_ADDPv2i32	= 39,
+    AArch64_ADDPv2i64	= 40,
+    AArch64_ADDPv2i64p	= 41,
+    AArch64_ADDPv4i16	= 42,
+    AArch64_ADDPv4i32	= 43,
+    AArch64_ADDPv8i16	= 44,
+    AArch64_ADDPv8i8	= 45,
+    AArch64_ADDSWri	= 46,
+    AArch64_ADDSWrr	= 47,
+    AArch64_ADDSWrs	= 48,
+    AArch64_ADDSWrx	= 49,
+    AArch64_ADDSXri	= 50,
+    AArch64_ADDSXrr	= 51,
+    AArch64_ADDSXrs	= 52,
+    AArch64_ADDSXrx	= 53,
+    AArch64_ADDSXrx64	= 54,
+    AArch64_ADDVv16i8v	= 55,
+    AArch64_ADDVv4i16v	= 56,
+    AArch64_ADDVv4i32v	= 57,
+    AArch64_ADDVv8i16v	= 58,
+    AArch64_ADDVv8i8v	= 59,
+    AArch64_ADDWri	= 60,
+    AArch64_ADDWrr	= 61,
+    AArch64_ADDWrs	= 62,
+    AArch64_ADDWrx	= 63,
+    AArch64_ADDXri	= 64,
+    AArch64_ADDXrr	= 65,
+    AArch64_ADDXrs	= 66,
+    AArch64_ADDXrx	= 67,
+    AArch64_ADDXrx64	= 68,
+    AArch64_ADDv16i8	= 69,
+    AArch64_ADDv1i64	= 70,
+    AArch64_ADDv2i32	= 71,
+    AArch64_ADDv2i64	= 72,
+    AArch64_ADDv4i16	= 73,
+    AArch64_ADDv4i32	= 74,
+    AArch64_ADDv8i16	= 75,
+    AArch64_ADDv8i8	= 76,
+    AArch64_ADJCALLSTACKDOWN	= 77,
+    AArch64_ADJCALLSTACKUP	= 78,
+    AArch64_ADR	= 79,
+    AArch64_ADRP	= 80,
+    AArch64_AESDrr	= 81,
+    AArch64_AESErr	= 82,
+    AArch64_AESIMCrr	= 83,
+    AArch64_AESMCrr	= 84,
+    AArch64_ANDSWri	= 85,
+    AArch64_ANDSWrr	= 86,
+    AArch64_ANDSWrs	= 87,
+    AArch64_ANDSXri	= 88,
+    AArch64_ANDSXrr	= 89,
+    AArch64_ANDSXrs	= 90,
+    AArch64_ANDWri	= 91,
+    AArch64_ANDWrr	= 92,
+    AArch64_ANDWrs	= 93,
+    AArch64_ANDXri	= 94,
+    AArch64_ANDXrr	= 95,
+    AArch64_ANDXrs	= 96,
+    AArch64_ANDv16i8	= 97,
+    AArch64_ANDv8i8	= 98,
+    AArch64_ASRVWr	= 99,
+    AArch64_ASRVXr	= 100,
+    AArch64_B	= 101,
+    AArch64_BFMWri	= 102,
+    AArch64_BFMXri	= 103,
+    AArch64_BICSWrr	= 104,
+    AArch64_BICSWrs	= 105,
+    AArch64_BICSXrr	= 106,
+    AArch64_BICSXrs	= 107,
+    AArch64_BICWrr	= 108,
+    AArch64_BICWrs	= 109,
+    AArch64_BICXrr	= 110,
+    AArch64_BICXrs	= 111,
+    AArch64_BICv16i8	= 112,
+    AArch64_BICv2i32	= 113,
+    AArch64_BICv4i16	= 114,
+    AArch64_BICv4i32	= 115,
+    AArch64_BICv8i16	= 116,
+    AArch64_BICv8i8	= 117,
+    AArch64_BIFv16i8	= 118,
+    AArch64_BIFv8i8	= 119,
+    AArch64_BITv16i8	= 120,
+    AArch64_BITv8i8	= 121,
+    AArch64_BL	= 122,
+    AArch64_BLR	= 123,
+    AArch64_BR	= 124,
+    AArch64_BRK	= 125,
+    AArch64_BSLv16i8	= 126,
+    AArch64_BSLv8i8	= 127,
+    AArch64_Bcc	= 128,
+    AArch64_CBNZW	= 129,
+    AArch64_CBNZX	= 130,
+    AArch64_CBZW	= 131,
+    AArch64_CBZX	= 132,
+    AArch64_CCMNWi	= 133,
+    AArch64_CCMNWr	= 134,
+    AArch64_CCMNXi	= 135,
+    AArch64_CCMNXr	= 136,
+    AArch64_CCMPWi	= 137,
+    AArch64_CCMPWr	= 138,
+    AArch64_CCMPXi	= 139,
+    AArch64_CCMPXr	= 140,
+    AArch64_CLREX	= 141,
+    AArch64_CLSWr	= 142,
+    AArch64_CLSXr	= 143,
+    AArch64_CLSv16i8	= 144,
+    AArch64_CLSv2i32	= 145,
+    AArch64_CLSv4i16	= 146,
+    AArch64_CLSv4i32	= 147,
+    AArch64_CLSv8i16	= 148,
+    AArch64_CLSv8i8	= 149,
+    AArch64_CLZWr	= 150,
+    AArch64_CLZXr	= 151,
+    AArch64_CLZv16i8	= 152,
+    AArch64_CLZv2i32	= 153,
+    AArch64_CLZv4i16	= 154,
+    AArch64_CLZv4i32	= 155,
+    AArch64_CLZv8i16	= 156,
+    AArch64_CLZv8i8	= 157,
+    AArch64_CMEQv16i8	= 158,
+    AArch64_CMEQv16i8rz	= 159,
+    AArch64_CMEQv1i64	= 160,
+    AArch64_CMEQv1i64rz	= 161,
+    AArch64_CMEQv2i32	= 162,
+    AArch64_CMEQv2i32rz	= 163,
+    AArch64_CMEQv2i64	= 164,
+    AArch64_CMEQv2i64rz	= 165,
+    AArch64_CMEQv4i16	= 166,
+    AArch64_CMEQv4i16rz	= 167,
+    AArch64_CMEQv4i32	= 168,
+    AArch64_CMEQv4i32rz	= 169,
+    AArch64_CMEQv8i16	= 170,
+    AArch64_CMEQv8i16rz	= 171,
+    AArch64_CMEQv8i8	= 172,
+    AArch64_CMEQv8i8rz	= 173,
+    AArch64_CMGEv16i8	= 174,
+    AArch64_CMGEv16i8rz	= 175,
+    AArch64_CMGEv1i64	= 176,
+    AArch64_CMGEv1i64rz	= 177,
+    AArch64_CMGEv2i32	= 178,
+    AArch64_CMGEv2i32rz	= 179,
+    AArch64_CMGEv2i64	= 180,
+    AArch64_CMGEv2i64rz	= 181,
+    AArch64_CMGEv4i16	= 182,
+    AArch64_CMGEv4i16rz	= 183,
+    AArch64_CMGEv4i32	= 184,
+    AArch64_CMGEv4i32rz	= 185,
+    AArch64_CMGEv8i16	= 186,
+    AArch64_CMGEv8i16rz	= 187,
+    AArch64_CMGEv8i8	= 188,
+    AArch64_CMGEv8i8rz	= 189,
+    AArch64_CMGTv16i8	= 190,
+    AArch64_CMGTv16i8rz	= 191,
+    AArch64_CMGTv1i64	= 192,
+    AArch64_CMGTv1i64rz	= 193,
+    AArch64_CMGTv2i32	= 194,
+    AArch64_CMGTv2i32rz	= 195,
+    AArch64_CMGTv2i64	= 196,
+    AArch64_CMGTv2i64rz	= 197,
+    AArch64_CMGTv4i16	= 198,
+    AArch64_CMGTv4i16rz	= 199,
+    AArch64_CMGTv4i32	= 200,
+    AArch64_CMGTv4i32rz	= 201,
+    AArch64_CMGTv8i16	= 202,
+    AArch64_CMGTv8i16rz	= 203,
+    AArch64_CMGTv8i8	= 204,
+    AArch64_CMGTv8i8rz	= 205,
+    AArch64_CMHIv16i8	= 206,
+    AArch64_CMHIv1i64	= 207,
+    AArch64_CMHIv2i32	= 208,
+    AArch64_CMHIv2i64	= 209,
+    AArch64_CMHIv4i16	= 210,
+    AArch64_CMHIv4i32	= 211,
+    AArch64_CMHIv8i16	= 212,
+    AArch64_CMHIv8i8	= 213,
+    AArch64_CMHSv16i8	= 214,
+    AArch64_CMHSv1i64	= 215,
+    AArch64_CMHSv2i32	= 216,
+    AArch64_CMHSv2i64	= 217,
+    AArch64_CMHSv4i16	= 218,
+    AArch64_CMHSv4i32	= 219,
+    AArch64_CMHSv8i16	= 220,
+    AArch64_CMHSv8i8	= 221,
+    AArch64_CMLEv16i8rz	= 222,
+    AArch64_CMLEv1i64rz	= 223,
+    AArch64_CMLEv2i32rz	= 224,
+    AArch64_CMLEv2i64rz	= 225,
+    AArch64_CMLEv4i16rz	= 226,
+    AArch64_CMLEv4i32rz	= 227,
+    AArch64_CMLEv8i16rz	= 228,
+    AArch64_CMLEv8i8rz	= 229,
+    AArch64_CMLTv16i8rz	= 230,
+    AArch64_CMLTv1i64rz	= 231,
+    AArch64_CMLTv2i32rz	= 232,
+    AArch64_CMLTv2i64rz	= 233,
+    AArch64_CMLTv4i16rz	= 234,
+    AArch64_CMLTv4i32rz	= 235,
+    AArch64_CMLTv8i16rz	= 236,
+    AArch64_CMLTv8i8rz	= 237,
+    AArch64_CMTSTv16i8	= 238,
+    AArch64_CMTSTv1i64	= 239,
+    AArch64_CMTSTv2i32	= 240,
+    AArch64_CMTSTv2i64	= 241,
+    AArch64_CMTSTv4i16	= 242,
+    AArch64_CMTSTv4i32	= 243,
+    AArch64_CMTSTv8i16	= 244,
+    AArch64_CMTSTv8i8	= 245,
+    AArch64_CNTv16i8	= 246,
+    AArch64_CNTv8i8	= 247,
+    AArch64_CPYi16	= 248,
+    AArch64_CPYi32	= 249,
+    AArch64_CPYi64	= 250,
+    AArch64_CPYi8	= 251,
+    AArch64_CRC32Brr	= 252,
+    AArch64_CRC32CBrr	= 253,
+    AArch64_CRC32CHrr	= 254,
+    AArch64_CRC32CWrr	= 255,
+    AArch64_CRC32CXrr	= 256,
+    AArch64_CRC32Hrr	= 257,
+    AArch64_CRC32Wrr	= 258,
+    AArch64_CRC32Xrr	= 259,
+    AArch64_CSELWr	= 260,
+    AArch64_CSELXr	= 261,
+    AArch64_CSINCWr	= 262,
+    AArch64_CSINCXr	= 263,
+    AArch64_CSINVWr	= 264,
+    AArch64_CSINVXr	= 265,
+    AArch64_CSNEGWr	= 266,
+    AArch64_CSNEGXr	= 267,
+    AArch64_DCPS1	= 268,
+    AArch64_DCPS2	= 269,
+    AArch64_DCPS3	= 270,
+    AArch64_DMB	= 271,
+    AArch64_DRPS	= 272,
+    AArch64_DSB	= 273,
+    AArch64_DUPv16i8gpr	= 274,
+    AArch64_DUPv16i8lane	= 275,
+    AArch64_DUPv2i32gpr	= 276,
+    AArch64_DUPv2i32lane	= 277,
+    AArch64_DUPv2i64gpr	= 278,
+    AArch64_DUPv2i64lane	= 279,
+    AArch64_DUPv4i16gpr	= 280,
+    AArch64_DUPv4i16lane	= 281,
+    AArch64_DUPv4i32gpr	= 282,
+    AArch64_DUPv4i32lane	= 283,
+    AArch64_DUPv8i16gpr	= 284,
+    AArch64_DUPv8i16lane	= 285,
+    AArch64_DUPv8i8gpr	= 286,
+    AArch64_DUPv8i8lane	= 287,
+    AArch64_EONWrr	= 288,
+    AArch64_EONWrs	= 289,
+    AArch64_EONXrr	= 290,
+    AArch64_EONXrs	= 291,
+    AArch64_EORWri	= 292,
+    AArch64_EORWrr	= 293,
+    AArch64_EORWrs	= 294,
+    AArch64_EORXri	= 295,
+    AArch64_EORXrr	= 296,
+    AArch64_EORXrs	= 297,
+    AArch64_EORv16i8	= 298,
+    AArch64_EORv8i8	= 299,
+    AArch64_ERET	= 300,
+    AArch64_EXTRWrri	= 301,
+    AArch64_EXTRXrri	= 302,
+    AArch64_EXTv16i8	= 303,
+    AArch64_EXTv8i8	= 304,
+    AArch64_F128CSEL	= 305,
+    AArch64_FABD32	= 306,
+    AArch64_FABD64	= 307,
+    AArch64_FABDv2f32	= 308,
+    AArch64_FABDv2f64	= 309,
+    AArch64_FABDv4f32	= 310,
+    AArch64_FABSDr	= 311,
+    AArch64_FABSSr	= 312,
+    AArch64_FABSv2f32	= 313,
+    AArch64_FABSv2f64	= 314,
+    AArch64_FABSv4f32	= 315,
+    AArch64_FACGE32	= 316,
+    AArch64_FACGE64	= 317,
+    AArch64_FACGEv2f32	= 318,
+    AArch64_FACGEv2f64	= 319,
+    AArch64_FACGEv4f32	= 320,
+    AArch64_FACGT32	= 321,
+    AArch64_FACGT64	= 322,
+    AArch64_FACGTv2f32	= 323,
+    AArch64_FACGTv2f64	= 324,
+    AArch64_FACGTv4f32	= 325,
+    AArch64_FADDDrr	= 326,
+    AArch64_FADDPv2f32	= 327,
+    AArch64_FADDPv2f64	= 328,
+    AArch64_FADDPv2i32p	= 329,
+    AArch64_FADDPv2i64p	= 330,
+    AArch64_FADDPv4f32	= 331,
+    AArch64_FADDSrr	= 332,
+    AArch64_FADDv2f32	= 333,
+    AArch64_FADDv2f64	= 334,
+    AArch64_FADDv4f32	= 335,
+    AArch64_FCCMPDrr	= 336,
+    AArch64_FCCMPEDrr	= 337,
+    AArch64_FCCMPESrr	= 338,
+    AArch64_FCCMPSrr	= 339,
+    AArch64_FCMEQ32	= 340,
+    AArch64_FCMEQ64	= 341,
+    AArch64_FCMEQv1i32rz	= 342,
+    AArch64_FCMEQv1i64rz	= 343,
+    AArch64_FCMEQv2f32	= 344,
+    AArch64_FCMEQv2f64	= 345,
+    AArch64_FCMEQv2i32rz	= 346,
+    AArch64_FCMEQv2i64rz	= 347,
+    AArch64_FCMEQv4f32	= 348,
+    AArch64_FCMEQv4i32rz	= 349,
+    AArch64_FCMGE32	= 350,
+    AArch64_FCMGE64	= 351,
+    AArch64_FCMGEv1i32rz	= 352,
+    AArch64_FCMGEv1i64rz	= 353,
+    AArch64_FCMGEv2f32	= 354,
+    AArch64_FCMGEv2f64	= 355,
+    AArch64_FCMGEv2i32rz	= 356,
+    AArch64_FCMGEv2i64rz	= 357,
+    AArch64_FCMGEv4f32	= 358,
+    AArch64_FCMGEv4i32rz	= 359,
+    AArch64_FCMGT32	= 360,
+    AArch64_FCMGT64	= 361,
+    AArch64_FCMGTv1i32rz	= 362,
+    AArch64_FCMGTv1i64rz	= 363,
+    AArch64_FCMGTv2f32	= 364,
+    AArch64_FCMGTv2f64	= 365,
+    AArch64_FCMGTv2i32rz	= 366,
+    AArch64_FCMGTv2i64rz	= 367,
+    AArch64_FCMGTv4f32	= 368,
+    AArch64_FCMGTv4i32rz	= 369,
+    AArch64_FCMLEv1i32rz	= 370,
+    AArch64_FCMLEv1i64rz	= 371,
+    AArch64_FCMLEv2i32rz	= 372,
+    AArch64_FCMLEv2i64rz	= 373,
+    AArch64_FCMLEv4i32rz	= 374,
+    AArch64_FCMLTv1i32rz	= 375,
+    AArch64_FCMLTv1i64rz	= 376,
+    AArch64_FCMLTv2i32rz	= 377,
+    AArch64_FCMLTv2i64rz	= 378,
+    AArch64_FCMLTv4i32rz	= 379,
+    AArch64_FCMPDri	= 380,
+    AArch64_FCMPDrr	= 381,
+    AArch64_FCMPEDri	= 382,
+    AArch64_FCMPEDrr	= 383,
+    AArch64_FCMPESri	= 384,
+    AArch64_FCMPESrr	= 385,
+    AArch64_FCMPSri	= 386,
+    AArch64_FCMPSrr	= 387,
+    AArch64_FCSELDrrr	= 388,
+    AArch64_FCSELSrrr	= 389,
+    AArch64_FCVTASUWDr	= 390,
+    AArch64_FCVTASUWSr	= 391,
+    AArch64_FCVTASUXDr	= 392,
+    AArch64_FCVTASUXSr	= 393,
+    AArch64_FCVTASv1i32	= 394,
+    AArch64_FCVTASv1i64	= 395,
+    AArch64_FCVTASv2f32	= 396,
+    AArch64_FCVTASv2f64	= 397,
+    AArch64_FCVTASv4f32	= 398,
+    AArch64_FCVTAUUWDr	= 399,
+    AArch64_FCVTAUUWSr	= 400,
+    AArch64_FCVTAUUXDr	= 401,
+    AArch64_FCVTAUUXSr	= 402,
+    AArch64_FCVTAUv1i32	= 403,
+    AArch64_FCVTAUv1i64	= 404,
+    AArch64_FCVTAUv2f32	= 405,
+    AArch64_FCVTAUv2f64	= 406,
+    AArch64_FCVTAUv4f32	= 407,
+    AArch64_FCVTDHr	= 408,
+    AArch64_FCVTDSr	= 409,
+    AArch64_FCVTHDr	= 410,
+    AArch64_FCVTHSr	= 411,
+    AArch64_FCVTLv2i32	= 412,
+    AArch64_FCVTLv4i16	= 413,
+    AArch64_FCVTLv4i32	= 414,
+    AArch64_FCVTLv8i16	= 415,
+    AArch64_FCVTMSUWDr	= 416,
+    AArch64_FCVTMSUWSr	= 417,
+    AArch64_FCVTMSUXDr	= 418,
+    AArch64_FCVTMSUXSr	= 419,
+    AArch64_FCVTMSv1i32	= 420,
+    AArch64_FCVTMSv1i64	= 421,
+    AArch64_FCVTMSv2f32	= 422,
+    AArch64_FCVTMSv2f64	= 423,
+    AArch64_FCVTMSv4f32	= 424,
+    AArch64_FCVTMUUWDr	= 425,
+    AArch64_FCVTMUUWSr	= 426,
+    AArch64_FCVTMUUXDr	= 427,
+    AArch64_FCVTMUUXSr	= 428,
+    AArch64_FCVTMUv1i32	= 429,
+    AArch64_FCVTMUv1i64	= 430,
+    AArch64_FCVTMUv2f32	= 431,
+    AArch64_FCVTMUv2f64	= 432,
+    AArch64_FCVTMUv4f32	= 433,
+    AArch64_FCVTNSUWDr	= 434,
+    AArch64_FCVTNSUWSr	= 435,
+    AArch64_FCVTNSUXDr	= 436,
+    AArch64_FCVTNSUXSr	= 437,
+    AArch64_FCVTNSv1i32	= 438,
+    AArch64_FCVTNSv1i64	= 439,
+    AArch64_FCVTNSv2f32	= 440,
+    AArch64_FCVTNSv2f64	= 441,
+    AArch64_FCVTNSv4f32	= 442,
+    AArch64_FCVTNUUWDr	= 443,
+    AArch64_FCVTNUUWSr	= 444,
+    AArch64_FCVTNUUXDr	= 445,
+    AArch64_FCVTNUUXSr	= 446,
+    AArch64_FCVTNUv1i32	= 447,
+    AArch64_FCVTNUv1i64	= 448,
+    AArch64_FCVTNUv2f32	= 449,
+    AArch64_FCVTNUv2f64	= 450,
+    AArch64_FCVTNUv4f32	= 451,
+    AArch64_FCVTNv2i32	= 452,
+    AArch64_FCVTNv4i16	= 453,
+    AArch64_FCVTNv4i32	= 454,
+    AArch64_FCVTNv8i16	= 455,
+    AArch64_FCVTPSUWDr	= 456,
+    AArch64_FCVTPSUWSr	= 457,
+    AArch64_FCVTPSUXDr	= 458,
+    AArch64_FCVTPSUXSr	= 459,
+    AArch64_FCVTPSv1i32	= 460,
+    AArch64_FCVTPSv1i64	= 461,
+    AArch64_FCVTPSv2f32	= 462,
+    AArch64_FCVTPSv2f64	= 463,
+    AArch64_FCVTPSv4f32	= 464,
+    AArch64_FCVTPUUWDr	= 465,
+    AArch64_FCVTPUUWSr	= 466,
+    AArch64_FCVTPUUXDr	= 467,
+    AArch64_FCVTPUUXSr	= 468,
+    AArch64_FCVTPUv1i32	= 469,
+    AArch64_FCVTPUv1i64	= 470,
+    AArch64_FCVTPUv2f32	= 471,
+    AArch64_FCVTPUv2f64	= 472,
+    AArch64_FCVTPUv4f32	= 473,
+    AArch64_FCVTSDr	= 474,
+    AArch64_FCVTSHr	= 475,
+    AArch64_FCVTXNv1i64	= 476,
+    AArch64_FCVTXNv2f32	= 477,
+    AArch64_FCVTXNv4f32	= 478,
+    AArch64_FCVTZSSWDri	= 479,
+    AArch64_FCVTZSSWSri	= 480,
+    AArch64_FCVTZSSXDri	= 481,
+    AArch64_FCVTZSSXSri	= 482,
+    AArch64_FCVTZSUWDr	= 483,
+    AArch64_FCVTZSUWSr	= 484,
+    AArch64_FCVTZSUXDr	= 485,
+    AArch64_FCVTZSUXSr	= 486,
+    AArch64_FCVTZS_IntSWDri	= 487,
+    AArch64_FCVTZS_IntSWSri	= 488,
+    AArch64_FCVTZS_IntSXDri	= 489,
+    AArch64_FCVTZS_IntSXSri	= 490,
+    AArch64_FCVTZS_IntUWDr	= 491,
+    AArch64_FCVTZS_IntUWSr	= 492,
+    AArch64_FCVTZS_IntUXDr	= 493,
+    AArch64_FCVTZS_IntUXSr	= 494,
+    AArch64_FCVTZS_Intv2f32	= 495,
+    AArch64_FCVTZS_Intv2f64	= 496,
+    AArch64_FCVTZS_Intv4f32	= 497,
+    AArch64_FCVTZSd	= 498,
+    AArch64_FCVTZSs	= 499,
+    AArch64_FCVTZSv1i32	= 500,
+    AArch64_FCVTZSv1i64	= 501,
+    AArch64_FCVTZSv2f32	= 502,
+    AArch64_FCVTZSv2f64	= 503,
+    AArch64_FCVTZSv2i32_shift	= 504,
+    AArch64_FCVTZSv2i64_shift	= 505,
+    AArch64_FCVTZSv4f32	= 506,
+    AArch64_FCVTZSv4i32_shift	= 507,
+    AArch64_FCVTZUSWDri	= 508,
+    AArch64_FCVTZUSWSri	= 509,
+    AArch64_FCVTZUSXDri	= 510,
+    AArch64_FCVTZUSXSri	= 511,
+    AArch64_FCVTZUUWDr	= 512,
+    AArch64_FCVTZUUWSr	= 513,
+    AArch64_FCVTZUUXDr	= 514,
+    AArch64_FCVTZUUXSr	= 515,
+    AArch64_FCVTZU_IntSWDri	= 516,
+    AArch64_FCVTZU_IntSWSri	= 517,
+    AArch64_FCVTZU_IntSXDri	= 518,
+    AArch64_FCVTZU_IntSXSri	= 519,
+    AArch64_FCVTZU_IntUWDr	= 520,
+    AArch64_FCVTZU_IntUWSr	= 521,
+    AArch64_FCVTZU_IntUXDr	= 522,
+    AArch64_FCVTZU_IntUXSr	= 523,
+    AArch64_FCVTZU_Intv2f32	= 524,
+    AArch64_FCVTZU_Intv2f64	= 525,
+    AArch64_FCVTZU_Intv4f32	= 526,
+    AArch64_FCVTZUd	= 527,
+    AArch64_FCVTZUs	= 528,
+    AArch64_FCVTZUv1i32	= 529,
+    AArch64_FCVTZUv1i64	= 530,
+    AArch64_FCVTZUv2f32	= 531,
+    AArch64_FCVTZUv2f64	= 532,
+    AArch64_FCVTZUv2i32_shift	= 533,
+    AArch64_FCVTZUv2i64_shift	= 534,
+    AArch64_FCVTZUv4f32	= 535,
+    AArch64_FCVTZUv4i32_shift	= 536,
+    AArch64_FDIVDrr	= 537,
+    AArch64_FDIVSrr	= 538,
+    AArch64_FDIVv2f32	= 539,
+    AArch64_FDIVv2f64	= 540,
+    AArch64_FDIVv4f32	= 541,
+    AArch64_FMADDDrrr	= 542,
+    AArch64_FMADDSrrr	= 543,
+    AArch64_FMAXDrr	= 544,
+    AArch64_FMAXNMDrr	= 545,
+    AArch64_FMAXNMPv2f32	= 546,
+    AArch64_FMAXNMPv2f64	= 547,
+    AArch64_FMAXNMPv2i32p	= 548,
+    AArch64_FMAXNMPv2i64p	= 549,
+    AArch64_FMAXNMPv4f32	= 550,
+    AArch64_FMAXNMSrr	= 551,
+    AArch64_FMAXNMVv4i32v	= 552,
+    AArch64_FMAXNMv2f32	= 553,
+    AArch64_FMAXNMv2f64	= 554,
+    AArch64_FMAXNMv4f32	= 555,
+    AArch64_FMAXPv2f32	= 556,
+    AArch64_FMAXPv2f64	= 557,
+    AArch64_FMAXPv2i32p	= 558,
+    AArch64_FMAXPv2i64p	= 559,
+    AArch64_FMAXPv4f32	= 560,
+    AArch64_FMAXSrr	= 561,
+    AArch64_FMAXVv4i32v	= 562,
+    AArch64_FMAXv2f32	= 563,
+    AArch64_FMAXv2f64	= 564,
+    AArch64_FMAXv4f32	= 565,
+    AArch64_FMINDrr	= 566,
+    AArch64_FMINNMDrr	= 567,
+    AArch64_FMINNMPv2f32	= 568,
+    AArch64_FMINNMPv2f64	= 569,
+    AArch64_FMINNMPv2i32p	= 570,
+    AArch64_FMINNMPv2i64p	= 571,
+    AArch64_FMINNMPv4f32	= 572,
+    AArch64_FMINNMSrr	= 573,
+    AArch64_FMINNMVv4i32v	= 574,
+    AArch64_FMINNMv2f32	= 575,
+    AArch64_FMINNMv2f64	= 576,
+    AArch64_FMINNMv4f32	= 577,
+    AArch64_FMINPv2f32	= 578,
+    AArch64_FMINPv2f64	= 579,
+    AArch64_FMINPv2i32p	= 580,
+    AArch64_FMINPv2i64p	= 581,
+    AArch64_FMINPv4f32	= 582,
+    AArch64_FMINSrr	= 583,
+    AArch64_FMINVv4i32v	= 584,
+    AArch64_FMINv2f32	= 585,
+    AArch64_FMINv2f64	= 586,
+    AArch64_FMINv4f32	= 587,
+    AArch64_FMLAv1i32_indexed	= 588,
+    AArch64_FMLAv1i64_indexed	= 589,
+    AArch64_FMLAv2f32	= 590,
+    AArch64_FMLAv2f64	= 591,
+    AArch64_FMLAv2i32_indexed	= 592,
+    AArch64_FMLAv2i64_indexed	= 593,
+    AArch64_FMLAv4f32	= 594,
+    AArch64_FMLAv4i32_indexed	= 595,
+    AArch64_FMLSv1i32_indexed	= 596,
+    AArch64_FMLSv1i64_indexed	= 597,
+    AArch64_FMLSv2f32	= 598,
+    AArch64_FMLSv2f64	= 599,
+    AArch64_FMLSv2i32_indexed	= 600,
+    AArch64_FMLSv2i64_indexed	= 601,
+    AArch64_FMLSv4f32	= 602,
+    AArch64_FMLSv4i32_indexed	= 603,
+    AArch64_FMOVDXHighr	= 604,
+    AArch64_FMOVDXr	= 605,
+    AArch64_FMOVDi	= 606,
+    AArch64_FMOVDr	= 607,
+    AArch64_FMOVSWr	= 608,
+    AArch64_FMOVSi	= 609,
+    AArch64_FMOVSr	= 610,
+    AArch64_FMOVWSr	= 611,
+    AArch64_FMOVXDHighr	= 612,
+    AArch64_FMOVXDr	= 613,
+    AArch64_FMOVv2f32_ns	= 614,
+    AArch64_FMOVv2f64_ns	= 615,
+    AArch64_FMOVv4f32_ns	= 616,
+    AArch64_FMSUBDrrr	= 617,
+    AArch64_FMSUBSrrr	= 618,
+    AArch64_FMULDrr	= 619,
+    AArch64_FMULSrr	= 620,
+    AArch64_FMULX32	= 621,
+    AArch64_FMULX64	= 622,
+    AArch64_FMULXv1i32_indexed	= 623,
+    AArch64_FMULXv1i64_indexed	= 624,
+    AArch64_FMULXv2f32	= 625,
+    AArch64_FMULXv2f64	= 626,
+    AArch64_FMULXv2i32_indexed	= 627,
+    AArch64_FMULXv2i64_indexed	= 628,
+    AArch64_FMULXv4f32	= 629,
+    AArch64_FMULXv4i32_indexed	= 630,
+    AArch64_FMULv1i32_indexed	= 631,
+    AArch64_FMULv1i64_indexed	= 632,
+    AArch64_FMULv2f32	= 633,
+    AArch64_FMULv2f64	= 634,
+    AArch64_FMULv2i32_indexed	= 635,
+    AArch64_FMULv2i64_indexed	= 636,
+    AArch64_FMULv4f32	= 637,
+    AArch64_FMULv4i32_indexed	= 638,
+    AArch64_FNEGDr	= 639,
+    AArch64_FNEGSr	= 640,
+    AArch64_FNEGv2f32	= 641,
+    AArch64_FNEGv2f64	= 642,
+    AArch64_FNEGv4f32	= 643,
+    AArch64_FNMADDDrrr	= 644,
+    AArch64_FNMADDSrrr	= 645,
+    AArch64_FNMSUBDrrr	= 646,
+    AArch64_FNMSUBSrrr	= 647,
+    AArch64_FNMULDrr	= 648,
+    AArch64_FNMULSrr	= 649,
+    AArch64_FRECPEv1i32	= 650,
+    AArch64_FRECPEv1i64	= 651,
+    AArch64_FRECPEv2f32	= 652,
+    AArch64_FRECPEv2f64	= 653,
+    AArch64_FRECPEv4f32	= 654,
+    AArch64_FRECPS32	= 655,
+    AArch64_FRECPS64	= 656,
+    AArch64_FRECPSv2f32	= 657,
+    AArch64_FRECPSv2f64	= 658,
+    AArch64_FRECPSv4f32	= 659,
+    AArch64_FRECPXv1i32	= 660,
+    AArch64_FRECPXv1i64	= 661,
+    AArch64_FRINTADr	= 662,
+    AArch64_FRINTASr	= 663,
+    AArch64_FRINTAv2f32	= 664,
+    AArch64_FRINTAv2f64	= 665,
+    AArch64_FRINTAv4f32	= 666,
+    AArch64_FRINTIDr	= 667,
+    AArch64_FRINTISr	= 668,
+    AArch64_FRINTIv2f32	= 669,
+    AArch64_FRINTIv2f64	= 670,
+    AArch64_FRINTIv4f32	= 671,
+    AArch64_FRINTMDr	= 672,
+    AArch64_FRINTMSr	= 673,
+    AArch64_FRINTMv2f32	= 674,
+    AArch64_FRINTMv2f64	= 675,
+    AArch64_FRINTMv4f32	= 676,
+    AArch64_FRINTNDr	= 677,
+    AArch64_FRINTNSr	= 678,
+    AArch64_FRINTNv2f32	= 679,
+    AArch64_FRINTNv2f64	= 680,
+    AArch64_FRINTNv4f32	= 681,
+    AArch64_FRINTPDr	= 682,
+    AArch64_FRINTPSr	= 683,
+    AArch64_FRINTPv2f32	= 684,
+    AArch64_FRINTPv2f64	= 685,
+    AArch64_FRINTPv4f32	= 686,
+    AArch64_FRINTXDr	= 687,
+    AArch64_FRINTXSr	= 688,
+    AArch64_FRINTXv2f32	= 689,
+    AArch64_FRINTXv2f64	= 690,
+    AArch64_FRINTXv4f32	= 691,
+    AArch64_FRINTZDr	= 692,
+    AArch64_FRINTZSr	= 693,
+    AArch64_FRINTZv2f32	= 694,
+    AArch64_FRINTZv2f64	= 695,
+    AArch64_FRINTZv4f32	= 696,
+    AArch64_FRSQRTEv1i32	= 697,
+    AArch64_FRSQRTEv1i64	= 698,
+    AArch64_FRSQRTEv2f32	= 699,
+    AArch64_FRSQRTEv2f64	= 700,
+    AArch64_FRSQRTEv4f32	= 701,
+    AArch64_FRSQRTS32	= 702,
+    AArch64_FRSQRTS64	= 703,
+    AArch64_FRSQRTSv2f32	= 704,
+    AArch64_FRSQRTSv2f64	= 705,
+    AArch64_FRSQRTSv4f32	= 706,
+    AArch64_FSQRTDr	= 707,
+    AArch64_FSQRTSr	= 708,
+    AArch64_FSQRTv2f32	= 709,
+    AArch64_FSQRTv2f64	= 710,
+    AArch64_FSQRTv4f32	= 711,
+    AArch64_FSUBDrr	= 712,
+    AArch64_FSUBSrr	= 713,
+    AArch64_FSUBv2f32	= 714,
+    AArch64_FSUBv2f64	= 715,
+    AArch64_FSUBv4f32	= 716,
+    AArch64_HINT	= 717,
+    AArch64_HLT	= 718,
+    AArch64_HVC	= 719,
+    AArch64_INSvi16gpr	= 720,
+    AArch64_INSvi16lane	= 721,
+    AArch64_INSvi32gpr	= 722,
+    AArch64_INSvi32lane	= 723,
+    AArch64_INSvi64gpr	= 724,
+    AArch64_INSvi64lane	= 725,
+    AArch64_INSvi8gpr	= 726,
+    AArch64_INSvi8lane	= 727,
+    AArch64_ISB	= 728,
+    AArch64_LD1Fourv16b	= 729,
+    AArch64_LD1Fourv16b_POST	= 730,
+    AArch64_LD1Fourv1d	= 731,
+    AArch64_LD1Fourv1d_POST	= 732,
+    AArch64_LD1Fourv2d	= 733,
+    AArch64_LD1Fourv2d_POST	= 734,
+    AArch64_LD1Fourv2s	= 735,
+    AArch64_LD1Fourv2s_POST	= 736,
+    AArch64_LD1Fourv4h	= 737,
+    AArch64_LD1Fourv4h_POST	= 738,
+    AArch64_LD1Fourv4s	= 739,
+    AArch64_LD1Fourv4s_POST	= 740,
+    AArch64_LD1Fourv8b	= 741,
+    AArch64_LD1Fourv8b_POST	= 742,
+    AArch64_LD1Fourv8h	= 743,
+    AArch64_LD1Fourv8h_POST	= 744,
+    AArch64_LD1Onev16b	= 745,
+    AArch64_LD1Onev16b_POST	= 746,
+    AArch64_LD1Onev1d	= 747,
+    AArch64_LD1Onev1d_POST	= 748,
+    AArch64_LD1Onev2d	= 749,
+    AArch64_LD1Onev2d_POST	= 750,
+    AArch64_LD1Onev2s	= 751,
+    AArch64_LD1Onev2s_POST	= 752,
+    AArch64_LD1Onev4h	= 753,
+    AArch64_LD1Onev4h_POST	= 754,
+    AArch64_LD1Onev4s	= 755,
+    AArch64_LD1Onev4s_POST	= 756,
+    AArch64_LD1Onev8b	= 757,
+    AArch64_LD1Onev8b_POST	= 758,
+    AArch64_LD1Onev8h	= 759,
+    AArch64_LD1Onev8h_POST	= 760,
+    AArch64_LD1Rv16b	= 761,
+    AArch64_LD1Rv16b_POST	= 762,
+    AArch64_LD1Rv1d	= 763,
+    AArch64_LD1Rv1d_POST	= 764,
+    AArch64_LD1Rv2d	= 765,
+    AArch64_LD1Rv2d_POST	= 766,
+    AArch64_LD1Rv2s	= 767,
+    AArch64_LD1Rv2s_POST	= 768,
+    AArch64_LD1Rv4h	= 769,
+    AArch64_LD1Rv4h_POST	= 770,
+    AArch64_LD1Rv4s	= 771,
+    AArch64_LD1Rv4s_POST	= 772,
+    AArch64_LD1Rv8b	= 773,
+    AArch64_LD1Rv8b_POST	= 774,
+    AArch64_LD1Rv8h	= 775,
+    AArch64_LD1Rv8h_POST	= 776,
+    AArch64_LD1Threev16b	= 777,
+    AArch64_LD1Threev16b_POST	= 778,
+    AArch64_LD1Threev1d	= 779,
+    AArch64_LD1Threev1d_POST	= 780,
+    AArch64_LD1Threev2d	= 781,
+    AArch64_LD1Threev2d_POST	= 782,
+    AArch64_LD1Threev2s	= 783,
+    AArch64_LD1Threev2s_POST	= 784,
+    AArch64_LD1Threev4h	= 785,
+    AArch64_LD1Threev4h_POST	= 786,
+    AArch64_LD1Threev4s	= 787,
+    AArch64_LD1Threev4s_POST	= 788,
+    AArch64_LD1Threev8b	= 789,
+    AArch64_LD1Threev8b_POST	= 790,
+    AArch64_LD1Threev8h	= 791,
+    AArch64_LD1Threev8h_POST	= 792,
+    AArch64_LD1Twov16b	= 793,
+    AArch64_LD1Twov16b_POST	= 794,
+    AArch64_LD1Twov1d	= 795,
+    AArch64_LD1Twov1d_POST	= 796,
+    AArch64_LD1Twov2d	= 797,
+    AArch64_LD1Twov2d_POST	= 798,
+    AArch64_LD1Twov2s	= 799,
+    AArch64_LD1Twov2s_POST	= 800,
+    AArch64_LD1Twov4h	= 801,
+    AArch64_LD1Twov4h_POST	= 802,
+    AArch64_LD1Twov4s	= 803,
+    AArch64_LD1Twov4s_POST	= 804,
+    AArch64_LD1Twov8b	= 805,
+    AArch64_LD1Twov8b_POST	= 806,
+    AArch64_LD1Twov8h	= 807,
+    AArch64_LD1Twov8h_POST	= 808,
+    AArch64_LD1i16	= 809,
+    AArch64_LD1i16_POST	= 810,
+    AArch64_LD1i32	= 811,
+    AArch64_LD1i32_POST	= 812,
+    AArch64_LD1i64	= 813,
+    AArch64_LD1i64_POST	= 814,
+    AArch64_LD1i8	= 815,
+    AArch64_LD1i8_POST	= 816,
+    AArch64_LD2Rv16b	= 817,
+    AArch64_LD2Rv16b_POST	= 818,
+    AArch64_LD2Rv1d	= 819,
+    AArch64_LD2Rv1d_POST	= 820,
+    AArch64_LD2Rv2d	= 821,
+    AArch64_LD2Rv2d_POST	= 822,
+    AArch64_LD2Rv2s	= 823,
+    AArch64_LD2Rv2s_POST	= 824,
+    AArch64_LD2Rv4h	= 825,
+    AArch64_LD2Rv4h_POST	= 826,
+    AArch64_LD2Rv4s	= 827,
+    AArch64_LD2Rv4s_POST	= 828,
+    AArch64_LD2Rv8b	= 829,
+    AArch64_LD2Rv8b_POST	= 830,
+    AArch64_LD2Rv8h	= 831,
+    AArch64_LD2Rv8h_POST	= 832,
+    AArch64_LD2Twov16b	= 833,
+    AArch64_LD2Twov16b_POST	= 834,
+    AArch64_LD2Twov2d	= 835,
+    AArch64_LD2Twov2d_POST	= 836,
+    AArch64_LD2Twov2s	= 837,
+    AArch64_LD2Twov2s_POST	= 838,
+    AArch64_LD2Twov4h	= 839,
+    AArch64_LD2Twov4h_POST	= 840,
+    AArch64_LD2Twov4s	= 841,
+    AArch64_LD2Twov4s_POST	= 842,
+    AArch64_LD2Twov8b	= 843,
+    AArch64_LD2Twov8b_POST	= 844,
+    AArch64_LD2Twov8h	= 845,
+    AArch64_LD2Twov8h_POST	= 846,
+    AArch64_LD2i16	= 847,
+    AArch64_LD2i16_POST	= 848,
+    AArch64_LD2i32	= 849,
+    AArch64_LD2i32_POST	= 850,
+    AArch64_LD2i64	= 851,
+    AArch64_LD2i64_POST	= 852,
+    AArch64_LD2i8	= 853,
+    AArch64_LD2i8_POST	= 854,
+    AArch64_LD3Rv16b	= 855,
+    AArch64_LD3Rv16b_POST	= 856,
+    AArch64_LD3Rv1d	= 857,
+    AArch64_LD3Rv1d_POST	= 858,
+    AArch64_LD3Rv2d	= 859,
+    AArch64_LD3Rv2d_POST	= 860,
+    AArch64_LD3Rv2s	= 861,
+    AArch64_LD3Rv2s_POST	= 862,
+    AArch64_LD3Rv4h	= 863,
+    AArch64_LD3Rv4h_POST	= 864,
+    AArch64_LD3Rv4s	= 865,
+    AArch64_LD3Rv4s_POST	= 866,
+    AArch64_LD3Rv8b	= 867,
+    AArch64_LD3Rv8b_POST	= 868,
+    AArch64_LD3Rv8h	= 869,
+    AArch64_LD3Rv8h_POST	= 870,
+    AArch64_LD3Threev16b	= 871,
+    AArch64_LD3Threev16b_POST	= 872,
+    AArch64_LD3Threev2d	= 873,
+    AArch64_LD3Threev2d_POST	= 874,
+    AArch64_LD3Threev2s	= 875,
+    AArch64_LD3Threev2s_POST	= 876,
+    AArch64_LD3Threev4h	= 877,
+    AArch64_LD3Threev4h_POST	= 878,
+    AArch64_LD3Threev4s	= 879,
+    AArch64_LD3Threev4s_POST	= 880,
+    AArch64_LD3Threev8b	= 881,
+    AArch64_LD3Threev8b_POST	= 882,
+    AArch64_LD3Threev8h	= 883,
+    AArch64_LD3Threev8h_POST	= 884,
+    AArch64_LD3i16	= 885,
+    AArch64_LD3i16_POST	= 886,
+    AArch64_LD3i32	= 887,
+    AArch64_LD3i32_POST	= 888,
+    AArch64_LD3i64	= 889,
+    AArch64_LD3i64_POST	= 890,
+    AArch64_LD3i8	= 891,
+    AArch64_LD3i8_POST	= 892,
+    AArch64_LD4Fourv16b	= 893,
+    AArch64_LD4Fourv16b_POST	= 894,
+    AArch64_LD4Fourv2d	= 895,
+    AArch64_LD4Fourv2d_POST	= 896,
+    AArch64_LD4Fourv2s	= 897,
+    AArch64_LD4Fourv2s_POST	= 898,
+    AArch64_LD4Fourv4h	= 899,
+    AArch64_LD4Fourv4h_POST	= 900,
+    AArch64_LD4Fourv4s	= 901,
+    AArch64_LD4Fourv4s_POST	= 902,
+    AArch64_LD4Fourv8b	= 903,
+    AArch64_LD4Fourv8b_POST	= 904,
+    AArch64_LD4Fourv8h	= 905,
+    AArch64_LD4Fourv8h_POST	= 906,
+    AArch64_LD4Rv16b	= 907,
+    AArch64_LD4Rv16b_POST	= 908,
+    AArch64_LD4Rv1d	= 909,
+    AArch64_LD4Rv1d_POST	= 910,
+    AArch64_LD4Rv2d	= 911,
+    AArch64_LD4Rv2d_POST	= 912,
+    AArch64_LD4Rv2s	= 913,
+    AArch64_LD4Rv2s_POST	= 914,
+    AArch64_LD4Rv4h	= 915,
+    AArch64_LD4Rv4h_POST	= 916,
+    AArch64_LD4Rv4s	= 917,
+    AArch64_LD4Rv4s_POST	= 918,
+    AArch64_LD4Rv8b	= 919,
+    AArch64_LD4Rv8b_POST	= 920,
+    AArch64_LD4Rv8h	= 921,
+    AArch64_LD4Rv8h_POST	= 922,
+    AArch64_LD4i16	= 923,
+    AArch64_LD4i16_POST	= 924,
+    AArch64_LD4i32	= 925,
+    AArch64_LD4i32_POST	= 926,
+    AArch64_LD4i64	= 927,
+    AArch64_LD4i64_POST	= 928,
+    AArch64_LD4i8	= 929,
+    AArch64_LD4i8_POST	= 930,
+    AArch64_LDARB	= 931,
+    AArch64_LDARH	= 932,
+    AArch64_LDARW	= 933,
+    AArch64_LDARX	= 934,
+    AArch64_LDAXPW	= 935,
+    AArch64_LDAXPX	= 936,
+    AArch64_LDAXRB	= 937,
+    AArch64_LDAXRH	= 938,
+    AArch64_LDAXRW	= 939,
+    AArch64_LDAXRX	= 940,
+    AArch64_LDNPDi	= 941,
+    AArch64_LDNPQi	= 942,
+    AArch64_LDNPSi	= 943,
+    AArch64_LDNPWi	= 944,
+    AArch64_LDNPXi	= 945,
+    AArch64_LDPDi	= 946,
+    AArch64_LDPDpost	= 947,
+    AArch64_LDPDpre	= 948,
+    AArch64_LDPQi	= 949,
+    AArch64_LDPQpost	= 950,
+    AArch64_LDPQpre	= 951,
+    AArch64_LDPSWi	= 952,
+    AArch64_LDPSWpost	= 953,
+    AArch64_LDPSWpre	= 954,
+    AArch64_LDPSi	= 955,
+    AArch64_LDPSpost	= 956,
+    AArch64_LDPSpre	= 957,
+    AArch64_LDPWi	= 958,
+    AArch64_LDPWpost	= 959,
+    AArch64_LDPWpre	= 960,
+    AArch64_LDPXi	= 961,
+    AArch64_LDPXpost	= 962,
+    AArch64_LDPXpre	= 963,
+    AArch64_LDRBBpost	= 964,
+    AArch64_LDRBBpre	= 965,
+    AArch64_LDRBBroW	= 966,
+    AArch64_LDRBBroX	= 967,
+    AArch64_LDRBBui	= 968,
+    AArch64_LDRBpost	= 969,
+    AArch64_LDRBpre	= 970,
+    AArch64_LDRBroW	= 971,
+    AArch64_LDRBroX	= 972,
+    AArch64_LDRBui	= 973,
+    AArch64_LDRDl	= 974,
+    AArch64_LDRDpost	= 975,
+    AArch64_LDRDpre	= 976,
+    AArch64_LDRDroW	= 977,
+    AArch64_LDRDroX	= 978,
+    AArch64_LDRDui	= 979,
+    AArch64_LDRHHpost	= 980,
+    AArch64_LDRHHpre	= 981,
+    AArch64_LDRHHroW	= 982,
+    AArch64_LDRHHroX	= 983,
+    AArch64_LDRHHui	= 984,
+    AArch64_LDRHpost	= 985,
+    AArch64_LDRHpre	= 986,
+    AArch64_LDRHroW	= 987,
+    AArch64_LDRHroX	= 988,
+    AArch64_LDRHui	= 989,
+    AArch64_LDRQl	= 990,
+    AArch64_LDRQpost	= 991,
+    AArch64_LDRQpre	= 992,
+    AArch64_LDRQroW	= 993,
+    AArch64_LDRQroX	= 994,
+    AArch64_LDRQui	= 995,
+    AArch64_LDRSBWpost	= 996,
+    AArch64_LDRSBWpre	= 997,
+    AArch64_LDRSBWroW	= 998,
+    AArch64_LDRSBWroX	= 999,
+    AArch64_LDRSBWui	= 1000,
+    AArch64_LDRSBXpost	= 1001,
+    AArch64_LDRSBXpre	= 1002,
+    AArch64_LDRSBXroW	= 1003,
+    AArch64_LDRSBXroX	= 1004,
+    AArch64_LDRSBXui	= 1005,
+    AArch64_LDRSHWpost	= 1006,
+    AArch64_LDRSHWpre	= 1007,
+    AArch64_LDRSHWroW	= 1008,
+    AArch64_LDRSHWroX	= 1009,
+    AArch64_LDRSHWui	= 1010,
+    AArch64_LDRSHXpost	= 1011,
+    AArch64_LDRSHXpre	= 1012,
+    AArch64_LDRSHXroW	= 1013,
+    AArch64_LDRSHXroX	= 1014,
+    AArch64_LDRSHXui	= 1015,
+    AArch64_LDRSWl	= 1016,
+    AArch64_LDRSWpost	= 1017,
+    AArch64_LDRSWpre	= 1018,
+    AArch64_LDRSWroW	= 1019,
+    AArch64_LDRSWroX	= 1020,
+    AArch64_LDRSWui	= 1021,
+    AArch64_LDRSl	= 1022,
+    AArch64_LDRSpost	= 1023,
+    AArch64_LDRSpre	= 1024,
+    AArch64_LDRSroW	= 1025,
+    AArch64_LDRSroX	= 1026,
+    AArch64_LDRSui	= 1027,
+    AArch64_LDRWl	= 1028,
+    AArch64_LDRWpost	= 1029,
+    AArch64_LDRWpre	= 1030,
+    AArch64_LDRWroW	= 1031,
+    AArch64_LDRWroX	= 1032,
+    AArch64_LDRWui	= 1033,
+    AArch64_LDRXl	= 1034,
+    AArch64_LDRXpost	= 1035,
+    AArch64_LDRXpre	= 1036,
+    AArch64_LDRXroW	= 1037,
+    AArch64_LDRXroX	= 1038,
+    AArch64_LDRXui	= 1039,
+    AArch64_LDTRBi	= 1040,
+    AArch64_LDTRHi	= 1041,
+    AArch64_LDTRSBWi	= 1042,
+    AArch64_LDTRSBXi	= 1043,
+    AArch64_LDTRSHWi	= 1044,
+    AArch64_LDTRSHXi	= 1045,
+    AArch64_LDTRSWi	= 1046,
+    AArch64_LDTRWi	= 1047,
+    AArch64_LDTRXi	= 1048,
+    AArch64_LDURBBi	= 1049,
+    AArch64_LDURBi	= 1050,
+    AArch64_LDURDi	= 1051,
+    AArch64_LDURHHi	= 1052,
+    AArch64_LDURHi	= 1053,
+    AArch64_LDURQi	= 1054,
+    AArch64_LDURSBWi	= 1055,
+    AArch64_LDURSBXi	= 1056,
+    AArch64_LDURSHWi	= 1057,
+    AArch64_LDURSHXi	= 1058,
+    AArch64_LDURSWi	= 1059,
+    AArch64_LDURSi	= 1060,
+    AArch64_LDURWi	= 1061,
+    AArch64_LDURXi	= 1062,
+    AArch64_LDXPW	= 1063,
+    AArch64_LDXPX	= 1064,
+    AArch64_LDXRB	= 1065,
+    AArch64_LDXRH	= 1066,
+    AArch64_LDXRW	= 1067,
+    AArch64_LDXRX	= 1068,
+    AArch64_LOADgot	= 1069,
+    AArch64_LSLVWr	= 1070,
+    AArch64_LSLVXr	= 1071,
+    AArch64_LSRVWr	= 1072,
+    AArch64_LSRVXr	= 1073,
+    AArch64_MADDWrrr	= 1074,
+    AArch64_MADDXrrr	= 1075,
+    AArch64_MLAv16i8	= 1076,
+    AArch64_MLAv2i32	= 1077,
+    AArch64_MLAv2i32_indexed	= 1078,
+    AArch64_MLAv4i16	= 1079,
+    AArch64_MLAv4i16_indexed	= 1080,
+    AArch64_MLAv4i32	= 1081,
+    AArch64_MLAv4i32_indexed	= 1082,
+    AArch64_MLAv8i16	= 1083,
+    AArch64_MLAv8i16_indexed	= 1084,
+    AArch64_MLAv8i8	= 1085,
+    AArch64_MLSv16i8	= 1086,
+    AArch64_MLSv2i32	= 1087,
+    AArch64_MLSv2i32_indexed	= 1088,
+    AArch64_MLSv4i16	= 1089,
+    AArch64_MLSv4i16_indexed	= 1090,
+    AArch64_MLSv4i32	= 1091,
+    AArch64_MLSv4i32_indexed	= 1092,
+    AArch64_MLSv8i16	= 1093,
+    AArch64_MLSv8i16_indexed	= 1094,
+    AArch64_MLSv8i8	= 1095,
+    AArch64_MOVID	= 1096,
+    AArch64_MOVIv16b_ns	= 1097,
+    AArch64_MOVIv2d_ns	= 1098,
+    AArch64_MOVIv2i32	= 1099,
+    AArch64_MOVIv2s_msl	= 1100,
+    AArch64_MOVIv4i16	= 1101,
+    AArch64_MOVIv4i32	= 1102,
+    AArch64_MOVIv4s_msl	= 1103,
+    AArch64_MOVIv8b_ns	= 1104,
+    AArch64_MOVIv8i16	= 1105,
+    AArch64_MOVKWi	= 1106,
+    AArch64_MOVKXi	= 1107,
+    AArch64_MOVNWi	= 1108,
+    AArch64_MOVNXi	= 1109,
+    AArch64_MOVZWi	= 1110,
+    AArch64_MOVZXi	= 1111,
+    AArch64_MOVaddr	= 1112,
+    AArch64_MOVaddrBA	= 1113,
+    AArch64_MOVaddrCP	= 1114,
+    AArch64_MOVaddrEXT	= 1115,
+    AArch64_MOVaddrJT	= 1116,
+    AArch64_MOVaddrTLS	= 1117,
+    AArch64_MOVi32imm	= 1118,
+    AArch64_MOVi64imm	= 1119,
+    AArch64_MRS	= 1120,
+    AArch64_MSR	= 1121,
+    AArch64_MSRpstate	= 1122,
+    AArch64_MSUBWrrr	= 1123,
+    AArch64_MSUBXrrr	= 1124,
+    AArch64_MULv16i8	= 1125,
+    AArch64_MULv2i32	= 1126,
+    AArch64_MULv2i32_indexed	= 1127,
+    AArch64_MULv4i16	= 1128,
+    AArch64_MULv4i16_indexed	= 1129,
+    AArch64_MULv4i32	= 1130,
+    AArch64_MULv4i32_indexed	= 1131,
+    AArch64_MULv8i16	= 1132,
+    AArch64_MULv8i16_indexed	= 1133,
+    AArch64_MULv8i8	= 1134,
+    AArch64_MVNIv2i32	= 1135,
+    AArch64_MVNIv2s_msl	= 1136,
+    AArch64_MVNIv4i16	= 1137,
+    AArch64_MVNIv4i32	= 1138,
+    AArch64_MVNIv4s_msl	= 1139,
+    AArch64_MVNIv8i16	= 1140,
+    AArch64_NEGv16i8	= 1141,
+    AArch64_NEGv1i64	= 1142,
+    AArch64_NEGv2i32	= 1143,
+    AArch64_NEGv2i64	= 1144,
+    AArch64_NEGv4i16	= 1145,
+    AArch64_NEGv4i32	= 1146,
+    AArch64_NEGv8i16	= 1147,
+    AArch64_NEGv8i8	= 1148,
+    AArch64_NOTv16i8	= 1149,
+    AArch64_NOTv8i8	= 1150,
+    AArch64_ORNWrr	= 1151,
+    AArch64_ORNWrs	= 1152,
+    AArch64_ORNXrr	= 1153,
+    AArch64_ORNXrs	= 1154,
+    AArch64_ORNv16i8	= 1155,
+    AArch64_ORNv8i8	= 1156,
+    AArch64_ORRWri	= 1157,
+    AArch64_ORRWrr	= 1158,
+    AArch64_ORRWrs	= 1159,
+    AArch64_ORRXri	= 1160,
+    AArch64_ORRXrr	= 1161,
+    AArch64_ORRXrs	= 1162,
+    AArch64_ORRv16i8	= 1163,
+    AArch64_ORRv2i32	= 1164,
+    AArch64_ORRv4i16	= 1165,
+    AArch64_ORRv4i32	= 1166,
+    AArch64_ORRv8i16	= 1167,
+    AArch64_ORRv8i8	= 1168,
+    AArch64_PMULLv16i8	= 1169,
+    AArch64_PMULLv1i64	= 1170,
+    AArch64_PMULLv2i64	= 1171,
+    AArch64_PMULLv8i8	= 1172,
+    AArch64_PMULv16i8	= 1173,
+    AArch64_PMULv8i8	= 1174,
+    AArch64_PRFMl	= 1175,
+    AArch64_PRFMroW	= 1176,
+    AArch64_PRFMroX	= 1177,
+    AArch64_PRFMui	= 1178,
+    AArch64_PRFUMi	= 1179,
+    AArch64_RADDHNv2i64_v2i32	= 1180,
+    AArch64_RADDHNv2i64_v4i32	= 1181,
+    AArch64_RADDHNv4i32_v4i16	= 1182,
+    AArch64_RADDHNv4i32_v8i16	= 1183,
+    AArch64_RADDHNv8i16_v16i8	= 1184,
+    AArch64_RADDHNv8i16_v8i8	= 1185,
+    AArch64_RBITWr	= 1186,
+    AArch64_RBITXr	= 1187,
+    AArch64_RBITv16i8	= 1188,
+    AArch64_RBITv8i8	= 1189,
+    AArch64_RET	= 1190,
+    AArch64_RET_ReallyLR	= 1191,
+    AArch64_REV16Wr	= 1192,
+    AArch64_REV16Xr	= 1193,
+    AArch64_REV16v16i8	= 1194,
+    AArch64_REV16v8i8	= 1195,
+    AArch64_REV32Xr	= 1196,
+    AArch64_REV32v16i8	= 1197,
+    AArch64_REV32v4i16	= 1198,
+    AArch64_REV32v8i16	= 1199,
+    AArch64_REV32v8i8	= 1200,
+    AArch64_REV64v16i8	= 1201,
+    AArch64_REV64v2i32	= 1202,
+    AArch64_REV64v4i16	= 1203,
+    AArch64_REV64v4i32	= 1204,
+    AArch64_REV64v8i16	= 1205,
+    AArch64_REV64v8i8	= 1206,
+    AArch64_REVWr	= 1207,
+    AArch64_REVXr	= 1208,
+    AArch64_RORVWr	= 1209,
+    AArch64_RORVXr	= 1210,
+    AArch64_RSHRNv16i8_shift	= 1211,
+    AArch64_RSHRNv2i32_shift	= 1212,
+    AArch64_RSHRNv4i16_shift	= 1213,
+    AArch64_RSHRNv4i32_shift	= 1214,
+    AArch64_RSHRNv8i16_shift	= 1215,
+    AArch64_RSHRNv8i8_shift	= 1216,
+    AArch64_RSUBHNv2i64_v2i32	= 1217,
+    AArch64_RSUBHNv2i64_v4i32	= 1218,
+    AArch64_RSUBHNv4i32_v4i16	= 1219,
+    AArch64_RSUBHNv4i32_v8i16	= 1220,
+    AArch64_RSUBHNv8i16_v16i8	= 1221,
+    AArch64_RSUBHNv8i16_v8i8	= 1222,
+    AArch64_SABALv16i8_v8i16	= 1223,
+    AArch64_SABALv2i32_v2i64	= 1224,
+    AArch64_SABALv4i16_v4i32	= 1225,
+    AArch64_SABALv4i32_v2i64	= 1226,
+    AArch64_SABALv8i16_v4i32	= 1227,
+    AArch64_SABALv8i8_v8i16	= 1228,
+    AArch64_SABAv16i8	= 1229,
+    AArch64_SABAv2i32	= 1230,
+    AArch64_SABAv4i16	= 1231,
+    AArch64_SABAv4i32	= 1232,
+    AArch64_SABAv8i16	= 1233,
+    AArch64_SABAv8i8	= 1234,
+    AArch64_SABDLv16i8_v8i16	= 1235,
+    AArch64_SABDLv2i32_v2i64	= 1236,
+    AArch64_SABDLv4i16_v4i32	= 1237,
+    AArch64_SABDLv4i32_v2i64	= 1238,
+    AArch64_SABDLv8i16_v4i32	= 1239,
+    AArch64_SABDLv8i8_v8i16	= 1240,
+    AArch64_SABDv16i8	= 1241,
+    AArch64_SABDv2i32	= 1242,
+    AArch64_SABDv4i16	= 1243,
+    AArch64_SABDv4i32	= 1244,
+    AArch64_SABDv8i16	= 1245,
+    AArch64_SABDv8i8	= 1246,
+    AArch64_SADALPv16i8_v8i16	= 1247,
+    AArch64_SADALPv2i32_v1i64	= 1248,
+    AArch64_SADALPv4i16_v2i32	= 1249,
+    AArch64_SADALPv4i32_v2i64	= 1250,
+    AArch64_SADALPv8i16_v4i32	= 1251,
+    AArch64_SADALPv8i8_v4i16	= 1252,
+    AArch64_SADDLPv16i8_v8i16	= 1253,
+    AArch64_SADDLPv2i32_v1i64	= 1254,
+    AArch64_SADDLPv4i16_v2i32	= 1255,
+    AArch64_SADDLPv4i32_v2i64	= 1256,
+    AArch64_SADDLPv8i16_v4i32	= 1257,
+    AArch64_SADDLPv8i8_v4i16	= 1258,
+    AArch64_SADDLVv16i8v	= 1259,
+    AArch64_SADDLVv4i16v	= 1260,
+    AArch64_SADDLVv4i32v	= 1261,
+    AArch64_SADDLVv8i16v	= 1262,
+    AArch64_SADDLVv8i8v	= 1263,
+    AArch64_SADDLv16i8_v8i16	= 1264,
+    AArch64_SADDLv2i32_v2i64	= 1265,
+    AArch64_SADDLv4i16_v4i32	= 1266,
+    AArch64_SADDLv4i32_v2i64	= 1267,
+    AArch64_SADDLv8i16_v4i32	= 1268,
+    AArch64_SADDLv8i8_v8i16	= 1269,
+    AArch64_SADDWv16i8_v8i16	= 1270,
+    AArch64_SADDWv2i32_v2i64	= 1271,
+    AArch64_SADDWv4i16_v4i32	= 1272,
+    AArch64_SADDWv4i32_v2i64	= 1273,
+    AArch64_SADDWv8i16_v4i32	= 1274,
+    AArch64_SADDWv8i8_v8i16	= 1275,
+    AArch64_SBCSWr	= 1276,
+    AArch64_SBCSXr	= 1277,
+    AArch64_SBCWr	= 1278,
+    AArch64_SBCXr	= 1279,
+    AArch64_SBFMWri	= 1280,
+    AArch64_SBFMXri	= 1281,
+    AArch64_SCVTFSWDri	= 1282,
+    AArch64_SCVTFSWSri	= 1283,
+    AArch64_SCVTFSXDri	= 1284,
+    AArch64_SCVTFSXSri	= 1285,
+    AArch64_SCVTFUWDri	= 1286,
+    AArch64_SCVTFUWSri	= 1287,
+    AArch64_SCVTFUXDri	= 1288,
+    AArch64_SCVTFUXSri	= 1289,
+    AArch64_SCVTFd	= 1290,
+    AArch64_SCVTFs	= 1291,
+    AArch64_SCVTFv1i32	= 1292,
+    AArch64_SCVTFv1i64	= 1293,
+    AArch64_SCVTFv2f32	= 1294,
+    AArch64_SCVTFv2f64	= 1295,
+    AArch64_SCVTFv2i32_shift	= 1296,
+    AArch64_SCVTFv2i64_shift	= 1297,
+    AArch64_SCVTFv4f32	= 1298,
+    AArch64_SCVTFv4i32_shift	= 1299,
+    AArch64_SDIVWr	= 1300,
+    AArch64_SDIVXr	= 1301,
+    AArch64_SDIV_IntWr	= 1302,
+    AArch64_SDIV_IntXr	= 1303,
+    AArch64_SHA1Crrr	= 1304,
+    AArch64_SHA1Hrr	= 1305,
+    AArch64_SHA1Mrrr	= 1306,
+    AArch64_SHA1Prrr	= 1307,
+    AArch64_SHA1SU0rrr	= 1308,
+    AArch64_SHA1SU1rr	= 1309,
+    AArch64_SHA256H2rrr	= 1310,
+    AArch64_SHA256Hrrr	= 1311,
+    AArch64_SHA256SU0rr	= 1312,
+    AArch64_SHA256SU1rrr	= 1313,
+    AArch64_SHADDv16i8	= 1314,
+    AArch64_SHADDv2i32	= 1315,
+    AArch64_SHADDv4i16	= 1316,
+    AArch64_SHADDv4i32	= 1317,
+    AArch64_SHADDv8i16	= 1318,
+    AArch64_SHADDv8i8	= 1319,
+    AArch64_SHLLv16i8	= 1320,
+    AArch64_SHLLv2i32	= 1321,
+    AArch64_SHLLv4i16	= 1322,
+    AArch64_SHLLv4i32	= 1323,
+    AArch64_SHLLv8i16	= 1324,
+    AArch64_SHLLv8i8	= 1325,
+    AArch64_SHLd	= 1326,
+    AArch64_SHLv16i8_shift	= 1327,
+    AArch64_SHLv2i32_shift	= 1328,
+    AArch64_SHLv2i64_shift	= 1329,
+    AArch64_SHLv4i16_shift	= 1330,
+    AArch64_SHLv4i32_shift	= 1331,
+    AArch64_SHLv8i16_shift	= 1332,
+    AArch64_SHLv8i8_shift	= 1333,
+    AArch64_SHRNv16i8_shift	= 1334,
+    AArch64_SHRNv2i32_shift	= 1335,
+    AArch64_SHRNv4i16_shift	= 1336,
+    AArch64_SHRNv4i32_shift	= 1337,
+    AArch64_SHRNv8i16_shift	= 1338,
+    AArch64_SHRNv8i8_shift	= 1339,
+    AArch64_SHSUBv16i8	= 1340,
+    AArch64_SHSUBv2i32	= 1341,
+    AArch64_SHSUBv4i16	= 1342,
+    AArch64_SHSUBv4i32	= 1343,
+    AArch64_SHSUBv8i16	= 1344,
+    AArch64_SHSUBv8i8	= 1345,
+    AArch64_SLId	= 1346,
+    AArch64_SLIv16i8_shift	= 1347,
+    AArch64_SLIv2i32_shift	= 1348,
+    AArch64_SLIv2i64_shift	= 1349,
+    AArch64_SLIv4i16_shift	= 1350,
+    AArch64_SLIv4i32_shift	= 1351,
+    AArch64_SLIv8i16_shift	= 1352,
+    AArch64_SLIv8i8_shift	= 1353,
+    AArch64_SMADDLrrr	= 1354,
+    AArch64_SMAXPv16i8	= 1355,
+    AArch64_SMAXPv2i32	= 1356,
+    AArch64_SMAXPv4i16	= 1357,
+    AArch64_SMAXPv4i32	= 1358,
+    AArch64_SMAXPv8i16	= 1359,
+    AArch64_SMAXPv8i8	= 1360,
+    AArch64_SMAXVv16i8v	= 1361,
+    AArch64_SMAXVv4i16v	= 1362,
+    AArch64_SMAXVv4i32v	= 1363,
+    AArch64_SMAXVv8i16v	= 1364,
+    AArch64_SMAXVv8i8v	= 1365,
+    AArch64_SMAXv16i8	= 1366,
+    AArch64_SMAXv2i32	= 1367,
+    AArch64_SMAXv4i16	= 1368,
+    AArch64_SMAXv4i32	= 1369,
+    AArch64_SMAXv8i16	= 1370,
+    AArch64_SMAXv8i8	= 1371,
+    AArch64_SMC	= 1372,
+    AArch64_SMINPv16i8	= 1373,
+    AArch64_SMINPv2i32	= 1374,
+    AArch64_SMINPv4i16	= 1375,
+    AArch64_SMINPv4i32	= 1376,
+    AArch64_SMINPv8i16	= 1377,
+    AArch64_SMINPv8i8	= 1378,
+    AArch64_SMINVv16i8v	= 1379,
+    AArch64_SMINVv4i16v	= 1380,
+    AArch64_SMINVv4i32v	= 1381,
+    AArch64_SMINVv8i16v	= 1382,
+    AArch64_SMINVv8i8v	= 1383,
+    AArch64_SMINv16i8	= 1384,
+    AArch64_SMINv2i32	= 1385,
+    AArch64_SMINv4i16	= 1386,
+    AArch64_SMINv4i32	= 1387,
+    AArch64_SMINv8i16	= 1388,
+    AArch64_SMINv8i8	= 1389,
+    AArch64_SMLALv16i8_v8i16	= 1390,
+    AArch64_SMLALv2i32_indexed	= 1391,
+    AArch64_SMLALv2i32_v2i64	= 1392,
+    AArch64_SMLALv4i16_indexed	= 1393,
+    AArch64_SMLALv4i16_v4i32	= 1394,
+    AArch64_SMLALv4i32_indexed	= 1395,
+    AArch64_SMLALv4i32_v2i64	= 1396,
+    AArch64_SMLALv8i16_indexed	= 1397,
+    AArch64_SMLALv8i16_v4i32	= 1398,
+    AArch64_SMLALv8i8_v8i16	= 1399,
+    AArch64_SMLSLv16i8_v8i16	= 1400,
+    AArch64_SMLSLv2i32_indexed	= 1401,
+    AArch64_SMLSLv2i32_v2i64	= 1402,
+    AArch64_SMLSLv4i16_indexed	= 1403,
+    AArch64_SMLSLv4i16_v4i32	= 1404,
+    AArch64_SMLSLv4i32_indexed	= 1405,
+    AArch64_SMLSLv4i32_v2i64	= 1406,
+    AArch64_SMLSLv8i16_indexed	= 1407,
+    AArch64_SMLSLv8i16_v4i32	= 1408,
+    AArch64_SMLSLv8i8_v8i16	= 1409,
+    AArch64_SMOVvi16to32	= 1410,
+    AArch64_SMOVvi16to64	= 1411,
+    AArch64_SMOVvi32to64	= 1412,
+    AArch64_SMOVvi8to32	= 1413,
+    AArch64_SMOVvi8to64	= 1414,
+    AArch64_SMSUBLrrr	= 1415,
+    AArch64_SMULHrr	= 1416,
+    AArch64_SMULLv16i8_v8i16	= 1417,
+    AArch64_SMULLv2i32_indexed	= 1418,
+    AArch64_SMULLv2i32_v2i64	= 1419,
+    AArch64_SMULLv4i16_indexed	= 1420,
+    AArch64_SMULLv4i16_v4i32	= 1421,
+    AArch64_SMULLv4i32_indexed	= 1422,
+    AArch64_SMULLv4i32_v2i64	= 1423,
+    AArch64_SMULLv8i16_indexed	= 1424,
+    AArch64_SMULLv8i16_v4i32	= 1425,
+    AArch64_SMULLv8i8_v8i16	= 1426,
+    AArch64_SQABSv16i8	= 1427,
+    AArch64_SQABSv1i16	= 1428,
+    AArch64_SQABSv1i32	= 1429,
+    AArch64_SQABSv1i64	= 1430,
+    AArch64_SQABSv1i8	= 1431,
+    AArch64_SQABSv2i32	= 1432,
+    AArch64_SQABSv2i64	= 1433,
+    AArch64_SQABSv4i16	= 1434,
+    AArch64_SQABSv4i32	= 1435,
+    AArch64_SQABSv8i16	= 1436,
+    AArch64_SQABSv8i8	= 1437,
+    AArch64_SQADDv16i8	= 1438,
+    AArch64_SQADDv1i16	= 1439,
+    AArch64_SQADDv1i32	= 1440,
+    AArch64_SQADDv1i64	= 1441,
+    AArch64_SQADDv1i8	= 1442,
+    AArch64_SQADDv2i32	= 1443,
+    AArch64_SQADDv2i64	= 1444,
+    AArch64_SQADDv4i16	= 1445,
+    AArch64_SQADDv4i32	= 1446,
+    AArch64_SQADDv8i16	= 1447,
+    AArch64_SQADDv8i8	= 1448,
+    AArch64_SQDMLALi16	= 1449,
+    AArch64_SQDMLALi32	= 1450,
+    AArch64_SQDMLALv1i32_indexed	= 1451,
+    AArch64_SQDMLALv1i64_indexed	= 1452,
+    AArch64_SQDMLALv2i32_indexed	= 1453,
+    AArch64_SQDMLALv2i32_v2i64	= 1454,
+    AArch64_SQDMLALv4i16_indexed	= 1455,
+    AArch64_SQDMLALv4i16_v4i32	= 1456,
+    AArch64_SQDMLALv4i32_indexed	= 1457,
+    AArch64_SQDMLALv4i32_v2i64	= 1458,
+    AArch64_SQDMLALv8i16_indexed	= 1459,
+    AArch64_SQDMLALv8i16_v4i32	= 1460,
+    AArch64_SQDMLSLi16	= 1461,
+    AArch64_SQDMLSLi32	= 1462,
+    AArch64_SQDMLSLv1i32_indexed	= 1463,
+    AArch64_SQDMLSLv1i64_indexed	= 1464,
+    AArch64_SQDMLSLv2i32_indexed	= 1465,
+    AArch64_SQDMLSLv2i32_v2i64	= 1466,
+    AArch64_SQDMLSLv4i16_indexed	= 1467,
+    AArch64_SQDMLSLv4i16_v4i32	= 1468,
+    AArch64_SQDMLSLv4i32_indexed	= 1469,
+    AArch64_SQDMLSLv4i32_v2i64	= 1470,
+    AArch64_SQDMLSLv8i16_indexed	= 1471,
+    AArch64_SQDMLSLv8i16_v4i32	= 1472,
+    AArch64_SQDMULHv1i16	= 1473,
+    AArch64_SQDMULHv1i16_indexed	= 1474,
+    AArch64_SQDMULHv1i32	= 1475,
+    AArch64_SQDMULHv1i32_indexed	= 1476,
+    AArch64_SQDMULHv2i32	= 1477,
+    AArch64_SQDMULHv2i32_indexed	= 1478,
+    AArch64_SQDMULHv4i16	= 1479,
+    AArch64_SQDMULHv4i16_indexed	= 1480,
+    AArch64_SQDMULHv4i32	= 1481,
+    AArch64_SQDMULHv4i32_indexed	= 1482,
+    AArch64_SQDMULHv8i16	= 1483,
+    AArch64_SQDMULHv8i16_indexed	= 1484,
+    AArch64_SQDMULLi16	= 1485,
+    AArch64_SQDMULLi32	= 1486,
+    AArch64_SQDMULLv1i32_indexed	= 1487,
+    AArch64_SQDMULLv1i64_indexed	= 1488,
+    AArch64_SQDMULLv2i32_indexed	= 1489,
+    AArch64_SQDMULLv2i32_v2i64	= 1490,
+    AArch64_SQDMULLv4i16_indexed	= 1491,
+    AArch64_SQDMULLv4i16_v4i32	= 1492,
+    AArch64_SQDMULLv4i32_indexed	= 1493,
+    AArch64_SQDMULLv4i32_v2i64	= 1494,
+    AArch64_SQDMULLv8i16_indexed	= 1495,
+    AArch64_SQDMULLv8i16_v4i32	= 1496,
+    AArch64_SQNEGv16i8	= 1497,
+    AArch64_SQNEGv1i16	= 1498,
+    AArch64_SQNEGv1i32	= 1499,
+    AArch64_SQNEGv1i64	= 1500,
+    AArch64_SQNEGv1i8	= 1501,
+    AArch64_SQNEGv2i32	= 1502,
+    AArch64_SQNEGv2i64	= 1503,
+    AArch64_SQNEGv4i16	= 1504,
+    AArch64_SQNEGv4i32	= 1505,
+    AArch64_SQNEGv8i16	= 1506,
+    AArch64_SQNEGv8i8	= 1507,
+    AArch64_SQRDMULHv1i16	= 1508,
+    AArch64_SQRDMULHv1i16_indexed	= 1509,
+    AArch64_SQRDMULHv1i32	= 1510,
+    AArch64_SQRDMULHv1i32_indexed	= 1511,
+    AArch64_SQRDMULHv2i32	= 1512,
+    AArch64_SQRDMULHv2i32_indexed	= 1513,
+    AArch64_SQRDMULHv4i16	= 1514,
+    AArch64_SQRDMULHv4i16_indexed	= 1515,
+    AArch64_SQRDMULHv4i32	= 1516,
+    AArch64_SQRDMULHv4i32_indexed	= 1517,
+    AArch64_SQRDMULHv8i16	= 1518,
+    AArch64_SQRDMULHv8i16_indexed	= 1519,
+    AArch64_SQRSHLv16i8	= 1520,
+    AArch64_SQRSHLv1i16	= 1521,
+    AArch64_SQRSHLv1i32	= 1522,
+    AArch64_SQRSHLv1i64	= 1523,
+    AArch64_SQRSHLv1i8	= 1524,
+    AArch64_SQRSHLv2i32	= 1525,
+    AArch64_SQRSHLv2i64	= 1526,
+    AArch64_SQRSHLv4i16	= 1527,
+    AArch64_SQRSHLv4i32	= 1528,
+    AArch64_SQRSHLv8i16	= 1529,
+    AArch64_SQRSHLv8i8	= 1530,
+    AArch64_SQRSHRNb	= 1531,
+    AArch64_SQRSHRNh	= 1532,
+    AArch64_SQRSHRNs	= 1533,
+    AArch64_SQRSHRNv16i8_shift	= 1534,
+    AArch64_SQRSHRNv2i32_shift	= 1535,
+    AArch64_SQRSHRNv4i16_shift	= 1536,
+    AArch64_SQRSHRNv4i32_shift	= 1537,
+    AArch64_SQRSHRNv8i16_shift	= 1538,
+    AArch64_SQRSHRNv8i8_shift	= 1539,
+    AArch64_SQRSHRUNb	= 1540,
+    AArch64_SQRSHRUNh	= 1541,
+    AArch64_SQRSHRUNs	= 1542,
+    AArch64_SQRSHRUNv16i8_shift	= 1543,
+    AArch64_SQRSHRUNv2i32_shift	= 1544,
+    AArch64_SQRSHRUNv4i16_shift	= 1545,
+    AArch64_SQRSHRUNv4i32_shift	= 1546,
+    AArch64_SQRSHRUNv8i16_shift	= 1547,
+    AArch64_SQRSHRUNv8i8_shift	= 1548,
+    AArch64_SQSHLUb	= 1549,
+    AArch64_SQSHLUd	= 1550,
+    AArch64_SQSHLUh	= 1551,
+    AArch64_SQSHLUs	= 1552,
+    AArch64_SQSHLUv16i8_shift	= 1553,
+    AArch64_SQSHLUv2i32_shift	= 1554,
+    AArch64_SQSHLUv2i64_shift	= 1555,
+    AArch64_SQSHLUv4i16_shift	= 1556,
+    AArch64_SQSHLUv4i32_shift	= 1557,
+    AArch64_SQSHLUv8i16_shift	= 1558,
+    AArch64_SQSHLUv8i8_shift	= 1559,
+    AArch64_SQSHLb	= 1560,
+    AArch64_SQSHLd	= 1561,
+    AArch64_SQSHLh	= 1562,
+    AArch64_SQSHLs	= 1563,
+    AArch64_SQSHLv16i8	= 1564,
+    AArch64_SQSHLv16i8_shift	= 1565,
+    AArch64_SQSHLv1i16	= 1566,
+    AArch64_SQSHLv1i32	= 1567,
+    AArch64_SQSHLv1i64	= 1568,
+    AArch64_SQSHLv1i8	= 1569,
+    AArch64_SQSHLv2i32	= 1570,
+    AArch64_SQSHLv2i32_shift	= 1571,
+    AArch64_SQSHLv2i64	= 1572,
+    AArch64_SQSHLv2i64_shift	= 1573,
+    AArch64_SQSHLv4i16	= 1574,
+    AArch64_SQSHLv4i16_shift	= 1575,
+    AArch64_SQSHLv4i32	= 1576,
+    AArch64_SQSHLv4i32_shift	= 1577,
+    AArch64_SQSHLv8i16	= 1578,
+    AArch64_SQSHLv8i16_shift	= 1579,
+    AArch64_SQSHLv8i8	= 1580,
+    AArch64_SQSHLv8i8_shift	= 1581,
+    AArch64_SQSHRNb	= 1582,
+    AArch64_SQSHRNh	= 1583,
+    AArch64_SQSHRNs	= 1584,
+    AArch64_SQSHRNv16i8_shift	= 1585,
+    AArch64_SQSHRNv2i32_shift	= 1586,
+    AArch64_SQSHRNv4i16_shift	= 1587,
+    AArch64_SQSHRNv4i32_shift	= 1588,
+    AArch64_SQSHRNv8i16_shift	= 1589,
+    AArch64_SQSHRNv8i8_shift	= 1590,
+    AArch64_SQSHRUNb	= 1591,
+    AArch64_SQSHRUNh	= 1592,
+    AArch64_SQSHRUNs	= 1593,
+    AArch64_SQSHRUNv16i8_shift	= 1594,
+    AArch64_SQSHRUNv2i32_shift	= 1595,
+    AArch64_SQSHRUNv4i16_shift	= 1596,
+    AArch64_SQSHRUNv4i32_shift	= 1597,
+    AArch64_SQSHRUNv8i16_shift	= 1598,
+    AArch64_SQSHRUNv8i8_shift	= 1599,
+    AArch64_SQSUBv16i8	= 1600,
+    AArch64_SQSUBv1i16	= 1601,
+    AArch64_SQSUBv1i32	= 1602,
+    AArch64_SQSUBv1i64	= 1603,
+    AArch64_SQSUBv1i8	= 1604,
+    AArch64_SQSUBv2i32	= 1605,
+    AArch64_SQSUBv2i64	= 1606,
+    AArch64_SQSUBv4i16	= 1607,
+    AArch64_SQSUBv4i32	= 1608,
+    AArch64_SQSUBv8i16	= 1609,
+    AArch64_SQSUBv8i8	= 1610,
+    AArch64_SQXTNv16i8	= 1611,
+    AArch64_SQXTNv1i16	= 1612,
+    AArch64_SQXTNv1i32	= 1613,
+    AArch64_SQXTNv1i8	= 1614,
+    AArch64_SQXTNv2i32	= 1615,
+    AArch64_SQXTNv4i16	= 1616,
+    AArch64_SQXTNv4i32	= 1617,
+    AArch64_SQXTNv8i16	= 1618,
+    AArch64_SQXTNv8i8	= 1619,
+    AArch64_SQXTUNv16i8	= 1620,
+    AArch64_SQXTUNv1i16	= 1621,
+    AArch64_SQXTUNv1i32	= 1622,
+    AArch64_SQXTUNv1i8	= 1623,
+    AArch64_SQXTUNv2i32	= 1624,
+    AArch64_SQXTUNv4i16	= 1625,
+    AArch64_SQXTUNv4i32	= 1626,
+    AArch64_SQXTUNv8i16	= 1627,
+    AArch64_SQXTUNv8i8	= 1628,
+    AArch64_SRHADDv16i8	= 1629,
+    AArch64_SRHADDv2i32	= 1630,
+    AArch64_SRHADDv4i16	= 1631,
+    AArch64_SRHADDv4i32	= 1632,
+    AArch64_SRHADDv8i16	= 1633,
+    AArch64_SRHADDv8i8	= 1634,
+    AArch64_SRId	= 1635,
+    AArch64_SRIv16i8_shift	= 1636,
+    AArch64_SRIv2i32_shift	= 1637,
+    AArch64_SRIv2i64_shift	= 1638,
+    AArch64_SRIv4i16_shift	= 1639,
+    AArch64_SRIv4i32_shift	= 1640,
+    AArch64_SRIv8i16_shift	= 1641,
+    AArch64_SRIv8i8_shift	= 1642,
+    AArch64_SRSHLv16i8	= 1643,
+    AArch64_SRSHLv1i64	= 1644,
+    AArch64_SRSHLv2i32	= 1645,
+    AArch64_SRSHLv2i64	= 1646,
+    AArch64_SRSHLv4i16	= 1647,
+    AArch64_SRSHLv4i32	= 1648,
+    AArch64_SRSHLv8i16	= 1649,
+    AArch64_SRSHLv8i8	= 1650,
+    AArch64_SRSHRd	= 1651,
+    AArch64_SRSHRv16i8_shift	= 1652,
+    AArch64_SRSHRv2i32_shift	= 1653,
+    AArch64_SRSHRv2i64_shift	= 1654,
+    AArch64_SRSHRv4i16_shift	= 1655,
+    AArch64_SRSHRv4i32_shift	= 1656,
+    AArch64_SRSHRv8i16_shift	= 1657,
+    AArch64_SRSHRv8i8_shift	= 1658,
+    AArch64_SRSRAd	= 1659,
+    AArch64_SRSRAv16i8_shift	= 1660,
+    AArch64_SRSRAv2i32_shift	= 1661,
+    AArch64_SRSRAv2i64_shift	= 1662,
+    AArch64_SRSRAv4i16_shift	= 1663,
+    AArch64_SRSRAv4i32_shift	= 1664,
+    AArch64_SRSRAv8i16_shift	= 1665,
+    AArch64_SRSRAv8i8_shift	= 1666,
+    AArch64_SSHLLv16i8_shift	= 1667,
+    AArch64_SSHLLv2i32_shift	= 1668,
+    AArch64_SSHLLv4i16_shift	= 1669,
+    AArch64_SSHLLv4i32_shift	= 1670,
+    AArch64_SSHLLv8i16_shift	= 1671,
+    AArch64_SSHLLv8i8_shift	= 1672,
+    AArch64_SSHLv16i8	= 1673,
+    AArch64_SSHLv1i64	= 1674,
+    AArch64_SSHLv2i32	= 1675,
+    AArch64_SSHLv2i64	= 1676,
+    AArch64_SSHLv4i16	= 1677,
+    AArch64_SSHLv4i32	= 1678,
+    AArch64_SSHLv8i16	= 1679,
+    AArch64_SSHLv8i8	= 1680,
+    AArch64_SSHRd	= 1681,
+    AArch64_SSHRv16i8_shift	= 1682,
+    AArch64_SSHRv2i32_shift	= 1683,
+    AArch64_SSHRv2i64_shift	= 1684,
+    AArch64_SSHRv4i16_shift	= 1685,
+    AArch64_SSHRv4i32_shift	= 1686,
+    AArch64_SSHRv8i16_shift	= 1687,
+    AArch64_SSHRv8i8_shift	= 1688,
+    AArch64_SSRAd	= 1689,
+    AArch64_SSRAv16i8_shift	= 1690,
+    AArch64_SSRAv2i32_shift	= 1691,
+    AArch64_SSRAv2i64_shift	= 1692,
+    AArch64_SSRAv4i16_shift	= 1693,
+    AArch64_SSRAv4i32_shift	= 1694,
+    AArch64_SSRAv8i16_shift	= 1695,
+    AArch64_SSRAv8i8_shift	= 1696,
+    AArch64_SSUBLv16i8_v8i16	= 1697,
+    AArch64_SSUBLv2i32_v2i64	= 1698,
+    AArch64_SSUBLv4i16_v4i32	= 1699,
+    AArch64_SSUBLv4i32_v2i64	= 1700,
+    AArch64_SSUBLv8i16_v4i32	= 1701,
+    AArch64_SSUBLv8i8_v8i16	= 1702,
+    AArch64_SSUBWv16i8_v8i16	= 1703,
+    AArch64_SSUBWv2i32_v2i64	= 1704,
+    AArch64_SSUBWv4i16_v4i32	= 1705,
+    AArch64_SSUBWv4i32_v2i64	= 1706,
+    AArch64_SSUBWv8i16_v4i32	= 1707,
+    AArch64_SSUBWv8i8_v8i16	= 1708,
+    AArch64_ST1Fourv16b	= 1709,
+    AArch64_ST1Fourv16b_POST	= 1710,
+    AArch64_ST1Fourv1d	= 1711,
+    AArch64_ST1Fourv1d_POST	= 1712,
+    AArch64_ST1Fourv2d	= 1713,
+    AArch64_ST1Fourv2d_POST	= 1714,
+    AArch64_ST1Fourv2s	= 1715,
+    AArch64_ST1Fourv2s_POST	= 1716,
+    AArch64_ST1Fourv4h	= 1717,
+    AArch64_ST1Fourv4h_POST	= 1718,
+    AArch64_ST1Fourv4s	= 1719,
+    AArch64_ST1Fourv4s_POST	= 1720,
+    AArch64_ST1Fourv8b	= 1721,
+    AArch64_ST1Fourv8b_POST	= 1722,
+    AArch64_ST1Fourv8h	= 1723,
+    AArch64_ST1Fourv8h_POST	= 1724,
+    AArch64_ST1Onev16b	= 1725,
+    AArch64_ST1Onev16b_POST	= 1726,
+    AArch64_ST1Onev1d	= 1727,
+    AArch64_ST1Onev1d_POST	= 1728,
+    AArch64_ST1Onev2d	= 1729,
+    AArch64_ST1Onev2d_POST	= 1730,
+    AArch64_ST1Onev2s	= 1731,
+    AArch64_ST1Onev2s_POST	= 1732,
+    AArch64_ST1Onev4h	= 1733,
+    AArch64_ST1Onev4h_POST	= 1734,
+    AArch64_ST1Onev4s	= 1735,
+    AArch64_ST1Onev4s_POST	= 1736,
+    AArch64_ST1Onev8b	= 1737,
+    AArch64_ST1Onev8b_POST	= 1738,
+    AArch64_ST1Onev8h	= 1739,
+    AArch64_ST1Onev8h_POST	= 1740,
+    AArch64_ST1Threev16b	= 1741,
+    AArch64_ST1Threev16b_POST	= 1742,
+    AArch64_ST1Threev1d	= 1743,
+    AArch64_ST1Threev1d_POST	= 1744,
+    AArch64_ST1Threev2d	= 1745,
+    AArch64_ST1Threev2d_POST	= 1746,
+    AArch64_ST1Threev2s	= 1747,
+    AArch64_ST1Threev2s_POST	= 1748,
+    AArch64_ST1Threev4h	= 1749,
+    AArch64_ST1Threev4h_POST	= 1750,
+    AArch64_ST1Threev4s	= 1751,
+    AArch64_ST1Threev4s_POST	= 1752,
+    AArch64_ST1Threev8b	= 1753,
+    AArch64_ST1Threev8b_POST	= 1754,
+    AArch64_ST1Threev8h	= 1755,
+    AArch64_ST1Threev8h_POST	= 1756,
+    AArch64_ST1Twov16b	= 1757,
+    AArch64_ST1Twov16b_POST	= 1758,
+    AArch64_ST1Twov1d	= 1759,
+    AArch64_ST1Twov1d_POST	= 1760,
+    AArch64_ST1Twov2d	= 1761,
+    AArch64_ST1Twov2d_POST	= 1762,
+    AArch64_ST1Twov2s	= 1763,
+    AArch64_ST1Twov2s_POST	= 1764,
+    AArch64_ST1Twov4h	= 1765,
+    AArch64_ST1Twov4h_POST	= 1766,
+    AArch64_ST1Twov4s	= 1767,
+    AArch64_ST1Twov4s_POST	= 1768,
+    AArch64_ST1Twov8b	= 1769,
+    AArch64_ST1Twov8b_POST	= 1770,
+    AArch64_ST1Twov8h	= 1771,
+    AArch64_ST1Twov8h_POST	= 1772,
+    AArch64_ST1i16	= 1773,
+    AArch64_ST1i16_POST	= 1774,
+    AArch64_ST1i32	= 1775,
+    AArch64_ST1i32_POST	= 1776,
+    AArch64_ST1i64	= 1777,
+    AArch64_ST1i64_POST	= 1778,
+    AArch64_ST1i8	= 1779,
+    AArch64_ST1i8_POST	= 1780,
+    AArch64_ST2Twov16b	= 1781,
+    AArch64_ST2Twov16b_POST	= 1782,
+    AArch64_ST2Twov2d	= 1783,
+    AArch64_ST2Twov2d_POST	= 1784,
+    AArch64_ST2Twov2s	= 1785,
+    AArch64_ST2Twov2s_POST	= 1786,
+    AArch64_ST2Twov4h	= 1787,
+    AArch64_ST2Twov4h_POST	= 1788,
+    AArch64_ST2Twov4s	= 1789,
+    AArch64_ST2Twov4s_POST	= 1790,
+    AArch64_ST2Twov8b	= 1791,
+    AArch64_ST2Twov8b_POST	= 1792,
+    AArch64_ST2Twov8h	= 1793,
+    AArch64_ST2Twov8h_POST	= 1794,
+    AArch64_ST2i16	= 1795,
+    AArch64_ST2i16_POST	= 1796,
+    AArch64_ST2i32	= 1797,
+    AArch64_ST2i32_POST	= 1798,
+    AArch64_ST2i64	= 1799,
+    AArch64_ST2i64_POST	= 1800,
+    AArch64_ST2i8	= 1801,
+    AArch64_ST2i8_POST	= 1802,
+    AArch64_ST3Threev16b	= 1803,
+    AArch64_ST3Threev16b_POST	= 1804,
+    AArch64_ST3Threev2d	= 1805,
+    AArch64_ST3Threev2d_POST	= 1806,
+    AArch64_ST3Threev2s	= 1807,
+    AArch64_ST3Threev2s_POST	= 1808,
+    AArch64_ST3Threev4h	= 1809,
+    AArch64_ST3Threev4h_POST	= 1810,
+    AArch64_ST3Threev4s	= 1811,
+    AArch64_ST3Threev4s_POST	= 1812,
+    AArch64_ST3Threev8b	= 1813,
+    AArch64_ST3Threev8b_POST	= 1814,
+    AArch64_ST3Threev8h	= 1815,
+    AArch64_ST3Threev8h_POST	= 1816,
+    AArch64_ST3i16	= 1817,
+    AArch64_ST3i16_POST	= 1818,
+    AArch64_ST3i32	= 1819,
+    AArch64_ST3i32_POST	= 1820,
+    AArch64_ST3i64	= 1821,
+    AArch64_ST3i64_POST	= 1822,
+    AArch64_ST3i8	= 1823,
+    AArch64_ST3i8_POST	= 1824,
+    AArch64_ST4Fourv16b	= 1825,
+    AArch64_ST4Fourv16b_POST	= 1826,
+    AArch64_ST4Fourv2d	= 1827,
+    AArch64_ST4Fourv2d_POST	= 1828,
+    AArch64_ST4Fourv2s	= 1829,
+    AArch64_ST4Fourv2s_POST	= 1830,
+    AArch64_ST4Fourv4h	= 1831,
+    AArch64_ST4Fourv4h_POST	= 1832,
+    AArch64_ST4Fourv4s	= 1833,
+    AArch64_ST4Fourv4s_POST	= 1834,
+    AArch64_ST4Fourv8b	= 1835,
+    AArch64_ST4Fourv8b_POST	= 1836,
+    AArch64_ST4Fourv8h	= 1837,
+    AArch64_ST4Fourv8h_POST	= 1838,
+    AArch64_ST4i16	= 1839,
+    AArch64_ST4i16_POST	= 1840,
+    AArch64_ST4i32	= 1841,
+    AArch64_ST4i32_POST	= 1842,
+    AArch64_ST4i64	= 1843,
+    AArch64_ST4i64_POST	= 1844,
+    AArch64_ST4i8	= 1845,
+    AArch64_ST4i8_POST	= 1846,
+    AArch64_STLRB	= 1847,
+    AArch64_STLRH	= 1848,
+    AArch64_STLRW	= 1849,
+    AArch64_STLRX	= 1850,
+    AArch64_STLXPW	= 1851,
+    AArch64_STLXPX	= 1852,
+    AArch64_STLXRB	= 1853,
+    AArch64_STLXRH	= 1854,
+    AArch64_STLXRW	= 1855,
+    AArch64_STLXRX	= 1856,
+    AArch64_STNPDi	= 1857,
+    AArch64_STNPQi	= 1858,
+    AArch64_STNPSi	= 1859,
+    AArch64_STNPWi	= 1860,
+    AArch64_STNPXi	= 1861,
+    AArch64_STPDi	= 1862,
+    AArch64_STPDpost	= 1863,
+    AArch64_STPDpre	= 1864,
+    AArch64_STPQi	= 1865,
+    AArch64_STPQpost	= 1866,
+    AArch64_STPQpre	= 1867,
+    AArch64_STPSi	= 1868,
+    AArch64_STPSpost	= 1869,
+    AArch64_STPSpre	= 1870,
+    AArch64_STPWi	= 1871,
+    AArch64_STPWpost	= 1872,
+    AArch64_STPWpre	= 1873,
+    AArch64_STPXi	= 1874,
+    AArch64_STPXpost	= 1875,
+    AArch64_STPXpre	= 1876,
+    AArch64_STRBBpost	= 1877,
+    AArch64_STRBBpre	= 1878,
+    AArch64_STRBBroW	= 1879,
+    AArch64_STRBBroX	= 1880,
+    AArch64_STRBBui	= 1881,
+    AArch64_STRBpost	= 1882,
+    AArch64_STRBpre	= 1883,
+    AArch64_STRBroW	= 1884,
+    AArch64_STRBroX	= 1885,
+    AArch64_STRBui	= 1886,
+    AArch64_STRDpost	= 1887,
+    AArch64_STRDpre	= 1888,
+    AArch64_STRDroW	= 1889,
+    AArch64_STRDroX	= 1890,
+    AArch64_STRDui	= 1891,
+    AArch64_STRHHpost	= 1892,
+    AArch64_STRHHpre	= 1893,
+    AArch64_STRHHroW	= 1894,
+    AArch64_STRHHroX	= 1895,
+    AArch64_STRHHui	= 1896,
+    AArch64_STRHpost	= 1897,
+    AArch64_STRHpre	= 1898,
+    AArch64_STRHroW	= 1899,
+    AArch64_STRHroX	= 1900,
+    AArch64_STRHui	= 1901,
+    AArch64_STRQpost	= 1902,
+    AArch64_STRQpre	= 1903,
+    AArch64_STRQroW	= 1904,
+    AArch64_STRQroX	= 1905,
+    AArch64_STRQui	= 1906,
+    AArch64_STRSpost	= 1907,
+    AArch64_STRSpre	= 1908,
+    AArch64_STRSroW	= 1909,
+    AArch64_STRSroX	= 1910,
+    AArch64_STRSui	= 1911,
+    AArch64_STRWpost	= 1912,
+    AArch64_STRWpre	= 1913,
+    AArch64_STRWroW	= 1914,
+    AArch64_STRWroX	= 1915,
+    AArch64_STRWui	= 1916,
+    AArch64_STRXpost	= 1917,
+    AArch64_STRXpre	= 1918,
+    AArch64_STRXroW	= 1919,
+    AArch64_STRXroX	= 1920,
+    AArch64_STRXui	= 1921,
+    AArch64_STTRBi	= 1922,
+    AArch64_STTRHi	= 1923,
+    AArch64_STTRWi	= 1924,
+    AArch64_STTRXi	= 1925,
+    AArch64_STURBBi	= 1926,
+    AArch64_STURBi	= 1927,
+    AArch64_STURDi	= 1928,
+    AArch64_STURHHi	= 1929,
+    AArch64_STURHi	= 1930,
+    AArch64_STURQi	= 1931,
+    AArch64_STURSi	= 1932,
+    AArch64_STURWi	= 1933,
+    AArch64_STURXi	= 1934,
+    AArch64_STXPW	= 1935,
+    AArch64_STXPX	= 1936,
+    AArch64_STXRB	= 1937,
+    AArch64_STXRH	= 1938,
+    AArch64_STXRW	= 1939,
+    AArch64_STXRX	= 1940,
+    AArch64_SUBHNv2i64_v2i32	= 1941,
+    AArch64_SUBHNv2i64_v4i32	= 1942,
+    AArch64_SUBHNv4i32_v4i16	= 1943,
+    AArch64_SUBHNv4i32_v8i16	= 1944,
+    AArch64_SUBHNv8i16_v16i8	= 1945,
+    AArch64_SUBHNv8i16_v8i8	= 1946,
+    AArch64_SUBSWri	= 1947,
+    AArch64_SUBSWrr	= 1948,
+    AArch64_SUBSWrs	= 1949,
+    AArch64_SUBSWrx	= 1950,
+    AArch64_SUBSXri	= 1951,
+    AArch64_SUBSXrr	= 1952,
+    AArch64_SUBSXrs	= 1953,
+    AArch64_SUBSXrx	= 1954,
+    AArch64_SUBSXrx64	= 1955,
+    AArch64_SUBWri	= 1956,
+    AArch64_SUBWrr	= 1957,
+    AArch64_SUBWrs	= 1958,
+    AArch64_SUBWrx	= 1959,
+    AArch64_SUBXri	= 1960,
+    AArch64_SUBXrr	= 1961,
+    AArch64_SUBXrs	= 1962,
+    AArch64_SUBXrx	= 1963,
+    AArch64_SUBXrx64	= 1964,
+    AArch64_SUBv16i8	= 1965,
+    AArch64_SUBv1i64	= 1966,
+    AArch64_SUBv2i32	= 1967,
+    AArch64_SUBv2i64	= 1968,
+    AArch64_SUBv4i16	= 1969,
+    AArch64_SUBv4i32	= 1970,
+    AArch64_SUBv8i16	= 1971,
+    AArch64_SUBv8i8	= 1972,
+    AArch64_SUQADDv16i8	= 1973,
+    AArch64_SUQADDv1i16	= 1974,
+    AArch64_SUQADDv1i32	= 1975,
+    AArch64_SUQADDv1i64	= 1976,
+    AArch64_SUQADDv1i8	= 1977,
+    AArch64_SUQADDv2i32	= 1978,
+    AArch64_SUQADDv2i64	= 1979,
+    AArch64_SUQADDv4i16	= 1980,
+    AArch64_SUQADDv4i32	= 1981,
+    AArch64_SUQADDv8i16	= 1982,
+    AArch64_SUQADDv8i8	= 1983,
+    AArch64_SVC	= 1984,
+    AArch64_SYSLxt	= 1985,
+    AArch64_SYSxt	= 1986,
+    AArch64_TBLv16i8Four	= 1987,
+    AArch64_TBLv16i8One	= 1988,
+    AArch64_TBLv16i8Three	= 1989,
+    AArch64_TBLv16i8Two	= 1990,
+    AArch64_TBLv8i8Four	= 1991,
+    AArch64_TBLv8i8One	= 1992,
+    AArch64_TBLv8i8Three	= 1993,
+    AArch64_TBLv8i8Two	= 1994,
+    AArch64_TBNZW	= 1995,
+    AArch64_TBNZX	= 1996,
+    AArch64_TBXv16i8Four	= 1997,
+    AArch64_TBXv16i8One	= 1998,
+    AArch64_TBXv16i8Three	= 1999,
+    AArch64_TBXv16i8Two	= 2000,
+    AArch64_TBXv8i8Four	= 2001,
+    AArch64_TBXv8i8One	= 2002,
+    AArch64_TBXv8i8Three	= 2003,
+    AArch64_TBXv8i8Two	= 2004,
+    AArch64_TBZW	= 2005,
+    AArch64_TBZX	= 2006,
+    AArch64_TCRETURNdi	= 2007,
+    AArch64_TCRETURNri	= 2008,
+    AArch64_TLSDESCCALL	= 2009,
+    AArch64_TLSDESC_BLR	= 2010,
+    AArch64_TRN1v16i8	= 2011,
+    AArch64_TRN1v2i32	= 2012,
+    AArch64_TRN1v2i64	= 2013,
+    AArch64_TRN1v4i16	= 2014,
+    AArch64_TRN1v4i32	= 2015,
+    AArch64_TRN1v8i16	= 2016,
+    AArch64_TRN1v8i8	= 2017,
+    AArch64_TRN2v16i8	= 2018,
+    AArch64_TRN2v2i32	= 2019,
+    AArch64_TRN2v2i64	= 2020,
+    AArch64_TRN2v4i16	= 2021,
+    AArch64_TRN2v4i32	= 2022,
+    AArch64_TRN2v8i16	= 2023,
+    AArch64_TRN2v8i8	= 2024,
+    AArch64_UABALv16i8_v8i16	= 2025,
+    AArch64_UABALv2i32_v2i64	= 2026,
+    AArch64_UABALv4i16_v4i32	= 2027,
+    AArch64_UABALv4i32_v2i64	= 2028,
+    AArch64_UABALv8i16_v4i32	= 2029,
+    AArch64_UABALv8i8_v8i16	= 2030,
+    AArch64_UABAv16i8	= 2031,
+    AArch64_UABAv2i32	= 2032,
+    AArch64_UABAv4i16	= 2033,
+    AArch64_UABAv4i32	= 2034,
+    AArch64_UABAv8i16	= 2035,
+    AArch64_UABAv8i8	= 2036,
+    AArch64_UABDLv16i8_v8i16	= 2037,
+    AArch64_UABDLv2i32_v2i64	= 2038,
+    AArch64_UABDLv4i16_v4i32	= 2039,
+    AArch64_UABDLv4i32_v2i64	= 2040,
+    AArch64_UABDLv8i16_v4i32	= 2041,
+    AArch64_UABDLv8i8_v8i16	= 2042,
+    AArch64_UABDv16i8	= 2043,
+    AArch64_UABDv2i32	= 2044,
+    AArch64_UABDv4i16	= 2045,
+    AArch64_UABDv4i32	= 2046,
+    AArch64_UABDv8i16	= 2047,
+    AArch64_UABDv8i8	= 2048,
+    AArch64_UADALPv16i8_v8i16	= 2049,
+    AArch64_UADALPv2i32_v1i64	= 2050,
+    AArch64_UADALPv4i16_v2i32	= 2051,
+    AArch64_UADALPv4i32_v2i64	= 2052,
+    AArch64_UADALPv8i16_v4i32	= 2053,
+    AArch64_UADALPv8i8_v4i16	= 2054,
+    AArch64_UADDLPv16i8_v8i16	= 2055,
+    AArch64_UADDLPv2i32_v1i64	= 2056,
+    AArch64_UADDLPv4i16_v2i32	= 2057,
+    AArch64_UADDLPv4i32_v2i64	= 2058,
+    AArch64_UADDLPv8i16_v4i32	= 2059,
+    AArch64_UADDLPv8i8_v4i16	= 2060,
+    AArch64_UADDLVv16i8v	= 2061,
+    AArch64_UADDLVv4i16v	= 2062,
+    AArch64_UADDLVv4i32v	= 2063,
+    AArch64_UADDLVv8i16v	= 2064,
+    AArch64_UADDLVv8i8v	= 2065,
+    AArch64_UADDLv16i8_v8i16	= 2066,
+    AArch64_UADDLv2i32_v2i64	= 2067,
+    AArch64_UADDLv4i16_v4i32	= 2068,
+    AArch64_UADDLv4i32_v2i64	= 2069,
+    AArch64_UADDLv8i16_v4i32	= 2070,
+    AArch64_UADDLv8i8_v8i16	= 2071,
+    AArch64_UADDWv16i8_v8i16	= 2072,
+    AArch64_UADDWv2i32_v2i64	= 2073,
+    AArch64_UADDWv4i16_v4i32	= 2074,
+    AArch64_UADDWv4i32_v2i64	= 2075,
+    AArch64_UADDWv8i16_v4i32	= 2076,
+    AArch64_UADDWv8i8_v8i16	= 2077,
+    AArch64_UBFMWri	= 2078,
+    AArch64_UBFMXri	= 2079,
+    AArch64_UCVTFSWDri	= 2080,
+    AArch64_UCVTFSWSri	= 2081,
+    AArch64_UCVTFSXDri	= 2082,
+    AArch64_UCVTFSXSri	= 2083,
+    AArch64_UCVTFUWDri	= 2084,
+    AArch64_UCVTFUWSri	= 2085,
+    AArch64_UCVTFUXDri	= 2086,
+    AArch64_UCVTFUXSri	= 2087,
+    AArch64_UCVTFd	= 2088,
+    AArch64_UCVTFs	= 2089,
+    AArch64_UCVTFv1i32	= 2090,
+    AArch64_UCVTFv1i64	= 2091,
+    AArch64_UCVTFv2f32	= 2092,
+    AArch64_UCVTFv2f64	= 2093,
+    AArch64_UCVTFv2i32_shift	= 2094,
+    AArch64_UCVTFv2i64_shift	= 2095,
+    AArch64_UCVTFv4f32	= 2096,
+    AArch64_UCVTFv4i32_shift	= 2097,
+    AArch64_UDIVWr	= 2098,
+    AArch64_UDIVXr	= 2099,
+    AArch64_UDIV_IntWr	= 2100,
+    AArch64_UDIV_IntXr	= 2101,
+    AArch64_UHADDv16i8	= 2102,
+    AArch64_UHADDv2i32	= 2103,
+    AArch64_UHADDv4i16	= 2104,
+    AArch64_UHADDv4i32	= 2105,
+    AArch64_UHADDv8i16	= 2106,
+    AArch64_UHADDv8i8	= 2107,
+    AArch64_UHSUBv16i8	= 2108,
+    AArch64_UHSUBv2i32	= 2109,
+    AArch64_UHSUBv4i16	= 2110,
+    AArch64_UHSUBv4i32	= 2111,
+    AArch64_UHSUBv8i16	= 2112,
+    AArch64_UHSUBv8i8	= 2113,
+    AArch64_UMADDLrrr	= 2114,
+    AArch64_UMAXPv16i8	= 2115,
+    AArch64_UMAXPv2i32	= 2116,
+    AArch64_UMAXPv4i16	= 2117,
+    AArch64_UMAXPv4i32	= 2118,
+    AArch64_UMAXPv8i16	= 2119,
+    AArch64_UMAXPv8i8	= 2120,
+    AArch64_UMAXVv16i8v	= 2121,
+    AArch64_UMAXVv4i16v	= 2122,
+    AArch64_UMAXVv4i32v	= 2123,
+    AArch64_UMAXVv8i16v	= 2124,
+    AArch64_UMAXVv8i8v	= 2125,
+    AArch64_UMAXv16i8	= 2126,
+    AArch64_UMAXv2i32	= 2127,
+    AArch64_UMAXv4i16	= 2128,
+    AArch64_UMAXv4i32	= 2129,
+    AArch64_UMAXv8i16	= 2130,
+    AArch64_UMAXv8i8	= 2131,
+    AArch64_UMINPv16i8	= 2132,
+    AArch64_UMINPv2i32	= 2133,
+    AArch64_UMINPv4i16	= 2134,
+    AArch64_UMINPv4i32	= 2135,
+    AArch64_UMINPv8i16	= 2136,
+    AArch64_UMINPv8i8	= 2137,
+    AArch64_UMINVv16i8v	= 2138,
+    AArch64_UMINVv4i16v	= 2139,
+    AArch64_UMINVv4i32v	= 2140,
+    AArch64_UMINVv8i16v	= 2141,
+    AArch64_UMINVv8i8v	= 2142,
+    AArch64_UMINv16i8	= 2143,
+    AArch64_UMINv2i32	= 2144,
+    AArch64_UMINv4i16	= 2145,
+    AArch64_UMINv4i32	= 2146,
+    AArch64_UMINv8i16	= 2147,
+    AArch64_UMINv8i8	= 2148,
+    AArch64_UMLALv16i8_v8i16	= 2149,
+    AArch64_UMLALv2i32_indexed	= 2150,
+    AArch64_UMLALv2i32_v2i64	= 2151,
+    AArch64_UMLALv4i16_indexed	= 2152,
+    AArch64_UMLALv4i16_v4i32	= 2153,
+    AArch64_UMLALv4i32_indexed	= 2154,
+    AArch64_UMLALv4i32_v2i64	= 2155,
+    AArch64_UMLALv8i16_indexed	= 2156,
+    AArch64_UMLALv8i16_v4i32	= 2157,
+    AArch64_UMLALv8i8_v8i16	= 2158,
+    AArch64_UMLSLv16i8_v8i16	= 2159,
+    AArch64_UMLSLv2i32_indexed	= 2160,
+    AArch64_UMLSLv2i32_v2i64	= 2161,
+    AArch64_UMLSLv4i16_indexed	= 2162,
+    AArch64_UMLSLv4i16_v4i32	= 2163,
+    AArch64_UMLSLv4i32_indexed	= 2164,
+    AArch64_UMLSLv4i32_v2i64	= 2165,
+    AArch64_UMLSLv8i16_indexed	= 2166,
+    AArch64_UMLSLv8i16_v4i32	= 2167,
+    AArch64_UMLSLv8i8_v8i16	= 2168,
+    AArch64_UMOVvi16	= 2169,
+    AArch64_UMOVvi32	= 2170,
+    AArch64_UMOVvi64	= 2171,
+    AArch64_UMOVvi8	= 2172,
+    AArch64_UMSUBLrrr	= 2173,
+    AArch64_UMULHrr	= 2174,
+    AArch64_UMULLv16i8_v8i16	= 2175,
+    AArch64_UMULLv2i32_indexed	= 2176,
+    AArch64_UMULLv2i32_v2i64	= 2177,
+    AArch64_UMULLv4i16_indexed	= 2178,
+    AArch64_UMULLv4i16_v4i32	= 2179,
+    AArch64_UMULLv4i32_indexed	= 2180,
+    AArch64_UMULLv4i32_v2i64	= 2181,
+    AArch64_UMULLv8i16_indexed	= 2182,
+    AArch64_UMULLv8i16_v4i32	= 2183,
+    AArch64_UMULLv8i8_v8i16	= 2184,
+    AArch64_UQADDv16i8	= 2185,
+    AArch64_UQADDv1i16	= 2186,
+    AArch64_UQADDv1i32	= 2187,
+    AArch64_UQADDv1i64	= 2188,
+    AArch64_UQADDv1i8	= 2189,
+    AArch64_UQADDv2i32	= 2190,
+    AArch64_UQADDv2i64	= 2191,
+    AArch64_UQADDv4i16	= 2192,
+    AArch64_UQADDv4i32	= 2193,
+    AArch64_UQADDv8i16	= 2194,
+    AArch64_UQADDv8i8	= 2195,
+    AArch64_UQRSHLv16i8	= 2196,
+    AArch64_UQRSHLv1i16	= 2197,
+    AArch64_UQRSHLv1i32	= 2198,
+    AArch64_UQRSHLv1i64	= 2199,
+    AArch64_UQRSHLv1i8	= 2200,
+    AArch64_UQRSHLv2i32	= 2201,
+    AArch64_UQRSHLv2i64	= 2202,
+    AArch64_UQRSHLv4i16	= 2203,
+    AArch64_UQRSHLv4i32	= 2204,
+    AArch64_UQRSHLv8i16	= 2205,
+    AArch64_UQRSHLv8i8	= 2206,
+    AArch64_UQRSHRNb	= 2207,
+    AArch64_UQRSHRNh	= 2208,
+    AArch64_UQRSHRNs	= 2209,
+    AArch64_UQRSHRNv16i8_shift	= 2210,
+    AArch64_UQRSHRNv2i32_shift	= 2211,
+    AArch64_UQRSHRNv4i16_shift	= 2212,
+    AArch64_UQRSHRNv4i32_shift	= 2213,
+    AArch64_UQRSHRNv8i16_shift	= 2214,
+    AArch64_UQRSHRNv8i8_shift	= 2215,
+    AArch64_UQSHLb	= 2216,
+    AArch64_UQSHLd	= 2217,
+    AArch64_UQSHLh	= 2218,
+    AArch64_UQSHLs	= 2219,
+    AArch64_UQSHLv16i8	= 2220,
+    AArch64_UQSHLv16i8_shift	= 2221,
+    AArch64_UQSHLv1i16	= 2222,
+    AArch64_UQSHLv1i32	= 2223,
+    AArch64_UQSHLv1i64	= 2224,
+    AArch64_UQSHLv1i8	= 2225,
+    AArch64_UQSHLv2i32	= 2226,
+    AArch64_UQSHLv2i32_shift	= 2227,
+    AArch64_UQSHLv2i64	= 2228,
+    AArch64_UQSHLv2i64_shift	= 2229,
+    AArch64_UQSHLv4i16	= 2230,
+    AArch64_UQSHLv4i16_shift	= 2231,
+    AArch64_UQSHLv4i32	= 2232,
+    AArch64_UQSHLv4i32_shift	= 2233,
+    AArch64_UQSHLv8i16	= 2234,
+    AArch64_UQSHLv8i16_shift	= 2235,
+    AArch64_UQSHLv8i8	= 2236,
+    AArch64_UQSHLv8i8_shift	= 2237,
+    AArch64_UQSHRNb	= 2238,
+    AArch64_UQSHRNh	= 2239,
+    AArch64_UQSHRNs	= 2240,
+    AArch64_UQSHRNv16i8_shift	= 2241,
+    AArch64_UQSHRNv2i32_shift	= 2242,
+    AArch64_UQSHRNv4i16_shift	= 2243,
+    AArch64_UQSHRNv4i32_shift	= 2244,
+    AArch64_UQSHRNv8i16_shift	= 2245,
+    AArch64_UQSHRNv8i8_shift	= 2246,
+    AArch64_UQSUBv16i8	= 2247,
+    AArch64_UQSUBv1i16	= 2248,
+    AArch64_UQSUBv1i32	= 2249,
+    AArch64_UQSUBv1i64	= 2250,
+    AArch64_UQSUBv1i8	= 2251,
+    AArch64_UQSUBv2i32	= 2252,
+    AArch64_UQSUBv2i64	= 2253,
+    AArch64_UQSUBv4i16	= 2254,
+    AArch64_UQSUBv4i32	= 2255,
+    AArch64_UQSUBv8i16	= 2256,
+    AArch64_UQSUBv8i8	= 2257,
+    AArch64_UQXTNv16i8	= 2258,
+    AArch64_UQXTNv1i16	= 2259,
+    AArch64_UQXTNv1i32	= 2260,
+    AArch64_UQXTNv1i8	= 2261,
+    AArch64_UQXTNv2i32	= 2262,
+    AArch64_UQXTNv4i16	= 2263,
+    AArch64_UQXTNv4i32	= 2264,
+    AArch64_UQXTNv8i16	= 2265,
+    AArch64_UQXTNv8i8	= 2266,
+    AArch64_URECPEv2i32	= 2267,
+    AArch64_URECPEv4i32	= 2268,
+    AArch64_URHADDv16i8	= 2269,
+    AArch64_URHADDv2i32	= 2270,
+    AArch64_URHADDv4i16	= 2271,
+    AArch64_URHADDv4i32	= 2272,
+    AArch64_URHADDv8i16	= 2273,
+    AArch64_URHADDv8i8	= 2274,
+    AArch64_URSHLv16i8	= 2275,
+    AArch64_URSHLv1i64	= 2276,
+    AArch64_URSHLv2i32	= 2277,
+    AArch64_URSHLv2i64	= 2278,
+    AArch64_URSHLv4i16	= 2279,
+    AArch64_URSHLv4i32	= 2280,
+    AArch64_URSHLv8i16	= 2281,
+    AArch64_URSHLv8i8	= 2282,
+    AArch64_URSHRd	= 2283,
+    AArch64_URSHRv16i8_shift	= 2284,
+    AArch64_URSHRv2i32_shift	= 2285,
+    AArch64_URSHRv2i64_shift	= 2286,
+    AArch64_URSHRv4i16_shift	= 2287,
+    AArch64_URSHRv4i32_shift	= 2288,
+    AArch64_URSHRv8i16_shift	= 2289,
+    AArch64_URSHRv8i8_shift	= 2290,
+    AArch64_URSQRTEv2i32	= 2291,
+    AArch64_URSQRTEv4i32	= 2292,
+    AArch64_URSRAd	= 2293,
+    AArch64_URSRAv16i8_shift	= 2294,
+    AArch64_URSRAv2i32_shift	= 2295,
+    AArch64_URSRAv2i64_shift	= 2296,
+    AArch64_URSRAv4i16_shift	= 2297,
+    AArch64_URSRAv4i32_shift	= 2298,
+    AArch64_URSRAv8i16_shift	= 2299,
+    AArch64_URSRAv8i8_shift	= 2300,
+    AArch64_USHLLv16i8_shift	= 2301,
+    AArch64_USHLLv2i32_shift	= 2302,
+    AArch64_USHLLv4i16_shift	= 2303,
+    AArch64_USHLLv4i32_shift	= 2304,
+    AArch64_USHLLv8i16_shift	= 2305,
+    AArch64_USHLLv8i8_shift	= 2306,
+    AArch64_USHLv16i8	= 2307,
+    AArch64_USHLv1i64	= 2308,
+    AArch64_USHLv2i32	= 2309,
+    AArch64_USHLv2i64	= 2310,
+    AArch64_USHLv4i16	= 2311,
+    AArch64_USHLv4i32	= 2312,
+    AArch64_USHLv8i16	= 2313,
+    AArch64_USHLv8i8	= 2314,
+    AArch64_USHRd	= 2315,
+    AArch64_USHRv16i8_shift	= 2316,
+    AArch64_USHRv2i32_shift	= 2317,
+    AArch64_USHRv2i64_shift	= 2318,
+    AArch64_USHRv4i16_shift	= 2319,
+    AArch64_USHRv4i32_shift	= 2320,
+    AArch64_USHRv8i16_shift	= 2321,
+    AArch64_USHRv8i8_shift	= 2322,
+    AArch64_USQADDv16i8	= 2323,
+    AArch64_USQADDv1i16	= 2324,
+    AArch64_USQADDv1i32	= 2325,
+    AArch64_USQADDv1i64	= 2326,
+    AArch64_USQADDv1i8	= 2327,
+    AArch64_USQADDv2i32	= 2328,
+    AArch64_USQADDv2i64	= 2329,
+    AArch64_USQADDv4i16	= 2330,
+    AArch64_USQADDv4i32	= 2331,
+    AArch64_USQADDv8i16	= 2332,
+    AArch64_USQADDv8i8	= 2333,
+    AArch64_USRAd	= 2334,
+    AArch64_USRAv16i8_shift	= 2335,
+    AArch64_USRAv2i32_shift	= 2336,
+    AArch64_USRAv2i64_shift	= 2337,
+    AArch64_USRAv4i16_shift	= 2338,
+    AArch64_USRAv4i32_shift	= 2339,
+    AArch64_USRAv8i16_shift	= 2340,
+    AArch64_USRAv8i8_shift	= 2341,
+    AArch64_USUBLv16i8_v8i16	= 2342,
+    AArch64_USUBLv2i32_v2i64	= 2343,
+    AArch64_USUBLv4i16_v4i32	= 2344,
+    AArch64_USUBLv4i32_v2i64	= 2345,
+    AArch64_USUBLv8i16_v4i32	= 2346,
+    AArch64_USUBLv8i8_v8i16	= 2347,
+    AArch64_USUBWv16i8_v8i16	= 2348,
+    AArch64_USUBWv2i32_v2i64	= 2349,
+    AArch64_USUBWv4i16_v4i32	= 2350,
+    AArch64_USUBWv4i32_v2i64	= 2351,
+    AArch64_USUBWv8i16_v4i32	= 2352,
+    AArch64_USUBWv8i8_v8i16	= 2353,
+    AArch64_UZP1v16i8	= 2354,
+    AArch64_UZP1v2i32	= 2355,
+    AArch64_UZP1v2i64	= 2356,
+    AArch64_UZP1v4i16	= 2357,
+    AArch64_UZP1v4i32	= 2358,
+    AArch64_UZP1v8i16	= 2359,
+    AArch64_UZP1v8i8	= 2360,
+    AArch64_UZP2v16i8	= 2361,
+    AArch64_UZP2v2i32	= 2362,
+    AArch64_UZP2v2i64	= 2363,
+    AArch64_UZP2v4i16	= 2364,
+    AArch64_UZP2v4i32	= 2365,
+    AArch64_UZP2v8i16	= 2366,
+    AArch64_UZP2v8i8	= 2367,
+    AArch64_XTNv16i8	= 2368,
+    AArch64_XTNv2i32	= 2369,
+    AArch64_XTNv4i16	= 2370,
+    AArch64_XTNv4i32	= 2371,
+    AArch64_XTNv8i16	= 2372,
+    AArch64_XTNv8i8	= 2373,
+    AArch64_ZIP1v16i8	= 2374,
+    AArch64_ZIP1v2i32	= 2375,
+    AArch64_ZIP1v2i64	= 2376,
+    AArch64_ZIP1v4i16	= 2377,
+    AArch64_ZIP1v4i32	= 2378,
+    AArch64_ZIP1v8i16	= 2379,
+    AArch64_ZIP1v8i8	= 2380,
+    AArch64_ZIP2v16i8	= 2381,
+    AArch64_ZIP2v2i32	= 2382,
+    AArch64_ZIP2v2i64	= 2383,
+    AArch64_ZIP2v4i16	= 2384,
+    AArch64_ZIP2v4i32	= 2385,
+    AArch64_ZIP2v8i16	= 2386,
+    AArch64_ZIP2v8i8	= 2387,
+    AArch64_INSTRUCTION_LIST_END = 2388
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/AArch64/AArch64GenRegisterInfo.inc b/arch/AArch64/AArch64GenRegisterInfo.inc
index 5417d07..a1e29e7 100644
--- a/arch/AArch64/AArch64GenRegisterInfo.inc
+++ b/arch/AArch64/AArch64GenRegisterInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_ENUM
@@ -15,233 +15,233 @@
 
 enum {
   AArch64_NoRegister,
-  AArch64_NZCV = 1,
-  AArch64_WSP = 2,
-  AArch64_WZR = 3,
-  AArch64_XSP = 4,
-  AArch64_XZR = 5,
-  AArch64_B0 = 6,
-  AArch64_B1 = 7,
-  AArch64_B2 = 8,
-  AArch64_B3 = 9,
-  AArch64_B4 = 10,
-  AArch64_B5 = 11,
-  AArch64_B6 = 12,
-  AArch64_B7 = 13,
-  AArch64_B8 = 14,
-  AArch64_B9 = 15,
-  AArch64_B10 = 16,
-  AArch64_B11 = 17,
-  AArch64_B12 = 18,
-  AArch64_B13 = 19,
-  AArch64_B14 = 20,
-  AArch64_B15 = 21,
-  AArch64_B16 = 22,
-  AArch64_B17 = 23,
-  AArch64_B18 = 24,
-  AArch64_B19 = 25,
-  AArch64_B20 = 26,
-  AArch64_B21 = 27,
-  AArch64_B22 = 28,
-  AArch64_B23 = 29,
-  AArch64_B24 = 30,
-  AArch64_B25 = 31,
-  AArch64_B26 = 32,
-  AArch64_B27 = 33,
-  AArch64_B28 = 34,
-  AArch64_B29 = 35,
-  AArch64_B30 = 36,
-  AArch64_B31 = 37,
-  AArch64_D0 = 38,
-  AArch64_D1 = 39,
-  AArch64_D2 = 40,
-  AArch64_D3 = 41,
-  AArch64_D4 = 42,
-  AArch64_D5 = 43,
-  AArch64_D6 = 44,
-  AArch64_D7 = 45,
-  AArch64_D8 = 46,
-  AArch64_D9 = 47,
-  AArch64_D10 = 48,
-  AArch64_D11 = 49,
-  AArch64_D12 = 50,
-  AArch64_D13 = 51,
-  AArch64_D14 = 52,
-  AArch64_D15 = 53,
-  AArch64_D16 = 54,
-  AArch64_D17 = 55,
-  AArch64_D18 = 56,
-  AArch64_D19 = 57,
-  AArch64_D20 = 58,
-  AArch64_D21 = 59,
-  AArch64_D22 = 60,
-  AArch64_D23 = 61,
-  AArch64_D24 = 62,
-  AArch64_D25 = 63,
-  AArch64_D26 = 64,
-  AArch64_D27 = 65,
-  AArch64_D28 = 66,
-  AArch64_D29 = 67,
-  AArch64_D30 = 68,
-  AArch64_D31 = 69,
-  AArch64_H0 = 70,
-  AArch64_H1 = 71,
-  AArch64_H2 = 72,
-  AArch64_H3 = 73,
-  AArch64_H4 = 74,
-  AArch64_H5 = 75,
-  AArch64_H6 = 76,
-  AArch64_H7 = 77,
-  AArch64_H8 = 78,
-  AArch64_H9 = 79,
-  AArch64_H10 = 80,
-  AArch64_H11 = 81,
-  AArch64_H12 = 82,
-  AArch64_H13 = 83,
-  AArch64_H14 = 84,
-  AArch64_H15 = 85,
-  AArch64_H16 = 86,
-  AArch64_H17 = 87,
-  AArch64_H18 = 88,
-  AArch64_H19 = 89,
-  AArch64_H20 = 90,
-  AArch64_H21 = 91,
-  AArch64_H22 = 92,
-  AArch64_H23 = 93,
-  AArch64_H24 = 94,
-  AArch64_H25 = 95,
-  AArch64_H26 = 96,
-  AArch64_H27 = 97,
-  AArch64_H28 = 98,
-  AArch64_H29 = 99,
-  AArch64_H30 = 100,
-  AArch64_H31 = 101,
-  AArch64_Q0 = 102,
-  AArch64_Q1 = 103,
-  AArch64_Q2 = 104,
-  AArch64_Q3 = 105,
-  AArch64_Q4 = 106,
-  AArch64_Q5 = 107,
-  AArch64_Q6 = 108,
-  AArch64_Q7 = 109,
-  AArch64_Q8 = 110,
-  AArch64_Q9 = 111,
-  AArch64_Q10 = 112,
-  AArch64_Q11 = 113,
-  AArch64_Q12 = 114,
-  AArch64_Q13 = 115,
-  AArch64_Q14 = 116,
-  AArch64_Q15 = 117,
-  AArch64_Q16 = 118,
-  AArch64_Q17 = 119,
-  AArch64_Q18 = 120,
-  AArch64_Q19 = 121,
-  AArch64_Q20 = 122,
-  AArch64_Q21 = 123,
-  AArch64_Q22 = 124,
-  AArch64_Q23 = 125,
-  AArch64_Q24 = 126,
-  AArch64_Q25 = 127,
-  AArch64_Q26 = 128,
-  AArch64_Q27 = 129,
-  AArch64_Q28 = 130,
-  AArch64_Q29 = 131,
-  AArch64_Q30 = 132,
-  AArch64_Q31 = 133,
-  AArch64_S0 = 134,
-  AArch64_S1 = 135,
-  AArch64_S2 = 136,
-  AArch64_S3 = 137,
-  AArch64_S4 = 138,
-  AArch64_S5 = 139,
-  AArch64_S6 = 140,
-  AArch64_S7 = 141,
-  AArch64_S8 = 142,
-  AArch64_S9 = 143,
-  AArch64_S10 = 144,
-  AArch64_S11 = 145,
-  AArch64_S12 = 146,
-  AArch64_S13 = 147,
-  AArch64_S14 = 148,
-  AArch64_S15 = 149,
-  AArch64_S16 = 150,
-  AArch64_S17 = 151,
-  AArch64_S18 = 152,
-  AArch64_S19 = 153,
-  AArch64_S20 = 154,
-  AArch64_S21 = 155,
-  AArch64_S22 = 156,
-  AArch64_S23 = 157,
-  AArch64_S24 = 158,
-  AArch64_S25 = 159,
-  AArch64_S26 = 160,
-  AArch64_S27 = 161,
-  AArch64_S28 = 162,
-  AArch64_S29 = 163,
-  AArch64_S30 = 164,
-  AArch64_S31 = 165,
-  AArch64_W0 = 166,
-  AArch64_W1 = 167,
-  AArch64_W2 = 168,
-  AArch64_W3 = 169,
-  AArch64_W4 = 170,
-  AArch64_W5 = 171,
-  AArch64_W6 = 172,
-  AArch64_W7 = 173,
-  AArch64_W8 = 174,
-  AArch64_W9 = 175,
-  AArch64_W10 = 176,
-  AArch64_W11 = 177,
-  AArch64_W12 = 178,
-  AArch64_W13 = 179,
-  AArch64_W14 = 180,
-  AArch64_W15 = 181,
-  AArch64_W16 = 182,
-  AArch64_W17 = 183,
-  AArch64_W18 = 184,
-  AArch64_W19 = 185,
-  AArch64_W20 = 186,
-  AArch64_W21 = 187,
-  AArch64_W22 = 188,
-  AArch64_W23 = 189,
-  AArch64_W24 = 190,
-  AArch64_W25 = 191,
-  AArch64_W26 = 192,
-  AArch64_W27 = 193,
-  AArch64_W28 = 194,
-  AArch64_W29 = 195,
-  AArch64_W30 = 196,
-  AArch64_X0 = 197,
-  AArch64_X1 = 198,
-  AArch64_X2 = 199,
-  AArch64_X3 = 200,
-  AArch64_X4 = 201,
-  AArch64_X5 = 202,
-  AArch64_X6 = 203,
-  AArch64_X7 = 204,
-  AArch64_X8 = 205,
-  AArch64_X9 = 206,
-  AArch64_X10 = 207,
-  AArch64_X11 = 208,
-  AArch64_X12 = 209,
-  AArch64_X13 = 210,
-  AArch64_X14 = 211,
-  AArch64_X15 = 212,
-  AArch64_X16 = 213,
-  AArch64_X17 = 214,
-  AArch64_X18 = 215,
-  AArch64_X19 = 216,
-  AArch64_X20 = 217,
-  AArch64_X21 = 218,
-  AArch64_X22 = 219,
-  AArch64_X23 = 220,
-  AArch64_X24 = 221,
-  AArch64_X25 = 222,
-  AArch64_X26 = 223,
-  AArch64_X27 = 224,
-  AArch64_X28 = 225,
-  AArch64_X29 = 226,
-  AArch64_X30 = 227,
+  AArch64_FP = 1,
+  AArch64_LR = 2,
+  AArch64_NZCV = 3,
+  AArch64_SP = 4,
+  AArch64_WSP = 5,
+  AArch64_WZR = 6,
+  AArch64_XZR = 7,
+  AArch64_B0 = 8,
+  AArch64_B1 = 9,
+  AArch64_B2 = 10,
+  AArch64_B3 = 11,
+  AArch64_B4 = 12,
+  AArch64_B5 = 13,
+  AArch64_B6 = 14,
+  AArch64_B7 = 15,
+  AArch64_B8 = 16,
+  AArch64_B9 = 17,
+  AArch64_B10 = 18,
+  AArch64_B11 = 19,
+  AArch64_B12 = 20,
+  AArch64_B13 = 21,
+  AArch64_B14 = 22,
+  AArch64_B15 = 23,
+  AArch64_B16 = 24,
+  AArch64_B17 = 25,
+  AArch64_B18 = 26,
+  AArch64_B19 = 27,
+  AArch64_B20 = 28,
+  AArch64_B21 = 29,
+  AArch64_B22 = 30,
+  AArch64_B23 = 31,
+  AArch64_B24 = 32,
+  AArch64_B25 = 33,
+  AArch64_B26 = 34,
+  AArch64_B27 = 35,
+  AArch64_B28 = 36,
+  AArch64_B29 = 37,
+  AArch64_B30 = 38,
+  AArch64_B31 = 39,
+  AArch64_D0 = 40,
+  AArch64_D1 = 41,
+  AArch64_D2 = 42,
+  AArch64_D3 = 43,
+  AArch64_D4 = 44,
+  AArch64_D5 = 45,
+  AArch64_D6 = 46,
+  AArch64_D7 = 47,
+  AArch64_D8 = 48,
+  AArch64_D9 = 49,
+  AArch64_D10 = 50,
+  AArch64_D11 = 51,
+  AArch64_D12 = 52,
+  AArch64_D13 = 53,
+  AArch64_D14 = 54,
+  AArch64_D15 = 55,
+  AArch64_D16 = 56,
+  AArch64_D17 = 57,
+  AArch64_D18 = 58,
+  AArch64_D19 = 59,
+  AArch64_D20 = 60,
+  AArch64_D21 = 61,
+  AArch64_D22 = 62,
+  AArch64_D23 = 63,
+  AArch64_D24 = 64,
+  AArch64_D25 = 65,
+  AArch64_D26 = 66,
+  AArch64_D27 = 67,
+  AArch64_D28 = 68,
+  AArch64_D29 = 69,
+  AArch64_D30 = 70,
+  AArch64_D31 = 71,
+  AArch64_H0 = 72,
+  AArch64_H1 = 73,
+  AArch64_H2 = 74,
+  AArch64_H3 = 75,
+  AArch64_H4 = 76,
+  AArch64_H5 = 77,
+  AArch64_H6 = 78,
+  AArch64_H7 = 79,
+  AArch64_H8 = 80,
+  AArch64_H9 = 81,
+  AArch64_H10 = 82,
+  AArch64_H11 = 83,
+  AArch64_H12 = 84,
+  AArch64_H13 = 85,
+  AArch64_H14 = 86,
+  AArch64_H15 = 87,
+  AArch64_H16 = 88,
+  AArch64_H17 = 89,
+  AArch64_H18 = 90,
+  AArch64_H19 = 91,
+  AArch64_H20 = 92,
+  AArch64_H21 = 93,
+  AArch64_H22 = 94,
+  AArch64_H23 = 95,
+  AArch64_H24 = 96,
+  AArch64_H25 = 97,
+  AArch64_H26 = 98,
+  AArch64_H27 = 99,
+  AArch64_H28 = 100,
+  AArch64_H29 = 101,
+  AArch64_H30 = 102,
+  AArch64_H31 = 103,
+  AArch64_Q0 = 104,
+  AArch64_Q1 = 105,
+  AArch64_Q2 = 106,
+  AArch64_Q3 = 107,
+  AArch64_Q4 = 108,
+  AArch64_Q5 = 109,
+  AArch64_Q6 = 110,
+  AArch64_Q7 = 111,
+  AArch64_Q8 = 112,
+  AArch64_Q9 = 113,
+  AArch64_Q10 = 114,
+  AArch64_Q11 = 115,
+  AArch64_Q12 = 116,
+  AArch64_Q13 = 117,
+  AArch64_Q14 = 118,
+  AArch64_Q15 = 119,
+  AArch64_Q16 = 120,
+  AArch64_Q17 = 121,
+  AArch64_Q18 = 122,
+  AArch64_Q19 = 123,
+  AArch64_Q20 = 124,
+  AArch64_Q21 = 125,
+  AArch64_Q22 = 126,
+  AArch64_Q23 = 127,
+  AArch64_Q24 = 128,
+  AArch64_Q25 = 129,
+  AArch64_Q26 = 130,
+  AArch64_Q27 = 131,
+  AArch64_Q28 = 132,
+  AArch64_Q29 = 133,
+  AArch64_Q30 = 134,
+  AArch64_Q31 = 135,
+  AArch64_S0 = 136,
+  AArch64_S1 = 137,
+  AArch64_S2 = 138,
+  AArch64_S3 = 139,
+  AArch64_S4 = 140,
+  AArch64_S5 = 141,
+  AArch64_S6 = 142,
+  AArch64_S7 = 143,
+  AArch64_S8 = 144,
+  AArch64_S9 = 145,
+  AArch64_S10 = 146,
+  AArch64_S11 = 147,
+  AArch64_S12 = 148,
+  AArch64_S13 = 149,
+  AArch64_S14 = 150,
+  AArch64_S15 = 151,
+  AArch64_S16 = 152,
+  AArch64_S17 = 153,
+  AArch64_S18 = 154,
+  AArch64_S19 = 155,
+  AArch64_S20 = 156,
+  AArch64_S21 = 157,
+  AArch64_S22 = 158,
+  AArch64_S23 = 159,
+  AArch64_S24 = 160,
+  AArch64_S25 = 161,
+  AArch64_S26 = 162,
+  AArch64_S27 = 163,
+  AArch64_S28 = 164,
+  AArch64_S29 = 165,
+  AArch64_S30 = 166,
+  AArch64_S31 = 167,
+  AArch64_W0 = 168,
+  AArch64_W1 = 169,
+  AArch64_W2 = 170,
+  AArch64_W3 = 171,
+  AArch64_W4 = 172,
+  AArch64_W5 = 173,
+  AArch64_W6 = 174,
+  AArch64_W7 = 175,
+  AArch64_W8 = 176,
+  AArch64_W9 = 177,
+  AArch64_W10 = 178,
+  AArch64_W11 = 179,
+  AArch64_W12 = 180,
+  AArch64_W13 = 181,
+  AArch64_W14 = 182,
+  AArch64_W15 = 183,
+  AArch64_W16 = 184,
+  AArch64_W17 = 185,
+  AArch64_W18 = 186,
+  AArch64_W19 = 187,
+  AArch64_W20 = 188,
+  AArch64_W21 = 189,
+  AArch64_W22 = 190,
+  AArch64_W23 = 191,
+  AArch64_W24 = 192,
+  AArch64_W25 = 193,
+  AArch64_W26 = 194,
+  AArch64_W27 = 195,
+  AArch64_W28 = 196,
+  AArch64_W29 = 197,
+  AArch64_W30 = 198,
+  AArch64_X0 = 199,
+  AArch64_X1 = 200,
+  AArch64_X2 = 201,
+  AArch64_X3 = 202,
+  AArch64_X4 = 203,
+  AArch64_X5 = 204,
+  AArch64_X6 = 205,
+  AArch64_X7 = 206,
+  AArch64_X8 = 207,
+  AArch64_X9 = 208,
+  AArch64_X10 = 209,
+  AArch64_X11 = 210,
+  AArch64_X12 = 211,
+  AArch64_X13 = 212,
+  AArch64_X14 = 213,
+  AArch64_X15 = 214,
+  AArch64_X16 = 215,
+  AArch64_X17 = 216,
+  AArch64_X18 = 217,
+  AArch64_X19 = 218,
+  AArch64_X20 = 219,
+  AArch64_X21 = 220,
+  AArch64_X22 = 221,
+  AArch64_X23 = 222,
+  AArch64_X24 = 223,
+  AArch64_X25 = 224,
+  AArch64_X26 = 225,
+  AArch64_X27 = 226,
+  AArch64_X28 = 227,
   AArch64_D0_D1 = 228,
   AArch64_D1_D2 = 229,
   AArch64_D2_D3 = 230,
@@ -274,38 +274,38 @@
   AArch64_D29_D30 = 257,
   AArch64_D30_D31 = 258,
   AArch64_D31_D0 = 259,
-  AArch64_Q0_Q1 = 260,
-  AArch64_Q1_Q2 = 261,
-  AArch64_Q2_Q3 = 262,
-  AArch64_Q3_Q4 = 263,
-  AArch64_Q4_Q5 = 264,
-  AArch64_Q5_Q6 = 265,
-  AArch64_Q6_Q7 = 266,
-  AArch64_Q7_Q8 = 267,
-  AArch64_Q8_Q9 = 268,
-  AArch64_Q9_Q10 = 269,
-  AArch64_Q10_Q11 = 270,
-  AArch64_Q11_Q12 = 271,
-  AArch64_Q12_Q13 = 272,
-  AArch64_Q13_Q14 = 273,
-  AArch64_Q14_Q15 = 274,
-  AArch64_Q15_Q16 = 275,
-  AArch64_Q16_Q17 = 276,
-  AArch64_Q17_Q18 = 277,
-  AArch64_Q18_Q19 = 278,
-  AArch64_Q19_Q20 = 279,
-  AArch64_Q20_Q21 = 280,
-  AArch64_Q21_Q22 = 281,
-  AArch64_Q22_Q23 = 282,
-  AArch64_Q23_Q24 = 283,
-  AArch64_Q24_Q25 = 284,
-  AArch64_Q25_Q26 = 285,
-  AArch64_Q26_Q27 = 286,
-  AArch64_Q27_Q28 = 287,
-  AArch64_Q28_Q29 = 288,
-  AArch64_Q29_Q30 = 289,
-  AArch64_Q30_Q31 = 290,
-  AArch64_Q31_Q0 = 291,
+  AArch64_D0_D1_D2_D3 = 260,
+  AArch64_D1_D2_D3_D4 = 261,
+  AArch64_D2_D3_D4_D5 = 262,
+  AArch64_D3_D4_D5_D6 = 263,
+  AArch64_D4_D5_D6_D7 = 264,
+  AArch64_D5_D6_D7_D8 = 265,
+  AArch64_D6_D7_D8_D9 = 266,
+  AArch64_D7_D8_D9_D10 = 267,
+  AArch64_D8_D9_D10_D11 = 268,
+  AArch64_D9_D10_D11_D12 = 269,
+  AArch64_D10_D11_D12_D13 = 270,
+  AArch64_D11_D12_D13_D14 = 271,
+  AArch64_D12_D13_D14_D15 = 272,
+  AArch64_D13_D14_D15_D16 = 273,
+  AArch64_D14_D15_D16_D17 = 274,
+  AArch64_D15_D16_D17_D18 = 275,
+  AArch64_D16_D17_D18_D19 = 276,
+  AArch64_D17_D18_D19_D20 = 277,
+  AArch64_D18_D19_D20_D21 = 278,
+  AArch64_D19_D20_D21_D22 = 279,
+  AArch64_D20_D21_D22_D23 = 280,
+  AArch64_D21_D22_D23_D24 = 281,
+  AArch64_D22_D23_D24_D25 = 282,
+  AArch64_D23_D24_D25_D26 = 283,
+  AArch64_D24_D25_D26_D27 = 284,
+  AArch64_D25_D26_D27_D28 = 285,
+  AArch64_D26_D27_D28_D29 = 286,
+  AArch64_D27_D28_D29_D30 = 287,
+  AArch64_D28_D29_D30_D31 = 288,
+  AArch64_D29_D30_D31_D0 = 289,
+  AArch64_D30_D31_D0_D1 = 290,
+  AArch64_D31_D0_D1_D2 = 291,
   AArch64_D0_D1_D2 = 292,
   AArch64_D1_D2_D3 = 293,
   AArch64_D2_D3_D4 = 294,
@@ -338,102 +338,102 @@
   AArch64_D29_D30_D31 = 321,
   AArch64_D30_D31_D0 = 322,
   AArch64_D31_D0_D1 = 323,
-  AArch64_Q0_Q1_Q2 = 324,
-  AArch64_Q1_Q2_Q3 = 325,
-  AArch64_Q2_Q3_Q4 = 326,
-  AArch64_Q3_Q4_Q5 = 327,
-  AArch64_Q4_Q5_Q6 = 328,
-  AArch64_Q5_Q6_Q7 = 329,
-  AArch64_Q6_Q7_Q8 = 330,
-  AArch64_Q7_Q8_Q9 = 331,
-  AArch64_Q8_Q9_Q10 = 332,
-  AArch64_Q9_Q10_Q11 = 333,
-  AArch64_Q10_Q11_Q12 = 334,
-  AArch64_Q11_Q12_Q13 = 335,
-  AArch64_Q12_Q13_Q14 = 336,
-  AArch64_Q13_Q14_Q15 = 337,
-  AArch64_Q14_Q15_Q16 = 338,
-  AArch64_Q15_Q16_Q17 = 339,
-  AArch64_Q16_Q17_Q18 = 340,
-  AArch64_Q17_Q18_Q19 = 341,
-  AArch64_Q18_Q19_Q20 = 342,
-  AArch64_Q19_Q20_Q21 = 343,
-  AArch64_Q20_Q21_Q22 = 344,
-  AArch64_Q21_Q22_Q23 = 345,
-  AArch64_Q22_Q23_Q24 = 346,
-  AArch64_Q23_Q24_Q25 = 347,
-  AArch64_Q24_Q25_Q26 = 348,
-  AArch64_Q25_Q26_Q27 = 349,
-  AArch64_Q26_Q27_Q28 = 350,
-  AArch64_Q27_Q28_Q29 = 351,
-  AArch64_Q28_Q29_Q30 = 352,
-  AArch64_Q29_Q30_Q31 = 353,
-  AArch64_Q30_Q31_Q0 = 354,
-  AArch64_Q31_Q0_Q1 = 355,
-  AArch64_D0_D1_D2_D3 = 356,
-  AArch64_D1_D2_D3_D4 = 357,
-  AArch64_D2_D3_D4_D5 = 358,
-  AArch64_D3_D4_D5_D6 = 359,
-  AArch64_D4_D5_D6_D7 = 360,
-  AArch64_D5_D6_D7_D8 = 361,
-  AArch64_D6_D7_D8_D9 = 362,
-  AArch64_D7_D8_D9_D10 = 363,
-  AArch64_D8_D9_D10_D11 = 364,
-  AArch64_D9_D10_D11_D12 = 365,
-  AArch64_D10_D11_D12_D13 = 366,
-  AArch64_D11_D12_D13_D14 = 367,
-  AArch64_D12_D13_D14_D15 = 368,
-  AArch64_D13_D14_D15_D16 = 369,
-  AArch64_D14_D15_D16_D17 = 370,
-  AArch64_D15_D16_D17_D18 = 371,
-  AArch64_D16_D17_D18_D19 = 372,
-  AArch64_D17_D18_D19_D20 = 373,
-  AArch64_D18_D19_D20_D21 = 374,
-  AArch64_D19_D20_D21_D22 = 375,
-  AArch64_D20_D21_D22_D23 = 376,
-  AArch64_D21_D22_D23_D24 = 377,
-  AArch64_D22_D23_D24_D25 = 378,
-  AArch64_D23_D24_D25_D26 = 379,
-  AArch64_D24_D25_D26_D27 = 380,
-  AArch64_D25_D26_D27_D28 = 381,
-  AArch64_D26_D27_D28_D29 = 382,
-  AArch64_D27_D28_D29_D30 = 383,
-  AArch64_D28_D29_D30_D31 = 384,
-  AArch64_D29_D30_D31_D0 = 385,
-  AArch64_D30_D31_D0_D1 = 386,
-  AArch64_D31_D0_D1_D2 = 387,
-  AArch64_Q0_Q1_Q2_Q3 = 388,
-  AArch64_Q1_Q2_Q3_Q4 = 389,
-  AArch64_Q2_Q3_Q4_Q5 = 390,
-  AArch64_Q3_Q4_Q5_Q6 = 391,
-  AArch64_Q4_Q5_Q6_Q7 = 392,
-  AArch64_Q5_Q6_Q7_Q8 = 393,
-  AArch64_Q6_Q7_Q8_Q9 = 394,
-  AArch64_Q7_Q8_Q9_Q10 = 395,
-  AArch64_Q8_Q9_Q10_Q11 = 396,
-  AArch64_Q9_Q10_Q11_Q12 = 397,
-  AArch64_Q10_Q11_Q12_Q13 = 398,
-  AArch64_Q11_Q12_Q13_Q14 = 399,
-  AArch64_Q12_Q13_Q14_Q15 = 400,
-  AArch64_Q13_Q14_Q15_Q16 = 401,
-  AArch64_Q14_Q15_Q16_Q17 = 402,
-  AArch64_Q15_Q16_Q17_Q18 = 403,
-  AArch64_Q16_Q17_Q18_Q19 = 404,
-  AArch64_Q17_Q18_Q19_Q20 = 405,
-  AArch64_Q18_Q19_Q20_Q21 = 406,
-  AArch64_Q19_Q20_Q21_Q22 = 407,
-  AArch64_Q20_Q21_Q22_Q23 = 408,
-  AArch64_Q21_Q22_Q23_Q24 = 409,
-  AArch64_Q22_Q23_Q24_Q25 = 410,
-  AArch64_Q23_Q24_Q25_Q26 = 411,
-  AArch64_Q24_Q25_Q26_Q27 = 412,
-  AArch64_Q25_Q26_Q27_Q28 = 413,
-  AArch64_Q26_Q27_Q28_Q29 = 414,
-  AArch64_Q27_Q28_Q29_Q30 = 415,
-  AArch64_Q28_Q29_Q30_Q31 = 416,
-  AArch64_Q29_Q30_Q31_Q0 = 417,
-  AArch64_Q30_Q31_Q0_Q1 = 418,
-  AArch64_Q31_Q0_Q1_Q2 = 419,
+  AArch64_Q0_Q1 = 324,
+  AArch64_Q1_Q2 = 325,
+  AArch64_Q2_Q3 = 326,
+  AArch64_Q3_Q4 = 327,
+  AArch64_Q4_Q5 = 328,
+  AArch64_Q5_Q6 = 329,
+  AArch64_Q6_Q7 = 330,
+  AArch64_Q7_Q8 = 331,
+  AArch64_Q8_Q9 = 332,
+  AArch64_Q9_Q10 = 333,
+  AArch64_Q10_Q11 = 334,
+  AArch64_Q11_Q12 = 335,
+  AArch64_Q12_Q13 = 336,
+  AArch64_Q13_Q14 = 337,
+  AArch64_Q14_Q15 = 338,
+  AArch64_Q15_Q16 = 339,
+  AArch64_Q16_Q17 = 340,
+  AArch64_Q17_Q18 = 341,
+  AArch64_Q18_Q19 = 342,
+  AArch64_Q19_Q20 = 343,
+  AArch64_Q20_Q21 = 344,
+  AArch64_Q21_Q22 = 345,
+  AArch64_Q22_Q23 = 346,
+  AArch64_Q23_Q24 = 347,
+  AArch64_Q24_Q25 = 348,
+  AArch64_Q25_Q26 = 349,
+  AArch64_Q26_Q27 = 350,
+  AArch64_Q27_Q28 = 351,
+  AArch64_Q28_Q29 = 352,
+  AArch64_Q29_Q30 = 353,
+  AArch64_Q30_Q31 = 354,
+  AArch64_Q31_Q0 = 355,
+  AArch64_Q0_Q1_Q2_Q3 = 356,
+  AArch64_Q1_Q2_Q3_Q4 = 357,
+  AArch64_Q2_Q3_Q4_Q5 = 358,
+  AArch64_Q3_Q4_Q5_Q6 = 359,
+  AArch64_Q4_Q5_Q6_Q7 = 360,
+  AArch64_Q5_Q6_Q7_Q8 = 361,
+  AArch64_Q6_Q7_Q8_Q9 = 362,
+  AArch64_Q7_Q8_Q9_Q10 = 363,
+  AArch64_Q8_Q9_Q10_Q11 = 364,
+  AArch64_Q9_Q10_Q11_Q12 = 365,
+  AArch64_Q10_Q11_Q12_Q13 = 366,
+  AArch64_Q11_Q12_Q13_Q14 = 367,
+  AArch64_Q12_Q13_Q14_Q15 = 368,
+  AArch64_Q13_Q14_Q15_Q16 = 369,
+  AArch64_Q14_Q15_Q16_Q17 = 370,
+  AArch64_Q15_Q16_Q17_Q18 = 371,
+  AArch64_Q16_Q17_Q18_Q19 = 372,
+  AArch64_Q17_Q18_Q19_Q20 = 373,
+  AArch64_Q18_Q19_Q20_Q21 = 374,
+  AArch64_Q19_Q20_Q21_Q22 = 375,
+  AArch64_Q20_Q21_Q22_Q23 = 376,
+  AArch64_Q21_Q22_Q23_Q24 = 377,
+  AArch64_Q22_Q23_Q24_Q25 = 378,
+  AArch64_Q23_Q24_Q25_Q26 = 379,
+  AArch64_Q24_Q25_Q26_Q27 = 380,
+  AArch64_Q25_Q26_Q27_Q28 = 381,
+  AArch64_Q26_Q27_Q28_Q29 = 382,
+  AArch64_Q27_Q28_Q29_Q30 = 383,
+  AArch64_Q28_Q29_Q30_Q31 = 384,
+  AArch64_Q29_Q30_Q31_Q0 = 385,
+  AArch64_Q30_Q31_Q0_Q1 = 386,
+  AArch64_Q31_Q0_Q1_Q2 = 387,
+  AArch64_Q0_Q1_Q2 = 388,
+  AArch64_Q1_Q2_Q3 = 389,
+  AArch64_Q2_Q3_Q4 = 390,
+  AArch64_Q3_Q4_Q5 = 391,
+  AArch64_Q4_Q5_Q6 = 392,
+  AArch64_Q5_Q6_Q7 = 393,
+  AArch64_Q6_Q7_Q8 = 394,
+  AArch64_Q7_Q8_Q9 = 395,
+  AArch64_Q8_Q9_Q10 = 396,
+  AArch64_Q9_Q10_Q11 = 397,
+  AArch64_Q10_Q11_Q12 = 398,
+  AArch64_Q11_Q12_Q13 = 399,
+  AArch64_Q12_Q13_Q14 = 400,
+  AArch64_Q13_Q14_Q15 = 401,
+  AArch64_Q14_Q15_Q16 = 402,
+  AArch64_Q15_Q16_Q17 = 403,
+  AArch64_Q16_Q17_Q18 = 404,
+  AArch64_Q17_Q18_Q19 = 405,
+  AArch64_Q18_Q19_Q20 = 406,
+  AArch64_Q19_Q20_Q21 = 407,
+  AArch64_Q20_Q21_Q22 = 408,
+  AArch64_Q21_Q22_Q23 = 409,
+  AArch64_Q22_Q23_Q24 = 410,
+  AArch64_Q23_Q24_Q25 = 411,
+  AArch64_Q24_Q25_Q26 = 412,
+  AArch64_Q25_Q26_Q27 = 413,
+  AArch64_Q26_Q27_Q28 = 414,
+  AArch64_Q27_Q28_Q29 = 415,
+  AArch64_Q28_Q29_Q30 = 416,
+  AArch64_Q29_Q30_Q31 = 417,
+  AArch64_Q30_Q31_Q0 = 418,
+  AArch64_Q31_Q0_Q1 = 419,
   AArch64_NUM_TARGET_REGS 	// 420
 };
 
@@ -441,121 +441,112 @@
 enum {
   AArch64_FPR8RegClassID = 0,
   AArch64_FPR16RegClassID = 1,
-  AArch64_FPR32RegClassID = 2,
-  AArch64_GPR32RegClassID = 3,
-  AArch64_GPR32wspRegClassID = 4,
-  AArch64_GPR32nowzrRegClassID = 5,
-  AArch64_FlagClassRegClassID = 6,
-  AArch64_RwspRegClassID = 7,
-  AArch64_FPR64RegClassID = 8,
-  AArch64_GPR64RegClassID = 9,
-  AArch64_GPR64xspRegClassID = 10,
-  AArch64_GPR64noxzrRegClassID = 11,
-  AArch64_tcGPR64RegClassID = 12,
-  AArch64_FPR64LoRegClassID = 13,
-  AArch64_RxspRegClassID = 14,
-  AArch64_DPairRegClassID = 15,
-  AArch64_DPair_with_dsub_0_in_FPR64LoRegClassID = 16,
-  AArch64_DPair_with_dsub_1_in_FPR64LoRegClassID = 17,
-  AArch64_DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64LoRegClassID = 18,
-  AArch64_FPR128RegClassID = 19,
-  AArch64_FPR128LoRegClassID = 20,
-  AArch64_DTripleRegClassID = 21,
-  AArch64_DTriple_with_dsub_0_in_FPR64LoRegClassID = 22,
-  AArch64_DTriple_with_dsub_1_in_FPR64LoRegClassID = 23,
-  AArch64_DTriple_with_dsub_2_in_FPR64LoRegClassID = 24,
-  AArch64_DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64LoRegClassID = 25,
-  AArch64_DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoRegClassID = 26,
-  AArch64_DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoRegClassID = 27,
-  AArch64_DQuadRegClassID = 28,
-  AArch64_DQuad_with_dsub_0_in_FPR64LoRegClassID = 29,
-  AArch64_DQuad_with_dsub_1_in_FPR64LoRegClassID = 30,
-  AArch64_DQuad_with_dsub_2_in_FPR64LoRegClassID = 31,
-  AArch64_DQuad_with_dsub_3_in_FPR64LoRegClassID = 32,
-  AArch64_DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64LoRegClassID = 33,
-  AArch64_DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoRegClassID = 34,
-  AArch64_DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoRegClassID = 35,
-  AArch64_DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoRegClassID = 36,
-  AArch64_DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoRegClassID = 37,
-  AArch64_DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoRegClassID = 38,
-  AArch64_QPairRegClassID = 39,
-  AArch64_QPair_with_qsub_0_in_FPR128LoRegClassID = 40,
-  AArch64_QPair_with_qsub_1_in_FPR128LoRegClassID = 41,
-  AArch64_QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128LoRegClassID = 42,
-  AArch64_QTripleRegClassID = 43,
-  AArch64_QTriple_with_qsub_0_in_FPR128LoRegClassID = 44,
-  AArch64_QTriple_with_qsub_1_in_FPR128LoRegClassID = 45,
-  AArch64_QTriple_with_qsub_2_in_FPR128LoRegClassID = 46,
-  AArch64_QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128LoRegClassID = 47,
-  AArch64_QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoRegClassID = 48,
-  AArch64_QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoRegClassID = 49,
-  AArch64_QQuadRegClassID = 50,
-  AArch64_QQuad_with_qsub_0_in_FPR128LoRegClassID = 51,
-  AArch64_QQuad_with_qsub_1_in_FPR128LoRegClassID = 52,
-  AArch64_QQuad_with_qsub_2_in_FPR128LoRegClassID = 53,
-  AArch64_QQuad_with_qsub_3_in_FPR128LoRegClassID = 54,
-  AArch64_QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128LoRegClassID = 55,
-  AArch64_QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoRegClassID = 56,
-  AArch64_QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoRegClassID = 57,
-  AArch64_QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoRegClassID = 58,
-  AArch64_QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoRegClassID = 59,
-  AArch64_QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoRegClassID = 60
+  AArch64_GPR32allRegClassID = 2,
+  AArch64_FPR32RegClassID = 3,
+  AArch64_GPR32RegClassID = 4,
+  AArch64_GPR32spRegClassID = 5,
+  AArch64_GPR32commonRegClassID = 6,
+  AArch64_CCRRegClassID = 7,
+  AArch64_GPR32sponlyRegClassID = 8,
+  AArch64_GPR64allRegClassID = 9,
+  AArch64_FPR64RegClassID = 10,
+  AArch64_GPR64RegClassID = 11,
+  AArch64_GPR64spRegClassID = 12,
+  AArch64_GPR64commonRegClassID = 13,
+  AArch64_tcGPR64RegClassID = 14,
+  AArch64_GPR64sponlyRegClassID = 15,
+  AArch64_DDRegClassID = 16,
+  AArch64_FPR128RegClassID = 17,
+  AArch64_FPR128_loRegClassID = 18,
+  AArch64_DDDRegClassID = 19,
+  AArch64_DDDDRegClassID = 20,
+  AArch64_QQRegClassID = 21,
+  AArch64_QQ_with_qsub0_in_FPR128_loRegClassID = 22,
+  AArch64_QQ_with_qsub1_in_FPR128_loRegClassID = 23,
+  AArch64_QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_loRegClassID = 24,
+  AArch64_QQQRegClassID = 25,
+  AArch64_QQQ_with_qsub0_in_FPR128_loRegClassID = 26,
+  AArch64_QQQ_with_qsub1_in_FPR128_loRegClassID = 27,
+  AArch64_QQQ_with_qsub2_in_FPR128_loRegClassID = 28,
+  AArch64_QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_loRegClassID = 29,
+  AArch64_QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loRegClassID = 30,
+  AArch64_QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loRegClassID = 31,
+  AArch64_QQQQRegClassID = 32,
+  AArch64_QQQQ_with_qsub0_in_FPR128_loRegClassID = 33,
+  AArch64_QQQQ_with_qsub1_in_FPR128_loRegClassID = 34,
+  AArch64_QQQQ_with_qsub2_in_FPR128_loRegClassID = 35,
+  AArch64_QQQQ_with_qsub3_in_FPR128_loRegClassID = 36,
+  AArch64_QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_loRegClassID = 37,
+  AArch64_QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loRegClassID = 38,
+  AArch64_QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loRegClassID = 39,
+  AArch64_QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loRegClassID = 40,
+  AArch64_QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loRegClassID = 41,
+  AArch64_QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loRegClassID = 42
+};
+
+// Register alternate name indices
+enum {
+	AArch64_NoRegAltName, // 0
+	AArch64_vlist1,       // 1
+	AArch64_vreg, // 2
+	AArch64_NUM_TARGET_REG_ALT_NAMES = 3
 };
 
 // Subregister indices
 enum {
   AArch64_NoSubRegister,
-  AArch64_dsub_0,	// 1
-  AArch64_dsub_1,	// 2
-  AArch64_dsub_2,	// 3
-  AArch64_dsub_3,	// 4
-  AArch64_qqsub,	// 5
-  AArch64_qsub_0,	// 6
-  AArch64_qsub_1,	// 7
-  AArch64_qsub_2,	// 8
-  AArch64_qsub_3,	// 9
-  AArch64_sub_8,	// 10
-  AArch64_sub_16,	// 11
-  AArch64_sub_32,	// 12
-  AArch64_sub_64,	// 13
-  AArch64_sub_128,	// 14
-  AArch64_dsub_1_then_sub_8,	// 15
-  AArch64_dsub_1_then_sub_16,	// 16
-  AArch64_dsub_1_then_sub_32,	// 17
-  AArch64_qsub_1_then_sub_8,	// 18
-  AArch64_qsub_1_then_sub_16,	// 19
-  AArch64_qsub_1_then_sub_32,	// 20
-  AArch64_qsub_1_then_sub_64,	// 21
-  AArch64_dsub_2_then_sub_8,	// 22
-  AArch64_dsub_2_then_sub_16,	// 23
-  AArch64_dsub_2_then_sub_32,	// 24
-  AArch64_qsub_2_then_sub_8,	// 25
-  AArch64_qsub_2_then_sub_16,	// 26
-  AArch64_qsub_2_then_sub_32,	// 27
-  AArch64_qsub_2_then_sub_64,	// 28
-  AArch64_dsub_3_then_sub_8,	// 29
-  AArch64_dsub_3_then_sub_16,	// 30
-  AArch64_dsub_3_then_sub_32,	// 31
-  AArch64_qsub_3_then_sub_8,	// 32
-  AArch64_qsub_3_then_sub_16,	// 33
-  AArch64_qsub_3_then_sub_32,	// 34
-  AArch64_qsub_3_then_sub_64,	// 35
-  AArch64_sub_64_qsub_1_then_sub_64,	// 36
-  AArch64_dsub_0_dsub_1,	// 37
-  AArch64_dsub_1_dsub_2,	// 38
-  AArch64_qsub_0_qsub_1,	// 39
-  AArch64_qsub_1_qsub_2,	// 40
-  AArch64_sub_64_qsub_1_then_sub_64_qsub_2_then_sub_64,	// 41
-  AArch64_qsub_1_then_sub_64_qsub_2_then_sub_64,	// 42
-  AArch64_dsub_0_dsub_1_dsub_2,	// 43
-  AArch64_dsub_1_dsub_2_dsub_3,	// 44
-  AArch64_dsub_2_dsub_3,	// 45
-  AArch64_qsub_0_qsub_1_qsub_2,	// 46
-  AArch64_qsub_1_qsub_2_qsub_3,	// 47
-  AArch64_qsub_2_qsub_3,	// 48
-  AArch64_sub_64_qsub_1_then_sub_64_qsub_2_then_sub_64_qsub_3_then_sub_64,	// 49
-  AArch64_qsub_1_then_sub_64_qsub_2_then_sub_64_qsub_3_then_sub_64,	// 50
-  AArch64_qsub_2_then_sub_64_qsub_3_then_sub_64,	// 51
+  AArch64_bsub,	// 1
+  AArch64_dsub,	// 2
+  AArch64_dsub0,	// 3
+  AArch64_dsub1,	// 4
+  AArch64_dsub2,	// 5
+  AArch64_dsub3,	// 6
+  AArch64_hsub,	// 7
+  AArch64_qhisub,	// 8
+  AArch64_qsub,	// 9
+  AArch64_qsub0,	// 10
+  AArch64_qsub1,	// 11
+  AArch64_qsub2,	// 12
+  AArch64_qsub3,	// 13
+  AArch64_ssub,	// 14
+  AArch64_sub_32,	// 15
+  AArch64_dsub1_then_bsub,	// 16
+  AArch64_dsub1_then_hsub,	// 17
+  AArch64_dsub1_then_ssub,	// 18
+  AArch64_dsub3_then_bsub,	// 19
+  AArch64_dsub3_then_hsub,	// 20
+  AArch64_dsub3_then_ssub,	// 21
+  AArch64_dsub2_then_bsub,	// 22
+  AArch64_dsub2_then_hsub,	// 23
+  AArch64_dsub2_then_ssub,	// 24
+  AArch64_qsub1_then_bsub,	// 25
+  AArch64_qsub1_then_dsub,	// 26
+  AArch64_qsub1_then_hsub,	// 27
+  AArch64_qsub1_then_ssub,	// 28
+  AArch64_qsub3_then_bsub,	// 29
+  AArch64_qsub3_then_dsub,	// 30
+  AArch64_qsub3_then_hsub,	// 31
+  AArch64_qsub3_then_ssub,	// 32
+  AArch64_qsub2_then_bsub,	// 33
+  AArch64_qsub2_then_dsub,	// 34
+  AArch64_qsub2_then_hsub,	// 35
+  AArch64_qsub2_then_ssub,	// 36
+  AArch64_dsub0_dsub1,	// 37
+  AArch64_dsub0_dsub1_dsub2,	// 38
+  AArch64_dsub1_dsub2,	// 39
+  AArch64_dsub1_dsub2_dsub3,	// 40
+  AArch64_dsub2_dsub3,	// 41
+  AArch64_dsub_qsub1_then_dsub,	// 42
+  AArch64_dsub_qsub1_then_dsub_qsub2_then_dsub_qsub3_then_dsub,	// 43
+  AArch64_dsub_qsub1_then_dsub_qsub2_then_dsub,	// 44
+  AArch64_qsub0_qsub1,	// 45
+  AArch64_qsub0_qsub1_qsub2,	// 46
+  AArch64_qsub1_qsub2,	// 47
+  AArch64_qsub1_qsub2_qsub3,	// 48
+  AArch64_qsub2_qsub3,	// 49
+  AArch64_qsub1_then_dsub_qsub2_then_dsub,	// 50
+  AArch64_qsub1_then_dsub_qsub2_then_dsub_qsub3_then_dsub,	// 51
+  AArch64_qsub2_then_dsub_qsub3_then_dsub,	// 52
   AArch64_NUM_TARGET_SUBREGS
 };
 
@@ -570,1183 +561,980 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_MC_DESC
 #undef GET_REGINFO_MC_DESC
 
 static MCPhysReg AArch64RegDiffLists[] = {
-  /* 0 */ 3, 1, 1, 1, 0,
-  /* 5 */ 64, 64, 65440, 64, 125, 1, 31, 1, 30, 1, 1, 30, 1, 1, 29, 1, 1, 1, 29, 1, 1, 1, 0,
-  /* 28 */ 157, 1, 62, 1, 1, 61, 1, 1, 1, 0,
-  /* 38 */ 65151, 1, 1, 1, 0,
-  /* 43 */ 65183, 1, 1, 1, 0,
-  /* 48 */ 3, 1, 1, 0,
-  /* 52 */ 64, 64, 65440, 64, 126, 31, 1, 31, 1, 30, 1, 1, 30, 1, 1, 29, 1, 1, 1, 29, 1, 1, 0,
-  /* 75 */ 3, 29, 1, 1, 0,
-  /* 80 */ 158, 31, 33, 30, 1, 33, 29, 1, 1, 0,
-  /* 90 */ 32, 31, 1, 31, 1, 30, 1, 1, 30, 1, 1, 0,
-  /* 102 */ 63, 1, 62, 1, 1, 0,
-  /* 108 */ 65215, 1, 1, 0,
-  /* 112 */ 65247, 1, 1, 0,
-  /* 116 */ 3, 1, 0,
-  /* 119 */ 64, 64, 65440, 64, 125, 1, 31, 1, 31, 1, 30, 1, 1, 30, 1, 1, 29, 1, 1, 1, 29, 1, 0,
-  /* 142 */ 3, 1, 29, 1, 0,
-  /* 147 */ 157, 1, 63, 1, 30, 33, 1, 29, 1, 0,
-  /* 157 */ 32, 32, 31, 1, 31, 1, 30, 1, 1, 30, 1, 0,
-  /* 169 */ 3, 30, 1, 0,
-  /* 173 */ 64, 31, 33, 30, 1, 0,
-  /* 179 */ 32, 31, 1, 31, 1, 0,
-  /* 185 */ 63, 1, 0,
-  /* 188 */ 65282, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 220, 1, 0,
-  /* 203 */ 65282, 96, 65472, 65472, 33, 96, 65472, 65472, 1, 96, 65472, 65472, 252, 1, 0,
-  /* 218 */ 65279, 1, 0,
-  /* 221 */ 65311, 1, 0,
-  /* 224 */ 2, 0,
-  /* 226 */ 64, 64, 65440, 64, 125, 1, 31, 1, 30, 1, 1, 30, 1, 1, 30, 1, 1, 29, 1, 1, 1, 29, 0,
-  /* 249 */ 3, 1, 1, 29, 0,
-  /* 254 */ 157, 1, 62, 1, 1, 62, 1, 1, 29, 0,
-  /* 264 */ 32, 31, 1, 31, 1, 31, 1, 30, 1, 1, 30, 0,
-  /* 276 */ 3, 1, 30, 0,
-  /* 280 */ 63, 1, 63, 1, 30, 0,
-  /* 286 */ 32, 32, 31, 1, 31, 0,
-  /* 292 */ 3, 31, 0,
-  /* 295 */ 64, 31, 0,
-  /* 298 */ 32, 0,
-  /* 300 */ 65378, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 221, 0,
-  /* 312 */ 65378, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 253, 0,
-  /* 324 */ 65374, 0,
-  /* 326 */ 65405, 0,
-  /* 328 */ 65437, 0,
-  /* 330 */ 65218, 96, 65472, 65472, 33, 96, 65472, 65472, 1, 96, 65472, 65472, 33, 96, 65472, 65472, 251, 1, 63, 1, 65441, 0,
-  /* 352 */ 65314, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 252, 32, 65505, 63, 65441, 0,
-  /* 373 */ 65250, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 251, 32, 1, 31, 65473, 95, 1, 65441, 126, 65473, 65441, 0,
-  /* 405 */ 65469, 0,
-  /* 407 */ 65346, 96, 65472, 65472, 1, 96, 65472, 65472, 0,
-  /* 416 */ 65346, 96, 65472, 65472, 33, 96, 65472, 65472, 0,
-  /* 425 */ 65472, 96, 65472, 65472, 0,
-  /* 430 */ 65218, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 219, 1, 63, 1, 65473, 0,
-  /* 452 */ 65218, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 1, 96, 65472, 65472, 251, 1, 63, 1, 65473, 0,
-  /* 474 */ 65314, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 220, 32, 1, 31, 65473, 0,
-  /* 495 */ 65314, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 252, 32, 1, 31, 65473, 0,
-  /* 516 */ 65250, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 251, 32, 65505, 63, 65441, 127, 65505, 65473, 126, 65441, 65473, 0,
-  /* 548 */ 65250, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 219, 32, 1, 31, 65473, 95, 1, 65473, 94, 65473, 65473, 0,
-  /* 580 */ 65250, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 251, 32, 1, 31, 65473, 95, 1, 65473, 94, 65473, 65473, 0,
-  /* 612 */ 65218, 96, 65472, 65472, 1, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 251, 65505, 95, 65505, 65473, 0,
-  /* 634 */ 65501, 0,
-  /* 636 */ 65282, 96, 65472, 65472, 1, 96, 65472, 65472, 33, 96, 65472, 65472, 252, 65505, 0,
-  /* 651 */ 65533, 0,
-  /* 653 */ 65534, 0,
-  /* 655 */ 65535, 0,
+  /* 0 */ 65185, 1, 1, 1, 0,
+  /* 5 */ 65281, 1, 1, 1, 0,
+  /* 10 */ 5, 29, 1, 1, 0,
+  /* 15 */ 65153, 1, 1, 0,
+  /* 19 */ 65249, 1, 1, 0,
+  /* 23 */ 5, 1, 29, 1, 0,
+  /* 28 */ 5, 30, 1, 0,
+  /* 32 */ 65284, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 218, 1, 0,
+  /* 47 */ 65284, 96, 65472, 65472, 33, 96, 65472, 65472, 1, 96, 65472, 65472, 250, 1, 0,
+  /* 62 */ 65217, 1, 0,
+  /* 65 */ 65313, 1, 0,
+  /* 68 */ 64, 64, 65440, 64, 123, 1, 62, 65503, 34, 65503, 34, 65503, 1, 63, 1, 62, 65503, 34, 65503, 34, 65503, 1, 0,
+  /* 91 */ 219, 1, 62, 65503, 34, 65503, 34, 65503, 1, 0,
+  /* 101 */ 64, 64, 65440, 64, 124, 31, 33, 65504, 62, 65503, 34, 65503, 1, 33, 31, 33, 65504, 62, 65503, 34, 65503, 1, 0,
+  /* 124 */ 220, 31, 33, 65504, 62, 65503, 34, 65503, 1, 0,
+  /* 134 */ 63, 65503, 34, 65503, 1, 64, 63, 65503, 34, 65503, 1, 0,
+  /* 146 */ 64, 64, 65440, 64, 123, 1, 63, 1, 65503, 1, 62, 65503, 1, 33, 1, 63, 1, 65503, 1, 62, 65503, 1, 0,
+  /* 169 */ 219, 1, 63, 1, 65503, 1, 62, 65503, 1, 0,
+  /* 179 */ 64, 65504, 63, 65503, 1, 33, 64, 65504, 63, 65503, 1, 0,
+  /* 191 */ 65503, 1, 128, 65503, 1, 0,
+  /* 197 */ 3, 0,
+  /* 199 */ 4, 0,
+  /* 201 */ 5, 1, 1, 29, 0,
+  /* 206 */ 64, 64, 65440, 64, 123, 1, 62, 1, 65503, 34, 65503, 1, 29, 34, 1, 62, 1, 65503, 34, 65503, 1, 29, 0,
+  /* 229 */ 219, 1, 62, 1, 65503, 34, 65503, 1, 29, 0,
+  /* 239 */ 5, 1, 30, 0,
+  /* 243 */ 63, 1, 65503, 1, 30, 34, 63, 1, 65503, 1, 30, 0,
+  /* 255 */ 5, 31, 0,
+  /* 258 */ 65504, 31, 97, 65504, 31, 0,
+  /* 264 */ 96, 0,
+  /* 266 */ 196, 0,
+  /* 268 */ 65316, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 219, 0,
+  /* 280 */ 65316, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 251, 0,
+  /* 292 */ 65339, 0,
+  /* 294 */ 65340, 0,
+  /* 296 */ 65374, 0,
+  /* 298 */ 65405, 0,
+  /* 300 */ 65437, 0,
+  /* 302 */ 65252, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 218, 64, 32, 1, 65440, 0,
+  /* 323 */ 65252, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 250, 64, 32, 1, 65440, 0,
+  /* 344 */ 65252, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 250, 64, 32, 65505, 65440, 0,
+  /* 365 */ 65284, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 249, 32, 32, 32, 64, 65473, 64, 65441, 65471, 64, 65441, 0,
+  /* 397 */ 65316, 96, 65472, 65472, 33, 96, 65472, 65472, 1, 96, 65472, 65472, 33, 96, 65472, 65472, 249, 64, 65473, 64, 65441, 0,
+  /* 419 */ 65469, 0,
+  /* 421 */ 65348, 96, 65472, 65472, 1, 96, 65472, 65472, 0,
+  /* 430 */ 65348, 96, 65472, 65472, 33, 96, 65472, 65472, 0,
+  /* 439 */ 65472, 96, 65472, 65472, 0,
+  /* 444 */ 65284, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 249, 32, 32, 32, 64, 65441, 64, 65473, 65439, 64, 65473, 0,
+  /* 476 */ 65284, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 217, 32, 32, 32, 64, 65473, 64, 65473, 65439, 64, 65473, 0,
+  /* 508 */ 65284, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 97, 65472, 96, 65472, 65472, 65, 65472, 96, 65472, 65472, 249, 32, 32, 32, 64, 65473, 64, 65473, 65439, 64, 65473, 0,
+  /* 540 */ 65316, 96, 65472, 65472, 1, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 249, 64, 65441, 64, 65473, 0,
+  /* 562 */ 65316, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 217, 64, 65473, 64, 65473, 0,
+  /* 584 */ 65316, 96, 65472, 65472, 33, 96, 65472, 65472, 33, 96, 65472, 65472, 1, 96, 65472, 65472, 249, 64, 65473, 64, 65473, 0,
+  /* 606 */ 65501, 0,
+  /* 608 */ 65284, 96, 65472, 65472, 1, 96, 65472, 65472, 33, 96, 65472, 65472, 250, 65505, 0,
+  /* 623 */ 65533, 0,
+  /* 625 */ 65535, 0,
 };
 
 static uint16_t AArch64SubRegIdxLists[] = {
-  /* 0 */ 13, 12, 11, 10, 0,
-  /* 5 */ 12, 0,
-  /* 7 */ 1, 12, 11, 10, 2, 17, 16, 15, 0,
-  /* 16 */ 6, 13, 12, 11, 10, 7, 21, 20, 19, 18, 36, 0,
-  /* 28 */ 1, 12, 11, 10, 2, 17, 16, 15, 3, 24, 23, 22, 37, 38, 0,
-  /* 43 */ 6, 13, 12, 11, 10, 7, 21, 20, 19, 18, 8, 28, 27, 26, 25, 36, 39, 40, 41, 42, 0,
-  /* 64 */ 1, 12, 11, 10, 2, 17, 16, 15, 3, 24, 23, 22, 4, 31, 30, 29, 37, 38, 43, 44, 45, 0,
-  /* 86 */ 6, 13, 12, 11, 10, 7, 21, 20, 19, 18, 8, 28, 27, 26, 25, 9, 35, 34, 33, 32, 36, 39, 40, 41, 42, 46, 47, 48, 49, 50, 51, 0,
+  /* 0 */ 2, 14, 7, 1, 0,
+  /* 5 */ 15, 0,
+  /* 7 */ 3, 14, 7, 1, 4, 18, 17, 16, 0,
+  /* 16 */ 3, 14, 7, 1, 4, 18, 17, 16, 5, 24, 23, 22, 37, 39, 0,
+  /* 31 */ 3, 14, 7, 1, 4, 18, 17, 16, 5, 24, 23, 22, 6, 21, 20, 19, 37, 38, 39, 40, 41, 0,
+  /* 53 */ 10, 2, 14, 7, 1, 11, 26, 28, 27, 25, 42, 0,
+  /* 65 */ 10, 2, 14, 7, 1, 11, 26, 28, 27, 25, 12, 34, 36, 35, 33, 42, 44, 45, 47, 50, 0,
+  /* 86 */ 10, 2, 14, 7, 1, 11, 26, 28, 27, 25, 12, 34, 36, 35, 33, 13, 30, 32, 31, 29, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 0,
 };
 
 static MCRegisterDesc AArch64RegDesc[] = { // Descriptors
   { 3, 0, 0, 0, 0 },
-  { 1542, 4, 4, 4, 10481 },
-  { 1526, 4, 224, 4, 10481 },
-  { 1534, 4, 224, 4, 10481 },
-  { 1530, 653, 4, 5, 10417 },
-  { 1538, 653, 4, 5, 10417 },
-  { 150, 4, 52, 4, 10417 },
-  { 339, 4, 119, 4, 10417 },
-  { 484, 4, 226, 4, 10417 },
-  { 629, 4, 5, 4, 10417 },
-  { 772, 4, 5, 4, 10417 },
-  { 915, 4, 5, 4, 10417 },
-  { 1058, 4, 5, 4, 10417 },
-  { 1201, 4, 5, 4, 10417 },
-  { 1344, 4, 5, 4, 10417 },
-  { 1487, 4, 5, 4, 10417 },
-  { 0, 4, 5, 4, 10417 },
-  { 195, 4, 5, 4, 10417 },
-  { 382, 4, 5, 4, 10417 },
-  { 525, 4, 5, 4, 10417 },
-  { 668, 4, 5, 4, 10417 },
-  { 811, 4, 5, 4, 10417 },
-  { 954, 4, 5, 4, 10417 },
-  { 1097, 4, 5, 4, 10417 },
-  { 1240, 4, 5, 4, 10417 },
-  { 1383, 4, 5, 4, 10417 },
-  { 46, 4, 5, 4, 10417 },
-  { 243, 4, 5, 4, 10417 },
-  { 432, 4, 5, 4, 10417 },
-  { 577, 4, 5, 4, 10417 },
-  { 720, 4, 5, 4, 10417 },
-  { 863, 4, 5, 4, 10417 },
-  { 1006, 4, 5, 4, 10417 },
-  { 1149, 4, 5, 4, 10417 },
-  { 1292, 4, 5, 4, 10417 },
-  { 1435, 4, 5, 4, 10417 },
-  { 98, 4, 5, 4, 10417 },
-  { 295, 4, 5, 4, 10417 },
-  { 165, 412, 55, 1, 10145 },
-  { 353, 412, 122, 1, 10145 },
-  { 497, 412, 229, 1, 10145 },
-  { 641, 412, 8, 1, 10145 },
-  { 784, 412, 8, 1, 10145 },
-  { 927, 412, 8, 1, 10145 },
-  { 1070, 412, 8, 1, 10145 },
-  { 1213, 412, 8, 1, 10145 },
-  { 1356, 412, 8, 1, 10145 },
-  { 1499, 412, 8, 1, 10145 },
-  { 13, 412, 8, 1, 10145 },
-  { 209, 412, 8, 1, 10145 },
-  { 397, 412, 8, 1, 10145 },
-  { 541, 412, 8, 1, 10145 },
-  { 684, 412, 8, 1, 10145 },
-  { 827, 412, 8, 1, 10145 },
-  { 970, 412, 8, 1, 10145 },
-  { 1113, 412, 8, 1, 10145 },
-  { 1256, 412, 8, 1, 10145 },
-  { 1399, 412, 8, 1, 10145 },
-  { 62, 412, 8, 1, 10145 },
-  { 259, 412, 8, 1, 10145 },
-  { 448, 412, 8, 1, 10145 },
-  { 593, 412, 8, 1, 10145 },
-  { 736, 412, 8, 1, 10145 },
-  { 879, 412, 8, 1, 10145 },
-  { 1022, 412, 8, 1, 10145 },
-  { 1165, 412, 8, 1, 10145 },
-  { 1308, 412, 8, 1, 10145 },
-  { 1451, 412, 8, 1, 10145 },
-  { 114, 412, 8, 1, 10145 },
-  { 311, 412, 8, 1, 10145 },
-  { 168, 414, 53, 3, 6481 },
-  { 356, 414, 120, 3, 6481 },
-  { 500, 414, 227, 3, 6481 },
-  { 644, 414, 6, 3, 6481 },
-  { 787, 414, 6, 3, 6481 },
-  { 930, 414, 6, 3, 6481 },
-  { 1073, 414, 6, 3, 6481 },
-  { 1216, 414, 6, 3, 6481 },
-  { 1359, 414, 6, 3, 6481 },
-  { 1502, 414, 6, 3, 6481 },
-  { 17, 414, 6, 3, 6481 },
-  { 213, 414, 6, 3, 6481 },
-  { 401, 414, 6, 3, 6481 },
-  { 545, 414, 6, 3, 6481 },
-  { 688, 414, 6, 3, 6481 },
-  { 831, 414, 6, 3, 6481 },
-  { 974, 414, 6, 3, 6481 },
-  { 1117, 414, 6, 3, 6481 },
-  { 1260, 414, 6, 3, 6481 },
-  { 1403, 414, 6, 3, 6481 },
-  { 66, 414, 6, 3, 6481 },
-  { 263, 414, 6, 3, 6481 },
-  { 452, 414, 6, 3, 6481 },
-  { 597, 414, 6, 3, 6481 },
-  { 740, 414, 6, 3, 6481 },
-  { 883, 414, 6, 3, 6481 },
-  { 1026, 414, 6, 3, 6481 },
-  { 1169, 414, 6, 3, 6481 },
-  { 1312, 414, 6, 3, 6481 },
-  { 1455, 414, 6, 3, 6481 },
-  { 118, 414, 6, 3, 6481 },
-  { 315, 414, 6, 3, 6481 },
-  { 183, 425, 80, 0, 5249 },
-  { 370, 425, 147, 0, 5249 },
-  { 513, 425, 254, 0, 5249 },
-  { 656, 425, 28, 0, 5249 },
-  { 799, 425, 28, 0, 5249 },
-  { 942, 425, 28, 0, 5249 },
-  { 1085, 425, 28, 0, 5249 },
-  { 1228, 425, 28, 0, 5249 },
-  { 1371, 425, 28, 0, 5249 },
-  { 1514, 425, 28, 0, 5249 },
-  { 30, 425, 28, 0, 5249 },
-  { 227, 425, 28, 0, 5249 },
-  { 416, 425, 28, 0, 5249 },
-  { 561, 425, 28, 0, 5249 },
-  { 704, 425, 28, 0, 5249 },
-  { 847, 425, 28, 0, 5249 },
-  { 990, 425, 28, 0, 5249 },
-  { 1133, 425, 28, 0, 5249 },
-  { 1276, 425, 28, 0, 5249 },
-  { 1419, 425, 28, 0, 5249 },
-  { 82, 425, 28, 0, 5249 },
-  { 279, 425, 28, 0, 5249 },
-  { 468, 425, 28, 0, 5249 },
-  { 613, 425, 28, 0, 5249 },
-  { 756, 425, 28, 0, 5249 },
-  { 899, 425, 28, 0, 5249 },
-  { 1042, 425, 28, 0, 5249 },
-  { 1185, 425, 28, 0, 5249 },
-  { 1328, 425, 28, 0, 5249 },
-  { 1471, 425, 28, 0, 5249 },
-  { 134, 425, 28, 0, 5249 },
-  { 331, 425, 28, 0, 5249 },
-  { 186, 413, 54, 2, 5217 },
-  { 373, 413, 121, 2, 5217 },
-  { 516, 413, 228, 2, 5217 },
-  { 659, 413, 7, 2, 5217 },
-  { 802, 413, 7, 2, 5217 },
-  { 945, 413, 7, 2, 5217 },
-  { 1088, 413, 7, 2, 5217 },
-  { 1231, 413, 7, 2, 5217 },
-  { 1374, 413, 7, 2, 5217 },
-  { 1517, 413, 7, 2, 5217 },
-  { 34, 413, 7, 2, 5217 },
-  { 231, 413, 7, 2, 5217 },
-  { 420, 413, 7, 2, 5217 },
-  { 565, 413, 7, 2, 5217 },
-  { 708, 413, 7, 2, 5217 },
-  { 851, 413, 7, 2, 5217 },
-  { 994, 413, 7, 2, 5217 },
-  { 1137, 413, 7, 2, 5217 },
-  { 1280, 413, 7, 2, 5217 },
-  { 1423, 413, 7, 2, 5217 },
-  { 86, 413, 7, 2, 5217 },
-  { 283, 413, 7, 2, 5217 },
-  { 472, 413, 7, 2, 5217 },
-  { 617, 413, 7, 2, 5217 },
-  { 760, 413, 7, 2, 5217 },
-  { 903, 413, 7, 2, 5217 },
-  { 1046, 413, 7, 2, 5217 },
-  { 1189, 413, 7, 2, 5217 },
-  { 1332, 413, 7, 2, 5217 },
-  { 1475, 413, 7, 2, 5217 },
-  { 138, 413, 7, 2, 5217 },
-  { 335, 413, 7, 2, 5217 },
-  { 189, 4, 290, 4, 5217 },
-  { 376, 4, 290, 4, 5217 },
-  { 519, 4, 290, 4, 5217 },
-  { 662, 4, 290, 4, 5217 },
-  { 805, 4, 290, 4, 5217 },
-  { 948, 4, 290, 4, 5217 },
-  { 1091, 4, 290, 4, 5217 },
-  { 1234, 4, 290, 4, 5217 },
-  { 1377, 4, 290, 4, 5217 },
-  { 1520, 4, 290, 4, 5217 },
-  { 38, 4, 290, 4, 5217 },
-  { 235, 4, 290, 4, 5217 },
-  { 424, 4, 290, 4, 5217 },
-  { 569, 4, 290, 4, 5217 },
-  { 712, 4, 290, 4, 5217 },
-  { 855, 4, 290, 4, 5217 },
-  { 998, 4, 290, 4, 5217 },
-  { 1141, 4, 290, 4, 5217 },
-  { 1284, 4, 290, 4, 5217 },
-  { 1427, 4, 290, 4, 5217 },
-  { 90, 4, 290, 4, 5217 },
-  { 287, 4, 290, 4, 5217 },
-  { 476, 4, 290, 4, 5217 },
-  { 621, 4, 290, 4, 5217 },
-  { 764, 4, 290, 4, 5217 },
-  { 907, 4, 290, 4, 5217 },
-  { 1050, 4, 290, 4, 5217 },
-  { 1193, 4, 290, 4, 5217 },
-  { 1336, 4, 290, 4, 5217 },
-  { 1479, 4, 290, 4, 5217 },
-  { 142, 4, 290, 4, 5217 },
-  { 192, 649, 4, 5, 5185 },
-  { 379, 649, 4, 5, 5185 },
-  { 522, 649, 4, 5, 5185 },
-  { 665, 649, 4, 5, 5185 },
-  { 808, 649, 4, 5, 5185 },
-  { 951, 649, 4, 5, 5185 },
-  { 1094, 649, 4, 5, 5185 },
-  { 1237, 649, 4, 5, 5185 },
-  { 1380, 649, 4, 5, 5185 },
-  { 1523, 649, 4, 5, 5185 },
-  { 42, 649, 4, 5, 5185 },
-  { 239, 649, 4, 5, 5185 },
-  { 428, 649, 4, 5, 5185 },
-  { 573, 649, 4, 5, 5185 },
-  { 716, 649, 4, 5, 5185 },
-  { 859, 649, 4, 5, 5185 },
-  { 1002, 649, 4, 5, 5185 },
-  { 1145, 649, 4, 5, 5185 },
-  { 1288, 649, 4, 5, 5185 },
-  { 1431, 649, 4, 5, 5185 },
-  { 94, 649, 4, 5, 5185 },
-  { 291, 649, 4, 5, 5185 },
-  { 480, 649, 4, 5, 5185 },
-  { 625, 649, 4, 5, 5185 },
-  { 768, 649, 4, 5, 5185 },
-  { 911, 649, 4, 5, 5185 },
-  { 1054, 649, 4, 5, 5185 },
-  { 1197, 649, 4, 5, 5185 },
-  { 1340, 649, 4, 5, 5185 },
-  { 1483, 649, 4, 5, 5185 },
-  { 146, 649, 4, 5, 5185 },
-  { 350, 416, 157, 7, 3537 },
-  { 494, 416, 264, 7, 3537 },
-  { 638, 416, 90, 7, 3537 },
-  { 781, 416, 90, 7, 3537 },
-  { 924, 416, 90, 7, 3537 },
-  { 1067, 416, 90, 7, 3537 },
-  { 1210, 416, 90, 7, 3537 },
-  { 1353, 416, 90, 7, 3537 },
-  { 1496, 416, 90, 7, 3537 },
-  { 10, 416, 90, 7, 3537 },
-  { 205, 416, 90, 7, 3537 },
-  { 393, 416, 90, 7, 3537 },
-  { 537, 416, 90, 7, 3537 },
-  { 680, 416, 90, 7, 3537 },
-  { 823, 416, 90, 7, 3537 },
-  { 966, 416, 90, 7, 3537 },
-  { 1109, 416, 90, 7, 3537 },
-  { 1252, 416, 90, 7, 3537 },
-  { 1395, 416, 90, 7, 3537 },
-  { 58, 416, 90, 7, 3537 },
-  { 255, 416, 90, 7, 3537 },
-  { 444, 416, 90, 7, 3537 },
-  { 589, 416, 90, 7, 3537 },
-  { 732, 416, 90, 7, 3537 },
-  { 875, 416, 90, 7, 3537 },
-  { 1018, 416, 90, 7, 3537 },
-  { 1161, 416, 90, 7, 3537 },
-  { 1304, 416, 90, 7, 3537 },
-  { 1447, 416, 90, 7, 3537 },
-  { 110, 416, 90, 7, 3537 },
-  { 307, 416, 90, 7, 3537 },
-  { 161, 407, 90, 7, 4672 },
-  { 367, 300, 173, 16, 1856 },
-  { 510, 300, 280, 16, 3489 },
-  { 653, 300, 102, 16, 3489 },
-  { 796, 300, 102, 16, 3489 },
-  { 939, 300, 102, 16, 3489 },
-  { 1082, 300, 102, 16, 3489 },
-  { 1225, 300, 102, 16, 3489 },
-  { 1368, 300, 102, 16, 3489 },
-  { 1511, 300, 102, 16, 3489 },
-  { 27, 300, 102, 16, 3489 },
-  { 223, 300, 102, 16, 3489 },
-  { 412, 300, 102, 16, 3489 },
-  { 557, 300, 102, 16, 3489 },
-  { 700, 300, 102, 16, 3489 },
-  { 843, 300, 102, 16, 3489 },
-  { 986, 300, 102, 16, 3489 },
-  { 1129, 300, 102, 16, 3489 },
-  { 1272, 300, 102, 16, 3489 },
-  { 1415, 300, 102, 16, 3489 },
-  { 78, 300, 102, 16, 3489 },
-  { 275, 300, 102, 16, 3489 },
-  { 464, 300, 102, 16, 3489 },
-  { 609, 300, 102, 16, 3489 },
-  { 752, 300, 102, 16, 3489 },
-  { 895, 300, 102, 16, 3489 },
-  { 1038, 300, 102, 16, 3489 },
-  { 1181, 300, 102, 16, 3489 },
-  { 1324, 300, 102, 16, 3489 },
-  { 1467, 300, 102, 16, 3489 },
-  { 130, 300, 102, 16, 3489 },
-  { 327, 300, 102, 16, 3489 },
-  { 179, 312, 102, 16, 4672 },
-  { 491, 188, 286, 28, 1793 },
-  { 635, 188, 179, 28, 1793 },
-  { 778, 188, 179, 28, 1793 },
-  { 921, 188, 179, 28, 1793 },
-  { 1064, 188, 179, 28, 1793 },
-  { 1207, 188, 179, 28, 1793 },
-  { 1350, 188, 179, 28, 1793 },
-  { 1493, 188, 179, 28, 1793 },
-  { 7, 188, 179, 28, 1793 },
-  { 202, 188, 179, 28, 1793 },
-  { 389, 188, 179, 28, 1793 },
-  { 533, 188, 179, 28, 1793 },
-  { 676, 188, 179, 28, 1793 },
-  { 819, 188, 179, 28, 1793 },
-  { 962, 188, 179, 28, 1793 },
-  { 1105, 188, 179, 28, 1793 },
-  { 1248, 188, 179, 28, 1793 },
-  { 1391, 188, 179, 28, 1793 },
-  { 54, 188, 179, 28, 1793 },
-  { 251, 188, 179, 28, 1793 },
-  { 440, 188, 179, 28, 1793 },
-  { 585, 188, 179, 28, 1793 },
-  { 728, 188, 179, 28, 1793 },
-  { 871, 188, 179, 28, 1793 },
-  { 1014, 188, 179, 28, 1793 },
-  { 1157, 188, 179, 28, 1793 },
-  { 1300, 188, 179, 28, 1793 },
-  { 1443, 188, 179, 28, 1793 },
-  { 106, 188, 179, 28, 1793 },
-  { 303, 188, 179, 28, 1793 },
-  { 157, 203, 179, 28, 2704 },
-  { 346, 636, 179, 28, 4416 },
-  { 507, 474, 295, 43, 768 },
-  { 650, 474, 185, 43, 1729 },
-  { 793, 474, 185, 43, 1729 },
-  { 936, 474, 185, 43, 1729 },
-  { 1079, 474, 185, 43, 1729 },
-  { 1222, 474, 185, 43, 1729 },
-  { 1365, 474, 185, 43, 1729 },
-  { 1508, 474, 185, 43, 1729 },
-  { 24, 474, 185, 43, 1729 },
-  { 220, 474, 185, 43, 1729 },
-  { 408, 474, 185, 43, 1729 },
-  { 553, 474, 185, 43, 1729 },
-  { 696, 474, 185, 43, 1729 },
-  { 839, 474, 185, 43, 1729 },
-  { 982, 474, 185, 43, 1729 },
-  { 1125, 474, 185, 43, 1729 },
-  { 1268, 474, 185, 43, 1729 },
-  { 1411, 474, 185, 43, 1729 },
-  { 74, 474, 185, 43, 1729 },
-  { 271, 474, 185, 43, 1729 },
-  { 460, 474, 185, 43, 1729 },
-  { 605, 474, 185, 43, 1729 },
-  { 748, 474, 185, 43, 1729 },
-  { 891, 474, 185, 43, 1729 },
-  { 1034, 474, 185, 43, 1729 },
-  { 1177, 474, 185, 43, 1729 },
-  { 1320, 474, 185, 43, 1729 },
-  { 1463, 474, 185, 43, 1729 },
-  { 126, 474, 185, 43, 1729 },
-  { 323, 474, 185, 43, 1729 },
-  { 175, 495, 185, 43, 2704 },
-  { 363, 352, 185, 43, 4416 },
-  { 632, 430, 298, 64, 689 },
-  { 775, 430, 298, 64, 689 },
-  { 918, 430, 298, 64, 689 },
-  { 1061, 430, 298, 64, 689 },
-  { 1204, 430, 298, 64, 689 },
-  { 1347, 430, 298, 64, 689 },
-  { 1490, 430, 298, 64, 689 },
-  { 4, 430, 298, 64, 689 },
-  { 199, 430, 298, 64, 689 },
-  { 386, 430, 298, 64, 689 },
-  { 529, 430, 298, 64, 689 },
-  { 672, 430, 298, 64, 689 },
-  { 815, 430, 298, 64, 689 },
-  { 958, 430, 298, 64, 689 },
-  { 1101, 430, 298, 64, 689 },
-  { 1244, 430, 298, 64, 689 },
-  { 1387, 430, 298, 64, 689 },
-  { 50, 430, 298, 64, 689 },
-  { 247, 430, 298, 64, 689 },
-  { 436, 430, 298, 64, 689 },
-  { 581, 430, 298, 64, 689 },
-  { 724, 430, 298, 64, 689 },
-  { 867, 430, 298, 64, 689 },
-  { 1010, 430, 298, 64, 689 },
-  { 1153, 430, 298, 64, 689 },
-  { 1296, 430, 298, 64, 689 },
-  { 1439, 430, 298, 64, 689 },
-  { 102, 430, 298, 64, 689 },
-  { 299, 430, 298, 64, 689 },
-  { 153, 452, 298, 64, 1200 },
-  { 342, 330, 298, 64, 2272 },
-  { 487, 612, 298, 64, 3984 },
-  { 647, 548, 4, 86, 0 },
-  { 790, 548, 4, 86, 609 },
-  { 933, 548, 4, 86, 609 },
-  { 1076, 548, 4, 86, 609 },
-  { 1219, 548, 4, 86, 609 },
-  { 1362, 548, 4, 86, 609 },
-  { 1505, 548, 4, 86, 609 },
-  { 21, 548, 4, 86, 609 },
-  { 217, 548, 4, 86, 609 },
-  { 405, 548, 4, 86, 609 },
-  { 549, 548, 4, 86, 609 },
-  { 692, 548, 4, 86, 609 },
-  { 835, 548, 4, 86, 609 },
-  { 978, 548, 4, 86, 609 },
-  { 1121, 548, 4, 86, 609 },
-  { 1264, 548, 4, 86, 609 },
-  { 1407, 548, 4, 86, 609 },
-  { 70, 548, 4, 86, 609 },
-  { 267, 548, 4, 86, 609 },
-  { 456, 548, 4, 86, 609 },
-  { 601, 548, 4, 86, 609 },
-  { 744, 548, 4, 86, 609 },
-  { 887, 548, 4, 86, 609 },
-  { 1030, 548, 4, 86, 609 },
-  { 1173, 548, 4, 86, 609 },
-  { 1316, 548, 4, 86, 609 },
-  { 1459, 548, 4, 86, 609 },
-  { 122, 548, 4, 86, 609 },
-  { 319, 548, 4, 86, 609 },
-  { 171, 580, 4, 86, 1200 },
-  { 359, 373, 4, 86, 2272 },
-  { 503, 516, 4, 86, 3984 },
+  { 1518, 266, 4, 5, 10001 },
+  { 1525, 266, 4, 5, 10001 },
+  { 1536, 4, 4, 4, 10001 },
+  { 1522, 3, 4, 5, 3152 },
+  { 1521, 4, 625, 4, 3152 },
+  { 1528, 4, 3, 4, 3184 },
+  { 1532, 625, 4, 5, 3184 },
+  { 146, 4, 101, 4, 9969 },
+  { 335, 4, 146, 4, 9969 },
+  { 480, 4, 206, 4, 9969 },
+  { 625, 4, 68, 4, 9969 },
+  { 768, 4, 68, 4, 9969 },
+  { 911, 4, 68, 4, 9969 },
+  { 1054, 4, 68, 4, 9969 },
+  { 1197, 4, 68, 4, 9969 },
+  { 1340, 4, 68, 4, 9969 },
+  { 1479, 4, 68, 4, 9969 },
+  { 0, 4, 68, 4, 9969 },
+  { 191, 4, 68, 4, 9969 },
+  { 378, 4, 68, 4, 9969 },
+  { 521, 4, 68, 4, 9969 },
+  { 664, 4, 68, 4, 9969 },
+  { 807, 4, 68, 4, 9969 },
+  { 950, 4, 68, 4, 9969 },
+  { 1093, 4, 68, 4, 9969 },
+  { 1236, 4, 68, 4, 9969 },
+  { 1379, 4, 68, 4, 9969 },
+  { 46, 4, 68, 4, 9969 },
+  { 239, 4, 68, 4, 9969 },
+  { 428, 4, 68, 4, 9969 },
+  { 573, 4, 68, 4, 9969 },
+  { 716, 4, 68, 4, 9969 },
+  { 859, 4, 68, 4, 9969 },
+  { 1002, 4, 68, 4, 9969 },
+  { 1145, 4, 68, 4, 9969 },
+  { 1288, 4, 68, 4, 9969 },
+  { 1431, 4, 68, 4, 9969 },
+  { 98, 4, 68, 4, 9969 },
+  { 291, 4, 68, 4, 9969 },
+  { 161, 426, 104, 1, 9697 },
+  { 349, 426, 149, 1, 9697 },
+  { 493, 426, 209, 1, 9697 },
+  { 637, 426, 71, 1, 9697 },
+  { 780, 426, 71, 1, 9697 },
+  { 923, 426, 71, 1, 9697 },
+  { 1066, 426, 71, 1, 9697 },
+  { 1209, 426, 71, 1, 9697 },
+  { 1352, 426, 71, 1, 9697 },
+  { 1491, 426, 71, 1, 9697 },
+  { 13, 426, 71, 1, 9697 },
+  { 205, 426, 71, 1, 9697 },
+  { 393, 426, 71, 1, 9697 },
+  { 537, 426, 71, 1, 9697 },
+  { 680, 426, 71, 1, 9697 },
+  { 823, 426, 71, 1, 9697 },
+  { 966, 426, 71, 1, 9697 },
+  { 1109, 426, 71, 1, 9697 },
+  { 1252, 426, 71, 1, 9697 },
+  { 1395, 426, 71, 1, 9697 },
+  { 62, 426, 71, 1, 9697 },
+  { 255, 426, 71, 1, 9697 },
+  { 444, 426, 71, 1, 9697 },
+  { 589, 426, 71, 1, 9697 },
+  { 732, 426, 71, 1, 9697 },
+  { 875, 426, 71, 1, 9697 },
+  { 1018, 426, 71, 1, 9697 },
+  { 1161, 426, 71, 1, 9697 },
+  { 1304, 426, 71, 1, 9697 },
+  { 1447, 426, 71, 1, 9697 },
+  { 114, 426, 71, 1, 9697 },
+  { 307, 426, 71, 1, 9697 },
+  { 164, 428, 102, 3, 6705 },
+  { 352, 428, 147, 3, 6705 },
+  { 496, 428, 207, 3, 6705 },
+  { 640, 428, 69, 3, 6705 },
+  { 783, 428, 69, 3, 6705 },
+  { 926, 428, 69, 3, 6705 },
+  { 1069, 428, 69, 3, 6705 },
+  { 1212, 428, 69, 3, 6705 },
+  { 1355, 428, 69, 3, 6705 },
+  { 1494, 428, 69, 3, 6705 },
+  { 17, 428, 69, 3, 6705 },
+  { 209, 428, 69, 3, 6705 },
+  { 397, 428, 69, 3, 6705 },
+  { 541, 428, 69, 3, 6705 },
+  { 684, 428, 69, 3, 6705 },
+  { 827, 428, 69, 3, 6705 },
+  { 970, 428, 69, 3, 6705 },
+  { 1113, 428, 69, 3, 6705 },
+  { 1256, 428, 69, 3, 6705 },
+  { 1399, 428, 69, 3, 6705 },
+  { 66, 428, 69, 3, 6705 },
+  { 259, 428, 69, 3, 6705 },
+  { 448, 428, 69, 3, 6705 },
+  { 593, 428, 69, 3, 6705 },
+  { 736, 428, 69, 3, 6705 },
+  { 879, 428, 69, 3, 6705 },
+  { 1022, 428, 69, 3, 6705 },
+  { 1165, 428, 69, 3, 6705 },
+  { 1308, 428, 69, 3, 6705 },
+  { 1451, 428, 69, 3, 6705 },
+  { 118, 428, 69, 3, 6705 },
+  { 311, 428, 69, 3, 6705 },
+  { 179, 439, 124, 0, 4801 },
+  { 366, 439, 169, 0, 4801 },
+  { 509, 439, 229, 0, 4801 },
+  { 652, 439, 91, 0, 4801 },
+  { 795, 439, 91, 0, 4801 },
+  { 938, 439, 91, 0, 4801 },
+  { 1081, 439, 91, 0, 4801 },
+  { 1224, 439, 91, 0, 4801 },
+  { 1367, 439, 91, 0, 4801 },
+  { 1506, 439, 91, 0, 4801 },
+  { 30, 439, 91, 0, 4801 },
+  { 223, 439, 91, 0, 4801 },
+  { 412, 439, 91, 0, 4801 },
+  { 557, 439, 91, 0, 4801 },
+  { 700, 439, 91, 0, 4801 },
+  { 843, 439, 91, 0, 4801 },
+  { 986, 439, 91, 0, 4801 },
+  { 1129, 439, 91, 0, 4801 },
+  { 1272, 439, 91, 0, 4801 },
+  { 1415, 439, 91, 0, 4801 },
+  { 82, 439, 91, 0, 4801 },
+  { 275, 439, 91, 0, 4801 },
+  { 464, 439, 91, 0, 4801 },
+  { 609, 439, 91, 0, 4801 },
+  { 752, 439, 91, 0, 4801 },
+  { 895, 439, 91, 0, 4801 },
+  { 1038, 439, 91, 0, 4801 },
+  { 1181, 439, 91, 0, 4801 },
+  { 1324, 439, 91, 0, 4801 },
+  { 1467, 439, 91, 0, 4801 },
+  { 134, 439, 91, 0, 4801 },
+  { 327, 439, 91, 0, 4801 },
+  { 182, 427, 103, 2, 4769 },
+  { 369, 427, 148, 2, 4769 },
+  { 512, 427, 208, 2, 4769 },
+  { 655, 427, 70, 2, 4769 },
+  { 798, 427, 70, 2, 4769 },
+  { 941, 427, 70, 2, 4769 },
+  { 1084, 427, 70, 2, 4769 },
+  { 1227, 427, 70, 2, 4769 },
+  { 1370, 427, 70, 2, 4769 },
+  { 1509, 427, 70, 2, 4769 },
+  { 34, 427, 70, 2, 4769 },
+  { 227, 427, 70, 2, 4769 },
+  { 416, 427, 70, 2, 4769 },
+  { 561, 427, 70, 2, 4769 },
+  { 704, 427, 70, 2, 4769 },
+  { 847, 427, 70, 2, 4769 },
+  { 990, 427, 70, 2, 4769 },
+  { 1133, 427, 70, 2, 4769 },
+  { 1276, 427, 70, 2, 4769 },
+  { 1419, 427, 70, 2, 4769 },
+  { 86, 427, 70, 2, 4769 },
+  { 279, 427, 70, 2, 4769 },
+  { 468, 427, 70, 2, 4769 },
+  { 613, 427, 70, 2, 4769 },
+  { 756, 427, 70, 2, 4769 },
+  { 899, 427, 70, 2, 4769 },
+  { 1042, 427, 70, 2, 4769 },
+  { 1185, 427, 70, 2, 4769 },
+  { 1328, 427, 70, 2, 4769 },
+  { 1471, 427, 70, 2, 4769 },
+  { 138, 427, 70, 2, 4769 },
+  { 331, 427, 70, 2, 4769 },
+  { 185, 4, 256, 4, 4769 },
+  { 372, 4, 256, 4, 4769 },
+  { 515, 4, 256, 4, 4769 },
+  { 658, 4, 256, 4, 4769 },
+  { 801, 4, 256, 4, 4769 },
+  { 944, 4, 256, 4, 4769 },
+  { 1087, 4, 256, 4, 4769 },
+  { 1230, 4, 256, 4, 4769 },
+  { 1373, 4, 256, 4, 4769 },
+  { 1512, 4, 256, 4, 4769 },
+  { 38, 4, 256, 4, 4769 },
+  { 231, 4, 256, 4, 4769 },
+  { 420, 4, 256, 4, 4769 },
+  { 565, 4, 256, 4, 4769 },
+  { 708, 4, 256, 4, 4769 },
+  { 851, 4, 256, 4, 4769 },
+  { 994, 4, 256, 4, 4769 },
+  { 1137, 4, 256, 4, 4769 },
+  { 1280, 4, 256, 4, 4769 },
+  { 1423, 4, 256, 4, 4769 },
+  { 90, 4, 256, 4, 4769 },
+  { 283, 4, 256, 4, 4769 },
+  { 472, 4, 256, 4, 4769 },
+  { 617, 4, 256, 4, 4769 },
+  { 760, 4, 256, 4, 4769 },
+  { 903, 4, 256, 4, 4769 },
+  { 1046, 4, 256, 4, 4769 },
+  { 1189, 4, 256, 4, 4769 },
+  { 1332, 4, 256, 4, 4769 },
+  { 1475, 4, 294, 4, 4673 },
+  { 142, 4, 294, 4, 4673 },
+  { 188, 621, 4, 5, 4737 },
+  { 375, 621, 4, 5, 4737 },
+  { 518, 621, 4, 5, 4737 },
+  { 661, 621, 4, 5, 4737 },
+  { 804, 621, 4, 5, 4737 },
+  { 947, 621, 4, 5, 4737 },
+  { 1090, 621, 4, 5, 4737 },
+  { 1233, 621, 4, 5, 4737 },
+  { 1376, 621, 4, 5, 4737 },
+  { 1515, 621, 4, 5, 4737 },
+  { 42, 621, 4, 5, 4737 },
+  { 235, 621, 4, 5, 4737 },
+  { 424, 621, 4, 5, 4737 },
+  { 569, 621, 4, 5, 4737 },
+  { 712, 621, 4, 5, 4737 },
+  { 855, 621, 4, 5, 4737 },
+  { 998, 621, 4, 5, 4737 },
+  { 1141, 621, 4, 5, 4737 },
+  { 1284, 621, 4, 5, 4737 },
+  { 1427, 621, 4, 5, 4737 },
+  { 94, 621, 4, 5, 4737 },
+  { 287, 621, 4, 5, 4737 },
+  { 476, 621, 4, 5, 4737 },
+  { 621, 621, 4, 5, 4737 },
+  { 764, 621, 4, 5, 4737 },
+  { 907, 621, 4, 5, 4737 },
+  { 1050, 621, 4, 5, 4737 },
+  { 1193, 621, 4, 5, 4737 },
+  { 1336, 621, 4, 5, 4737 },
+  { 346, 430, 179, 7, 1041 },
+  { 490, 430, 243, 7, 1041 },
+  { 634, 430, 134, 7, 1041 },
+  { 777, 430, 134, 7, 1041 },
+  { 920, 430, 134, 7, 1041 },
+  { 1063, 430, 134, 7, 1041 },
+  { 1206, 430, 134, 7, 1041 },
+  { 1349, 430, 134, 7, 1041 },
+  { 1488, 430, 134, 7, 1041 },
+  { 10, 430, 134, 7, 1041 },
+  { 201, 430, 134, 7, 1041 },
+  { 389, 430, 134, 7, 1041 },
+  { 533, 430, 134, 7, 1041 },
+  { 676, 430, 134, 7, 1041 },
+  { 819, 430, 134, 7, 1041 },
+  { 962, 430, 134, 7, 1041 },
+  { 1105, 430, 134, 7, 1041 },
+  { 1248, 430, 134, 7, 1041 },
+  { 1391, 430, 134, 7, 1041 },
+  { 58, 430, 134, 7, 1041 },
+  { 251, 430, 134, 7, 1041 },
+  { 440, 430, 134, 7, 1041 },
+  { 585, 430, 134, 7, 1041 },
+  { 728, 430, 134, 7, 1041 },
+  { 871, 430, 134, 7, 1041 },
+  { 1014, 430, 134, 7, 1041 },
+  { 1157, 430, 134, 7, 1041 },
+  { 1300, 430, 134, 7, 1041 },
+  { 1443, 430, 134, 7, 1041 },
+  { 110, 430, 134, 7, 1041 },
+  { 303, 430, 134, 7, 1041 },
+  { 157, 421, 134, 7, 4080 },
+  { 628, 562, 264, 31, 81 },
+  { 771, 562, 264, 31, 81 },
+  { 914, 562, 264, 31, 81 },
+  { 1057, 562, 264, 31, 81 },
+  { 1200, 562, 264, 31, 81 },
+  { 1343, 562, 264, 31, 81 },
+  { 1482, 562, 264, 31, 81 },
+  { 4, 562, 264, 31, 81 },
+  { 195, 562, 264, 31, 81 },
+  { 382, 562, 264, 31, 81 },
+  { 525, 562, 264, 31, 81 },
+  { 668, 562, 264, 31, 81 },
+  { 811, 562, 264, 31, 81 },
+  { 954, 562, 264, 31, 81 },
+  { 1097, 562, 264, 31, 81 },
+  { 1240, 562, 264, 31, 81 },
+  { 1383, 562, 264, 31, 81 },
+  { 50, 562, 264, 31, 81 },
+  { 243, 562, 264, 31, 81 },
+  { 432, 562, 264, 31, 81 },
+  { 577, 562, 264, 31, 81 },
+  { 720, 562, 264, 31, 81 },
+  { 863, 562, 264, 31, 81 },
+  { 1006, 562, 264, 31, 81 },
+  { 1149, 562, 264, 31, 81 },
+  { 1292, 562, 264, 31, 81 },
+  { 1435, 562, 264, 31, 81 },
+  { 102, 562, 264, 31, 81 },
+  { 295, 562, 264, 31, 81 },
+  { 149, 584, 264, 31, 160 },
+  { 338, 397, 264, 31, 368 },
+  { 483, 540, 264, 31, 3216 },
+  { 487, 32, 258, 16, 305 },
+  { 631, 32, 191, 16, 305 },
+  { 774, 32, 191, 16, 305 },
+  { 917, 32, 191, 16, 305 },
+  { 1060, 32, 191, 16, 305 },
+  { 1203, 32, 191, 16, 305 },
+  { 1346, 32, 191, 16, 305 },
+  { 1485, 32, 191, 16, 305 },
+  { 7, 32, 191, 16, 305 },
+  { 198, 32, 191, 16, 305 },
+  { 385, 32, 191, 16, 305 },
+  { 529, 32, 191, 16, 305 },
+  { 672, 32, 191, 16, 305 },
+  { 815, 32, 191, 16, 305 },
+  { 958, 32, 191, 16, 305 },
+  { 1101, 32, 191, 16, 305 },
+  { 1244, 32, 191, 16, 305 },
+  { 1387, 32, 191, 16, 305 },
+  { 54, 32, 191, 16, 305 },
+  { 247, 32, 191, 16, 305 },
+  { 436, 32, 191, 16, 305 },
+  { 581, 32, 191, 16, 305 },
+  { 724, 32, 191, 16, 305 },
+  { 867, 32, 191, 16, 305 },
+  { 1010, 32, 191, 16, 305 },
+  { 1153, 32, 191, 16, 305 },
+  { 1296, 32, 191, 16, 305 },
+  { 1439, 32, 191, 16, 305 },
+  { 106, 32, 191, 16, 305 },
+  { 299, 32, 191, 16, 305 },
+  { 153, 47, 191, 16, 448 },
+  { 342, 608, 191, 16, 3824 },
+  { 363, 268, 185, 53, 993 },
+  { 506, 268, 249, 53, 993 },
+  { 649, 268, 140, 53, 993 },
+  { 792, 268, 140, 53, 993 },
+  { 935, 268, 140, 53, 993 },
+  { 1078, 268, 140, 53, 993 },
+  { 1221, 268, 140, 53, 993 },
+  { 1364, 268, 140, 53, 993 },
+  { 1503, 268, 140, 53, 993 },
+  { 27, 268, 140, 53, 993 },
+  { 219, 268, 140, 53, 993 },
+  { 408, 268, 140, 53, 993 },
+  { 553, 268, 140, 53, 993 },
+  { 696, 268, 140, 53, 993 },
+  { 839, 268, 140, 53, 993 },
+  { 982, 268, 140, 53, 993 },
+  { 1125, 268, 140, 53, 993 },
+  { 1268, 268, 140, 53, 993 },
+  { 1411, 268, 140, 53, 993 },
+  { 78, 268, 140, 53, 993 },
+  { 271, 268, 140, 53, 993 },
+  { 460, 268, 140, 53, 993 },
+  { 605, 268, 140, 53, 993 },
+  { 748, 268, 140, 53, 993 },
+  { 891, 268, 140, 53, 993 },
+  { 1034, 268, 140, 53, 993 },
+  { 1177, 268, 140, 53, 993 },
+  { 1320, 268, 140, 53, 993 },
+  { 1463, 268, 140, 53, 993 },
+  { 130, 268, 140, 53, 993 },
+  { 323, 268, 140, 53, 993 },
+  { 175, 280, 140, 53, 4080 },
+  { 643, 476, 4, 86, 1 },
+  { 786, 476, 4, 86, 1 },
+  { 929, 476, 4, 86, 1 },
+  { 1072, 476, 4, 86, 1 },
+  { 1215, 476, 4, 86, 1 },
+  { 1358, 476, 4, 86, 1 },
+  { 1497, 476, 4, 86, 1 },
+  { 21, 476, 4, 86, 1 },
+  { 213, 476, 4, 86, 1 },
+  { 401, 476, 4, 86, 1 },
+  { 545, 476, 4, 86, 1 },
+  { 688, 476, 4, 86, 1 },
+  { 831, 476, 4, 86, 1 },
+  { 974, 476, 4, 86, 1 },
+  { 1117, 476, 4, 86, 1 },
+  { 1260, 476, 4, 86, 1 },
+  { 1403, 476, 4, 86, 1 },
+  { 70, 476, 4, 86, 1 },
+  { 263, 476, 4, 86, 1 },
+  { 452, 476, 4, 86, 1 },
+  { 597, 476, 4, 86, 1 },
+  { 740, 476, 4, 86, 1 },
+  { 883, 476, 4, 86, 1 },
+  { 1026, 476, 4, 86, 1 },
+  { 1169, 476, 4, 86, 1 },
+  { 1312, 476, 4, 86, 1 },
+  { 1455, 476, 4, 86, 1 },
+  { 122, 476, 4, 86, 1 },
+  { 315, 476, 4, 86, 1 },
+  { 167, 508, 4, 86, 160 },
+  { 355, 365, 4, 86, 368 },
+  { 499, 444, 4, 86, 3216 },
+  { 503, 302, 261, 65, 241 },
+  { 646, 302, 88, 65, 241 },
+  { 789, 302, 88, 65, 241 },
+  { 932, 302, 88, 65, 241 },
+  { 1075, 302, 88, 65, 241 },
+  { 1218, 302, 88, 65, 241 },
+  { 1361, 302, 88, 65, 241 },
+  { 1500, 302, 88, 65, 241 },
+  { 24, 302, 88, 65, 241 },
+  { 216, 302, 88, 65, 241 },
+  { 404, 302, 88, 65, 241 },
+  { 549, 302, 88, 65, 241 },
+  { 692, 302, 88, 65, 241 },
+  { 835, 302, 88, 65, 241 },
+  { 978, 302, 88, 65, 241 },
+  { 1121, 302, 88, 65, 241 },
+  { 1264, 302, 88, 65, 241 },
+  { 1407, 302, 88, 65, 241 },
+  { 74, 302, 88, 65, 241 },
+  { 267, 302, 88, 65, 241 },
+  { 456, 302, 88, 65, 241 },
+  { 601, 302, 88, 65, 241 },
+  { 744, 302, 88, 65, 241 },
+  { 887, 302, 88, 65, 241 },
+  { 1030, 302, 88, 65, 241 },
+  { 1173, 302, 88, 65, 241 },
+  { 1316, 302, 88, 65, 241 },
+  { 1459, 302, 88, 65, 241 },
+  { 126, 302, 88, 65, 241 },
+  { 319, 302, 88, 65, 241 },
+  { 171, 323, 88, 65, 448 },
+  { 359, 344, 88, 65, 3824 },
 };
 
   // FPR8 Register Class...
-  static uint16_t FPR8[] = {
+  static MCPhysReg FPR8[] = {
     AArch64_B0, AArch64_B1, AArch64_B2, AArch64_B3, AArch64_B4, AArch64_B5, AArch64_B6, AArch64_B7, AArch64_B8, AArch64_B9, AArch64_B10, AArch64_B11, AArch64_B12, AArch64_B13, AArch64_B14, AArch64_B15, AArch64_B16, AArch64_B17, AArch64_B18, AArch64_B19, AArch64_B20, AArch64_B21, AArch64_B22, AArch64_B23, AArch64_B24, AArch64_B25, AArch64_B26, AArch64_B27, AArch64_B28, AArch64_B29, AArch64_B30, AArch64_B31, 
   };
 
   // FPR8 Bit set.
   static uint8_t FPR8Bits[] = {
-    0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0xff, 0xff, 0xff, 0xff, 
   };
 
   // FPR16 Register Class...
-  static uint16_t FPR16[] = {
+  static MCPhysReg FPR16[] = {
     AArch64_H0, AArch64_H1, AArch64_H2, AArch64_H3, AArch64_H4, AArch64_H5, AArch64_H6, AArch64_H7, AArch64_H8, AArch64_H9, AArch64_H10, AArch64_H11, AArch64_H12, AArch64_H13, AArch64_H14, AArch64_H15, AArch64_H16, AArch64_H17, AArch64_H18, AArch64_H19, AArch64_H20, AArch64_H21, AArch64_H22, AArch64_H23, AArch64_H24, AArch64_H25, AArch64_H26, AArch64_H27, AArch64_H28, AArch64_H29, AArch64_H30, AArch64_H31, 
   };
 
   // FPR16 Bit set.
   static uint8_t FPR16Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0xff, 
+  };
+
+  // GPR32all Register Class...
+  static MCPhysReg GPR32all[] = {
+    AArch64_W0, AArch64_W1, AArch64_W2, AArch64_W3, AArch64_W4, AArch64_W5, AArch64_W6, AArch64_W7, AArch64_W8, AArch64_W9, AArch64_W10, AArch64_W11, AArch64_W12, AArch64_W13, AArch64_W14, AArch64_W15, AArch64_W16, AArch64_W17, AArch64_W18, AArch64_W19, AArch64_W20, AArch64_W21, AArch64_W22, AArch64_W23, AArch64_W24, AArch64_W25, AArch64_W26, AArch64_W27, AArch64_W28, AArch64_W29, AArch64_W30, AArch64_WZR, AArch64_WSP, 
+  };
+
+  // GPR32all Bit set.
+  static uint8_t GPR32allBits[] = {
+    0x60, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0x7f, 
   };
 
   // FPR32 Register Class...
-  static uint16_t FPR32[] = {
+  static MCPhysReg FPR32[] = {
     AArch64_S0, AArch64_S1, AArch64_S2, AArch64_S3, AArch64_S4, AArch64_S5, AArch64_S6, AArch64_S7, AArch64_S8, AArch64_S9, AArch64_S10, AArch64_S11, AArch64_S12, AArch64_S13, AArch64_S14, AArch64_S15, AArch64_S16, AArch64_S17, AArch64_S18, AArch64_S19, AArch64_S20, AArch64_S21, AArch64_S22, AArch64_S23, AArch64_S24, AArch64_S25, AArch64_S26, AArch64_S27, AArch64_S28, AArch64_S29, AArch64_S30, AArch64_S31, 
   };
 
   // FPR32 Bit set.
   static uint8_t FPR32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0xff, 
   };
 
   // GPR32 Register Class...
-  static uint16_t GPR32[] = {
+  static MCPhysReg GPR32[] = {
     AArch64_W0, AArch64_W1, AArch64_W2, AArch64_W3, AArch64_W4, AArch64_W5, AArch64_W6, AArch64_W7, AArch64_W8, AArch64_W9, AArch64_W10, AArch64_W11, AArch64_W12, AArch64_W13, AArch64_W14, AArch64_W15, AArch64_W16, AArch64_W17, AArch64_W18, AArch64_W19, AArch64_W20, AArch64_W21, AArch64_W22, AArch64_W23, AArch64_W24, AArch64_W25, AArch64_W26, AArch64_W27, AArch64_W28, AArch64_W29, AArch64_W30, AArch64_WZR, 
   };
 
   // GPR32 Bit set.
   static uint8_t GPR32Bits[] = {
-    0x08, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x1f, 
+    0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0x7f, 
   };
 
-  // GPR32wsp Register Class...
-  static uint16_t GPR32wsp[] = {
+  // GPR32sp Register Class...
+  static MCPhysReg GPR32sp[] = {
     AArch64_W0, AArch64_W1, AArch64_W2, AArch64_W3, AArch64_W4, AArch64_W5, AArch64_W6, AArch64_W7, AArch64_W8, AArch64_W9, AArch64_W10, AArch64_W11, AArch64_W12, AArch64_W13, AArch64_W14, AArch64_W15, AArch64_W16, AArch64_W17, AArch64_W18, AArch64_W19, AArch64_W20, AArch64_W21, AArch64_W22, AArch64_W23, AArch64_W24, AArch64_W25, AArch64_W26, AArch64_W27, AArch64_W28, AArch64_W29, AArch64_W30, AArch64_WSP, 
   };
 
-  // GPR32wsp Bit set.
-  static uint8_t GPR32wspBits[] = {
-    0x04, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x1f, 
+  // GPR32sp Bit set.
+  static uint8_t GPR32spBits[] = {
+    0x20, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0x7f, 
   };
 
-  // GPR32nowzr Register Class...
-  static uint16_t GPR32nowzr[] = {
+  // GPR32common Register Class...
+  static MCPhysReg GPR32common[] = {
     AArch64_W0, AArch64_W1, AArch64_W2, AArch64_W3, AArch64_W4, AArch64_W5, AArch64_W6, AArch64_W7, AArch64_W8, AArch64_W9, AArch64_W10, AArch64_W11, AArch64_W12, AArch64_W13, AArch64_W14, AArch64_W15, AArch64_W16, AArch64_W17, AArch64_W18, AArch64_W19, AArch64_W20, AArch64_W21, AArch64_W22, AArch64_W23, AArch64_W24, AArch64_W25, AArch64_W26, AArch64_W27, AArch64_W28, AArch64_W29, AArch64_W30, 
   };
 
-  // GPR32nowzr Bit set.
-  static uint8_t GPR32nowzrBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x1f, 
+  // GPR32common Bit set.
+  static uint8_t GPR32commonBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0x7f, 
   };
 
-  // FlagClass Register Class...
-  static uint16_t FlagClass[] = {
+  // CCR Register Class...
+  static MCPhysReg CCR[] = {
     AArch64_NZCV, 
   };
 
-  // FlagClass Bit set.
-  static uint8_t FlagClassBits[] = {
-    0x02, 
+  // CCR Bit set.
+  static uint8_t CCRBits[] = {
+    0x08, 
   };
 
-  // Rwsp Register Class...
-  static uint16_t Rwsp[] = {
+  // GPR32sponly Register Class...
+  static MCPhysReg GPR32sponly[] = {
     AArch64_WSP, 
   };
 
-  // Rwsp Bit set.
-  static uint8_t RwspBits[] = {
-    0x04, 
+  // GPR32sponly Bit set.
+  static uint8_t GPR32sponlyBits[] = {
+    0x20, 
+  };
+
+  // GPR64all Register Class...
+  static MCPhysReg GPR64all[] = {
+    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_FP, AArch64_LR, AArch64_XZR, AArch64_SP, 
+  };
+
+  // GPR64all Bit set.
+  static uint8_t GPR64allBits[] = {
+    0x96, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x0f, 
   };
 
   // FPR64 Register Class...
-  static uint16_t FPR64[] = {
+  static MCPhysReg FPR64[] = {
     AArch64_D0, AArch64_D1, AArch64_D2, AArch64_D3, AArch64_D4, AArch64_D5, AArch64_D6, AArch64_D7, AArch64_D8, AArch64_D9, AArch64_D10, AArch64_D11, AArch64_D12, AArch64_D13, AArch64_D14, AArch64_D15, AArch64_D16, AArch64_D17, AArch64_D18, AArch64_D19, AArch64_D20, AArch64_D21, AArch64_D22, AArch64_D23, AArch64_D24, AArch64_D25, AArch64_D26, AArch64_D27, AArch64_D28, AArch64_D29, AArch64_D30, AArch64_D31, 
   };
 
   // FPR64 Bit set.
   static uint8_t FPR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0xff, 
   };
 
   // GPR64 Register Class...
-  static uint16_t GPR64[] = {
-    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_X29, AArch64_X30, AArch64_XZR, 
+  static MCPhysReg GPR64[] = {
+    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_FP, AArch64_LR, AArch64_XZR, 
   };
 
   // GPR64 Bit set.
   static uint8_t GPR64Bits[] = {
-    0x20, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x0f, 
+    0x86, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // GPR64xsp Register Class...
-  static uint16_t GPR64xsp[] = {
-    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_X29, AArch64_X30, AArch64_XSP, 
+  // GPR64sp Register Class...
+  static MCPhysReg GPR64sp[] = {
+    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_FP, AArch64_LR, AArch64_SP, 
   };
 
-  // GPR64xsp Bit set.
-  static uint8_t GPR64xspBits[] = {
-    0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x0f, 
+  // GPR64sp Bit set.
+  static uint8_t GPR64spBits[] = {
+    0x16, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // GPR64noxzr Register Class...
-  static uint16_t GPR64noxzr[] = {
-    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_X29, AArch64_X30, 
+  // GPR64common Register Class...
+  static MCPhysReg GPR64common[] = {
+    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, AArch64_X19, AArch64_X20, AArch64_X21, AArch64_X22, AArch64_X23, AArch64_X24, AArch64_X25, AArch64_X26, AArch64_X27, AArch64_X28, AArch64_FP, AArch64_LR, 
   };
 
-  // GPR64noxzr Bit set.
-  static uint8_t GPR64noxzrBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x0f, 
+  // GPR64common Bit set.
+  static uint8_t GPR64commonBits[] = {
+    0x06, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x0f, 
   };
 
   // tcGPR64 Register Class...
-  static uint16_t tcGPR64[] = {
-    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, 
+  static MCPhysReg tcGPR64[] = {
+    AArch64_X0, AArch64_X1, AArch64_X2, AArch64_X3, AArch64_X4, AArch64_X5, AArch64_X6, AArch64_X7, AArch64_X8, AArch64_X9, AArch64_X10, AArch64_X11, AArch64_X12, AArch64_X13, AArch64_X14, AArch64_X15, AArch64_X16, AArch64_X17, AArch64_X18, 
   };
 
   // tcGPR64 Bit set.
   static uint8_t tcGPR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xdf, 0xff, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0x03, 
   };
 
-  // FPR64Lo Register Class...
-  static uint16_t FPR64Lo[] = {
-    AArch64_D0, AArch64_D1, AArch64_D2, AArch64_D3, AArch64_D4, AArch64_D5, AArch64_D6, AArch64_D7, AArch64_D8, AArch64_D9, AArch64_D10, AArch64_D11, AArch64_D12, AArch64_D13, AArch64_D14, AArch64_D15, 
+  // GPR64sponly Register Class...
+  static MCPhysReg GPR64sponly[] = {
+    AArch64_SP, 
   };
 
-  // FPR64Lo Bit set.
-  static uint8_t FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0x3f, 
-  };
-
-  // Rxsp Register Class...
-  static uint16_t Rxsp[] = {
-    AArch64_XSP, 
-  };
-
-  // Rxsp Bit set.
-  static uint8_t RxspBits[] = {
+  // GPR64sponly Bit set.
+  static uint8_t GPR64sponlyBits[] = {
     0x10, 
   };
 
-  // DPair Register Class...
-  static uint16_t DPair[] = {
+  // DD Register Class...
+  static MCPhysReg DD[] = {
     AArch64_D0_D1, AArch64_D1_D2, AArch64_D2_D3, AArch64_D3_D4, AArch64_D4_D5, AArch64_D5_D6, AArch64_D6_D7, AArch64_D7_D8, AArch64_D8_D9, AArch64_D9_D10, AArch64_D10_D11, AArch64_D11_D12, AArch64_D12_D13, AArch64_D13_D14, AArch64_D14_D15, AArch64_D15_D16, AArch64_D16_D17, AArch64_D17_D18, AArch64_D18_D19, AArch64_D19_D20, AArch64_D20_D21, AArch64_D21_D22, AArch64_D22_D23, AArch64_D23_D24, AArch64_D24_D25, AArch64_D25_D26, AArch64_D26_D27, AArch64_D27_D28, AArch64_D28_D29, AArch64_D29_D30, AArch64_D30_D31, AArch64_D31_D0, 
   };
 
-  // DPair Bit set.
-  static uint8_t DPairBits[] = {
+  // DD Bit set.
+  static uint8_t DDBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // DPair_with_dsub_0_in_FPR64Lo Register Class...
-  static uint16_t DPair_with_dsub_0_in_FPR64Lo[] = {
-    AArch64_D0_D1, AArch64_D1_D2, AArch64_D2_D3, AArch64_D3_D4, AArch64_D4_D5, AArch64_D5_D6, AArch64_D6_D7, AArch64_D7_D8, AArch64_D8_D9, AArch64_D9_D10, AArch64_D10_D11, AArch64_D11_D12, AArch64_D12_D13, AArch64_D13_D14, AArch64_D14_D15, AArch64_D15_D16, 
-  };
-
-  // DPair_with_dsub_0_in_FPR64Lo Bit set.
-  static uint8_t DPair_with_dsub_0_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
-  };
-
-  // DPair_with_dsub_1_in_FPR64Lo Register Class...
-  static uint16_t DPair_with_dsub_1_in_FPR64Lo[] = {
-    AArch64_D0_D1, AArch64_D1_D2, AArch64_D2_D3, AArch64_D3_D4, AArch64_D4_D5, AArch64_D5_D6, AArch64_D6_D7, AArch64_D7_D8, AArch64_D8_D9, AArch64_D9_D10, AArch64_D10_D11, AArch64_D11_D12, AArch64_D12_D13, AArch64_D13_D14, AArch64_D14_D15, AArch64_D31_D0, 
-  };
-
-  // DPair_with_dsub_1_in_FPR64Lo Bit set.
-  static uint8_t DPair_with_dsub_1_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
-  };
-
-  // DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64Lo Register Class...
-  static uint16_t DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64Lo[] = {
-    AArch64_D0_D1, AArch64_D1_D2, AArch64_D2_D3, AArch64_D3_D4, AArch64_D4_D5, AArch64_D5_D6, AArch64_D6_D7, AArch64_D7_D8, AArch64_D8_D9, AArch64_D9_D10, AArch64_D10_D11, AArch64_D11_D12, AArch64_D12_D13, AArch64_D13_D14, AArch64_D14_D15, 
-  };
-
-  // DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64Lo Bit set.
-  static uint8_t DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
-  };
-
   // FPR128 Register Class...
-  static uint16_t FPR128[] = {
+  static MCPhysReg FPR128[] = {
     AArch64_Q0, AArch64_Q1, AArch64_Q2, AArch64_Q3, AArch64_Q4, AArch64_Q5, AArch64_Q6, AArch64_Q7, AArch64_Q8, AArch64_Q9, AArch64_Q10, AArch64_Q11, AArch64_Q12, AArch64_Q13, AArch64_Q14, AArch64_Q15, AArch64_Q16, AArch64_Q17, AArch64_Q18, AArch64_Q19, AArch64_Q20, AArch64_Q21, AArch64_Q22, AArch64_Q23, AArch64_Q24, AArch64_Q25, AArch64_Q26, AArch64_Q27, AArch64_Q28, AArch64_Q29, AArch64_Q30, AArch64_Q31, 
   };
 
   // FPR128 Bit set.
   static uint8_t FPR128Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 0xff, 0xff, 
   };
 
-  // FPR128Lo Register Class...
-  static uint16_t FPR128Lo[] = {
+  // FPR128_lo Register Class...
+  static MCPhysReg FPR128_lo[] = {
     AArch64_Q0, AArch64_Q1, AArch64_Q2, AArch64_Q3, AArch64_Q4, AArch64_Q5, AArch64_Q6, AArch64_Q7, AArch64_Q8, AArch64_Q9, AArch64_Q10, AArch64_Q11, AArch64_Q12, AArch64_Q13, AArch64_Q14, AArch64_Q15, 
   };
 
-  // FPR128Lo Bit set.
-  static uint8_t FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0x3f, 
+  // FPR128_lo Bit set.
+  static uint8_t FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xff, 0xff, 
   };
 
-  // DTriple Register Class...
-  static uint16_t DTriple[] = {
+  // DDD Register Class...
+  static MCPhysReg DDD[] = {
     AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D14_D15_D16, AArch64_D15_D16_D17, AArch64_D16_D17_D18, AArch64_D17_D18_D19, AArch64_D18_D19_D20, AArch64_D19_D20_D21, AArch64_D20_D21_D22, AArch64_D21_D22_D23, AArch64_D22_D23_D24, AArch64_D23_D24_D25, AArch64_D24_D25_D26, AArch64_D25_D26_D27, AArch64_D26_D27_D28, AArch64_D27_D28_D29, AArch64_D28_D29_D30, AArch64_D29_D30_D31, AArch64_D30_D31_D0, AArch64_D31_D0_D1, 
   };
 
-  // DTriple Bit set.
-  static uint8_t DTripleBits[] = {
+  // DDD Bit set.
+  static uint8_t DDDBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // DTriple_with_dsub_0_in_FPR64Lo Register Class...
-  static uint16_t DTriple_with_dsub_0_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D14_D15_D16, AArch64_D15_D16_D17, 
-  };
-
-  // DTriple_with_dsub_0_in_FPR64Lo Bit set.
-  static uint8_t DTriple_with_dsub_0_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
-  };
-
-  // DTriple_with_dsub_1_in_FPR64Lo Register Class...
-  static uint16_t DTriple_with_dsub_1_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D14_D15_D16, AArch64_D31_D0_D1, 
-  };
-
-  // DTriple_with_dsub_1_in_FPR64Lo Bit set.
-  static uint8_t DTriple_with_dsub_1_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
-  };
-
-  // DTriple_with_dsub_2_in_FPR64Lo Register Class...
-  static uint16_t DTriple_with_dsub_2_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D30_D31_D0, AArch64_D31_D0_D1, 
-  };
-
-  // DTriple_with_dsub_2_in_FPR64Lo Bit set.
-  static uint8_t DTriple_with_dsub_2_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x0c, 
-  };
-
-  // DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64Lo Register Class...
-  static uint16_t DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D14_D15_D16, 
-  };
-
-  // DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64Lo Bit set.
-  static uint8_t DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
-  };
-
-  // DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo Register Class...
-  static uint16_t DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, AArch64_D31_D0_D1, 
-  };
-
-  // DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo Bit set.
-  static uint8_t DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x08, 
-  };
-
-  // DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo Register Class...
-  static uint16_t DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2, AArch64_D1_D2_D3, AArch64_D2_D3_D4, AArch64_D3_D4_D5, AArch64_D4_D5_D6, AArch64_D5_D6_D7, AArch64_D6_D7_D8, AArch64_D7_D8_D9, AArch64_D8_D9_D10, AArch64_D9_D10_D11, AArch64_D10_D11_D12, AArch64_D11_D12_D13, AArch64_D12_D13_D14, AArch64_D13_D14_D15, 
-  };
-
-  // DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo Bit set.
-  static uint8_t DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 
-  };
-
-  // DQuad Register Class...
-  static uint16_t DQuad[] = {
+  // DDDD Register Class...
+  static MCPhysReg DDDD[] = {
     AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D14_D15_D16_D17, AArch64_D15_D16_D17_D18, AArch64_D16_D17_D18_D19, AArch64_D17_D18_D19_D20, AArch64_D18_D19_D20_D21, AArch64_D19_D20_D21_D22, AArch64_D20_D21_D22_D23, AArch64_D21_D22_D23_D24, AArch64_D22_D23_D24_D25, AArch64_D23_D24_D25_D26, AArch64_D24_D25_D26_D27, AArch64_D25_D26_D27_D28, AArch64_D26_D27_D28_D29, AArch64_D27_D28_D29_D30, AArch64_D28_D29_D30_D31, AArch64_D29_D30_D31_D0, AArch64_D30_D31_D0_D1, AArch64_D31_D0_D1_D2, 
   };
 
-  // DQuad Bit set.
-  static uint8_t DQuadBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_0_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D14_D15_D16_D17, AArch64_D15_D16_D17_D18, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_0_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
-  };
-
-  // DQuad_with_dsub_1_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_1_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D14_D15_D16_D17, AArch64_D31_D0_D1_D2, 
-  };
-
-  // DQuad_with_dsub_1_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_1_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
-  };
-
-  // DQuad_with_dsub_2_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_2_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D30_D31_D0_D1, AArch64_D31_D0_D1_D2, 
-  };
-
-  // DQuad_with_dsub_2_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_2_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x0c, 
-  };
-
-  // DQuad_with_dsub_3_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_3_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D29_D30_D31_D0, AArch64_D30_D31_D0_D1, AArch64_D31_D0_D1_D2, 
-  };
-
-  // DQuad_with_dsub_3_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_3_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x0e, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D14_D15_D16_D17, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
-  };
-
-  // DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, AArch64_D31_D0_D1_D2, 
-  };
-
-  // DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x08, 
-  };
-
-  // DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D30_D31_D0_D1, AArch64_D31_D0_D1_D2, 
-  };
-
-  // DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x0c, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D13_D14_D15_D16, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 
-  };
-
-  // DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, AArch64_D31_D0_D1_D2, 
-  };
-
-  // DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x08, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo Register Class...
-  static uint16_t DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo[] = {
-    AArch64_D0_D1_D2_D3, AArch64_D1_D2_D3_D4, AArch64_D2_D3_D4_D5, AArch64_D3_D4_D5_D6, AArch64_D4_D5_D6_D7, AArch64_D5_D6_D7_D8, AArch64_D6_D7_D8_D9, AArch64_D7_D8_D9_D10, AArch64_D8_D9_D10_D11, AArch64_D9_D10_D11_D12, AArch64_D10_D11_D12_D13, AArch64_D11_D12_D13_D14, AArch64_D12_D13_D14_D15, 
-  };
-
-  // DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo Bit set.
-  static uint8_t DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 
-  };
-
-  // QPair Register Class...
-  static uint16_t QPair[] = {
-    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q15_Q16, AArch64_Q16_Q17, AArch64_Q17_Q18, AArch64_Q18_Q19, AArch64_Q19_Q20, AArch64_Q20_Q21, AArch64_Q21_Q22, AArch64_Q22_Q23, AArch64_Q23_Q24, AArch64_Q24_Q25, AArch64_Q25_Q26, AArch64_Q26_Q27, AArch64_Q27_Q28, AArch64_Q28_Q29, AArch64_Q29_Q30, AArch64_Q30_Q31, AArch64_Q31_Q0, 
-  };
-
-  // QPair Bit set.
-  static uint8_t QPairBits[] = {
+  // DDDD Bit set.
+  static uint8_t DDDDBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // QPair_with_qsub_0_in_FPR128Lo Register Class...
-  static uint16_t QPair_with_qsub_0_in_FPR128Lo[] = {
-    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q15_Q16, 
+  // QQ Register Class...
+  static MCPhysReg QQ[] = {
+    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q15_Q16, AArch64_Q16_Q17, AArch64_Q17_Q18, AArch64_Q18_Q19, AArch64_Q19_Q20, AArch64_Q20_Q21, AArch64_Q21_Q22, AArch64_Q22_Q23, AArch64_Q23_Q24, AArch64_Q24_Q25, AArch64_Q25_Q26, AArch64_Q26_Q27, AArch64_Q27_Q28, AArch64_Q28_Q29, AArch64_Q29_Q30, AArch64_Q30_Q31, AArch64_Q31_Q0, 
   };
 
-  // QPair_with_qsub_0_in_FPR128Lo Bit set.
-  static uint8_t QPair_with_qsub_0_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
-  };
-
-  // QPair_with_qsub_1_in_FPR128Lo Register Class...
-  static uint16_t QPair_with_qsub_1_in_FPR128Lo[] = {
-    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q31_Q0, 
-  };
-
-  // QPair_with_qsub_1_in_FPR128Lo Bit set.
-  static uint8_t QPair_with_qsub_1_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
-  };
-
-  // QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128Lo Register Class...
-  static uint16_t QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128Lo[] = {
-    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, 
-  };
-
-  // QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128Lo Bit set.
-  static uint8_t QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
-  };
-
-  // QTriple Register Class...
-  static uint16_t QTriple[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, AArch64_Q15_Q16_Q17, AArch64_Q16_Q17_Q18, AArch64_Q17_Q18_Q19, AArch64_Q18_Q19_Q20, AArch64_Q19_Q20_Q21, AArch64_Q20_Q21_Q22, AArch64_Q21_Q22_Q23, AArch64_Q22_Q23_Q24, AArch64_Q23_Q24_Q25, AArch64_Q24_Q25_Q26, AArch64_Q25_Q26_Q27, AArch64_Q26_Q27_Q28, AArch64_Q27_Q28_Q29, AArch64_Q28_Q29_Q30, AArch64_Q29_Q30_Q31, AArch64_Q30_Q31_Q0, AArch64_Q31_Q0_Q1, 
-  };
-
-  // QTriple Bit set.
-  static uint8_t QTripleBits[] = {
+  // QQ Bit set.
+  static uint8_t QQBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // QTriple_with_qsub_0_in_FPR128Lo Register Class...
-  static uint16_t QTriple_with_qsub_0_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, AArch64_Q15_Q16_Q17, 
+  // QQ_with_qsub0_in_FPR128_lo Register Class...
+  static MCPhysReg QQ_with_qsub0_in_FPR128_lo[] = {
+    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q15_Q16, 
   };
 
-  // QTriple_with_qsub_0_in_FPR128Lo Bit set.
-  static uint8_t QTriple_with_qsub_0_in_FPR128LoBits[] = {
+  // QQ_with_qsub0_in_FPR128_lo Bit set.
+  static uint8_t QQ_with_qsub0_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
   };
 
-  // QTriple_with_qsub_1_in_FPR128Lo Register Class...
-  static uint16_t QTriple_with_qsub_1_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, AArch64_Q31_Q0_Q1, 
+  // QQ_with_qsub1_in_FPR128_lo Register Class...
+  static MCPhysReg QQ_with_qsub1_in_FPR128_lo[] = {
+    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, AArch64_Q31_Q0, 
   };
 
-  // QTriple_with_qsub_1_in_FPR128Lo Bit set.
-  static uint8_t QTriple_with_qsub_1_in_FPR128LoBits[] = {
+  // QQ_with_qsub1_in_FPR128_lo Bit set.
+  static uint8_t QQ_with_qsub1_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
   };
 
-  // QTriple_with_qsub_2_in_FPR128Lo Register Class...
-  static uint16_t QTriple_with_qsub_2_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q30_Q31_Q0, AArch64_Q31_Q0_Q1, 
+  // QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_lo Register Class...
+  static MCPhysReg QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_lo[] = {
+    AArch64_Q0_Q1, AArch64_Q1_Q2, AArch64_Q2_Q3, AArch64_Q3_Q4, AArch64_Q4_Q5, AArch64_Q5_Q6, AArch64_Q6_Q7, AArch64_Q7_Q8, AArch64_Q8_Q9, AArch64_Q9_Q10, AArch64_Q10_Q11, AArch64_Q11_Q12, AArch64_Q12_Q13, AArch64_Q13_Q14, AArch64_Q14_Q15, 
   };
 
-  // QTriple_with_qsub_2_in_FPR128Lo Bit set.
-  static uint8_t QTriple_with_qsub_2_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x0c, 
-  };
-
-  // QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128Lo Register Class...
-  static uint16_t QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, 
-  };
-
-  // QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128Lo Bit set.
-  static uint8_t QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128LoBits[] = {
+  // QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_lo Bit set.
+  static uint8_t QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
   };
 
-  // QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo Register Class...
-  static uint16_t QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q31_Q0_Q1, 
+  // QQQ Register Class...
+  static MCPhysReg QQQ[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, AArch64_Q15_Q16_Q17, AArch64_Q16_Q17_Q18, AArch64_Q17_Q18_Q19, AArch64_Q18_Q19_Q20, AArch64_Q19_Q20_Q21, AArch64_Q20_Q21_Q22, AArch64_Q21_Q22_Q23, AArch64_Q22_Q23_Q24, AArch64_Q23_Q24_Q25, AArch64_Q24_Q25_Q26, AArch64_Q25_Q26_Q27, AArch64_Q26_Q27_Q28, AArch64_Q27_Q28_Q29, AArch64_Q28_Q29_Q30, AArch64_Q29_Q30_Q31, AArch64_Q30_Q31_Q0, AArch64_Q31_Q0_Q1, 
   };
 
-  // QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo Bit set.
-  static uint8_t QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x08, 
-  };
-
-  // QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo Register Class...
-  static uint16_t QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, 
-  };
-
-  // QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo Bit set.
-  static uint8_t QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 
-  };
-
-  // QQuad Register Class...
-  static uint16_t QQuad[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, AArch64_Q15_Q16_Q17_Q18, AArch64_Q16_Q17_Q18_Q19, AArch64_Q17_Q18_Q19_Q20, AArch64_Q18_Q19_Q20_Q21, AArch64_Q19_Q20_Q21_Q22, AArch64_Q20_Q21_Q22_Q23, AArch64_Q21_Q22_Q23_Q24, AArch64_Q22_Q23_Q24_Q25, AArch64_Q23_Q24_Q25_Q26, AArch64_Q24_Q25_Q26_Q27, AArch64_Q25_Q26_Q27_Q28, AArch64_Q26_Q27_Q28_Q29, AArch64_Q27_Q28_Q29_Q30, AArch64_Q28_Q29_Q30_Q31, AArch64_Q29_Q30_Q31_Q0, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
-  };
-
-  // QQuad Bit set.
-  static uint8_t QQuadBits[] = {
+  // QQQ Bit set.
+  static uint8_t QQQBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
-  // QQuad_with_qsub_0_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_0_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, AArch64_Q15_Q16_Q17_Q18, 
+  // QQQ_with_qsub0_in_FPR128_lo Register Class...
+  static MCPhysReg QQQ_with_qsub0_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, AArch64_Q15_Q16_Q17, 
   };
 
-  // QQuad_with_qsub_0_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_0_in_FPR128LoBits[] = {
+  // QQQ_with_qsub0_in_FPR128_lo Bit set.
+  static uint8_t QQQ_with_qsub0_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
   };
 
-  // QQuad_with_qsub_1_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_1_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, AArch64_Q31_Q0_Q1_Q2, 
+  // QQQ_with_qsub1_in_FPR128_lo Register Class...
+  static MCPhysReg QQQ_with_qsub1_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, AArch64_Q31_Q0_Q1, 
   };
 
-  // QQuad_with_qsub_1_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_1_in_FPR128LoBits[] = {
+  // QQQ_with_qsub1_in_FPR128_lo Bit set.
+  static uint8_t QQQ_with_qsub1_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
   };
 
-  // QQuad_with_qsub_2_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_2_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  // QQQ_with_qsub2_in_FPR128_lo Register Class...
+  static MCPhysReg QQQ_with_qsub2_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q30_Q31_Q0, AArch64_Q31_Q0_Q1, 
   };
 
-  // QQuad_with_qsub_2_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_2_in_FPR128LoBits[] = {
+  // QQQ_with_qsub2_in_FPR128_lo Bit set.
+  static uint8_t QQQ_with_qsub2_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x0c, 
   };
 
-  // QQuad_with_qsub_3_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_3_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q29_Q30_Q31_Q0, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  // QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_lo Register Class...
+  static MCPhysReg QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q14_Q15_Q16, 
   };
 
-  // QQuad_with_qsub_3_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_3_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x0e, 
-  };
-
-  // QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, 
-  };
-
-  // QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128LoBits[] = {
+  // QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_lo Bit set.
+  static uint8_t QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
   };
 
-  // QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q31_Q0_Q1_Q2, 
+  // QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo Register Class...
+  static MCPhysReg QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, AArch64_Q31_Q0_Q1, 
   };
 
-  // QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoBits[] = {
+  // QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo Bit set.
+  static uint8_t QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x08, 
   };
 
-  // QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  // QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo Register Class...
+  static MCPhysReg QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2, AArch64_Q1_Q2_Q3, AArch64_Q2_Q3_Q4, AArch64_Q3_Q4_Q5, AArch64_Q4_Q5_Q6, AArch64_Q5_Q6_Q7, AArch64_Q6_Q7_Q8, AArch64_Q7_Q8_Q9, AArch64_Q8_Q9_Q10, AArch64_Q9_Q10_Q11, AArch64_Q10_Q11_Q12, AArch64_Q11_Q12_Q13, AArch64_Q12_Q13_Q14, AArch64_Q13_Q14_Q15, 
   };
 
-  // QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x0c, 
-  };
-
-  // QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo[] = {
-    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, 
-  };
-
-  // QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoBits[] = {
+  // QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo Bit set.
+  static uint8_t QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loBits[] = {
     0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 
   };
 
-  // QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo[] = {
+  // QQQQ Register Class...
+  static MCPhysReg QQQQ[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, AArch64_Q15_Q16_Q17_Q18, AArch64_Q16_Q17_Q18_Q19, AArch64_Q17_Q18_Q19_Q20, AArch64_Q18_Q19_Q20_Q21, AArch64_Q19_Q20_Q21_Q22, AArch64_Q20_Q21_Q22_Q23, AArch64_Q21_Q22_Q23_Q24, AArch64_Q22_Q23_Q24_Q25, AArch64_Q23_Q24_Q25_Q26, AArch64_Q24_Q25_Q26_Q27, AArch64_Q25_Q26_Q27_Q28, AArch64_Q26_Q27_Q28_Q29, AArch64_Q27_Q28_Q29_Q30, AArch64_Q28_Q29_Q30_Q31, AArch64_Q29_Q30_Q31_Q0, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  };
+
+  // QQQQ Bit set.
+  static uint8_t QQQQBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+  };
+
+  // QQQQ_with_qsub0_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub0_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, AArch64_Q15_Q16_Q17_Q18, 
+  };
+
+  // QQQQ_with_qsub0_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub0_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x0f, 
+  };
+
+  // QQQQ_with_qsub1_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub1_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, AArch64_Q31_Q0_Q1_Q2, 
+  };
+
+  // QQQQ_with_qsub1_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub1_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 0x00, 0x08, 
+  };
+
+  // QQQQ_with_qsub2_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub2_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  };
+
+  // QQQQ_with_qsub2_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub2_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x0c, 
+  };
+
+  // QQQQ_with_qsub3_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub3_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q29_Q30_Q31_Q0, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  };
+
+  // QQQQ_with_qsub3_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub3_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x0e, 
+  };
+
+  // QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q14_Q15_Q16_Q17, 
+  };
+
+  // QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x07, 
+  };
+
+  // QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, AArch64_Q31_Q0_Q1_Q2, 
+  };
+
+  // QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 0x00, 0x08, 
+  };
+
+  // QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q30_Q31_Q0_Q1, AArch64_Q31_Q0_Q1_Q2, 
+  };
+
+  // QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x0c, 
+  };
+
+  // QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo[] = {
+    AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q13_Q14_Q15_Q16, 
+  };
+
+  // QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x03, 
+  };
+
+  // QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo[] = {
     AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, AArch64_Q31_Q0_Q1_Q2, 
   };
 
-  // QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x08, 
+  // QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 0x00, 0x08, 
   };
 
-  // QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo Register Class...
-  static uint16_t QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo[] = {
+  // QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo Register Class...
+  static MCPhysReg QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo[] = {
     AArch64_Q0_Q1_Q2_Q3, AArch64_Q1_Q2_Q3_Q4, AArch64_Q2_Q3_Q4_Q5, AArch64_Q3_Q4_Q5_Q6, AArch64_Q4_Q5_Q6_Q7, AArch64_Q5_Q6_Q7_Q8, AArch64_Q6_Q7_Q8_Q9, AArch64_Q7_Q8_Q9_Q10, AArch64_Q8_Q9_Q10_Q11, AArch64_Q9_Q10_Q11_Q12, AArch64_Q10_Q11_Q12_Q13, AArch64_Q11_Q12_Q13_Q14, AArch64_Q12_Q13_Q14_Q15, 
   };
 
-  // QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo Bit set.
-  static uint8_t QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 
+  // QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo Bit set.
+  static uint8_t QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0x01, 
   };
 
 static MCRegisterClass AArch64MCRegisterClasses[] = {
   { "FPR8", FPR8, FPR8Bits, 32, sizeof(FPR8Bits), AArch64_FPR8RegClassID, 1, 1, 1, 1 },
   { "FPR16", FPR16, FPR16Bits, 32, sizeof(FPR16Bits), AArch64_FPR16RegClassID, 2, 2, 1, 1 },
+  { "GPR32all", GPR32all, GPR32allBits, 33, sizeof(GPR32allBits), AArch64_GPR32allRegClassID, 4, 4, 1, 1 },
   { "FPR32", FPR32, FPR32Bits, 32, sizeof(FPR32Bits), AArch64_FPR32RegClassID, 4, 4, 1, 1 },
   { "GPR32", GPR32, GPR32Bits, 32, sizeof(GPR32Bits), AArch64_GPR32RegClassID, 4, 4, 1, 1 },
-  { "GPR32wsp", GPR32wsp, GPR32wspBits, 32, sizeof(GPR32wspBits), AArch64_GPR32wspRegClassID, 4, 4, 1, 1 },
-  { "GPR32nowzr", GPR32nowzr, GPR32nowzrBits, 31, sizeof(GPR32nowzrBits), AArch64_GPR32nowzrRegClassID, 4, 4, 1, 1 },
-  { "FlagClass", FlagClass, FlagClassBits, 1, sizeof(FlagClassBits), AArch64_FlagClassRegClassID, 4, 4, -1, 0 },
-  { "Rwsp", Rwsp, RwspBits, 1, sizeof(RwspBits), AArch64_RwspRegClassID, 4, 4, 1, 1 },
+  { "GPR32sp", GPR32sp, GPR32spBits, 32, sizeof(GPR32spBits), AArch64_GPR32spRegClassID, 4, 4, 1, 1 },
+  { "GPR32common", GPR32common, GPR32commonBits, 31, sizeof(GPR32commonBits), AArch64_GPR32commonRegClassID, 4, 4, 1, 1 },
+  { "CCR", CCR, CCRBits, 1, sizeof(CCRBits), AArch64_CCRRegClassID, 4, 4, -1, 0 },
+  { "GPR32sponly", GPR32sponly, GPR32sponlyBits, 1, sizeof(GPR32sponlyBits), AArch64_GPR32sponlyRegClassID, 4, 4, 1, 1 },
+  { "GPR64all", GPR64all, GPR64allBits, 33, sizeof(GPR64allBits), AArch64_GPR64allRegClassID, 8, 8, 1, 1 },
   { "FPR64", FPR64, FPR64Bits, 32, sizeof(FPR64Bits), AArch64_FPR64RegClassID, 8, 8, 1, 1 },
   { "GPR64", GPR64, GPR64Bits, 32, sizeof(GPR64Bits), AArch64_GPR64RegClassID, 8, 8, 1, 1 },
-  { "GPR64xsp", GPR64xsp, GPR64xspBits, 32, sizeof(GPR64xspBits), AArch64_GPR64xspRegClassID, 8, 8, 1, 1 },
-  { "GPR64noxzr", GPR64noxzr, GPR64noxzrBits, 31, sizeof(GPR64noxzrBits), AArch64_GPR64noxzrRegClassID, 8, 8, 1, 1 },
-  { "tcGPR64", tcGPR64, tcGPR64Bits, 18, sizeof(tcGPR64Bits), AArch64_tcGPR64RegClassID, 8, 8, 1, 1 },
-  { "FPR64Lo", FPR64Lo, FPR64LoBits, 16, sizeof(FPR64LoBits), AArch64_FPR64LoRegClassID, 8, 8, 1, 1 },
-  { "Rxsp", Rxsp, RxspBits, 1, sizeof(RxspBits), AArch64_RxspRegClassID, 8, 8, 1, 1 },
-  { "DPair", DPair, DPairBits, 32, sizeof(DPairBits), AArch64_DPairRegClassID, 16, 8, 1, 1 },
-  { "DPair_with_dsub_0_in_FPR64Lo", DPair_with_dsub_0_in_FPR64Lo, DPair_with_dsub_0_in_FPR64LoBits, 16, sizeof(DPair_with_dsub_0_in_FPR64LoBits), AArch64_DPair_with_dsub_0_in_FPR64LoRegClassID, 16, 8, 1, 1 },
-  { "DPair_with_dsub_1_in_FPR64Lo", DPair_with_dsub_1_in_FPR64Lo, DPair_with_dsub_1_in_FPR64LoBits, 16, sizeof(DPair_with_dsub_1_in_FPR64LoBits), AArch64_DPair_with_dsub_1_in_FPR64LoRegClassID, 16, 8, 1, 1 },
-  { "DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64Lo", DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64Lo, DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64LoBits, 15, sizeof(DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64LoBits), AArch64_DPair_with_dsub_0_in_FPR64Lo_and_DPair_with_dsub_1_in_FPR64LoRegClassID, 16, 8, 1, 1 },
+  { "GPR64sp", GPR64sp, GPR64spBits, 32, sizeof(GPR64spBits), AArch64_GPR64spRegClassID, 8, 8, 1, 1 },
+  { "GPR64common", GPR64common, GPR64commonBits, 31, sizeof(GPR64commonBits), AArch64_GPR64commonRegClassID, 8, 8, 1, 1 },
+  { "tcGPR64", tcGPR64, tcGPR64Bits, 19, sizeof(tcGPR64Bits), AArch64_tcGPR64RegClassID, 8, 8, 1, 1 },
+  { "GPR64sponly", GPR64sponly, GPR64sponlyBits, 1, sizeof(GPR64sponlyBits), AArch64_GPR64sponlyRegClassID, 8, 8, 1, 1 },
+  { "DD", DD, DDBits, 32, sizeof(DDBits), AArch64_DDRegClassID, 16, 8, 1, 1 },
   { "FPR128", FPR128, FPR128Bits, 32, sizeof(FPR128Bits), AArch64_FPR128RegClassID, 16, 16, 1, 1 },
-  { "FPR128Lo", FPR128Lo, FPR128LoBits, 16, sizeof(FPR128LoBits), AArch64_FPR128LoRegClassID, 16, 16, 1, 1 },
-  { "DTriple", DTriple, DTripleBits, 32, sizeof(DTripleBits), AArch64_DTripleRegClassID, 24, 8, 1, 1 },
-  { "DTriple_with_dsub_0_in_FPR64Lo", DTriple_with_dsub_0_in_FPR64Lo, DTriple_with_dsub_0_in_FPR64LoBits, 16, sizeof(DTriple_with_dsub_0_in_FPR64LoBits), AArch64_DTriple_with_dsub_0_in_FPR64LoRegClassID, 24, 8, 1, 1 },
-  { "DTriple_with_dsub_1_in_FPR64Lo", DTriple_with_dsub_1_in_FPR64Lo, DTriple_with_dsub_1_in_FPR64LoBits, 16, sizeof(DTriple_with_dsub_1_in_FPR64LoBits), AArch64_DTriple_with_dsub_1_in_FPR64LoRegClassID, 24, 8, 1, 1 },
-  { "DTriple_with_dsub_2_in_FPR64Lo", DTriple_with_dsub_2_in_FPR64Lo, DTriple_with_dsub_2_in_FPR64LoBits, 16, sizeof(DTriple_with_dsub_2_in_FPR64LoBits), AArch64_DTriple_with_dsub_2_in_FPR64LoRegClassID, 24, 8, 1, 1 },
-  { "DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64Lo", DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64Lo, DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64LoBits, 15, sizeof(DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64LoBits), AArch64_DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_1_in_FPR64LoRegClassID, 24, 8, 1, 1 },
-  { "DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo", DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo, DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoBits, 15, sizeof(DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoBits), AArch64_DTriple_with_dsub_1_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoRegClassID, 24, 8, 1, 1 },
-  { "DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo", DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64Lo, DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoBits, 14, sizeof(DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoBits), AArch64_DTriple_with_dsub_0_in_FPR64Lo_and_DTriple_with_dsub_2_in_FPR64LoRegClassID, 24, 8, 1, 1 },
-  { "DQuad", DQuad, DQuadBits, 32, sizeof(DQuadBits), AArch64_DQuadRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_0_in_FPR64Lo", DQuad_with_dsub_0_in_FPR64Lo, DQuad_with_dsub_0_in_FPR64LoBits, 16, sizeof(DQuad_with_dsub_0_in_FPR64LoBits), AArch64_DQuad_with_dsub_0_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_1_in_FPR64Lo", DQuad_with_dsub_1_in_FPR64Lo, DQuad_with_dsub_1_in_FPR64LoBits, 16, sizeof(DQuad_with_dsub_1_in_FPR64LoBits), AArch64_DQuad_with_dsub_1_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_2_in_FPR64Lo", DQuad_with_dsub_2_in_FPR64Lo, DQuad_with_dsub_2_in_FPR64LoBits, 16, sizeof(DQuad_with_dsub_2_in_FPR64LoBits), AArch64_DQuad_with_dsub_2_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_3_in_FPR64Lo", DQuad_with_dsub_3_in_FPR64Lo, DQuad_with_dsub_3_in_FPR64LoBits, 16, sizeof(DQuad_with_dsub_3_in_FPR64LoBits), AArch64_DQuad_with_dsub_3_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64Lo", DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64Lo, DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64LoBits, 15, sizeof(DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64LoBits), AArch64_DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_1_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo", DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo, DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoBits, 15, sizeof(DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoBits), AArch64_DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo", DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo, DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits, 15, sizeof(DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits), AArch64_DQuad_with_dsub_2_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo", DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64Lo, DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoBits, 14, sizeof(DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoBits), AArch64_DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_2_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo", DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo, DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits, 14, sizeof(DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits), AArch64_DQuad_with_dsub_1_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo", DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64Lo, DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits, 13, sizeof(DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoBits), AArch64_DQuad_with_dsub_0_in_FPR64Lo_and_DQuad_with_dsub_3_in_FPR64LoRegClassID, 32, 8, 1, 1 },
-  { "QPair", QPair, QPairBits, 32, sizeof(QPairBits), AArch64_QPairRegClassID, 32, 16, 1, 1 },
-  { "QPair_with_qsub_0_in_FPR128Lo", QPair_with_qsub_0_in_FPR128Lo, QPair_with_qsub_0_in_FPR128LoBits, 16, sizeof(QPair_with_qsub_0_in_FPR128LoBits), AArch64_QPair_with_qsub_0_in_FPR128LoRegClassID, 32, 16, 1, 1 },
-  { "QPair_with_qsub_1_in_FPR128Lo", QPair_with_qsub_1_in_FPR128Lo, QPair_with_qsub_1_in_FPR128LoBits, 16, sizeof(QPair_with_qsub_1_in_FPR128LoBits), AArch64_QPair_with_qsub_1_in_FPR128LoRegClassID, 32, 16, 1, 1 },
-  { "QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128Lo", QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128Lo, QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128LoBits, 15, sizeof(QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128LoBits), AArch64_QPair_with_qsub_0_in_FPR128Lo_and_QPair_with_qsub_1_in_FPR128LoRegClassID, 32, 16, 1, 1 },
-  { "QTriple", QTriple, QTripleBits, 32, sizeof(QTripleBits), AArch64_QTripleRegClassID, 48, 16, 1, 1 },
-  { "QTriple_with_qsub_0_in_FPR128Lo", QTriple_with_qsub_0_in_FPR128Lo, QTriple_with_qsub_0_in_FPR128LoBits, 16, sizeof(QTriple_with_qsub_0_in_FPR128LoBits), AArch64_QTriple_with_qsub_0_in_FPR128LoRegClassID, 48, 16, 1, 1 },
-  { "QTriple_with_qsub_1_in_FPR128Lo", QTriple_with_qsub_1_in_FPR128Lo, QTriple_with_qsub_1_in_FPR128LoBits, 16, sizeof(QTriple_with_qsub_1_in_FPR128LoBits), AArch64_QTriple_with_qsub_1_in_FPR128LoRegClassID, 48, 16, 1, 1 },
-  { "QTriple_with_qsub_2_in_FPR128Lo", QTriple_with_qsub_2_in_FPR128Lo, QTriple_with_qsub_2_in_FPR128LoBits, 16, sizeof(QTriple_with_qsub_2_in_FPR128LoBits), AArch64_QTriple_with_qsub_2_in_FPR128LoRegClassID, 48, 16, 1, 1 },
-  { "QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128Lo", QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128Lo, QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128LoBits, 15, sizeof(QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128LoBits), AArch64_QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_1_in_FPR128LoRegClassID, 48, 16, 1, 1 },
-  { "QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo", QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo, QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoBits, 15, sizeof(QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoBits), AArch64_QTriple_with_qsub_1_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoRegClassID, 48, 16, 1, 1 },
-  { "QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo", QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128Lo, QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoBits, 14, sizeof(QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoBits), AArch64_QTriple_with_qsub_0_in_FPR128Lo_and_QTriple_with_qsub_2_in_FPR128LoRegClassID, 48, 16, 1, 1 },
-  { "QQuad", QQuad, QQuadBits, 32, sizeof(QQuadBits), AArch64_QQuadRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_0_in_FPR128Lo", QQuad_with_qsub_0_in_FPR128Lo, QQuad_with_qsub_0_in_FPR128LoBits, 16, sizeof(QQuad_with_qsub_0_in_FPR128LoBits), AArch64_QQuad_with_qsub_0_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_1_in_FPR128Lo", QQuad_with_qsub_1_in_FPR128Lo, QQuad_with_qsub_1_in_FPR128LoBits, 16, sizeof(QQuad_with_qsub_1_in_FPR128LoBits), AArch64_QQuad_with_qsub_1_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_2_in_FPR128Lo", QQuad_with_qsub_2_in_FPR128Lo, QQuad_with_qsub_2_in_FPR128LoBits, 16, sizeof(QQuad_with_qsub_2_in_FPR128LoBits), AArch64_QQuad_with_qsub_2_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_3_in_FPR128Lo", QQuad_with_qsub_3_in_FPR128Lo, QQuad_with_qsub_3_in_FPR128LoBits, 16, sizeof(QQuad_with_qsub_3_in_FPR128LoBits), AArch64_QQuad_with_qsub_3_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128Lo", QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128Lo, QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128LoBits, 15, sizeof(QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128LoBits), AArch64_QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_1_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo", QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo, QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoBits, 15, sizeof(QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoBits), AArch64_QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo", QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo, QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits, 15, sizeof(QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits), AArch64_QQuad_with_qsub_2_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo", QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128Lo, QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoBits, 14, sizeof(QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoBits), AArch64_QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_2_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo", QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo, QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits, 14, sizeof(QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits), AArch64_QQuad_with_qsub_1_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoRegClassID, 64, 16, 1, 1 },
-  { "QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo", QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128Lo, QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits, 13, sizeof(QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoBits), AArch64_QQuad_with_qsub_0_in_FPR128Lo_and_QQuad_with_qsub_3_in_FPR128LoRegClassID, 64, 16, 1, 1 },
+  { "FPR128_lo", FPR128_lo, FPR128_loBits, 16, sizeof(FPR128_loBits), AArch64_FPR128_loRegClassID, 16, 16, 1, 1 },
+  { "DDD", DDD, DDDBits, 32, sizeof(DDDBits), AArch64_DDDRegClassID, 24, 8, 1, 1 },
+  { "DDDD", DDDD, DDDDBits, 32, sizeof(DDDDBits), AArch64_DDDDRegClassID, 32, 8, 1, 1 },
+  { "QQ", QQ, QQBits, 32, sizeof(QQBits), AArch64_QQRegClassID, 32, 16, 1, 1 },
+  { "QQ_with_qsub0_in_FPR128_lo", QQ_with_qsub0_in_FPR128_lo, QQ_with_qsub0_in_FPR128_loBits, 16, sizeof(QQ_with_qsub0_in_FPR128_loBits), AArch64_QQ_with_qsub0_in_FPR128_loRegClassID, 32, 16, 1, 1 },
+  { "QQ_with_qsub1_in_FPR128_lo", QQ_with_qsub1_in_FPR128_lo, QQ_with_qsub1_in_FPR128_loBits, 16, sizeof(QQ_with_qsub1_in_FPR128_loBits), AArch64_QQ_with_qsub1_in_FPR128_loRegClassID, 32, 16, 1, 1 },
+  { "QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_lo", QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_lo, QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_loBits, 15, sizeof(QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_loBits), AArch64_QQ_with_qsub0_in_FPR128_lo_and_QQ_with_qsub1_in_FPR128_loRegClassID, 32, 16, 1, 1 },
+  { "QQQ", QQQ, QQQBits, 32, sizeof(QQQBits), AArch64_QQQRegClassID, 48, 16, 1, 1 },
+  { "QQQ_with_qsub0_in_FPR128_lo", QQQ_with_qsub0_in_FPR128_lo, QQQ_with_qsub0_in_FPR128_loBits, 16, sizeof(QQQ_with_qsub0_in_FPR128_loBits), AArch64_QQQ_with_qsub0_in_FPR128_loRegClassID, 48, 16, 1, 1 },
+  { "QQQ_with_qsub1_in_FPR128_lo", QQQ_with_qsub1_in_FPR128_lo, QQQ_with_qsub1_in_FPR128_loBits, 16, sizeof(QQQ_with_qsub1_in_FPR128_loBits), AArch64_QQQ_with_qsub1_in_FPR128_loRegClassID, 48, 16, 1, 1 },
+  { "QQQ_with_qsub2_in_FPR128_lo", QQQ_with_qsub2_in_FPR128_lo, QQQ_with_qsub2_in_FPR128_loBits, 16, sizeof(QQQ_with_qsub2_in_FPR128_loBits), AArch64_QQQ_with_qsub2_in_FPR128_loRegClassID, 48, 16, 1, 1 },
+  { "QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_lo", QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_lo, QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_loBits, 15, sizeof(QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_loBits), AArch64_QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub1_in_FPR128_loRegClassID, 48, 16, 1, 1 },
+  { "QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo", QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo, QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loBits, 15, sizeof(QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loBits), AArch64_QQQ_with_qsub1_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loRegClassID, 48, 16, 1, 1 },
+  { "QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo", QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_lo, QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loBits, 14, sizeof(QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loBits), AArch64_QQQ_with_qsub0_in_FPR128_lo_and_QQQ_with_qsub2_in_FPR128_loRegClassID, 48, 16, 1, 1 },
+  { "QQQQ", QQQQ, QQQQBits, 32, sizeof(QQQQBits), AArch64_QQQQRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub0_in_FPR128_lo", QQQQ_with_qsub0_in_FPR128_lo, QQQQ_with_qsub0_in_FPR128_loBits, 16, sizeof(QQQQ_with_qsub0_in_FPR128_loBits), AArch64_QQQQ_with_qsub0_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub1_in_FPR128_lo", QQQQ_with_qsub1_in_FPR128_lo, QQQQ_with_qsub1_in_FPR128_loBits, 16, sizeof(QQQQ_with_qsub1_in_FPR128_loBits), AArch64_QQQQ_with_qsub1_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub2_in_FPR128_lo", QQQQ_with_qsub2_in_FPR128_lo, QQQQ_with_qsub2_in_FPR128_loBits, 16, sizeof(QQQQ_with_qsub2_in_FPR128_loBits), AArch64_QQQQ_with_qsub2_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub3_in_FPR128_lo", QQQQ_with_qsub3_in_FPR128_lo, QQQQ_with_qsub3_in_FPR128_loBits, 16, sizeof(QQQQ_with_qsub3_in_FPR128_loBits), AArch64_QQQQ_with_qsub3_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_lo", QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_lo, QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_loBits, 15, sizeof(QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_loBits), AArch64_QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub1_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo", QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo, QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loBits, 15, sizeof(QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loBits), AArch64_QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo", QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo, QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits, 15, sizeof(QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits), AArch64_QQQQ_with_qsub2_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo", QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_lo, QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loBits, 14, sizeof(QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loBits), AArch64_QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub2_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo", QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo, QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits, 14, sizeof(QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits), AArch64_QQQQ_with_qsub1_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loRegClassID, 64, 16, 1, 1 },
+  { "QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo", QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_lo, QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits, 13, sizeof(QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loBits), AArch64_QQQQ_with_qsub0_in_FPR128_lo_and_QQQQ_with_qsub3_in_FPR128_loRegClassID, 64, 16, 1, 1 },
 };
 
 #endif // GET_REGINFO_MC_DESC
diff --git a/arch/AArch64/AArch64GenSubtargetInfo.inc b/arch/AArch64/AArch64GenSubtargetInfo.inc
index e1687c4..b27093e 100644
--- a/arch/AArch64/AArch64GenSubtargetInfo.inc
+++ b/arch/AArch64/AArch64GenSubtargetInfo.inc
@@ -7,16 +7,22 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_SUBTARGETINFO_ENUM
 #undef GET_SUBTARGETINFO_ENUM
 
 enum {
-  AArch64_FeatureCrypto =  1ULL << 0,
-  AArch64_FeatureFPARMv8 =  1ULL << 1,
-  AArch64_FeatureNEON =  1ULL << 2
+  AArch64_FeatureCRC =  1ULL << 0,
+  AArch64_FeatureCrypto =  1ULL << 1,
+  AArch64_FeatureFPARMv8 =  1ULL << 2,
+  AArch64_FeatureNEON =  1ULL << 3,
+  AArch64_FeatureZCRegMove =  1ULL << 4,
+  AArch64_FeatureZCZeroing =  1ULL << 5,
+  AArch64_ProcA53 =  1ULL << 6,
+  AArch64_ProcA57 =  1ULL << 7,
+  AArch64_ProcCyclone =  1ULL << 8
 };
 
 #endif // GET_SUBTARGETINFO_ENUM
diff --git a/arch/AArch64/AArch64InstPrinter.c b/arch/AArch64/AArch64InstPrinter.c
index 5e921be..e7881e9 100644
--- a/arch/AArch64/AArch64InstPrinter.c
+++ b/arch/AArch64/AArch64InstPrinter.c
@@ -29,9 +29,21 @@
 #include "../../MathExtras.h"
 
 #include "AArch64Mapping.h"
+#include "AArch64AddressingModes.h"
 
-static char *getRegisterName(unsigned RegNo);
+#define GET_REGINFO_ENUM
+#include "AArch64GenRegisterInfo.inc"
+
+#define GET_INSTRINFO_ENUM
+#include "AArch64GenInstrInfo.inc"
+
+
+static char *getRegisterName(unsigned RegNo, int AltIdx);
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O);
+static bool printSysAlias(MCInst *MI, SStream *O);
+static char *printAliasInstr(MCInst *MI, SStream *OS, void *info);
+static void printInstruction(MCInst *MI, SStream *O, MCRegisterInfo *MRI);
+static void printShifter(MCInst *MI, unsigned OpNum, SStream *O);
 
 static void set_mem_access(MCInst *MI, bool status)
 {
@@ -51,577 +63,986 @@
 	}
 }
 
-static int64_t unpackSignedImm(int BitWidth, uint64_t Value)
+void AArch64_printInst(MCInst *MI, SStream *O, void *Info)
 {
-	//assert(!(Value & ~((1ULL << BitWidth)-1)) && "immediate not n-bit");
-	if (Value & (1ULL <<  (BitWidth - 1)))
-		return (int64_t)Value - (1LL << BitWidth);
-	else
-		return Value;
-}
+	// Check for special encodings and print the canonical alias instead.
+	unsigned Opcode = MCInst_getOpcode(MI);
+	int LSB;
+	int Width;
+	char *mnem;
 
-static void printOffsetSImm9Operand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *MOImm = MCInst_getOperand(MI, OpNum);
-	int32_t Imm = (int32_t)unpackSignedImm(9, MCOperand_getImm(MOImm));
+	if (Opcode == AArch64_SYSxt && printSysAlias(MI, O))
+		return;
 
-	if (Imm >=0) {
-		if (Imm > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%x", Imm);
-		else
-			SStream_concat(O, "#%u", Imm);
-	} else {
-		if (Imm < -HEX_THRESHOLD)
-			SStream_concat(O, "#-0x%x", -Imm);
-		else
-			SStream_concat(O, "#-%u", -Imm);
-	}
+	// SBFM/UBFM should print to a nicer aliased form if possible.
+	if (Opcode == AArch64_SBFMXri || Opcode == AArch64_SBFMWri ||
+			Opcode == AArch64_UBFMXri || Opcode == AArch64_UBFMWri) {
+		MCOperand *Op0 = MCInst_getOperand(MI, 0);
+		MCOperand *Op1 = MCInst_getOperand(MI, 1);
+		MCOperand *Op2 = MCInst_getOperand(MI, 2);
+		MCOperand *Op3 = MCInst_getOperand(MI, 3);
 
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Imm;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
+		bool IsSigned = (Opcode == AArch64_SBFMXri || Opcode == AArch64_SBFMWri);
+		bool Is64Bit = (Opcode == AArch64_SBFMXri || Opcode == AArch64_UBFMXri);
 
-static void printAddrRegExtendOperand(MCInst *MI, unsigned OpNum,
-		SStream *O, unsigned MemSize, unsigned RmSize)
-{
-	unsigned ExtImm = (unsigned int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
-	unsigned OptionHi = ExtImm >> 1;
-	unsigned S = ExtImm & 1;
-	bool IsLSL = OptionHi == 1 && RmSize == 64;
+		if (MCOperand_isImm(Op2) && MCOperand_getImm(Op2) == 0 && MCOperand_isImm(Op3)) {
+			char *AsmMnemonic = NULL;
 
-	char *Ext = NULL;
-	switch (OptionHi) {
-		case 1:
-			if (RmSize == 32) {
-				Ext = "uxtw";
-				if (MI->csh->detail)
-					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].ext = ARM64_EXT_UXTW;
-			} else {
-				Ext = "lsl";
+			switch (MCOperand_getImm(Op3)) {
+				default:
+					break;
+				case 7:
+					if (IsSigned)
+						AsmMnemonic = "sxtb";
+					else if (!Is64Bit)
+						AsmMnemonic = "uxtb";
+					break;
+				case 15:
+					if (IsSigned)
+						AsmMnemonic = "sxth";
+					else if (!Is64Bit)
+						AsmMnemonic = "uxth";
+					break;
+				case 31:
+					// *xtw is only valid for signed 64-bit operations.
+					if (Is64Bit && IsSigned)
+						AsmMnemonic = "sxtw";
+					break;
 			}
-			break;
-		case 3:
-			if (RmSize == 32) {
-				Ext = "sxtw";
-				if (MI->csh->detail)
-					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].ext = ARM64_EXT_SXTW;
-			} else {
-				Ext = "sxtx";
-				if (MI->csh->detail)
-					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].ext = ARM64_EXT_SXTX;
-			}
-			break;
-		default:
-			break; //llvm_unreachable("Incorrect Option on load/store (reg offset)");
-	}
-	SStream_concat0(O, Ext);
 
-	if (S) {
-		unsigned ShiftAmt = Log2_32(MemSize);
-		if (ShiftAmt > HEX_THRESHOLD)
-			SStream_concat(O, " #0x%x", ShiftAmt);
-		else
-			SStream_concat(O, " #%u", ShiftAmt);
-		if (MI->csh->detail) {
-			if (MI->csh->doing_mem) {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].shift.type = ARM64_SFT_LSL;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].shift.value = ShiftAmt;
-			} else {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = ShiftAmt;
+			if (AsmMnemonic) {
+				SStream_concat(O, "%s\t%s, %s", AsmMnemonic,
+						getRegisterName(MCOperand_getReg(Op0), AArch64_NoRegAltName),
+						getRegisterName(getWRegFromXReg(MCOperand_getReg(Op1)), AArch64_NoRegAltName));
+
+				if (MI->csh->detail) {
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op0);
+					MI->flat_insn->detail->arm64.op_count++;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = getWRegFromXReg(MCOperand_getReg(Op1));
+					MI->flat_insn->detail->arm64.op_count++;
+				}
+
+				MCInst_setOpcodePub(MI, AArch64_map_insn(AsmMnemonic));
+
+				return;
 			}
 		}
-	} else if (IsLSL) {
-		SStream_concat0(O, " #0");
-	}
-}
 
-static void printAddSubImmLSL0Operand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *Imm12Op = MCInst_getOperand(MI, OpNum);
+		// All immediate shifts are aliases, implemented using the Bitfield
+		// instruction. In all cases the immediate shift amount shift must be in
+		// the range 0 to (reg.size -1).
+		if (MCOperand_isImm(Op2) && MCOperand_isImm(Op3)) {
+			char *AsmMnemonic = NULL;
+			int shift = 0;
+			int immr = (int)MCOperand_getImm(Op2);
+			int imms = (int)MCOperand_getImm(Op3);
 
-	if (MCOperand_isImm(Imm12Op)) {
-		int64_t Imm12 = MCOperand_getImm(Imm12Op);
-		//assert(Imm12 >= 0 && "Invalid immediate for add/sub imm");
-		if (Imm12 > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%"PRIx64, Imm12);
-		else
-			SStream_concat(O, "#%u"PRIu64, Imm12);
+			if (Opcode == AArch64_UBFMWri && imms != 0x1F && ((imms + 1) == immr)) {
+				AsmMnemonic = "lsl";
+				shift = 31 - imms;
+			} else if (Opcode == AArch64_UBFMXri && imms != 0x3f &&
+					((imms + 1 == immr))) {
+				AsmMnemonic = "lsl";
+				shift = 63 - imms;
+			} else if (Opcode == AArch64_UBFMWri && imms == 0x1f) {
+				AsmMnemonic = "lsr";
+				shift = immr;
+			} else if (Opcode == AArch64_UBFMXri && imms == 0x3f) {
+				AsmMnemonic = "lsr";
+				shift = immr;
+			} else if (Opcode == AArch64_SBFMWri && imms == 0x1f) {
+				AsmMnemonic = "asr";
+				shift = immr;
+			} else if (Opcode == AArch64_SBFMXri && imms == 0x3f) {
+				AsmMnemonic = "asr";
+				shift = immr;
+			}
+
+			if (AsmMnemonic) {
+				SStream_concat(O, "%s\t%s, %s, ", AsmMnemonic,
+						getRegisterName(MCOperand_getReg(Op0), AArch64_NoRegAltName),
+						getRegisterName(MCOperand_getReg(Op1), AArch64_NoRegAltName));
+
+				printInt32Bang(O, shift);
+
+				MCInst_setOpcodePub(MI, AArch64_map_insn(AsmMnemonic));
+
+				if (MI->csh->detail) {
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op0);
+					MI->flat_insn->detail->arm64.op_count++;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op1);
+					MI->flat_insn->detail->arm64.op_count++;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = shift;
+					MI->flat_insn->detail->arm64.op_count++;
+				}
+
+				return;
+			}
+		}
+
+		// SBFIZ/UBFIZ aliases
+		if (MCOperand_getImm(Op2) > MCOperand_getImm(Op3)) {
+			SStream_concat(O, "%s\t%s, %s ", (IsSigned ? "sbfiz" : "ubfiz"),
+					getRegisterName(MCOperand_getReg(Op0), AArch64_NoRegAltName),
+					getRegisterName(MCOperand_getReg(Op1), AArch64_NoRegAltName));
+			printInt32Bang(O, (int)((Is64Bit ? 64 : 32) - MCOperand_getImm(Op2)));
+			SStream_concat0(O, ", ");
+			printInt32Bang(O, (int)MCOperand_getImm(Op3) + 1);
+
+			MCInst_setOpcodePub(MI, AArch64_map_insn(IsSigned ? "sbfiz" : "ubfiz"));
+
+			if (MI->csh->detail) {
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op0);
+				MI->flat_insn->detail->arm64.op_count++;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op1);
+				MI->flat_insn->detail->arm64.op_count++;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (Is64Bit ? 64 : 32) - (int)MCOperand_getImm(Op2);
+				MI->flat_insn->detail->arm64.op_count++;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op3) + 1;
+				MI->flat_insn->detail->arm64.op_count++;
+			}
+
+			return;
+		}
+
+		// Otherwise SBFX/UBFX is the preferred form
+		SStream_concat(O, "%s\t%s, %s ", (IsSigned ? "sbfx" : "ubfx"),
+				getRegisterName(MCOperand_getReg(Op0), AArch64_NoRegAltName),
+				getRegisterName(MCOperand_getReg(Op1), AArch64_NoRegAltName));
+		printInt32Bang(O, (int)MCOperand_getImm(Op2));
+		SStream_concat0(O, ", ");
+		printInt32Bang(O, (int)MCOperand_getImm(Op3) - (int)MCOperand_getImm(Op2) + 1);
+
+		MCInst_setOpcodePub(MI, AArch64_map_insn(IsSigned ? "sbfx" : "ubfx"));
+
 		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op0);
+			MI->flat_insn->detail->arm64.op_count++;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op1);
+			MI->flat_insn->detail->arm64.op_count++;
 			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)Imm12;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op2);
+			MI->flat_insn->detail->arm64.op_count++;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op3) - (int)MCOperand_getImm(Op2) + 1;
 			MI->flat_insn->detail->arm64.op_count++;
 		}
-	}
-}
 
-static void printAddSubImmLSL12Operand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	printAddSubImmLSL0Operand(MI, OpNum, O);
-
-	SStream_concat0(O, ", lsl #12");
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = 12;
-	}
-}
-
-static void printBareImmOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	uint64_t imm = MCOperand_getImm(MO);
-	if (imm > HEX_THRESHOLD)
-		SStream_concat(O, "0x%"PRIx64, imm);
-	else
-		SStream_concat(O, "%"PRIu64, imm);
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)imm;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printBFILSBOperand(MCInst *MI, unsigned OpNum,
-		SStream *O, unsigned RegWidth)
-{
-	MCOperand *ImmROp = MCInst_getOperand(MI, OpNum);
-	unsigned LSB = MCOperand_getImm(ImmROp) == 0 ? 0 : RegWidth - (unsigned int)MCOperand_getImm(ImmROp);
-
-	if (LSB > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%x", LSB);
-	else
-		SStream_concat(O, "#%u", LSB);
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = LSB;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printBFIWidthOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *ImmSOp = MCInst_getOperand(MI, OpNum);
-	unsigned Width = (unsigned int)MCOperand_getImm(ImmSOp) + 1;
-
-	if (Width > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%x", Width);
-	else
-		SStream_concat(O, "#%u", Width);
-}
-
-static void printBFXWidthOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *ImmSOp = MCInst_getOperand(MI, OpNum);
-	MCOperand *ImmROp = MCInst_getOperand(MI, OpNum - 1);
-
-	unsigned ImmR = (unsigned int)MCOperand_getImm(ImmROp);
-	unsigned ImmS = (unsigned int)MCOperand_getImm(ImmSOp);
-
-	//assert(ImmS >= ImmR && "Invalid ImmR, ImmS combination for bitfield extract");
-
-	if (ImmS - ImmR + 1 > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%x", (ImmS - ImmR + 1));
-	else
-		SStream_concat(O, "#%u", (ImmS - ImmR + 1));
-
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = ImmS - ImmR + 1;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printCRxOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *CRx = MCInst_getOperand(MI, OpNum);
-	SStream_concat(O, "c%"PRIu64, MCOperand_getImm(CRx));
-
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_CIMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)MCOperand_getImm(CRx);
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printCVTFixedPosOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *ScaleOp = MCInst_getOperand(MI, OpNum);
-
-	if (64 - MCOperand_getImm(ScaleOp) > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%x", 64 - MCOperand_getImm(ScaleOp));
-	else
-		SStream_concat(O, "#%u", 64 - MCOperand_getImm(ScaleOp));
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = 64 - (int32_t)MCOperand_getImm(ScaleOp);
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printFPImmOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *MOImm8 = MCInst_getOperand(MI, OpNum);
-
-	//assert(MOImm8.isImm()
-	//       && "Immediate operand required for floating-point immediate inst");
-
-	uint32_t Imm8 = (uint32_t)MCOperand_getImm(MOImm8);
-	uint32_t Fraction = Imm8 & 0xf;
-	uint32_t Exponent = (Imm8 >> 4) & 0x7;
-	uint32_t Negative = (Imm8 >> 7) & 0x1;
-
-	float Val = 1.0f + Fraction / 16.0f;
-
-	// That is:
-	// 000 -> 2^1,  001 -> 2^2,  010 -> 2^3,  011 -> 2^4,
-	// 100 -> 2^-3, 101 -> 2^-2, 110 -> 2^-1, 111 -> 2^0
-	if (Exponent & 0x4) {
-		Val /= 1 << (7 - Exponent);
-	} else {
-		Val *= 1 << (Exponent + 1);
-	}
-
-	Val = Negative ? -Val : Val;
-
-	//o << '#' << format("%.8f", Val);
-	SStream_concat(O, "#%.8f", Val);
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_FP;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].fp = Val;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printFPZeroOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	SStream_concat0(O, "#0.0");
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_FP;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].fp = 0;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printCondCodeOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	SStream_concat0(O, A64CondCodeToString((A64CC_CondCodes)(MCOperand_getImm(MO))));
-	if (MI->csh->detail)
-		MI->flat_insn->detail->arm64.cc = MCOperand_getImm(MO) + 1;
-}
-
-static void printLabelOperand(MCInst *MI, unsigned OpNum,
-		SStream *O, unsigned field_width, unsigned scale)
-{
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	uint64_t UImm, Sign;
-	int64_t SImm, tmp;
-
-	if (!MCOperand_isImm(MO)) {
-		printOperand(MI, OpNum, O);
 		return;
 	}
 
-	// The immediate of LDR (lit) instructions is a signed 19-bit immediate, which
-	// is multiplied by 4 (because all A64 instructions are 32-bits wide).
-	UImm = MCOperand_getImm(MO);
-	Sign = UImm & (1LL << (field_width - 1));
-	SImm = scale * ((UImm & ~Sign) - Sign);
+	if (Opcode == AArch64_BFMXri || Opcode == AArch64_BFMWri) {
+		MCOperand *Op0 = MCInst_getOperand(MI, 0); // Op1 == Op0
+		MCOperand *Op2 = MCInst_getOperand(MI, 2);
+		int ImmR = (int)MCOperand_getImm(MCInst_getOperand(MI, 3));
+		int ImmS = (int)MCOperand_getImm(MCInst_getOperand(MI, 4));
 
-	// this is a relative address, so add with the address
-	// of current instruction
-	SImm += MI->address;
+		// BFI alias
+		if (ImmS < ImmR) {
+			int BitWidth = Opcode == AArch64_BFMXri ? 64 : 32;
+			LSB = (BitWidth - ImmR) % BitWidth;
+			Width = ImmS + 1;
 
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)SImm;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
+			SStream_concat(O, "bfi\t%s, %s, ",
+					getRegisterName(MCOperand_getReg(Op0), AArch64_NoRegAltName),
+					getRegisterName(MCOperand_getReg(Op2), AArch64_NoRegAltName));
+			printInt32Bang(O, LSB);
+			SStream_concat0(O, ", ");
+			printInt32Bang(O, Width);
+			MCInst_setOpcodePub(MI, AArch64_map_insn("bfi"));
 
-	if (SImm >= 0) {
-		if (SImm > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%"PRIx64, SImm);
-		else
-			SStream_concat(O, "#%"PRIu64, SImm);
-	} else {
-		tmp = -(int64_t)SImm;
-		if (SImm < -HEX_THRESHOLD)
-			SStream_concat(O, "#-0x%"PRIx64, tmp);
-		else
-			SStream_concat(O, "#-%"PRIu64, tmp);
-	}
-}
+			if (MI->csh->detail) {
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op0);
+				MI->flat_insn->detail->arm64.op_count++;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op2);
+				MI->flat_insn->detail->arm64.op_count++;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = LSB;
+				MI->flat_insn->detail->arm64.op_count++;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Width;
+				MI->flat_insn->detail->arm64.op_count++;
+			}
 
-static void printLogicalImmOperand(MCInst *MI, unsigned OpNum,
-		SStream *O, unsigned RegWidth)
-{
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	uint64_t Val;
-	A64Imms_isLogicalImmBits(RegWidth, (uint32_t)MCOperand_getImm(MO), &Val);
-	if (Val > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%"PRIx64, Val);
-	else
-		SStream_concat(O, "#%"PRIu64, Val);
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)Val;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
+			return;
+		}
 
-static void printOffsetUImm12Operand(MCInst *MI, unsigned OpNum,
-		SStream *O, int MemSize)
-{
-	MCOperand *MOImm = MCInst_getOperand(MI, OpNum);
-
-	if (MCOperand_isImm(MOImm)) {
-		uint32_t Imm = (uint32_t)MCOperand_getImm(MOImm) * MemSize;
-
-		if (Imm > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%x", Imm);
-		else
-			SStream_concat(O, "#%u", Imm);
+		LSB = ImmR;
+		Width = ImmS - ImmR + 1;
+		// Otherwise BFXIL the preferred form
+		SStream_concat(O, "bfxil\t%s, %s, ",
+				getRegisterName(MCOperand_getReg(Op0), AArch64_NoRegAltName),
+				getRegisterName(MCOperand_getReg(Op2), AArch64_NoRegAltName));
+		printInt32Bang(O, LSB);
+		SStream_concat0(O, ", ");
+		printInt32Bang(O, Width);
+		MCInst_setOpcodePub(MI, AArch64_map_insn("bfxil"));
 
 		if (MI->csh->detail) {
-			if (MI->csh->doing_mem) {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = Imm;
-			} else {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Imm;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op0);
+			MI->flat_insn->detail->arm64.op_count++;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(Op2);
+			MI->flat_insn->detail->arm64.op_count++;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = LSB;
+			MI->flat_insn->detail->arm64.op_count++;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Width;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+
+		return;
+	}
+
+	mnem = printAliasInstr(MI, O, Info);
+	if (mnem) {
+		MCInst_setOpcodePub(MI, AArch64_map_insn(mnem));
+		cs_mem_free(mnem);
+	} else {
+		printInstruction(MI, O, Info);
+	}
+}
+
+#if 0
+static bool isTblTbxInstruction(unsigned Opcode, char **Layout, bool *IsTbx)
+{
+	switch (Opcode) {
+		case AArch64_TBXv8i8One:
+		case AArch64_TBXv8i8Two:
+		case AArch64_TBXv8i8Three:
+		case AArch64_TBXv8i8Four:
+			*IsTbx = true;
+			*Layout = ".8b";
+			return true;
+		case AArch64_TBLv8i8One:
+		case AArch64_TBLv8i8Two:
+		case AArch64_TBLv8i8Three:
+		case AArch64_TBLv8i8Four:
+			*IsTbx = false;
+			*Layout = ".8b";
+			return true;
+		case AArch64_TBXv16i8One:
+		case AArch64_TBXv16i8Two:
+		case AArch64_TBXv16i8Three:
+		case AArch64_TBXv16i8Four:
+			*IsTbx = true;
+			*Layout = ".16b";
+			return true;
+		case AArch64_TBLv16i8One:
+		case AArch64_TBLv16i8Two:
+		case AArch64_TBLv16i8Three:
+		case AArch64_TBLv16i8Four:
+			*IsTbx = false;
+			*Layout = ".16b";
+			return true;
+		default:
+			return false;
+	}
+}
+
+struct LdStNInstrDesc {
+	unsigned Opcode;
+	char *Mnemonic;
+	char *Layout;
+	int ListOperand;
+	bool HasLane;
+	int NaturalOffset;
+};
+
+static struct LdStNInstrDesc LdStNInstInfo[] = {
+	{ AArch64_LD1i8,             "ld1",  ".b",     1, true,  0  },
+	{ AArch64_LD1i16,            "ld1",  ".h",     1, true,  0  },
+	{ AArch64_LD1i32,            "ld1",  ".s",     1, true,  0  },
+	{ AArch64_LD1i64,            "ld1",  ".d",     1, true,  0  },
+	{ AArch64_LD1i8_POST,        "ld1",  ".b",     2, true,  1  },
+	{ AArch64_LD1i16_POST,       "ld1",  ".h",     2, true,  2  },
+	{ AArch64_LD1i32_POST,       "ld1",  ".s",     2, true,  4  },
+	{ AArch64_LD1i64_POST,       "ld1",  ".d",     2, true,  8  },
+	{ AArch64_LD1Rv16b,          "ld1r", ".16b",   0, false, 0  },
+	{ AArch64_LD1Rv8h,           "ld1r", ".8h",    0, false, 0  },
+	{ AArch64_LD1Rv4s,           "ld1r", ".4s",    0, false, 0  },
+	{ AArch64_LD1Rv2d,           "ld1r", ".2d",    0, false, 0  },
+	{ AArch64_LD1Rv8b,           "ld1r", ".8b",    0, false, 0  },
+	{ AArch64_LD1Rv4h,           "ld1r", ".4h",    0, false, 0  },
+	{ AArch64_LD1Rv2s,           "ld1r", ".2s",    0, false, 0  },
+	{ AArch64_LD1Rv1d,           "ld1r", ".1d",    0, false, 0  },
+	{ AArch64_LD1Rv16b_POST,     "ld1r", ".16b",   1, false, 1  },
+	{ AArch64_LD1Rv8h_POST,      "ld1r", ".8h",    1, false, 2  },
+	{ AArch64_LD1Rv4s_POST,      "ld1r", ".4s",    1, false, 4  },
+	{ AArch64_LD1Rv2d_POST,      "ld1r", ".2d",    1, false, 8  },
+	{ AArch64_LD1Rv8b_POST,      "ld1r", ".8b",    1, false, 1  },
+	{ AArch64_LD1Rv4h_POST,      "ld1r", ".4h",    1, false, 2  },
+	{ AArch64_LD1Rv2s_POST,      "ld1r", ".2s",    1, false, 4  },
+	{ AArch64_LD1Rv1d_POST,      "ld1r", ".1d",    1, false, 8  },
+	{ AArch64_LD1Onev16b,        "ld1",  ".16b",   0, false, 0  },
+	{ AArch64_LD1Onev8h,         "ld1",  ".8h",    0, false, 0  },
+	{ AArch64_LD1Onev4s,         "ld1",  ".4s",    0, false, 0  },
+	{ AArch64_LD1Onev2d,         "ld1",  ".2d",    0, false, 0  },
+	{ AArch64_LD1Onev8b,         "ld1",  ".8b",    0, false, 0  },
+	{ AArch64_LD1Onev4h,         "ld1",  ".4h",    0, false, 0  },
+	{ AArch64_LD1Onev2s,         "ld1",  ".2s",    0, false, 0  },
+	{ AArch64_LD1Onev1d,         "ld1",  ".1d",    0, false, 0  },
+	{ AArch64_LD1Onev16b_POST,   "ld1",  ".16b",   1, false, 16 },
+	{ AArch64_LD1Onev8h_POST,    "ld1",  ".8h",    1, false, 16 },
+	{ AArch64_LD1Onev4s_POST,    "ld1",  ".4s",    1, false, 16 },
+	{ AArch64_LD1Onev2d_POST,    "ld1",  ".2d",    1, false, 16 },
+	{ AArch64_LD1Onev8b_POST,    "ld1",  ".8b",    1, false, 8  },
+	{ AArch64_LD1Onev4h_POST,    "ld1",  ".4h",    1, false, 8  },
+	{ AArch64_LD1Onev2s_POST,    "ld1",  ".2s",    1, false, 8  },
+	{ AArch64_LD1Onev1d_POST,    "ld1",  ".1d",    1, false, 8  },
+	{ AArch64_LD1Twov16b,        "ld1",  ".16b",   0, false, 0  },
+	{ AArch64_LD1Twov8h,         "ld1",  ".8h",    0, false, 0  },
+	{ AArch64_LD1Twov4s,         "ld1",  ".4s",    0, false, 0  },
+	{ AArch64_LD1Twov2d,         "ld1",  ".2d",    0, false, 0  },
+	{ AArch64_LD1Twov8b,         "ld1",  ".8b",    0, false, 0  },
+	{ AArch64_LD1Twov4h,         "ld1",  ".4h",    0, false, 0  },
+	{ AArch64_LD1Twov2s,         "ld1",  ".2s",    0, false, 0  },
+	{ AArch64_LD1Twov1d,         "ld1",  ".1d",    0, false, 0  },
+	{ AArch64_LD1Twov16b_POST,   "ld1",  ".16b",   1, false, 32 },
+	{ AArch64_LD1Twov8h_POST,    "ld1",  ".8h",    1, false, 32 },
+	{ AArch64_LD1Twov4s_POST,    "ld1",  ".4s",    1, false, 32 },
+	{ AArch64_LD1Twov2d_POST,    "ld1",  ".2d",    1, false, 32 },
+	{ AArch64_LD1Twov8b_POST,    "ld1",  ".8b",    1, false, 16 },
+	{ AArch64_LD1Twov4h_POST,    "ld1",  ".4h",    1, false, 16 },
+	{ AArch64_LD1Twov2s_POST,    "ld1",  ".2s",    1, false, 16 },
+	{ AArch64_LD1Twov1d_POST,    "ld1",  ".1d",    1, false, 16 },
+	{ AArch64_LD1Threev16b,      "ld1",  ".16b",   0, false, 0  },
+	{ AArch64_LD1Threev8h,       "ld1",  ".8h",    0, false, 0  },
+	{ AArch64_LD1Threev4s,       "ld1",  ".4s",    0, false, 0  },
+	{ AArch64_LD1Threev2d,       "ld1",  ".2d",    0, false, 0  },
+	{ AArch64_LD1Threev8b,       "ld1",  ".8b",    0, false, 0  },
+	{ AArch64_LD1Threev4h,       "ld1",  ".4h",    0, false, 0  },
+	{ AArch64_LD1Threev2s,       "ld1",  ".2s",    0, false, 0  },
+	{ AArch64_LD1Threev1d,       "ld1",  ".1d",    0, false, 0  },
+	{ AArch64_LD1Threev16b_POST, "ld1",  ".16b",   1, false, 48 },
+	{ AArch64_LD1Threev8h_POST,  "ld1",  ".8h",    1, false, 48 },
+	{ AArch64_LD1Threev4s_POST,  "ld1",  ".4s",    1, false, 48 },
+	{ AArch64_LD1Threev2d_POST,  "ld1",  ".2d",    1, false, 48 },
+	{ AArch64_LD1Threev8b_POST,  "ld1",  ".8b",    1, false, 24 },
+	{ AArch64_LD1Threev4h_POST,  "ld1",  ".4h",    1, false, 24 },
+	{ AArch64_LD1Threev2s_POST,  "ld1",  ".2s",    1, false, 24 },
+	{ AArch64_LD1Threev1d_POST,  "ld1",  ".1d",    1, false, 24 },
+	{ AArch64_LD1Fourv16b,       "ld1",  ".16b",   0, false, 0  },
+	{ AArch64_LD1Fourv8h,        "ld1",  ".8h",    0, false, 0  },
+	{ AArch64_LD1Fourv4s,        "ld1",  ".4s",    0, false, 0  },
+	{ AArch64_LD1Fourv2d,        "ld1",  ".2d",    0, false, 0  },
+	{ AArch64_LD1Fourv8b,        "ld1",  ".8b",    0, false, 0  },
+	{ AArch64_LD1Fourv4h,        "ld1",  ".4h",    0, false, 0  },
+	{ AArch64_LD1Fourv2s,        "ld1",  ".2s",    0, false, 0  },
+	{ AArch64_LD1Fourv1d,        "ld1",  ".1d",    0, false, 0  },
+	{ AArch64_LD1Fourv16b_POST,  "ld1",  ".16b",   1, false, 64 },
+	{ AArch64_LD1Fourv8h_POST,   "ld1",  ".8h",    1, false, 64 },
+	{ AArch64_LD1Fourv4s_POST,   "ld1",  ".4s",    1, false, 64 },
+	{ AArch64_LD1Fourv2d_POST,   "ld1",  ".2d",    1, false, 64 },
+	{ AArch64_LD1Fourv8b_POST,   "ld1",  ".8b",    1, false, 32 },
+	{ AArch64_LD1Fourv4h_POST,   "ld1",  ".4h",    1, false, 32 },
+	{ AArch64_LD1Fourv2s_POST,   "ld1",  ".2s",    1, false, 32 },
+	{ AArch64_LD1Fourv1d_POST,   "ld1",  ".1d",    1, false, 32 },
+	{ AArch64_LD2i8,             "ld2",  ".b",     1, true,  0  },
+	{ AArch64_LD2i16,            "ld2",  ".h",     1, true,  0  },
+	{ AArch64_LD2i32,            "ld2",  ".s",     1, true,  0  },
+	{ AArch64_LD2i64,            "ld2",  ".d",     1, true,  0  },
+	{ AArch64_LD2i8_POST,        "ld2",  ".b",     2, true,  2  },
+	{ AArch64_LD2i16_POST,       "ld2",  ".h",     2, true,  4  },
+	{ AArch64_LD2i32_POST,       "ld2",  ".s",     2, true,  8  },
+	{ AArch64_LD2i64_POST,       "ld2",  ".d",     2, true,  16  },
+	{ AArch64_LD2Rv16b,          "ld2r", ".16b",   0, false, 0  },
+	{ AArch64_LD2Rv8h,           "ld2r", ".8h",    0, false, 0  },
+	{ AArch64_LD2Rv4s,           "ld2r", ".4s",    0, false, 0  },
+	{ AArch64_LD2Rv2d,           "ld2r", ".2d",    0, false, 0  },
+	{ AArch64_LD2Rv8b,           "ld2r", ".8b",    0, false, 0  },
+	{ AArch64_LD2Rv4h,           "ld2r", ".4h",    0, false, 0  },
+	{ AArch64_LD2Rv2s,           "ld2r", ".2s",    0, false, 0  },
+	{ AArch64_LD2Rv1d,           "ld2r", ".1d",    0, false, 0  },
+	{ AArch64_LD2Rv16b_POST,     "ld2r", ".16b",   1, false, 2  },
+	{ AArch64_LD2Rv8h_POST,      "ld2r", ".8h",    1, false, 4  },
+	{ AArch64_LD2Rv4s_POST,      "ld2r", ".4s",    1, false, 8  },
+	{ AArch64_LD2Rv2d_POST,      "ld2r", ".2d",    1, false, 16 },
+	{ AArch64_LD2Rv8b_POST,      "ld2r", ".8b",    1, false, 2  },
+	{ AArch64_LD2Rv4h_POST,      "ld2r", ".4h",    1, false, 4  },
+	{ AArch64_LD2Rv2s_POST,      "ld2r", ".2s",    1, false, 8  },
+	{ AArch64_LD2Rv1d_POST,      "ld2r", ".1d",    1, false, 16 },
+	{ AArch64_LD2Twov16b,        "ld2",  ".16b",   0, false, 0  },
+	{ AArch64_LD2Twov8h,         "ld2",  ".8h",    0, false, 0  },
+	{ AArch64_LD2Twov4s,         "ld2",  ".4s",    0, false, 0  },
+	{ AArch64_LD2Twov2d,         "ld2",  ".2d",    0, false, 0  },
+	{ AArch64_LD2Twov8b,         "ld2",  ".8b",    0, false, 0  },
+	{ AArch64_LD2Twov4h,         "ld2",  ".4h",    0, false, 0  },
+	{ AArch64_LD2Twov2s,         "ld2",  ".2s",    0, false, 0  },
+	{ AArch64_LD2Twov16b_POST,   "ld2",  ".16b",   1, false, 32 },
+	{ AArch64_LD2Twov8h_POST,    "ld2",  ".8h",    1, false, 32 },
+	{ AArch64_LD2Twov4s_POST,    "ld2",  ".4s",    1, false, 32 },
+	{ AArch64_LD2Twov2d_POST,    "ld2",  ".2d",    1, false, 32 },
+	{ AArch64_LD2Twov8b_POST,    "ld2",  ".8b",    1, false, 16 },
+	{ AArch64_LD2Twov4h_POST,    "ld2",  ".4h",    1, false, 16 },
+	{ AArch64_LD2Twov2s_POST,    "ld2",  ".2s",    1, false, 16 },
+	{ AArch64_LD3i8,             "ld3",  ".b",     1, true,  0  },
+	{ AArch64_LD3i16,            "ld3",  ".h",     1, true,  0  },
+	{ AArch64_LD3i32,            "ld3",  ".s",     1, true,  0  },
+	{ AArch64_LD3i64,            "ld3",  ".d",     1, true,  0  },
+	{ AArch64_LD3i8_POST,        "ld3",  ".b",     2, true,  3  },
+	{ AArch64_LD3i16_POST,       "ld3",  ".h",     2, true,  6  },
+	{ AArch64_LD3i32_POST,       "ld3",  ".s",     2, true,  12  },
+	{ AArch64_LD3i64_POST,       "ld3",  ".d",     2, true,  24  },
+	{ AArch64_LD3Rv16b,          "ld3r", ".16b",   0, false, 0  },
+	{ AArch64_LD3Rv8h,           "ld3r", ".8h",    0, false, 0  },
+	{ AArch64_LD3Rv4s,           "ld3r", ".4s",    0, false, 0  },
+	{ AArch64_LD3Rv2d,           "ld3r", ".2d",    0, false, 0  },
+	{ AArch64_LD3Rv8b,           "ld3r", ".8b",    0, false, 0  },
+	{ AArch64_LD3Rv4h,           "ld3r", ".4h",    0, false, 0  },
+	{ AArch64_LD3Rv2s,           "ld3r", ".2s",    0, false, 0  },
+	{ AArch64_LD3Rv1d,           "ld3r", ".1d",    0, false, 0  },
+	{ AArch64_LD3Rv16b_POST,     "ld3r", ".16b",   1, false, 3  },
+	{ AArch64_LD3Rv8h_POST,      "ld3r", ".8h",    1, false, 6  },
+	{ AArch64_LD3Rv4s_POST,      "ld3r", ".4s",    1, false, 12 },
+	{ AArch64_LD3Rv2d_POST,      "ld3r", ".2d",    1, false, 24 },
+	{ AArch64_LD3Rv8b_POST,      "ld3r", ".8b",    1, false, 3  },
+	{ AArch64_LD3Rv4h_POST,      "ld3r", ".4h",    1, false, 6  },
+	{ AArch64_LD3Rv2s_POST,      "ld3r", ".2s",    1, false, 12 },
+	{ AArch64_LD3Rv1d_POST,      "ld3r", ".1d",    1, false, 24 },
+	{ AArch64_LD3Threev16b,      "ld3",  ".16b",   0, false, 0  },
+	{ AArch64_LD3Threev8h,       "ld3",  ".8h",    0, false, 0  },
+	{ AArch64_LD3Threev4s,       "ld3",  ".4s",    0, false, 0  },
+	{ AArch64_LD3Threev2d,       "ld3",  ".2d",    0, false, 0  },
+	{ AArch64_LD3Threev8b,       "ld3",  ".8b",    0, false, 0  },
+	{ AArch64_LD3Threev4h,       "ld3",  ".4h",    0, false, 0  },
+	{ AArch64_LD3Threev2s,       "ld3",  ".2s",    0, false, 0  },
+	{ AArch64_LD3Threev16b_POST, "ld3",  ".16b",   1, false, 48 },
+	{ AArch64_LD3Threev8h_POST,  "ld3",  ".8h",    1, false, 48 },
+	{ AArch64_LD3Threev4s_POST,  "ld3",  ".4s",    1, false, 48 },
+	{ AArch64_LD3Threev2d_POST,  "ld3",  ".2d",    1, false, 48 },
+	{ AArch64_LD3Threev8b_POST,  "ld3",  ".8b",    1, false, 24 },
+	{ AArch64_LD3Threev4h_POST,  "ld3",  ".4h",    1, false, 24 },
+	{ AArch64_LD3Threev2s_POST,  "ld3",  ".2s",    1, false, 24 },
+	{ AArch64_LD4i8,             "ld4",  ".b",     1, true,  0  },
+	{ AArch64_LD4i16,            "ld4",  ".h",     1, true,  0  },
+	{ AArch64_LD4i32,            "ld4",  ".s",     1, true,  0  },
+	{ AArch64_LD4i64,            "ld4",  ".d",     1, true,  0  },
+	{ AArch64_LD4i8_POST,        "ld4",  ".b",     2, true,  4  },
+	{ AArch64_LD4i16_POST,       "ld4",  ".h",     2, true,  8  },
+	{ AArch64_LD4i32_POST,       "ld4",  ".s",     2, true,  16 },
+	{ AArch64_LD4i64_POST,       "ld4",  ".d",     2, true,  32 },
+	{ AArch64_LD4Rv16b,          "ld4r", ".16b",   0, false, 0  },
+	{ AArch64_LD4Rv8h,           "ld4r", ".8h",    0, false, 0  },
+	{ AArch64_LD4Rv4s,           "ld4r", ".4s",    0, false, 0  },
+	{ AArch64_LD4Rv2d,           "ld4r", ".2d",    0, false, 0  },
+	{ AArch64_LD4Rv8b,           "ld4r", ".8b",    0, false, 0  },
+	{ AArch64_LD4Rv4h,           "ld4r", ".4h",    0, false, 0  },
+	{ AArch64_LD4Rv2s,           "ld4r", ".2s",    0, false, 0  },
+	{ AArch64_LD4Rv1d,           "ld4r", ".1d",    0, false, 0  },
+	{ AArch64_LD4Rv16b_POST,     "ld4r", ".16b",   1, false, 4  },
+	{ AArch64_LD4Rv8h_POST,      "ld4r", ".8h",    1, false, 8  },
+	{ AArch64_LD4Rv4s_POST,      "ld4r", ".4s",    1, false, 16 },
+	{ AArch64_LD4Rv2d_POST,      "ld4r", ".2d",    1, false, 32 },
+	{ AArch64_LD4Rv8b_POST,      "ld4r", ".8b",    1, false, 4  },
+	{ AArch64_LD4Rv4h_POST,      "ld4r", ".4h",    1, false, 8  },
+	{ AArch64_LD4Rv2s_POST,      "ld4r", ".2s",    1, false, 16 },
+	{ AArch64_LD4Rv1d_POST,      "ld4r", ".1d",    1, false, 32 },
+	{ AArch64_LD4Fourv16b,       "ld4",  ".16b",   0, false, 0  },
+	{ AArch64_LD4Fourv8h,        "ld4",  ".8h",    0, false, 0  },
+	{ AArch64_LD4Fourv4s,        "ld4",  ".4s",    0, false, 0  },
+	{ AArch64_LD4Fourv2d,        "ld4",  ".2d",    0, false, 0  },
+	{ AArch64_LD4Fourv8b,        "ld4",  ".8b",    0, false, 0  },
+	{ AArch64_LD4Fourv4h,        "ld4",  ".4h",    0, false, 0  },
+	{ AArch64_LD4Fourv2s,        "ld4",  ".2s",    0, false, 0  },
+	{ AArch64_LD4Fourv16b_POST,  "ld4",  ".16b",   1, false, 64 },
+	{ AArch64_LD4Fourv8h_POST,   "ld4",  ".8h",    1, false, 64 },
+	{ AArch64_LD4Fourv4s_POST,   "ld4",  ".4s",    1, false, 64 },
+	{ AArch64_LD4Fourv2d_POST,   "ld4",  ".2d",    1, false, 64 },
+	{ AArch64_LD4Fourv8b_POST,   "ld4",  ".8b",    1, false, 32 },
+	{ AArch64_LD4Fourv4h_POST,   "ld4",  ".4h",    1, false, 32 },
+	{ AArch64_LD4Fourv2s_POST,   "ld4",  ".2s",    1, false, 32 },
+	{ AArch64_ST1i8,             "st1",  ".b",     0, true,  0  },
+	{ AArch64_ST1i16,            "st1",  ".h",     0, true,  0  },
+	{ AArch64_ST1i32,            "st1",  ".s",     0, true,  0  },
+	{ AArch64_ST1i64,            "st1",  ".d",     0, true,  0  },
+	{ AArch64_ST1i8_POST,        "st1",  ".b",     1, true,  1  },
+	{ AArch64_ST1i16_POST,       "st1",  ".h",     1, true,  2  },
+	{ AArch64_ST1i32_POST,       "st1",  ".s",     1, true,  4  },
+	{ AArch64_ST1i64_POST,       "st1",  ".d",     1, true,  8  },
+	{ AArch64_ST1Onev16b,        "st1",  ".16b",   0, false, 0  },
+	{ AArch64_ST1Onev8h,         "st1",  ".8h",    0, false, 0  },
+	{ AArch64_ST1Onev4s,         "st1",  ".4s",    0, false, 0  },
+	{ AArch64_ST1Onev2d,         "st1",  ".2d",    0, false, 0  },
+	{ AArch64_ST1Onev8b,         "st1",  ".8b",    0, false, 0  },
+	{ AArch64_ST1Onev4h,         "st1",  ".4h",    0, false, 0  },
+	{ AArch64_ST1Onev2s,         "st1",  ".2s",    0, false, 0  },
+	{ AArch64_ST1Onev1d,         "st1",  ".1d",    0, false, 0  },
+	{ AArch64_ST1Onev16b_POST,   "st1",  ".16b",   1, false, 16 },
+	{ AArch64_ST1Onev8h_POST,    "st1",  ".8h",    1, false, 16 },
+	{ AArch64_ST1Onev4s_POST,    "st1",  ".4s",    1, false, 16 },
+	{ AArch64_ST1Onev2d_POST,    "st1",  ".2d",    1, false, 16 },
+	{ AArch64_ST1Onev8b_POST,    "st1",  ".8b",    1, false, 8  },
+	{ AArch64_ST1Onev4h_POST,    "st1",  ".4h",    1, false, 8  },
+	{ AArch64_ST1Onev2s_POST,    "st1",  ".2s",    1, false, 8  },
+	{ AArch64_ST1Onev1d_POST,    "st1",  ".1d",    1, false, 8  },
+	{ AArch64_ST1Twov16b,        "st1",  ".16b",   0, false, 0  },
+	{ AArch64_ST1Twov8h,         "st1",  ".8h",    0, false, 0  },
+	{ AArch64_ST1Twov4s,         "st1",  ".4s",    0, false, 0  },
+	{ AArch64_ST1Twov2d,         "st1",  ".2d",    0, false, 0  },
+	{ AArch64_ST1Twov8b,         "st1",  ".8b",    0, false, 0  },
+	{ AArch64_ST1Twov4h,         "st1",  ".4h",    0, false, 0  },
+	{ AArch64_ST1Twov2s,         "st1",  ".2s",    0, false, 0  },
+	{ AArch64_ST1Twov1d,         "st1",  ".1d",    0, false, 0  },
+	{ AArch64_ST1Twov16b_POST,   "st1",  ".16b",   1, false, 32 },
+	{ AArch64_ST1Twov8h_POST,    "st1",  ".8h",    1, false, 32 },
+	{ AArch64_ST1Twov4s_POST,    "st1",  ".4s",    1, false, 32 },
+	{ AArch64_ST1Twov2d_POST,    "st1",  ".2d",    1, false, 32 },
+	{ AArch64_ST1Twov8b_POST,    "st1",  ".8b",    1, false, 16 },
+	{ AArch64_ST1Twov4h_POST,    "st1",  ".4h",    1, false, 16 },
+	{ AArch64_ST1Twov2s_POST,    "st1",  ".2s",    1, false, 16 },
+	{ AArch64_ST1Twov1d_POST,    "st1",  ".1d",    1, false, 16 },
+	{ AArch64_ST1Threev16b,      "st1",  ".16b",   0, false, 0  },
+	{ AArch64_ST1Threev8h,       "st1",  ".8h",    0, false, 0  },
+	{ AArch64_ST1Threev4s,       "st1",  ".4s",    0, false, 0  },
+	{ AArch64_ST1Threev2d,       "st1",  ".2d",    0, false, 0  },
+	{ AArch64_ST1Threev8b,       "st1",  ".8b",    0, false, 0  },
+	{ AArch64_ST1Threev4h,       "st1",  ".4h",    0, false, 0  },
+	{ AArch64_ST1Threev2s,       "st1",  ".2s",    0, false, 0  },
+	{ AArch64_ST1Threev1d,       "st1",  ".1d",    0, false, 0  },
+	{ AArch64_ST1Threev16b_POST, "st1",  ".16b",   1, false, 48 },
+	{ AArch64_ST1Threev8h_POST,  "st1",  ".8h",    1, false, 48 },
+	{ AArch64_ST1Threev4s_POST,  "st1",  ".4s",    1, false, 48 },
+	{ AArch64_ST1Threev2d_POST,  "st1",  ".2d",    1, false, 48 },
+	{ AArch64_ST1Threev8b_POST,  "st1",  ".8b",    1, false, 24 },
+	{ AArch64_ST1Threev4h_POST,  "st1",  ".4h",    1, false, 24 },
+	{ AArch64_ST1Threev2s_POST,  "st1",  ".2s",    1, false, 24 },
+	{ AArch64_ST1Threev1d_POST,  "st1",  ".1d",    1, false, 24 },
+	{ AArch64_ST1Fourv16b,       "st1",  ".16b",   0, false, 0  },
+	{ AArch64_ST1Fourv8h,        "st1",  ".8h",    0, false, 0  },
+	{ AArch64_ST1Fourv4s,        "st1",  ".4s",    0, false, 0  },
+	{ AArch64_ST1Fourv2d,        "st1",  ".2d",    0, false, 0  },
+	{ AArch64_ST1Fourv8b,        "st1",  ".8b",    0, false, 0  },
+	{ AArch64_ST1Fourv4h,        "st1",  ".4h",    0, false, 0  },
+	{ AArch64_ST1Fourv2s,        "st1",  ".2s",    0, false, 0  },
+	{ AArch64_ST1Fourv1d,        "st1",  ".1d",    0, false, 0  },
+	{ AArch64_ST1Fourv16b_POST,  "st1",  ".16b",   1, false, 64 },
+	{ AArch64_ST1Fourv8h_POST,   "st1",  ".8h",    1, false, 64 },
+	{ AArch64_ST1Fourv4s_POST,   "st1",  ".4s",    1, false, 64 },
+	{ AArch64_ST1Fourv2d_POST,   "st1",  ".2d",    1, false, 64 },
+	{ AArch64_ST1Fourv8b_POST,   "st1",  ".8b",    1, false, 32 },
+	{ AArch64_ST1Fourv4h_POST,   "st1",  ".4h",    1, false, 32 },
+	{ AArch64_ST1Fourv2s_POST,   "st1",  ".2s",    1, false, 32 },
+	{ AArch64_ST1Fourv1d_POST,   "st1",  ".1d",    1, false, 32 },
+	{ AArch64_ST2i8,             "st2",  ".b",     0, true,  0  },
+	{ AArch64_ST2i16,            "st2",  ".h",     0, true,  0  },
+	{ AArch64_ST2i32,            "st2",  ".s",     0, true,  0  },
+	{ AArch64_ST2i64,            "st2",  ".d",     0, true,  0  },
+	{ AArch64_ST2i8_POST,        "st2",  ".b",     1, true,  2  },
+	{ AArch64_ST2i16_POST,       "st2",  ".h",     1, true,  4  },
+	{ AArch64_ST2i32_POST,       "st2",  ".s",     1, true,  8  },
+	{ AArch64_ST2i64_POST,       "st2",  ".d",     1, true,  16 },
+	{ AArch64_ST2Twov16b,        "st2",  ".16b",   0, false, 0  },
+	{ AArch64_ST2Twov8h,         "st2",  ".8h",    0, false, 0  },
+	{ AArch64_ST2Twov4s,         "st2",  ".4s",    0, false, 0  },
+	{ AArch64_ST2Twov2d,         "st2",  ".2d",    0, false, 0  },
+	{ AArch64_ST2Twov8b,         "st2",  ".8b",    0, false, 0  },
+	{ AArch64_ST2Twov4h,         "st2",  ".4h",    0, false, 0  },
+	{ AArch64_ST2Twov2s,         "st2",  ".2s",    0, false, 0  },
+	{ AArch64_ST2Twov16b_POST,   "st2",  ".16b",   1, false, 32 },
+	{ AArch64_ST2Twov8h_POST,    "st2",  ".8h",    1, false, 32 },
+	{ AArch64_ST2Twov4s_POST,    "st2",  ".4s",    1, false, 32 },
+	{ AArch64_ST2Twov2d_POST,    "st2",  ".2d",    1, false, 32 },
+	{ AArch64_ST2Twov8b_POST,    "st2",  ".8b",    1, false, 16 },
+	{ AArch64_ST2Twov4h_POST,    "st2",  ".4h",    1, false, 16 },
+	{ AArch64_ST2Twov2s_POST,    "st2",  ".2s",    1, false, 16 },
+	{ AArch64_ST3i8,             "st3",  ".b",     0, true,  0  },
+	{ AArch64_ST3i16,            "st3",  ".h",     0, true,  0  },
+	{ AArch64_ST3i32,            "st3",  ".s",     0, true,  0  },
+	{ AArch64_ST3i64,            "st3",  ".d",     0, true,  0  },
+	{ AArch64_ST3i8_POST,        "st3",  ".b",     1, true,  3  },
+	{ AArch64_ST3i16_POST,       "st3",  ".h",     1, true,  6  },
+	{ AArch64_ST3i32_POST,       "st3",  ".s",     1, true,  12 },
+	{ AArch64_ST3i64_POST,       "st3",  ".d",     1, true,  24 },
+	{ AArch64_ST3Threev16b,      "st3",  ".16b",   0, false, 0  },
+	{ AArch64_ST3Threev8h,       "st3",  ".8h",    0, false, 0  },
+	{ AArch64_ST3Threev4s,       "st3",  ".4s",    0, false, 0  },
+	{ AArch64_ST3Threev2d,       "st3",  ".2d",    0, false, 0  },
+	{ AArch64_ST3Threev8b,       "st3",  ".8b",    0, false, 0  },
+	{ AArch64_ST3Threev4h,       "st3",  ".4h",    0, false, 0  },
+	{ AArch64_ST3Threev2s,       "st3",  ".2s",    0, false, 0  },
+	{ AArch64_ST3Threev16b_POST, "st3",  ".16b",   1, false, 48 },
+	{ AArch64_ST3Threev8h_POST,  "st3",  ".8h",    1, false, 48 },
+	{ AArch64_ST3Threev4s_POST,  "st3",  ".4s",    1, false, 48 },
+	{ AArch64_ST3Threev2d_POST,  "st3",  ".2d",    1, false, 48 },
+	{ AArch64_ST3Threev8b_POST,  "st3",  ".8b",    1, false, 24 },
+	{ AArch64_ST3Threev4h_POST,  "st3",  ".4h",    1, false, 24 },
+	{ AArch64_ST3Threev2s_POST,  "st3",  ".2s",    1, false, 24 },
+	{ AArch64_ST4i8,             "st4",  ".b",     0, true,  0  },
+	{ AArch64_ST4i16,            "st4",  ".h",     0, true,  0  },
+	{ AArch64_ST4i32,            "st4",  ".s",     0, true,  0  },
+	{ AArch64_ST4i64,            "st4",  ".d",     0, true,  0  },
+	{ AArch64_ST4i8_POST,        "st4",  ".b",     1, true,  4  },
+	{ AArch64_ST4i16_POST,       "st4",  ".h",     1, true,  8  },
+	{ AArch64_ST4i32_POST,       "st4",  ".s",     1, true,  16 },
+	{ AArch64_ST4i64_POST,       "st4",  ".d",     1, true,  32 },
+	{ AArch64_ST4Fourv16b,       "st4",  ".16b",   0, false, 0  },
+	{ AArch64_ST4Fourv8h,        "st4",  ".8h",    0, false, 0  },
+	{ AArch64_ST4Fourv4s,        "st4",  ".4s",    0, false, 0  },
+	{ AArch64_ST4Fourv2d,        "st4",  ".2d",    0, false, 0  },
+	{ AArch64_ST4Fourv8b,        "st4",  ".8b",    0, false, 0  },
+	{ AArch64_ST4Fourv4h,        "st4",  ".4h",    0, false, 0  },
+	{ AArch64_ST4Fourv2s,        "st4",  ".2s",    0, false, 0  },
+	{ AArch64_ST4Fourv16b_POST,  "st4",  ".16b",   1, false, 64 },
+	{ AArch64_ST4Fourv8h_POST,   "st4",  ".8h",    1, false, 64 },
+	{ AArch64_ST4Fourv4s_POST,   "st4",  ".4s",    1, false, 64 },
+	{ AArch64_ST4Fourv2d_POST,   "st4",  ".2d",    1, false, 64 },
+	{ AArch64_ST4Fourv8b_POST,   "st4",  ".8b",    1, false, 32 },
+	{ AArch64_ST4Fourv4h_POST,   "st4",  ".4h",    1, false, 32 },
+	{ AArch64_ST4Fourv2s_POST,   "st4",  ".2s",    1, false, 32 },
+};
+
+static LdStNInstrDesc *getLdStNInstrDesc(unsigned Opcode)
+{
+	unsigned Idx;
+
+	for (Idx = 0; Idx != ARR_SIZE(LdStNInstInfo); ++Idx)
+		if (LdStNInstInfo[Idx].Opcode == Opcode)
+			return &LdStNInstInfo[Idx];
+
+	return NULL;
+}
+
+static void printAMNoIndex(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	SStream_concat(O, "[%s]", getRegisterName(MCOperand_getReg(MCInst_getOperand(MI, OpNum)), AArch64_NoRegAltName));
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_MEM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.base = MCInst_getOperand(MI, OpNum);
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.index = ARM64_REG_INVALID;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = 0;
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+static void printAMIndexedWB(MCInst *MI, unsigned OpNum, unsigned Scale, SStream *O)
+{
+	MCOperand *MO1 = MCInst_getOperand(MI, OpNum + 1);
+
+	SStream_concat(O, "[%s", getRegisterName(MCOperand_getReg(MCInst_getOperand(MI, OpNum)), AArch64_NoRegAltName));
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_MEM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.base = MCOperand_getReg(MCInst_getOperand(MI, OpNum));
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.index = ARM64_REG_INVALID;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = 0;
+	}
+
+	if (MCOperand_isImm(MO1)) {
+		int64_t val = Scale * MCOperand_getImm(MO1);
+		printInt64Bang(O, val);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = val;
+		}
+	}
+
+	SStream_concat0(O, "]");
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+static void printImplicitlyTypedVectorList(MCInst *MI, unsigned OpNum, SStream *O, MCRegisterInfo *MRI)
+{
+	printVectorList(MI, OpNum, O, "", MRI, 0, 0);
+}
+
+#endif
+
+static bool printSysAlias(MCInst *MI, SStream *O)
+{
+	// unsigned Opcode = MCInst_getOpcode(MI);
+	//assert(Opcode == AArch64_SYSxt && "Invalid opcode for SYS alias!");
+
+	char *Asm = NULL;
+	MCOperand *Op1 = MCInst_getOperand(MI, 0);
+	MCOperand *Cn = MCInst_getOperand(MI, 1);
+	MCOperand *Cm = MCInst_getOperand(MI, 2);
+	MCOperand *Op2 = MCInst_getOperand(MI, 3);
+
+	unsigned Op1Val = (unsigned)MCOperand_getImm(Op1);
+	unsigned CnVal = (unsigned)MCOperand_getImm(Cn);
+	unsigned CmVal = (unsigned)MCOperand_getImm(Cm);
+	unsigned Op2Val = (unsigned)MCOperand_getImm(Op2);
+	unsigned insn_id, op_ic = 0, op_dc = 0, op_at = 0, op_tlbi = 0;
+
+	if (CnVal == 7) {
+		switch (CmVal) {
+			default:
+				break;
+
+				// IC aliases
+			case 1:
+				if (Op1Val == 0 && Op2Val == 0) {
+					Asm = "ic\tialluis";
+					insn_id = ARM64_INS_IC;
+					op_ic = ARM64_IC_IALLUIS;
+				}
+				break;
+			case 5:
+				if (Op1Val == 0 && Op2Val == 0) {
+					Asm = "ic\tiallu";
+					insn_id = ARM64_INS_IC;
+					op_ic = ARM64_IC_IALLU;
+				} else if (Op1Val == 3 && Op2Val == 1) {
+					Asm = "ic\tivau";
+					insn_id = ARM64_INS_IC;
+					op_ic = ARM64_IC_IVAU;
+				}
+				break;
+
+				// DC aliases
+			case 4:
+				if (Op1Val == 3 && Op2Val == 1) {
+					Asm = "dc\tzva";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_ZVA;
+				}
+				break;
+			case 6:
+				if (Op1Val == 0 && Op2Val == 1) {
+					Asm = "dc\tivac";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_IVAC;
+				}
+				if (Op1Val == 0 && Op2Val == 2) {
+					Asm = "dc\tisw";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_ISW;
+				}
+				break;
+			case 10:
+				if (Op1Val == 3 && Op2Val == 1) {
+					Asm = "dc\tcvac";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_CVAC;
+				} else if (Op1Val == 0 && Op2Val == 2) {
+					Asm = "dc\tcsw";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_CSW;
+				}
+				break;
+			case 11:
+				if (Op1Val == 3 && Op2Val == 1) {
+					Asm = "dc\tcvau";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_CVAU;
+				}
+				break;
+			case 14:
+				if (Op1Val == 3 && Op2Val == 1) {
+					Asm = "dc\tcivac";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_CIVAC;
+				} else if (Op1Val == 0 && Op2Val == 2) {
+					Asm = "dc\tcisw";
+					insn_id = ARM64_INS_DC;
+					op_dc = ARM64_DC_CISW;
+				}
+				break;
+
+				// AT aliases
+			case 8:
+				switch (Op1Val) {
+					default:
+						break;
+					case 0:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "at\ts1e1r"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E1R; break;
+							case 1: Asm = "at\ts1e1w"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E1W; break;
+							case 2: Asm = "at\ts1e0r"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E0R; break;
+							case 3: Asm = "at\ts1e0w"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E0W; break;
+						}
+						break;
+					case 4:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "at\ts1e2r"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E2R; break;
+							case 1: Asm = "at\ts1e2w"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E2W; break;
+							case 4: Asm = "at\ts12e1r"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E1R; break;
+							case 5: Asm = "at\ts12e1w"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E1W; break;
+							case 6: Asm = "at\ts12e0r"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E0R; break;
+							case 7: Asm = "at\ts12e0w"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E0W; break;
+						}
+						break;
+					case 6:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "at\ts1e3r"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E3R; break;
+							case 1: Asm = "at\ts1e3w"; insn_id = ARM64_INS_AT; op_at = ARM64_AT_S1E3W; break;
+						}
+						break;
+				}
+				break;
+		}
+	} else if (CnVal == 8) {
+		// TLBI aliases
+		switch (CmVal) {
+			default:
+				break;
+			case 3:
+				switch (Op1Val) {
+					default:
+						break;
+					case 0:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "tlbi\tvmalle1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VMALLE1IS; break;
+							case 1: Asm = "tlbi\tvae1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAE1IS; break;
+							case 2: Asm = "tlbi\taside1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ASIDE1IS; break;
+							case 3: Asm = "tlbi\tvaae1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAAE1IS; break;
+							case 5: Asm = "tlbi\tvale1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VALE1IS; break;
+							case 7: Asm = "tlbi\tvaale1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAALE1IS; break;
+						}
+						break;
+					case 4:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "tlbi\talle2is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ALLE2IS; break;
+							case 1: Asm = "tlbi\tvae2is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAE2IS; break;
+							case 4: Asm = "tlbi\talle1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ALLE1IS; break;
+							case 5: Asm = "tlbi\tvale2is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VALE2IS; break;
+							case 6: Asm = "tlbi\tvmalls12e1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VMALLS12E1IS; break;
+						}
+						break;
+					case 6:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "tlbi\talle3is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ALLE3IS; break;
+							case 1: Asm = "tlbi\tvae3is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAE3IS; break;
+							case 5: Asm = "tlbi\tvale3is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VALE3IS; break;
+						}
+						break;
+				}
+				break;
+			case 0:
+				switch (Op1Val) {
+					default:
+						break;
+					case 4:
+						switch (Op2Val) {
+							default:
+								break;
+							case 1: Asm = "tlbi\tipas2e1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_IPAS2E1IS; break;
+							case 5: Asm = "tlbi\tipas2le1is"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_IPAS2LE1IS; break;
+						}
+						break;
+				}
+				break;
+			case 4:
+				switch (Op1Val) {
+					default:
+						break;
+					case 4:
+						switch (Op2Val) {
+							default:
+								break;
+							case 1: Asm = "tlbi\tipas2e1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_IPAS2E1; break;
+							case 5: Asm = "tlbi\tipas2le1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_IPAS2LE1; break;
+						}
+						break;
+				}
+				break;
+			case 7:
+				switch (Op1Val) {
+					default:
+						break;
+					case 0:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "tlbi\tvmalle1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VMALLE1; break;
+							case 1: Asm = "tlbi\tvae1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAE1; break;
+							case 2: Asm = "tlbi\taside1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ASIDE1; break;
+							case 3: Asm = "tlbi\tvaae1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAAE1; break;
+							case 5: Asm = "tlbi\tvale1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VALE1; break;
+							case 7: Asm = "tlbi\tvaale1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAALE1; break;
+						}
+						break;
+					case 4:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "tlbi\talle2"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ALLE2; break;
+							case 1: Asm = "tlbi\tvae2"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VAE2; break;
+							case 4: Asm = "tlbi\talle1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ALLE1; break;
+							case 5: Asm = "tlbi\tvale2"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VALE2; break;
+							case 6: Asm = "tlbi\tvmalls12e1"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VMALLS12E1; break;
+						}
+						break;
+					case 6:
+						switch (Op2Val) {
+							default:
+								break;
+							case 0: Asm = "tlbi\talle3"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_ALLE3; break;
+							case 1: Asm = "tlbi\tvae3"; insn_id = ARM64_INS_TLBI;  op_tlbi = ARM64_TLBI_VAE3; break;
+							case 5: Asm = "tlbi\tvale3"; insn_id = ARM64_INS_TLBI; op_tlbi = ARM64_TLBI_VALE3; break;
+						}
+						break;
+				}
+				break;
+		}
+	}
+
+	if (Asm) {
+		MCInst_setOpcodePub(MI, insn_id);
+		SStream_concat0(O, Asm);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_SYS;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].sys = op_ic + op_dc + op_at + op_tlbi;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+
+		if (!strstr(Asm, "all")) {
+			unsigned Reg = MCOperand_getReg(MCInst_getOperand(MI, 4));
+			SStream_concat(O, ", %s", getRegisterName(Reg, AArch64_NoRegAltName));
+			if (MI->csh->detail) {
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = Reg;
 				MI->flat_insn->detail->arm64.op_count++;
 			}
 		}
 	}
-}
 
-static void printShiftOperand(MCInst *MI,  unsigned OpNum,
-		SStream *O, A64SE_ShiftExtSpecifiers Shift)
-{
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	unsigned int imm;
-
-	// LSL #0 is not printed
-	if (Shift == A64SE_LSL && MCOperand_isImm(MO) && MCOperand_getImm(MO) == 0)
-		return;
-
-	switch (Shift) {
-		case A64SE_LSL: SStream_concat0(O, "lsl"); break;
-		case A64SE_LSR: SStream_concat0(O, "lsr"); break;
-		case A64SE_ASR: SStream_concat0(O, "asr"); break;
-		case A64SE_ROR: SStream_concat0(O, "ror"); break;
-		default: break; // llvm_unreachable("Invalid shift specifier in logical instruction");
-	}
-
-	imm = (unsigned int)MCOperand_getImm(MO);
-	if (imm > HEX_THRESHOLD)
-		SStream_concat(O, " #0x%x", imm);
-	else
-		SStream_concat(O, " #%u", imm);
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = Shift + 1;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = imm;
-	}
-}
-
-static void printMoveWideImmOperand(MCInst *MI,  unsigned OpNum, SStream *O)
-{
-	MCOperand *UImm16MO = MCInst_getOperand(MI, OpNum);
-	MCOperand *ShiftMO = MCInst_getOperand(MI, OpNum + 1);
-
-	if (MCOperand_isImm(UImm16MO)) {
-		uint64_t imm = MCOperand_getImm(UImm16MO);
-		if (imm > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%"PRIx64, imm);
-		else
-			SStream_concat(O, "#%"PRIu64, imm);
-		if (MI->csh->detail) {
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)imm;
-			MI->flat_insn->detail->arm64.op_count++;
-		}
-
-		if (MCOperand_getImm(ShiftMO) != 0) {
-			unsigned int shift = (unsigned int)MCOperand_getImm(ShiftMO) * 16;
-			if (shift > HEX_THRESHOLD)
-				SStream_concat(O, ", lsl #0x%x", shift);
-			else
-				SStream_concat(O, ", lsl #%u", shift);
-			if (MI->csh->detail) {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = shift;
-			}
-		}
-
-		return;
-	}
-}
-
-static void printNamedImmOperand(MCInst *MI, unsigned OpNum, SStream *O, NamedImmMapper *Mapper)
-{
-	bool ValidName;
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	char *Name = NamedImmMapper_toString(Mapper, (uint32_t)MCOperand_getImm(MO), &ValidName);
-
-	if (ValidName)
-		SStream_concat0(O, Name);
-	else {
-		uint64_t imm = MCOperand_getImm(MO);
-		if (imm > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%"PRIx64, imm);
-		else
-			SStream_concat(O, "#%"PRIu64, imm);
-		if (MI->csh->detail) {
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)imm;
-			MI->flat_insn->detail->arm64.op_count++;
-		}
-	}
-}
-
-static void printSysRegOperand(SysRegMapper *Mapper,
-		MCInst *MI, unsigned OpNum, SStream *O)
-{
-	bool ValidName;
-	char Name[128];
-
-	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-
-	SysRegMapper_toString(Mapper, (uint32_t)MCOperand_getImm(MO), &ValidName, Name);
-	if (ValidName) {
-		SStream_concat0(O, Name);
-	}
-}
-
-#define GET_REGINFO_ENUM
-#include "AArch64GenRegisterInfo.inc"
-
-static inline bool isStackReg(unsigned RegNo)
-{
-	return RegNo == AArch64_XSP || RegNo == AArch64_WSP;
-}
-
-static void printRegExtendOperand(MCInst *MI, unsigned OpNum, SStream *O,
-		A64SE_ShiftExtSpecifiers Ext)
-{
-	// FIXME: In principle TableGen should be able to detect this itself far more
-	// easily. We will only accumulate more of these hacks.
-	unsigned Reg0 = MCOperand_getReg(MCInst_getOperand(MI, 0));
-	unsigned Reg1 = MCOperand_getReg(MCInst_getOperand(MI, 1));
-	MCOperand *MO;
-
-	if (isStackReg(Reg0) || isStackReg(Reg1)) {
-		A64SE_ShiftExtSpecifiers LSLEquiv;
-
-		if (Reg0 == AArch64_XSP || Reg1 == AArch64_XSP)
-			LSLEquiv = A64SE_UXTX;
-		else
-			LSLEquiv = A64SE_UXTW;
-
-		if (Ext == LSLEquiv) {
-			unsigned int shift = (unsigned int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
-			if (shift > HEX_THRESHOLD)
-				SStream_concat(O, "lsl #0x%x", shift);
-			else
-				SStream_concat(O, "lsl #%u", shift);
-			if (MI->csh->detail) {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = shift;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].ext = Ext - 4;
-			}
-			return;
-		}
-	}
-
-	switch (Ext) {
-		case A64SE_UXTB: SStream_concat0(O, "uxtb"); break;
-		case A64SE_UXTH: SStream_concat0(O, "uxth"); break;
-		case A64SE_UXTW: SStream_concat0(O, "uxtw"); break;
-		case A64SE_UXTX: SStream_concat0(O, "uxtx"); break;
-		case A64SE_SXTB: SStream_concat0(O, "sxtb"); break;
-		case A64SE_SXTH: SStream_concat0(O, "sxth"); break;
-		case A64SE_SXTW: SStream_concat0(O, "sxtw"); break;
-		case A64SE_SXTX: SStream_concat0(O, "sxtx"); break;
-		default: break; //llvm_unreachable("Unexpected shift type for printing");
-	}
-
-	if (MI->csh->detail)
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].ext = Ext - 4;
-	MO = MCInst_getOperand(MI, OpNum);
-	if (MCOperand_getImm(MO) != 0) {
-		unsigned int shift = (unsigned int)MCOperand_getImm(MO);
-		if (shift > HEX_THRESHOLD)
-			SStream_concat(O, " #0x%x", shift);
-		else
-			SStream_concat(O, " #%u", shift);
-		if (MI->csh->detail) {
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = shift;
-		}
-	}
-}
-
-static void printSImm7ScaledOperand(MCInst *MI, unsigned OpNum,
-		SStream *O, int MemScale)
-{
-	MCOperand *MOImm = MCInst_getOperand(MI, OpNum);
-	int32_t Imm = (int32_t)unpackSignedImm(7, MCOperand_getImm(MOImm));
-	int64_t res;
-
-	res = (int64_t)Imm * MemScale;
-	if (res >= 0) {
-		if (res > HEX_THRESHOLD)
-			SStream_concat(O, "#0x%"PRIx64, res);
-		else
-			SStream_concat(O, "#%"PRIu64, res);
-	} else {
-		if (res < -HEX_THRESHOLD)
-			SStream_concat(O, "#-0x%"PRIx64, -res);
-		else
-			SStream_concat(O, "#-%"PRIu64, -res);
-	}
-
-	if (MI->csh->detail) {
-		if (MI->csh->doing_mem) {
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = (int32_t)res;
-		} else {
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)res;
-			MI->flat_insn->detail->arm64.op_count++;
-		}
-	}
-}
-
-// TODO: handle this Vd register??
-static void printVPRRegister(MCInst *MI, unsigned OpNo, SStream *O)
-{
-	unsigned Reg = MCOperand_getReg(MCInst_getOperand(MI, OpNo));
-#ifndef CAPSTONE_DIET
-	char *Name = cs_strdup(getRegisterName(Reg));
-	Name[0] = 'v';
-	SStream_concat0(O, Name);
-	cs_mem_free(Name);
-#endif
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = Reg;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
+	return Asm != NULL;
 }
 
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	MCOperand *Op = MCInst_getOperand(MI, OpNo);
+
 	if (MCOperand_isReg(Op)) {
 		unsigned Reg = MCOperand_getReg(Op);
-		SStream_concat0(O, getRegisterName(Reg));
+		SStream_concat0(O, getRegisterName(Reg, AArch64_NoRegAltName));
 		if (MI->csh->detail) {
 			if (MI->csh->doing_mem) {
 				if (MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.base == ARM64_REG_INVALID) {
 					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.base = Reg;
-				} else {
+				}
+				else if (MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.index == ARM64_REG_INVALID) {
 					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.index = Reg;
 				}
 			} else {
@@ -631,222 +1052,766 @@
 			}
 		}
 	} else if (MCOperand_isImm(Op)) {
-		int64_t imm = MCOperand_getImm(Op);
-		if (imm >= 0) {
-			if (imm > HEX_THRESHOLD)
-				SStream_concat(O, "#0x%"PRIx64, imm);
-			else
-				SStream_concat(O, "#%"PRIu64, imm);
-		} else {
-			if (imm < -HEX_THRESHOLD)
-				SStream_concat(O, "#-0x%"PRIx64, -imm);
-			else
-				SStream_concat(O, "#-%"PRIu64, -imm);
-		}
-
+		int imm = (int)MCOperand_getImm(Op);
+		printInt32Bang(O, imm);
 		if (MI->csh->detail) {
 			if (MI->csh->doing_mem) {
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = (int32_t)imm;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = imm;
 			} else {
 				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)imm;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = imm;
 				MI->flat_insn->detail->arm64.op_count++;
 			}
 		}
 	}
 }
 
-#define GET_INSTRINFO_ENUM
-#include "AArch64GenInstrInfo.inc"
+static void printHexImm(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	MCOperand *Op = MCInst_getOperand(MI, OpNo);
+	SStream_concat(O, "#%#llx", MCOperand_getImm(Op));
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op);
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
 
-static void printNeonMovImmShiftOperand(MCInst *MI, unsigned OpNum,
-		SStream *O, A64SE_ShiftExtSpecifiers Ext, bool isHalf)
+static void printPostIncOperand(MCInst *MI, unsigned OpNo,
+		unsigned Imm, SStream *O)
+{
+	MCOperand *Op = MCInst_getOperand(MI, OpNo);
+
+	if (MCOperand_isReg(Op)) {
+		unsigned Reg = MCOperand_getReg(Op);
+		if (Reg == AArch64_XZR) {
+			printInt32Bang(O, Imm);
+			if (MI->csh->detail) {
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Imm;
+				MI->flat_insn->detail->arm64.op_count++;
+			}
+		} else {
+			SStream_concat0(O, getRegisterName(Reg, AArch64_NoRegAltName));
+			if (MI->csh->detail) {
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = Reg;
+				MI->flat_insn->detail->arm64.op_count++;
+			}
+		}
+	}
+	//llvm_unreachable("unknown operand kind in printPostIncOperand64");
+}
+
+static void printPostIncOperand2(MCInst *MI, unsigned OpNo, SStream *O, int Amount)
+{
+	printPostIncOperand(MI, OpNo, Amount, O);
+}
+
+static void printVRegOperand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	MCOperand *Op = MCInst_getOperand(MI, OpNo);
+	//assert(Op.isReg() && "Non-register vreg operand!");
+	unsigned Reg = MCOperand_getReg(Op);
+	SStream_concat0(O, getRegisterName(Reg, AArch64_vreg));
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = AArch64_map_vregister(Reg);
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+static void printSysCROperand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	MCOperand *Op = MCInst_getOperand(MI, OpNo);
+	//assert(Op.isImm() && "System instruction C[nm] operands must be immediates!");
+	SStream_concat(O, "c%u", MCOperand_getImm(Op));
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_CIMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op);
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+static void printAddSubImm(MCInst *MI, unsigned OpNum, SStream *O)
 {
 	MCOperand *MO = MCInst_getOperand(MI, OpNum);
-	int64_t Imm;
-	//assert(MO.isImm() &&
-	//       "Immediate operand required for Neon vector immediate inst.");
+	if (MCOperand_isImm(MO)) {
+		unsigned Val = (MCOperand_getImm(MO) & 0xfff);
+		//assert(Val == MO.getImm() && "Add/sub immediate out of range!");
+		unsigned Shift = AArch64_AM_getShiftValue((int)MCOperand_getImm(MCInst_getOperand(MI, OpNum + 1)));
 
-	bool IsLSL = false;
-	if (Ext == A64SE_LSL)
-		IsLSL = true;
-	else if (Ext != A64SE_MSL) {
-		//llvm_unreachable("Invalid shift specifier in movi instruction");
+		printInt32Bang(O, Val);
+
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Val;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+
+		if (Shift != 0)
+			printShifter(MI, OpNum + 1, O);
 	}
+}
 
-	Imm = MCOperand_getImm(MO);
+static void printLogicalImm32(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	int64_t Val = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
 
-	// MSL and LSLH accepts encoded shift amount 0 or 1.
-	if ((!IsLSL || (IsLSL && isHalf)) && Imm != 0 && Imm != 1) {
-		// llvm_unreachable("Invalid shift amount in movi instruction");
+	Val = AArch64_AM_decodeLogicalImmediate(Val, 32);
+	printUInt32Bang(O, (int)Val);
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)Val;
+		MI->flat_insn->detail->arm64.op_count++;
 	}
+}
 
-	// LSH accepts encoded shift amount 0, 1, 2 or 3.
-	if (IsLSL && (Imm < 0 || Imm > 3)) {
-		//llvm_unreachable("Invalid shift amount in movi instruction");
+static void printLogicalImm64(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	int64_t Val = MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	Val = AArch64_AM_decodeLogicalImmediate(Val, 64);
+	printInt64Bang(O, Val);
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)Val;
+		MI->flat_insn->detail->arm64.op_count++;
 	}
+}
 
-	// Print shift amount as multiple of 8 with MSL encoded shift amount
-	// 0 and 1 printed as 8 and 16.
-	if (!IsLSL)
-		Imm++;
-	Imm *= 8;
+static void printShifter(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	unsigned Val = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
 
-	// LSL #0 is not printed
-	if (IsLSL) {
-		if (Imm == 0)
+	// LSL #0 should not be printed.
+	if (AArch64_AM_getShiftType(Val) == AArch64_AM_LSL &&
+			AArch64_AM_getShiftValue(Val) == 0)
+		return;
+
+	SStream_concat(O, ", %s ", AArch64_AM_getShiftExtendName(AArch64_AM_getShiftType(Val)));
+	printInt32Bang(O, AArch64_AM_getShiftValue(Val));
+	if (MI->csh->detail) {
+		arm64_shifter shifter = ARM64_SFT_INVALID;
+		switch(AArch64_AM_getShiftType(Val)) {
+			default:	// never reach
+			case AArch64_AM_LSL:
+				shifter = ARM64_SFT_LSL;
+				break;
+			case AArch64_AM_LSR:
+				shifter = ARM64_SFT_LSR;
+				break;
+			case AArch64_AM_ASR:
+				shifter = ARM64_SFT_ASR;
+				break;
+			case AArch64_AM_ROR:
+				shifter = ARM64_SFT_ROR;
+				break;
+			case AArch64_AM_MSL:
+				shifter = ARM64_SFT_MSL;
+				break;
+		}
+
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = shifter;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = AArch64_AM_getShiftValue(Val);
+	}
+}
+
+static void printShiftedRegister(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	SStream_concat0(O, getRegisterName(MCOperand_getReg(MCInst_getOperand(MI, OpNum)), AArch64_NoRegAltName));
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = MCOperand_getReg(MCInst_getOperand(MI, OpNum));
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+	printShifter(MI, OpNum + 1, O);
+}
+
+static void printArithExtend(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	unsigned Val = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	AArch64_AM_ShiftExtendType ExtType = AArch64_AM_getArithExtendType(Val);
+	unsigned ShiftVal = AArch64_AM_getArithShiftValue(Val);
+
+	// If the destination or first source register operand is [W]SP, print
+	// UXTW/UXTX as LSL, and if the shift amount is also zero, print nothing at
+	// all.
+	if (ExtType == AArch64_AM_UXTW || ExtType == AArch64_AM_UXTX) {
+		unsigned Dest = MCOperand_getReg(MCInst_getOperand(MI, 0));
+		unsigned Src1 = MCOperand_getReg(MCInst_getOperand(MI, 1));
+		if ( ((Dest == AArch64_SP || Src1 == AArch64_SP) &&
+					ExtType == AArch64_AM_UXTX) ||
+				((Dest == AArch64_WSP || Src1 == AArch64_WSP) &&
+				 ExtType == AArch64_AM_UXTW) ) {
+			if (ShiftVal != 0) {
+				SStream_concat0(O, ", lsl ");
+				printInt32Bang(O, ShiftVal);
+				if (MI->csh->detail) {
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
+					MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = ShiftVal;
+				}
+			}
+
 			return;
-		SStream_concat0(O, ", lsl");
-		if (MI->csh->detail)
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
-	} else {
-		SStream_concat0(O, ", msl");
-		if (MI->csh->detail)
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_MSL;
+		}
 	}
 
-	if (Imm >= 0) {
-		if (Imm > HEX_THRESHOLD)
-			SStream_concat(O, " #0x%"PRIx64, Imm);
-		else
-			SStream_concat(O, " #%"PRIu64, Imm);
-	} else {
-		if (Imm < -HEX_THRESHOLD)
-			SStream_concat(O, " #-0x%"PRIx64, -Imm);
-		else
-			SStream_concat(O, " #-%"PRIu64, -Imm);
+	SStream_concat(O, ", %s", AArch64_AM_getShiftExtendName(ExtType));
+	if (MI->csh->detail) {
+		arm64_extender ext = ARM64_EXT_INVALID;
+		switch(ExtType) {
+			default:	// never reach
+			case AArch64_AM_UXTB:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_UXTH:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_UXTW:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_UXTX:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_SXTB:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_SXTH:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_SXTW:
+				ext = ARM64_EXT_UXTW;
+				break;
+			case AArch64_AM_SXTX:
+				ext = ARM64_EXT_UXTW;
+				break;
+		}
+
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].ext = ext;
 	}
 
+	if (ShiftVal != 0) {
+		SStream_concat0(O, " ");
+		printInt32Bang(O, ShiftVal);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.type = ARM64_SFT_LSL;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = ShiftVal;
+		}
+	}
+}
+
+static void printExtendedRegister(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	unsigned Reg = MCOperand_getReg(MCInst_getOperand(MI, OpNum));
+
+	SStream_concat0(O, getRegisterName(Reg, AArch64_NoRegAltName));
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = Reg;
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+
+	printArithExtend(MI, OpNum + 1, O);
+}
+
+static void printMemExtend(MCInst *MI, unsigned OpNum, SStream *O, char SrcRegKind, unsigned Width)
+{
+	unsigned SignExtend = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	unsigned DoShift = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNum + 1));
+
+	// sxtw, sxtx, uxtw or lsl (== uxtx)
+	bool IsLSL = !SignExtend && SrcRegKind == 'x';
+	if (IsLSL) {
+		SStream_concat0(O, "lsl");
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].shift.type = ARM64_SFT_LSL;
+		}
+	} else {
+		SStream_concat(O, "%cxt%c", (SignExtend ? 's' : 'u'), SrcRegKind);
+		if (MI->csh->detail) {
+			if (!SignExtend) {
+				switch(SrcRegKind) {
+					default: break;
+					case 'b':
+							 MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_UXTB;
+							 break;
+					case 'h':
+							 MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_UXTH;
+							 break;
+					case 'w':
+							 MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_UXTW;
+							 break;
+					case 'x':
+							 MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_UXTX;
+							 break;
+				}
+			} else {
+					switch(SrcRegKind) {
+						default: break;
+						case 'b':
+							MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_SXTB;
+							break;
+						case 'h':
+							MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_SXTH;
+							break;
+						case 'w':
+							MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_SXTW;
+							break;
+						case 'x':
+							MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].ext = ARM64_EXT_SXTX;
+							break;
+					}
+			}
+		}
+	}
+
+	if (DoShift || IsLSL) {
+		SStream_concat(O, " #%u", Log2_32(Width / 8));
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].shift.type = ARM64_SFT_LSL;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].shift.value = Log2_32(Width / 8);
+		}
+	}
+}
+
+static void printCondCode(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	A64CC_CondCode CC = (A64CC_CondCode)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	SStream_concat0(O, getCondCodeName(CC));
+
 	if (MI->csh->detail)
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].shift.value = (unsigned int)Imm;
+		MI->flat_insn->detail->arm64.cc = (arm64_cc)(CC + 1);
 }
 
-static void printNeonUImm0Operand(MCInst *MI, unsigned OpNum, SStream *O)
+static void printInverseCondCode(MCInst *MI, unsigned OpNum, SStream *O)
 {
-	SStream_concat0(O, "#0");
-	// FIXME: vector ZERO
+	A64CC_CondCode CC = (A64CC_CondCode)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	SStream_concat0(O, getCondCodeName(getInvertedCondCode(CC)));
+
 	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = 0;
-		MI->flat_insn->detail->arm64.op_count++;
+		MI->flat_insn->detail->arm64.cc = (arm64_cc)(getInvertedCondCode(CC) + 1);
 	}
 }
 
-static void printUImmHexOperand(MCInst *MI, unsigned OpNum, SStream *O)
+static void printImmScale(MCInst *MI, unsigned OpNum, SStream *O, int Scale)
 {
-	MCOperand *MOUImm = MCInst_getOperand(MI, OpNum);
+	int64_t val = Scale * MCOperand_getImm(MCInst_getOperand(MI, OpNum));
 
-	//assert(MOUImm.isImm() &&
-	//       "Immediate operand required for Neon vector immediate inst.");
-
-	unsigned Imm = (unsigned int)MCOperand_getImm(MOUImm);
-
-	if (Imm > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%x", Imm);
-	else
-		SStream_concat(O, "#%u", Imm);
-	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Imm;
-		MI->flat_insn->detail->arm64.op_count++;
-	}
-}
-
-static void printUImmBareOperand(MCInst *MI, unsigned OpNum, SStream *O)
-{
-	MCOperand *MOUImm = MCInst_getOperand(MI, OpNum);
-
-	//assert(MOUImm.isImm()
-	//		&& "Immediate operand required for Neon vector immediate inst.");
-
-	unsigned Imm = (unsigned int)MCOperand_getImm(MOUImm);
-	if (Imm > HEX_THRESHOLD)
-		SStream_concat(O, "0x%x", Imm);
-	else
-		SStream_concat(O, "%u", Imm);
+	printInt64Bang(O, val);
 
 	if (MI->csh->detail) {
 		if (MI->csh->doing_mem) {
-			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = Imm;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = (int)val;
 		} else {
-			// FIXME: never has false branch??
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)val;
+			MI->flat_insn->detail->arm64.op_count++;
 		}
 	}
 }
 
-static void printNeonUImm64MaskOperand(MCInst *MI, unsigned OpNum, SStream *O)
+static void printUImm12Offset(MCInst *MI, unsigned OpNum, unsigned Scale, SStream *O)
 {
-	MCOperand *MOUImm8 = MCInst_getOperand(MI, OpNum);
+	MCOperand *MO = MCInst_getOperand(MI, OpNum);
 
-	//assert(MOUImm8.isImm() &&
-	//       "Immediate operand required for Neon vector immediate bytemask inst.");
-
-	uint32_t UImm8 = (uint32_t)MCOperand_getImm(MOUImm8);
-	uint64_t Mask = 0;
-
-	// Replicates 0x00 or 0xff byte in a 64-bit vector
-	unsigned ByteNum;
-	for (ByteNum = 0; ByteNum < 8; ++ByteNum) {
-		if ((UImm8 >> ByteNum) & 1)
-			Mask |= (uint64_t)0xff << (8 * ByteNum);
+	if (MCOperand_isImm(MO)) {
+		int64_t val = Scale * MCOperand_getImm(MO);
+		printInt64Bang(O, val);
+		if (MI->csh->detail) {
+			if (MI->csh->doing_mem) {
+				MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].mem.disp = (int)val;
+			} else {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)val;
+			MI->flat_insn->detail->arm64.op_count++;
+			}
+		}
 	}
+}
 
-	if (Mask > HEX_THRESHOLD)
-		SStream_concat(O, "#0x%"PRIx64, Mask);
-	else
-		SStream_concat(O, "#%"PRIu64, Mask);
+static void printUImm12Offset2(MCInst *MI, unsigned OpNum, SStream *O, int Scale)
+{
+	printUImm12Offset(MI, OpNum, Scale, O);
+}
+
+static void printPrefetchOp(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	unsigned prfop = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	bool Valid;
+	char *Name = A64NamedImmMapper_toString(&A64PRFM_PRFMMapper, prfop, &Valid);
+
+	if (Valid) {
+		SStream_concat0(O, Name);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_PREFETCH;
+			// we have to plus 1 to prfop because 0 is a valid value of prfop
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].prefetch = prfop + 1;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	} else {
+		printInt32Bang(O, prfop);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = prfop;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	}
+}
+
+static void printFPImmOperand(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	MCOperand *MO = MCInst_getOperand(MI, OpNum);
+	double FPImm = MCOperand_isFPImm(MO) ? MCOperand_getFPImm(MO) : AArch64_AM_getFPImmFloat((int)MCOperand_getImm(MO));
+
+	// 8 decimal places are enough to perfectly represent permitted floats.
+	SStream_concat(O, "#%.8f", FPImm);
 	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
-		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int32_t)Mask;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_FP;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].fp = FPImm;
 		MI->flat_insn->detail->arm64.op_count++;
 	}
 }
 
-static void printMRSOperand(MCInst *MI, unsigned OpNum, SStream *O)
+//static unsigned getNextVectorRegister(unsigned Reg, unsigned Stride = 1)
+static unsigned getNextVectorRegister(unsigned Reg, unsigned Stride)
 {
-	printSysRegOperand(&AArch64_MRSMapper, MI, OpNum, O);
+	while (Stride--) {
+		switch (Reg) {
+			default:
+				// llvm_unreachable("Vector register expected!");
+			case AArch64_Q0:  Reg = AArch64_Q1;  break;
+			case AArch64_Q1:  Reg = AArch64_Q2;  break;
+			case AArch64_Q2:  Reg = AArch64_Q3;  break;
+			case AArch64_Q3:  Reg = AArch64_Q4;  break;
+			case AArch64_Q4:  Reg = AArch64_Q5;  break;
+			case AArch64_Q5:  Reg = AArch64_Q6;  break;
+			case AArch64_Q6:  Reg = AArch64_Q7;  break;
+			case AArch64_Q7:  Reg = AArch64_Q8;  break;
+			case AArch64_Q8:  Reg = AArch64_Q9;  break;
+			case AArch64_Q9:  Reg = AArch64_Q10; break;
+			case AArch64_Q10: Reg = AArch64_Q11; break;
+			case AArch64_Q11: Reg = AArch64_Q12; break;
+			case AArch64_Q12: Reg = AArch64_Q13; break;
+			case AArch64_Q13: Reg = AArch64_Q14; break;
+			case AArch64_Q14: Reg = AArch64_Q15; break;
+			case AArch64_Q15: Reg = AArch64_Q16; break;
+			case AArch64_Q16: Reg = AArch64_Q17; break;
+			case AArch64_Q17: Reg = AArch64_Q18; break;
+			case AArch64_Q18: Reg = AArch64_Q19; break;
+			case AArch64_Q19: Reg = AArch64_Q20; break;
+			case AArch64_Q20: Reg = AArch64_Q21; break;
+			case AArch64_Q21: Reg = AArch64_Q22; break;
+			case AArch64_Q22: Reg = AArch64_Q23; break;
+			case AArch64_Q23: Reg = AArch64_Q24; break;
+			case AArch64_Q24: Reg = AArch64_Q25; break;
+			case AArch64_Q25: Reg = AArch64_Q26; break;
+			case AArch64_Q26: Reg = AArch64_Q27; break;
+			case AArch64_Q27: Reg = AArch64_Q28; break;
+			case AArch64_Q28: Reg = AArch64_Q29; break;
+			case AArch64_Q29: Reg = AArch64_Q30; break;
+			case AArch64_Q30: Reg = AArch64_Q31; break;
+							   // Vector lists can wrap around.
+			case AArch64_Q31: Reg = AArch64_Q0; break;
+		}
+	}
+
+	return Reg;
 }
 
-static void printMSROperand(MCInst *MI, unsigned OpNum, SStream *O)
+static void printVectorList(MCInst *MI, unsigned OpNum, SStream *O, char *LayoutSuffix, MCRegisterInfo *MRI, arm64_vas vas, arm64_vess vess)
 {
-	printSysRegOperand(&AArch64_MSRMapper, MI, OpNum, O);
-}
-
-// If Count > 1, there are two valid kinds of vector list:
-//   (1) {Vn.layout, Vn+1.layout, ... , Vm.layout}
-//   (2) {Vn.layout - Vm.layout}
-// We choose the first kind as output.
-static void printVectorList(MCInst *MI, unsigned OpNum,
-		SStream *O, A64Layout_VectorLayout Layout, unsigned Count, MCRegisterInfo *MRI)
-{
-#ifndef CAPSTONE_DIET
-	//assert(Count >= 1 && Count <= 4 && "Invalid Number of Vectors");
+#define GETREGCLASS_CONTAIN0(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), _reg)
 
 	unsigned Reg = MCOperand_getReg(MCInst_getOperand(MI, OpNum));
-	const char *LayoutStr = A64VectorLayoutToString(Layout);
+	unsigned NumRegs = 1, FirstReg, i;
+
 	SStream_concat0(O, "{");
-	if (Count > 1) { // Print sub registers separately
-		bool IsVec64 = (Layout < A64Layout_VL_16B);
-		unsigned SubRegIdx = IsVec64 ? AArch64_dsub_0 : AArch64_qsub_0;
-		unsigned I;
-		for (I = 0; I < Count; I++) {
-			char *Name = cs_strdup(getRegisterName(MCRegisterInfo_getSubReg(MRI, Reg, SubRegIdx++)));
-			Name[0] = 'v';
-			SStream_concat(O, "%s%s", Name, LayoutStr);
-			if (I != Count - 1)
-				SStream_concat0(O, ", ");
-			cs_mem_free(Name);
-		}
-	} else { // Print the register directly when NumVecs is 1.
-		char *Name = cs_strdup(getRegisterName(Reg));
-		Name[0] = 'v';
-		SStream_concat(O, "%s%s", Name, LayoutStr);
-		cs_mem_free(Name);
+
+	// Work out how many registers there are in the list (if there is an actual
+	// list).
+	if (GETREGCLASS_CONTAIN0(AArch64_DDRegClassID , Reg) ||
+			GETREGCLASS_CONTAIN0(AArch64_QQRegClassID, Reg))
+		NumRegs = 2;
+	else if (GETREGCLASS_CONTAIN0(AArch64_DDDRegClassID, Reg) ||
+			GETREGCLASS_CONTAIN0(AArch64_QQQRegClassID, Reg))
+		NumRegs = 3;
+	else if (GETREGCLASS_CONTAIN0(AArch64_DDDDRegClassID, Reg) ||
+			GETREGCLASS_CONTAIN0(AArch64_QQQQRegClassID, Reg))
+		NumRegs = 4;
+
+	// Now forget about the list and find out what the first register is.
+	if ((FirstReg = MCRegisterInfo_getSubReg(MRI, Reg, AArch64_dsub0)))
+		Reg = FirstReg;
+	else if ((FirstReg = MCRegisterInfo_getSubReg(MRI, Reg, AArch64_qsub0)))
+		Reg = FirstReg;
+
+	// If it's a D-reg, we need to promote it to the equivalent Q-reg before
+	// printing (otherwise getRegisterName fails).
+	if (GETREGCLASS_CONTAIN0(AArch64_FPR64RegClassID, Reg)) {
+		MCRegisterClass *FPR128RC = MCRegisterInfo_getRegClass(MRI, AArch64_FPR128RegClassID);
+		Reg = MCRegisterInfo_getMatchingSuperReg(MRI, Reg, AArch64_dsub, FPR128RC);
 	}
+
+	for (i = 0; i < NumRegs; ++i, Reg = getNextVectorRegister(Reg, 1)) {
+		SStream_concat(O, "%s%s", getRegisterName(Reg, AArch64_vreg), LayoutSuffix);
+		if (i + 1 != NumRegs)
+			SStream_concat0(O, ", ");
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = AArch64_map_vregister(Reg);
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].vas = vas;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].vess = vess;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	}
+
 	SStream_concat0(O, "}");
+}
+
+static void printTypedVectorList(MCInst *MI, unsigned OpNum, SStream *O, unsigned NumLanes, char LaneKind, MCRegisterInfo *MRI)
+{
+	char Suffix[32];
+	arm64_vas vas = 0;
+	arm64_vess vess = 0;
+
+	if (NumLanes) {
+		cs_snprintf(Suffix, sizeof(Suffix), ".%u%c", NumLanes, LaneKind);
+		switch(LaneKind) {
+			default: break;
+			case 'b':
+				switch(NumLanes) {
+					default: break;
+					case 8:
+							 vas = ARM64_VAS_8B;
+							 break;
+					case 16:
+							 vas = ARM64_VAS_16B;
+							 break;
+				}
+				break;
+			case 'h':
+				switch(NumLanes) {
+					default: break;
+					case 4:
+							 vas = ARM64_VAS_4H;
+							 break;
+					case 8:
+							 vas = ARM64_VAS_8H;
+							 break;
+				}
+				break;
+			case 's':
+				switch(NumLanes) {
+					default: break;
+					case 2:
+							 vas = ARM64_VAS_2S;
+							 break;
+					case 4:
+							 vas = ARM64_VAS_4S;
+							 break;
+				}
+				break;
+			case 'd':
+				switch(NumLanes) {
+					default: break;
+					case 1:
+							 vas = ARM64_VAS_1D;
+							 break;
+					case 2:
+							 vas = ARM64_VAS_2D;
+							 break;
+				}
+				break;
+			case 'q':
+				switch(NumLanes) {
+					default: break;
+					case 1:
+							 vas = ARM64_VAS_1Q;
+							 break;
+				}
+				break;
+		}
+	} else {
+		cs_snprintf(Suffix, sizeof(Suffix), ".%c", LaneKind);
+		switch(LaneKind) {
+			default: break;
+			case 'b':
+					 vess = ARM64_VESS_B;
+					 break;
+			case 'h':
+					 vess = ARM64_VESS_H;
+					 break;
+			case 's':
+					 vess = ARM64_VESS_S;
+					 break;
+			case 'd':
+					 vess = ARM64_VESS_D;
+					 break;
+		}
+	}
+
+	printVectorList(MI, OpNum, O, Suffix, MRI, vas, vess);
+}
+
+static void printVectorIndex(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	SStream_concat0(O, "[");
+	printInt32(O, (int)MCOperand_getImm(MCInst_getOperand(MI, OpNum)));
+	SStream_concat0(O, "]");
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].vector_index = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+	}
+}
+
+static void printAlignedLabel(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	MCOperand *Op = MCInst_getOperand(MI, OpNum);
+
+	// If the label has already been resolved to an immediate offset (say, when
+	// we're running the disassembler), just print the immediate.
+	if (MCOperand_isImm(Op)) {
+		printInt64Bang(O, MCOperand_getImm(Op) << 2);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op) << 2;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+		return;
+	}
+
+#if 0
+	// If the branch target is simply an address then print it in hex.
+	const MCConstantExpr *BranchTarget =
+		dyn_cast<MCConstantExpr>(MI->getOperand(OpNum).getExpr());
+	int64_t Address;
+	if (BranchTarget && BranchTarget->EvaluateAsAbsolute(Address)) {
+		O << "0x";
+		O.write_hex(Address);
+	} else {
+		// Otherwise, just print the expression.
+		O << *MI->getOperand(OpNum).getExpr();
+	}
 #endif
 }
 
+static void printAdrpLabel(MCInst *MI, unsigned OpNum, SStream *O)
+{
+	MCOperand *Op = MCInst_getOperand(MI, OpNum);
+
+	// If the label has already been resolved to an immediate offset (say, when
+	// we're running the disassembler), just print the immediate.
+	if (MCOperand_isImm(Op)) {
+		printInt64Bang(O, MCOperand_getImm(Op) << 12);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)MCOperand_getImm(Op) << 12;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+		return;
+	}
+}
+
+static void printBarrierOption(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned Val = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	unsigned Opcode = MCInst_getOpcode(MI);
+	bool Valid;
+	char *Name;
+
+	if (Opcode == AArch64_ISB)
+		Name = A64NamedImmMapper_toString(&A64ISB_ISBMapper, Val, &Valid);
+	else
+		Name = A64NamedImmMapper_toString(&A64DB_DBarrierMapper, Val, &Valid);
+
+	if (Valid) {
+		SStream_concat0(O, Name);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_BARRIER;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].barrier = Val;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	} else {
+		printUInt32Bang(O, Val);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Val;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	}
+}
+
+static void printMRSSystemRegister(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned Val = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	bool Valid;
+	char Name[128];
+
+	A64SysRegMapper_toString(&AArch64_MRSMapper, Val, &Valid, Name);
+
+	if (Valid) {
+		SStream_concat0(O, Name);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG_MRS;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = Val;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	}
+}
+
+static void printMSRSystemRegister(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned Val = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	bool Valid;
+	char Name[128];
+
+	A64SysRegMapper_toString(&AArch64_MSRMapper, Val, &Valid, Name);
+
+	if (Valid) {
+		SStream_concat0(O, Name);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_REG_MSR;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].reg = Val;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	}
+}
+
+static void printSystemPStateField(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned Val = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	bool Valid;
+	char *Name;
+
+	Name = A64NamedImmMapper_toString(&A64PState_PStateMapper, Val, &Valid);
+	if (Valid) {
+		SStream_concat0(O, Name);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_PSTATE;
+			MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].pstate = Val;
+			MI->flat_insn->detail->arm64.op_count++;
+		}
+	} else {
+		printInt32Bang(O, Val);
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = Val;
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+static void printSIMDType10Operand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned RawVal = (unsigned)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	uint64_t Val = AArch64_AM_decodeAdvSIMDModImmType10(RawVal);
+	SStream_concat(O, "#%#016llx", Val);
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)Val;
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+
 #define PRINT_ALIAS_INSTR
 #include "AArch64GenAsmWriter.inc"
 
@@ -860,17 +1825,4 @@
 		flat_insn->detail->arm64.writeback = true;
 }
 
-void AArch64_printInst(MCInst *MI, SStream *O, void *Info)
-{
-	char *mnem;
-
-	mnem = printAliasInstr(MI, O, Info);
-	if (mnem) {
-		MCInst_setOpcodePub(MI, AArch64_map_insn(mnem));
-		cs_mem_free(mnem);
-	} else {
-		printInstruction(MI, O, Info);
-	}
-}
-
 #endif
diff --git a/arch/AArch64/AArch64Mapping.c b/arch/AArch64/AArch64Mapping.c
index 411f775..cbd6c3a 100644
--- a/arch/AArch64/AArch64Mapping.c
+++ b/arch/AArch64/AArch64Mapping.c
@@ -16,11 +16,13 @@
 #ifndef CAPSTONE_DIET
 static name_map reg_name_maps[] = {
 	{ ARM64_REG_INVALID, NULL },
-	//=========
+
+	{ ARM64_REG_X29, "x29"},
+	{ ARM64_REG_X30, "x30"},
 	{ ARM64_REG_NZCV, "nzcv"},
+	{ ARM64_REG_SP, "sp"},
 	{ ARM64_REG_WSP, "wsp"},
 	{ ARM64_REG_WZR, "wzr"},
-	{ ARM64_REG_SP, "sp"},
 	{ ARM64_REG_XZR, "xzr"},
 	{ ARM64_REG_B0, "b0"},
 	{ ARM64_REG_B1, "b1"},
@@ -242,8 +244,39 @@
 	{ ARM64_REG_X26, "x26"},
 	{ ARM64_REG_X27, "x27"},
 	{ ARM64_REG_X28, "x28"},
-	{ ARM64_REG_X29, "x29"},
-	{ ARM64_REG_X30, "x30"},
+
+	{ ARM64_REG_V0, "v0"},
+	{ ARM64_REG_V1, "v1"},
+	{ ARM64_REG_V2, "v2"},
+	{ ARM64_REG_V3, "v3"},
+	{ ARM64_REG_V4, "v4"},
+	{ ARM64_REG_V5, "v5"},
+	{ ARM64_REG_V6, "v6"},
+	{ ARM64_REG_V7, "v7"},
+	{ ARM64_REG_V8, "v8"},
+	{ ARM64_REG_V9, "v9"},
+	{ ARM64_REG_V10, "v10"},
+	{ ARM64_REG_V11, "v11"},
+	{ ARM64_REG_V12, "v12"},
+	{ ARM64_REG_V13, "v13"},
+	{ ARM64_REG_V14, "v14"},
+	{ ARM64_REG_V15, "v15"},
+	{ ARM64_REG_V16, "v16"},
+	{ ARM64_REG_V17, "v17"},
+	{ ARM64_REG_V18, "v18"},
+	{ ARM64_REG_V19, "v19"},
+	{ ARM64_REG_V20, "v20"},
+	{ ARM64_REG_V21, "v21"},
+	{ ARM64_REG_V22, "v22"},
+	{ ARM64_REG_V23, "v23"},
+	{ ARM64_REG_V24, "v24"},
+	{ ARM64_REG_V25, "v25"},
+	{ ARM64_REG_V26, "v26"},
+	{ ARM64_REG_V27, "v27"},
+	{ ARM64_REG_V28, "v28"},
+	{ ARM64_REG_V29, "v29"},
+	{ ARM64_REG_V30, "v30"},
+	{ ARM64_REG_V31, "v31"},
 };
 #endif
 
@@ -269,997 +302,565 @@
 	},
 
 	{
-		AArch64_ABS16b, ARM64_INS_ABS,
+		AArch64_ABSv16i8, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABS2d, ARM64_INS_ABS,
+		AArch64_ABSv1i64, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABS2s, ARM64_INS_ABS,
+		AArch64_ABSv2i32, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABS4h, ARM64_INS_ABS,
+		AArch64_ABSv2i64, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABS4s, ARM64_INS_ABS,
+		AArch64_ABSv4i16, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABS8b, ARM64_INS_ABS,
+		AArch64_ABSv4i32, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABS8h, ARM64_INS_ABS,
+		AArch64_ABSv8i16, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ABSdd, ARM64_INS_ABS,
+		AArch64_ABSv8i8, ARM64_INS_ABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADCSwww, ARM64_INS_ADC,
+		AArch64_ADCSWr, ARM64_INS_ADC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADCSxxx, ARM64_INS_ADC,
+		AArch64_ADCSXr, ARM64_INS_ADC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADCwww, ARM64_INS_ADC,
+		AArch64_ADCWr, ARM64_INS_ADC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADCxxx, ARM64_INS_ADC,
+		AArch64_ADCXr, ARM64_INS_ADC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDHN2vvv_16b8h, ARM64_INS_ADDHN2,
+		AArch64_ADDHNv2i64_v2i32, ARM64_INS_ADDHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDHN2vvv_4s2d, ARM64_INS_ADDHN2,
+		AArch64_ADDHNv2i64_v4i32, ARM64_INS_ADDHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDHN2vvv_8h4s, ARM64_INS_ADDHN2,
+		AArch64_ADDHNv4i32_v4i16, ARM64_INS_ADDHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDHNvvv_2s2d, ARM64_INS_ADDHN,
+		AArch64_ADDHNv4i32_v8i16, ARM64_INS_ADDHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDHNvvv_4h4s, ARM64_INS_ADDHN,
+		AArch64_ADDHNv8i16_v16i8, ARM64_INS_ADDHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDHNvvv_8b8h, ARM64_INS_ADDHN,
+		AArch64_ADDHNv8i16_v8i8, ARM64_INS_ADDHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_16B, ARM64_INS_ADDP,
+		AArch64_ADDPv16i8, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_2D, ARM64_INS_ADDP,
+		AArch64_ADDPv2i32, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_2S, ARM64_INS_ADDP,
+		AArch64_ADDPv2i64, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_4H, ARM64_INS_ADDP,
+		AArch64_ADDPv2i64p, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_4S, ARM64_INS_ADDP,
+		AArch64_ADDPv4i16, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_8B, ARM64_INS_ADDP,
+		AArch64_ADDPv4i32, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDP_8H, ARM64_INS_ADDP,
+		AArch64_ADDPv8i16, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDPvv_D_2D, ARM64_INS_ADDP,
+		AArch64_ADDPv8i8, ARM64_INS_ADDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_asr, ARM64_INS_ADD,
+		AArch64_ADDSWri, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_lsl, ARM64_INS_ADD,
+		AArch64_ADDSWrs, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_lsr, ARM64_INS_ADD,
+		AArch64_ADDSWrx, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_sxtb, ARM64_INS_ADD,
+		AArch64_ADDSXri, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_sxth, ARM64_INS_ADD,
+		AArch64_ADDSXrs, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_sxtw, ARM64_INS_ADD,
+		AArch64_ADDSXrx, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_sxtx, ARM64_INS_ADD,
+		AArch64_ADDSXrx64, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDSwww_uxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSwww_uxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSwww_uxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSwww_uxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxw_sxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxw_sxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxw_sxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxw_uxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxw_uxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxw_uxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxx_asr, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxx_lsl, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxx_lsr, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxx_sxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDSxxx_uxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDV_1b16b, ARM64_INS_ADDV,
+		AArch64_ADDVv16i8v, ARM64_INS_ADDV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDV_1b8b, ARM64_INS_ADDV,
+		AArch64_ADDVv4i16v, ARM64_INS_ADDV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDV_1h4h, ARM64_INS_ADDV,
+		AArch64_ADDVv4i32v, ARM64_INS_ADDV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDV_1h8h, ARM64_INS_ADDV,
+		AArch64_ADDVv8i16v, ARM64_INS_ADDV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDV_1s4s, ARM64_INS_ADDV,
+		AArch64_ADDVv8i8v, ARM64_INS_ADDV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDddd, ARM64_INS_ADD,
+		AArch64_ADDWri, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDWrs, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDWrx, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDXri, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDXrs, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDXrx, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDXrx64, ARM64_INS_ADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADDv16i8, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_16B, ARM64_INS_ADD,
+		AArch64_ADDv1i64, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_2D, ARM64_INS_ADD,
+		AArch64_ADDv2i32, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_2S, ARM64_INS_ADD,
+		AArch64_ADDv2i64, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_4H, ARM64_INS_ADD,
+		AArch64_ADDv4i16, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_4S, ARM64_INS_ADD,
+		AArch64_ADDv4i32, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_8B, ARM64_INS_ADD,
+		AArch64_ADDv8i16, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDvvv_8H, ARM64_INS_ADD,
+		AArch64_ADDv8i8, ARM64_INS_ADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwwi_lsl0_S, ARM64_INS_ADD,
+		AArch64_ADR, ARM64_INS_ADR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ADRP, ARM64_INS_ADRP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_AESDrr, ARM64_INS_AESD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_AESErr, ARM64_INS_AESE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_AESIMCrr, ARM64_INS_AESIMC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_AESMCrr, ARM64_INS_AESMC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ANDSWri, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwwi_lsl0_cmp, ARM64_INS_CMN,
+		AArch64_ANDSWrs, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwwi_lsl0_s, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwwi_lsl12_S, ARM64_INS_ADD,
+		AArch64_ANDSXri, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwwi_lsl12_cmp, ARM64_INS_CMN,
+		AArch64_ANDSXrs, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwwi_lsl12_s, ARM64_INS_ADD,
+		AArch64_ANDWri, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwww_asr, ARM64_INS_ADD,
+		AArch64_ANDWrs, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwww_lsl, ARM64_INS_ADD,
+		AArch64_ANDXri, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwww_lsr, ARM64_INS_ADD,
+		AArch64_ANDXrs, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ADDwww_sxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_sxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_sxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_sxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_uxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_uxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_uxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDwww_uxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxi_lsl0_S, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxi_lsl0_cmp, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxi_lsl0_s, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxi_lsl12_S, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxi_lsl12_cmp, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxi_lsl12_s, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxw_sxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxw_sxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxw_sxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxw_uxtb, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxw_uxth, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxw_uxtw, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxx_asr, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxx_lsl, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxx_lsr, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxx_sxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADDxxx_uxtx, ARM64_INS_ADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADRPxi, ARM64_INS_ADRP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ADRxi, ARM64_INS_ADR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_AESD, ARM64_INS_AESD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_AESE, ARM64_INS_AESE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_AESIMC, ARM64_INS_AESIMC,
+		AArch64_ANDv16i8, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_AESMC, ARM64_INS_AESMC,
+		AArch64_ANDv8i8, ARM64_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ANDSwwi, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSwww_asr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSwww_lsl, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSwww_lsr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSwww_ror, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSxxi, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSxxx_asr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSxxx_lsl, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSxxx_lsr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDSxxx_ror, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDvvv_16B, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDvvv_8B, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDwwi, ARM64_INS_AND,
+		AArch64_ASRVWr, ARM64_INS_ASR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ANDwww_asr, ARM64_INS_AND,
+		AArch64_ASRVXr, ARM64_INS_ASR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ANDwww_lsl, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDwww_lsr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDwww_ror, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDxxi, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDxxx_asr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDxxx_lsl, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDxxx_lsr, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ANDxxx_ror, ARM64_INS_AND,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ASRVwww, ARM64_INS_ASR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ASRVxxx, ARM64_INS_ASR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ASRwwi, ARM64_INS_ASR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ASRxxi, ARM64_INS_ASR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ATix, ARM64_INS_AT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BFIwwii, ARM64_INS_BFI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BFIxxii, ARM64_INS_BFI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BFMwwii, ARM64_INS_BFM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BFMxxii, ARM64_INS_BFM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BFXILwwii, ARM64_INS_BFXIL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BFXILxxii, ARM64_INS_BFXIL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSwww_asr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSwww_lsl, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSwww_lsr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSwww_ror, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSxxx_asr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSxxx_lsl, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSxxx_lsr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICSxxx_ror, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICvi_lsl_2S, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICvi_lsl_4H, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICvi_lsl_4S, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICvi_lsl_8H, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICvvv_16B, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICvvv_8B, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICwww_asr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICwww_lsl, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICwww_lsr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICwww_ror, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICxxx_asr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICxxx_lsl, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICxxx_lsr, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BICxxx_ror, ARM64_INS_BIC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BIFvvv_16B, ARM64_INS_BIF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BIFvvv_8B, ARM64_INS_BIF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BITvvv_16B, ARM64_INS_BIT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BITvvv_8B, ARM64_INS_BIT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_BLRx, ARM64_INS_BLR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_X30, 0 }, { 0 }, 1, 1
-#endif
-	},
-	{
-		AArch64_BLimm, ARM64_INS_BL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_X30, 0 }, { 0 }, 1, 0
-#endif
-	},
-	{
-		AArch64_BRKi, ARM64_INS_BRK,
+		AArch64_B, ARM64_INS_B,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_BRx, ARM64_INS_BR,
+		AArch64_BFMWri, ARM64_INS_BFM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 1
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_BSLvvv_16B, ARM64_INS_BSL,
+		AArch64_BFMXri, ARM64_INS_BFM,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICSWrs, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICSXrs, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICWrs, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICXrs, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICv16i8, ARM64_INS_BIC,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_BSLvvv_8B, ARM64_INS_BSL,
+		AArch64_BICv2i32, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICv4i16, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICv4i32, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICv8i16, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BICv8i8, ARM64_INS_BIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BIFv16i8, ARM64_INS_BIF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BIFv8i8, ARM64_INS_BIF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BITv16i8, ARM64_INS_BIT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BITv8i8, ARM64_INS_BIT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BL, ARM64_INS_BL,
+#ifndef CAPSTONE_DIET
+		{ ARM64_REG_SP, 0 }, { ARM64_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BLR, ARM64_INS_BLR,
+#ifndef CAPSTONE_DIET
+		{ ARM64_REG_SP, 0 }, { ARM64_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BR, ARM64_INS_BR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 1, 1
+#endif
+	},
+	{
+		AArch64_BRK, ARM64_INS_BRK,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BSLv16i8, ARM64_INS_BSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_BSLv8i8, ARM64_INS_BSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
@@ -1271,1111 +872,859 @@
 #endif
 	},
 	{
-		AArch64_Bimm, ARM64_INS_B,
+		AArch64_CBNZW, ARM64_INS_CBNZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_CBNZw, ARM64_INS_CBNZ,
+		AArch64_CBNZX, ARM64_INS_CBNZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_CBNZx, ARM64_INS_CBNZ,
+		AArch64_CBZW, ARM64_INS_CBZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_CBZw, ARM64_INS_CBZ,
+		AArch64_CBZX, ARM64_INS_CBZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_CBZx, ARM64_INS_CBZ,
+		AArch64_CCMNWi, ARM64_INS_CCMN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMNwi, ARM64_INS_CCMN,
+		AArch64_CCMNWr, ARM64_INS_CCMN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMNww, ARM64_INS_CCMN,
+		AArch64_CCMNXi, ARM64_INS_CCMN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMNxi, ARM64_INS_CCMN,
+		AArch64_CCMNXr, ARM64_INS_CCMN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMNxx, ARM64_INS_CCMN,
+		AArch64_CCMPWi, ARM64_INS_CCMP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMPwi, ARM64_INS_CCMP,
+		AArch64_CCMPWr, ARM64_INS_CCMP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMPww, ARM64_INS_CCMP,
+		AArch64_CCMPXi, ARM64_INS_CCMP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMPxi, ARM64_INS_CCMP,
+		AArch64_CCMPXr, ARM64_INS_CCMP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CCMPxx, ARM64_INS_CCMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLREXi, ARM64_INS_CLREX,
+		AArch64_CLREX, ARM64_INS_CLREX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLS16b, ARM64_INS_CLS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLS2s, ARM64_INS_CLS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLS4h, ARM64_INS_CLS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLS4s, ARM64_INS_CLS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLS8b, ARM64_INS_CLS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLS8h, ARM64_INS_CLS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CLSww, ARM64_INS_CLS,
+		AArch64_CLSWr, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLSxx, ARM64_INS_CLS,
+		AArch64_CLSXr, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZ16b, ARM64_INS_CLZ,
+		AArch64_CLSv16i8, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZ2s, ARM64_INS_CLZ,
+		AArch64_CLSv2i32, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZ4h, ARM64_INS_CLZ,
+		AArch64_CLSv4i16, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZ4s, ARM64_INS_CLZ,
+		AArch64_CLSv4i32, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZ8b, ARM64_INS_CLZ,
+		AArch64_CLSv8i16, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZ8h, ARM64_INS_CLZ,
+		AArch64_CLSv8i8, ARM64_INS_CLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZww, ARM64_INS_CLZ,
+		AArch64_CLZWr, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CLZxx, ARM64_INS_CLZ,
+		AArch64_CLZXr, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQddd, ARM64_INS_CMEQ,
+		AArch64_CLZv16i8, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQddi, ARM64_INS_CMEQ,
+		AArch64_CLZv2i32, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_16B, ARM64_INS_CMEQ,
+		AArch64_CLZv4i16, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_2D, ARM64_INS_CMEQ,
+		AArch64_CLZv4i32, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_2S, ARM64_INS_CMEQ,
+		AArch64_CLZv8i16, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_4H, ARM64_INS_CMEQ,
+		AArch64_CLZv8i8, ARM64_INS_CLZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_4S, ARM64_INS_CMEQ,
+		AArch64_CMEQv16i8, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_8B, ARM64_INS_CMEQ,
+		AArch64_CMEQv16i8rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvi_8H, ARM64_INS_CMEQ,
+		AArch64_CMEQv1i64, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_16B, ARM64_INS_CMEQ,
+		AArch64_CMEQv1i64rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_2D, ARM64_INS_CMEQ,
+		AArch64_CMEQv2i32, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_2S, ARM64_INS_CMEQ,
+		AArch64_CMEQv2i32rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_4H, ARM64_INS_CMEQ,
+		AArch64_CMEQv2i64, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_4S, ARM64_INS_CMEQ,
+		AArch64_CMEQv2i64rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_8B, ARM64_INS_CMEQ,
+		AArch64_CMEQv4i16, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMEQvvv_8H, ARM64_INS_CMEQ,
+		AArch64_CMEQv4i16rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEddd, ARM64_INS_CMGE,
+		AArch64_CMEQv4i32, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEddi, ARM64_INS_CMGE,
+		AArch64_CMEQv4i32rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_16B, ARM64_INS_CMGE,
+		AArch64_CMEQv8i16, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_2D, ARM64_INS_CMGE,
+		AArch64_CMEQv8i16rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_2S, ARM64_INS_CMGE,
+		AArch64_CMEQv8i8, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_4H, ARM64_INS_CMGE,
+		AArch64_CMEQv8i8rz, ARM64_INS_CMEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_4S, ARM64_INS_CMGE,
+		AArch64_CMGEv16i8, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_8B, ARM64_INS_CMGE,
+		AArch64_CMGEv16i8rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvi_8H, ARM64_INS_CMGE,
+		AArch64_CMGEv1i64, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_16B, ARM64_INS_CMGE,
+		AArch64_CMGEv1i64rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_2D, ARM64_INS_CMGE,
+		AArch64_CMGEv2i32, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_2S, ARM64_INS_CMGE,
+		AArch64_CMGEv2i32rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_4H, ARM64_INS_CMGE,
+		AArch64_CMGEv2i64, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_4S, ARM64_INS_CMGE,
+		AArch64_CMGEv2i64rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_8B, ARM64_INS_CMGE,
+		AArch64_CMGEv4i16, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGEvvv_8H, ARM64_INS_CMGE,
+		AArch64_CMGEv4i16rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTddd, ARM64_INS_CMGT,
+		AArch64_CMGEv4i32, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTddi, ARM64_INS_CMGT,
+		AArch64_CMGEv4i32rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_16B, ARM64_INS_CMGT,
+		AArch64_CMGEv8i16, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_2D, ARM64_INS_CMGT,
+		AArch64_CMGEv8i16rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_2S, ARM64_INS_CMGT,
+		AArch64_CMGEv8i8, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_4H, ARM64_INS_CMGT,
+		AArch64_CMGEv8i8rz, ARM64_INS_CMGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_4S, ARM64_INS_CMGT,
+		AArch64_CMGTv16i8, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_8B, ARM64_INS_CMGT,
+		AArch64_CMGTv16i8rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvi_8H, ARM64_INS_CMGT,
+		AArch64_CMGTv1i64, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_16B, ARM64_INS_CMGT,
+		AArch64_CMGTv1i64rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_2D, ARM64_INS_CMGT,
+		AArch64_CMGTv2i32, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_2S, ARM64_INS_CMGT,
+		AArch64_CMGTv2i32rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_4H, ARM64_INS_CMGT,
+		AArch64_CMGTv2i64, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_4S, ARM64_INS_CMGT,
+		AArch64_CMGTv2i64rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_8B, ARM64_INS_CMGT,
+		AArch64_CMGTv4i16, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMGTvvv_8H, ARM64_INS_CMGT,
+		AArch64_CMGTv4i16rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIddd, ARM64_INS_CMHI,
+		AArch64_CMGTv4i32, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_16B, ARM64_INS_CMHI,
+		AArch64_CMGTv4i32rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_2D, ARM64_INS_CMHI,
+		AArch64_CMGTv8i16, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_2S, ARM64_INS_CMHI,
+		AArch64_CMGTv8i16rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_4H, ARM64_INS_CMHI,
+		AArch64_CMGTv8i8, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_4S, ARM64_INS_CMHI,
+		AArch64_CMGTv8i8rz, ARM64_INS_CMGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_8B, ARM64_INS_CMHI,
+		AArch64_CMHIv16i8, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHIvvv_8H, ARM64_INS_CMHI,
+		AArch64_CMHIv1i64, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSddd, ARM64_INS_CMHS,
+		AArch64_CMHIv2i32, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_16B, ARM64_INS_CMHS,
+		AArch64_CMHIv2i64, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_2D, ARM64_INS_CMHS,
+		AArch64_CMHIv4i16, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_2S, ARM64_INS_CMHS,
+		AArch64_CMHIv4i32, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_4H, ARM64_INS_CMHS,
+		AArch64_CMHIv8i16, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_4S, ARM64_INS_CMHS,
+		AArch64_CMHIv8i8, ARM64_INS_CMHI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_8B, ARM64_INS_CMHS,
+		AArch64_CMHSv16i8, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMHSvvv_8H, ARM64_INS_CMHS,
+		AArch64_CMHSv1i64, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEddi, ARM64_INS_CMLE,
+		AArch64_CMHSv2i32, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_16B, ARM64_INS_CMLE,
+		AArch64_CMHSv2i64, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_2D, ARM64_INS_CMLE,
+		AArch64_CMHSv4i16, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_2S, ARM64_INS_CMLE,
+		AArch64_CMHSv4i32, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_4H, ARM64_INS_CMLE,
+		AArch64_CMHSv8i16, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_4S, ARM64_INS_CMLE,
+		AArch64_CMHSv8i8, ARM64_INS_CMHS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_8B, ARM64_INS_CMLE,
+		AArch64_CMLEv16i8rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLEvvi_8H, ARM64_INS_CMLE,
+		AArch64_CMLEv1i64rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTddi, ARM64_INS_CMLT,
+		AArch64_CMLEv2i32rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_16B, ARM64_INS_CMLT,
+		AArch64_CMLEv2i64rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_2D, ARM64_INS_CMLT,
+		AArch64_CMLEv4i16rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_2S, ARM64_INS_CMLT,
+		AArch64_CMLEv4i32rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_4H, ARM64_INS_CMLT,
+		AArch64_CMLEv8i16rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_4S, ARM64_INS_CMLT,
+		AArch64_CMLEv8i8rz, ARM64_INS_CMLE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_8B, ARM64_INS_CMLT,
+		AArch64_CMLTv16i8rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMLTvvi_8H, ARM64_INS_CMLT,
+		AArch64_CMLTv1i64rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_asr, ARM64_INS_CMN,
+		AArch64_CMLTv2i32rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_lsl, ARM64_INS_CMN,
+		AArch64_CMLTv2i64rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_lsr, ARM64_INS_CMN,
+		AArch64_CMLTv4i16rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_sxtb, ARM64_INS_CMN,
+		AArch64_CMLTv4i32rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_sxth, ARM64_INS_CMN,
+		AArch64_CMLTv8i16rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_sxtw, ARM64_INS_CMN,
+		AArch64_CMLTv8i8rz, ARM64_INS_CMLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_sxtx, ARM64_INS_CMN,
+		AArch64_CMTSTv16i8, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_uxtb, ARM64_INS_CMN,
+		AArch64_CMTSTv1i64, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_uxth, ARM64_INS_CMN,
+		AArch64_CMTSTv2i32, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_uxtw, ARM64_INS_CMN,
+		AArch64_CMTSTv2i64, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMNww_uxtx, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxw_sxtb, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxw_sxth, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxw_sxtw, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxw_uxtb, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxw_uxth, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxw_uxtw, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxx_asr, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxx_lsl, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxx_lsr, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxx_sxtx, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMNxx_uxtx, ARM64_INS_CMN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_asr, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_lsl, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_lsr, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_sxtb, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_sxth, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_sxtw, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_sxtx, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_uxtb, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_uxth, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_uxtw, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPww_uxtx, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxw_sxtb, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxw_sxth, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxw_sxtw, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxw_uxtb, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxw_uxth, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxw_uxtw, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxx_asr, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxx_lsl, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxx_lsr, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxx_sxtx, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMPxx_uxtx, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_CMTSTddd, ARM64_INS_CMTST,
+		AArch64_CMTSTv4i16, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_16B, ARM64_INS_CMTST,
+		AArch64_CMTSTv4i32, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_2D, ARM64_INS_CMTST,
+		AArch64_CMTSTv8i16, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_2S, ARM64_INS_CMTST,
+		AArch64_CMTSTv8i8, ARM64_INS_CMTST,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_4H, ARM64_INS_CMTST,
+		AArch64_CNTv16i8, ARM64_INS_CNT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_4S, ARM64_INS_CMTST,
+		AArch64_CNTv8i8, ARM64_INS_CNT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_8B, ARM64_INS_CMTST,
+		AArch64_CPYi16, ARM64_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CMTSTvvv_8H, ARM64_INS_CMTST,
+		AArch64_CPYi32, ARM64_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CNT16b, ARM64_INS_CNT,
+		AArch64_CPYi64, ARM64_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CNT8b, ARM64_INS_CNT,
+		AArch64_CPYi8, ARM64_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32B_www, ARM64_INS_CRC32B,
+		AArch64_CRC32Brr, ARM64_INS_CRC32B,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32CB_www, ARM64_INS_CRC32CB,
+		AArch64_CRC32CBrr, ARM64_INS_CRC32CB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32CH_www, ARM64_INS_CRC32CH,
+		AArch64_CRC32CHrr, ARM64_INS_CRC32CH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32CW_www, ARM64_INS_CRC32CW,
+		AArch64_CRC32CWrr, ARM64_INS_CRC32CW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32CX_wwx, ARM64_INS_CRC32CX,
+		AArch64_CRC32CXrr, ARM64_INS_CRC32CX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32H_www, ARM64_INS_CRC32H,
+		AArch64_CRC32Hrr, ARM64_INS_CRC32H,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32W_www, ARM64_INS_CRC32W,
+		AArch64_CRC32Wrr, ARM64_INS_CRC32W,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CRC32X_wwx, ARM64_INS_CRC32X,
+		AArch64_CRC32Xrr, ARM64_INS_CRC32X,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRC, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSELwwwc, ARM64_INS_CSEL,
+		AArch64_CSELWr, ARM64_INS_CSEL,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSELxxxc, ARM64_INS_CSEL,
+		AArch64_CSELXr, ARM64_INS_CSEL,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSINCwwwc, ARM64_INS_CSINC,
+		AArch64_CSINCWr, ARM64_INS_CSINC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSINCxxxc, ARM64_INS_CSINC,
+		AArch64_CSINCXr, ARM64_INS_CSINC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSINVwwwc, ARM64_INS_CSINV,
+		AArch64_CSINVWr, ARM64_INS_CSINV,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSINVxxxc, ARM64_INS_CSINV,
+		AArch64_CSINVXr, ARM64_INS_CSINV,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSNEGwwwc, ARM64_INS_CSNEG,
+		AArch64_CSNEGWr, ARM64_INS_CSNEG,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_CSNEGxxxc, ARM64_INS_CSNEG,
+		AArch64_CSNEGXr, ARM64_INS_CSNEG,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DCPS1i, ARM64_INS_DCPS1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
-#endif
-	},
-	{
-		AArch64_DCPS2i, ARM64_INS_DCPS2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
-#endif
-	},
-	{
-		AArch64_DCPS3i, ARM64_INS_DCPS3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
-#endif
-	},
-	{
-		AArch64_DCix, ARM64_INS_DC,
+		AArch64_DCPS1, ARM64_INS_DCPS1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DMBi, ARM64_INS_DMB,
+		AArch64_DCPS2, ARM64_INS_DCPS2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_DCPS3, ARM64_INS_DCPS3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_DMB, ARM64_INS_DMB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
@@ -2383,14123 +1732,12533 @@
 	{
 		AArch64_DRPS, ARM64_INS_DRPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 1
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DSBi, ARM64_INS_DSB,
+		AArch64_DSB, ARM64_INS_DSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP16b, ARM64_INS_DUP,
+		AArch64_DUPv16i8gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP2d, ARM64_INS_DUP,
+		AArch64_DUPv16i8lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP2s, ARM64_INS_DUP,
+		AArch64_DUPv2i32gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP4h, ARM64_INS_DUP,
+		AArch64_DUPv2i32lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP4s, ARM64_INS_DUP,
+		AArch64_DUPv2i64gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP8b, ARM64_INS_DUP,
+		AArch64_DUPv2i64lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUP8h, ARM64_INS_DUP,
+		AArch64_DUPv4i16gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT16b, ARM64_INS_DUP,
+		AArch64_DUPv4i16lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT2d, ARM64_INS_DUP,
+		AArch64_DUPv4i32gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT2s, ARM64_INS_DUP,
+		AArch64_DUPv4i32lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT4h, ARM64_INS_DUP,
+		AArch64_DUPv8i16gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT4s, ARM64_INS_DUP,
+		AArch64_DUPv8i16lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT8b, ARM64_INS_DUP,
+		AArch64_DUPv8i8gpr, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPELT8h, ARM64_INS_DUP,
+		AArch64_DUPv8i8lane, ARM64_INS_DUP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_DUPbv_B, ARM64_INS_DUP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_DUPdv_D, ARM64_INS_DUP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_DUPhv_H, ARM64_INS_DUP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_DUPsv_S, ARM64_INS_DUP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EONwww_asr, ARM64_INS_EON,
+		AArch64_EONWrs, ARM64_INS_EON,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EONwww_lsl, ARM64_INS_EON,
+		AArch64_EONXrs, ARM64_INS_EON,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EONwww_lsr, ARM64_INS_EON,
+		AArch64_EORWri, ARM64_INS_EOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EONwww_ror, ARM64_INS_EON,
+		AArch64_EORWrs, ARM64_INS_EOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EONxxx_asr, ARM64_INS_EON,
+		AArch64_EORXri, ARM64_INS_EOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EONxxx_lsl, ARM64_INS_EON,
+		AArch64_EORXrs, ARM64_INS_EOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EONxxx_lsr, ARM64_INS_EON,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EONxxx_ror, ARM64_INS_EON,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORvvv_16B, ARM64_INS_EOR,
+		AArch64_EORv16i8, ARM64_INS_EOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EORvvv_8B, ARM64_INS_EOR,
+		AArch64_EORv8i8, ARM64_INS_EOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EORwwi, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORwww_asr, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORwww_lsl, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORwww_lsr, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORwww_ror, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORxxi, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORxxx_asr, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORxxx_lsl, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORxxx_lsr, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_EORxxx_ror, ARM64_INS_EOR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		AArch64_ERET, ARM64_INS_ERET,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 1
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EXTRwwwi, ARM64_INS_EXTR,
+		AArch64_EXTRWrri, ARM64_INS_EXTR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EXTRxxxi, ARM64_INS_EXTR,
+		AArch64_EXTRXrri, ARM64_INS_EXTR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EXTvvvi_16b, ARM64_INS_EXT,
+		AArch64_EXTv16i8, ARM64_INS_EXT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_EXTvvvi_8b, ARM64_INS_EXT,
+		AArch64_EXTv8i8, ARM64_INS_EXT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABDddd, ARM64_INS_FABD,
+		AArch64_FABD32, ARM64_INS_FABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABDsss, ARM64_INS_FABD,
+		AArch64_FABD64, ARM64_INS_FABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABDvvv_2D, ARM64_INS_FABD,
+		AArch64_FABDv2f32, ARM64_INS_FABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABDvvv_2S, ARM64_INS_FABD,
+		AArch64_FABDv2f64, ARM64_INS_FABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABDvvv_4S, ARM64_INS_FABD,
+		AArch64_FABDv4f32, ARM64_INS_FABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABS2d, ARM64_INS_FABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FABS2s, ARM64_INS_FABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FABS4s, ARM64_INS_FABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FABSdd, ARM64_INS_FABS,
+		AArch64_FABSDr, ARM64_INS_FABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FABSss, ARM64_INS_FABS,
+		AArch64_FABSSr, ARM64_INS_FABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGEddd, ARM64_INS_FACGE,
+		AArch64_FABSv2f32, ARM64_INS_FABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGEsss, ARM64_INS_FACGE,
+		AArch64_FABSv2f64, ARM64_INS_FABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGEvvv_2D, ARM64_INS_FACGE,
+		AArch64_FABSv4f32, ARM64_INS_FABS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGEvvv_2S, ARM64_INS_FACGE,
+		AArch64_FACGE32, ARM64_INS_FACGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGEvvv_4S, ARM64_INS_FACGE,
+		AArch64_FACGE64, ARM64_INS_FACGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGTddd, ARM64_INS_FACGT,
+		AArch64_FACGEv2f32, ARM64_INS_FACGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGTsss, ARM64_INS_FACGT,
+		AArch64_FACGEv2f64, ARM64_INS_FACGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGTvvv_2D, ARM64_INS_FACGT,
+		AArch64_FACGEv4f32, ARM64_INS_FACGE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGTvvv_2S, ARM64_INS_FACGT,
+		AArch64_FACGT32, ARM64_INS_FACGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FACGTvvv_4S, ARM64_INS_FACGT,
+		AArch64_FACGT64, ARM64_INS_FACGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDP_2D, ARM64_INS_FADDP,
+		AArch64_FACGTv2f32, ARM64_INS_FACGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDP_2S, ARM64_INS_FADDP,
+		AArch64_FACGTv2f64, ARM64_INS_FACGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDP_4S, ARM64_INS_FADDP,
+		AArch64_FACGTv4f32, ARM64_INS_FACGT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDPvv_D_2D, ARM64_INS_FADDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FADDPvv_S_2S, ARM64_INS_FADDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FADDddd, ARM64_INS_FADD,
+		AArch64_FADDDrr, ARM64_INS_FADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDsss, ARM64_INS_FADD,
+		AArch64_FADDPv2f32, ARM64_INS_FADDP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FADDPv2f64, ARM64_INS_FADDP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FADDPv2i32p, ARM64_INS_FADDP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FADDPv2i64p, ARM64_INS_FADDP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FADDPv4f32, ARM64_INS_FADDP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FADDSrr, ARM64_INS_FADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDvvv_2D, ARM64_INS_FADD,
+		AArch64_FADDv2f32, ARM64_INS_FADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDvvv_2S, ARM64_INS_FADD,
+		AArch64_FADDv2f64, ARM64_INS_FADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FADDvvv_4S, ARM64_INS_FADD,
+		AArch64_FADDv4f32, ARM64_INS_FADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCCMPEdd, ARM64_INS_FCCMPE,
+		AArch64_FCCMPDrr, ARM64_INS_FCCMP,
+#ifndef CAPSTONE_DIET
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCCMPEDrr, ARM64_INS_FCCMPE,
+#ifndef CAPSTONE_DIET
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCCMPESrr, ARM64_INS_FCCMPE,
+#ifndef CAPSTONE_DIET
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCCMPSrr, ARM64_INS_FCCMP,
+#ifndef CAPSTONE_DIET
+		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQ32, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQ64, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv1i32rz, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv1i64rz, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv2f32, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv2f64, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv2i32rz, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv2i64rz, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv4f32, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMEQv4i32rz, ARM64_INS_FCMEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGE32, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGE64, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv1i32rz, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv1i64rz, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv2f32, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv2f64, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv2i32rz, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv2i64rz, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv4f32, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGEv4i32rz, ARM64_INS_FCMGE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGT32, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGT64, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv1i32rz, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv1i64rz, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv2f32, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv2f64, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv2i32rz, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv2i64rz, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv4f32, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMGTv4i32rz, ARM64_INS_FCMGT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLEv1i32rz, ARM64_INS_FCMLE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLEv1i64rz, ARM64_INS_FCMLE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLEv2i32rz, ARM64_INS_FCMLE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLEv2i64rz, ARM64_INS_FCMLE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLEv4i32rz, ARM64_INS_FCMLE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLTv1i32rz, ARM64_INS_FCMLT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLTv1i64rz, ARM64_INS_FCMLT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLTv2i32rz, ARM64_INS_FCMLT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLTv2i64rz, ARM64_INS_FCMLT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMLTv4i32rz, ARM64_INS_FCMLT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_FCMPDri, ARM64_INS_FCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCCMPEss, ARM64_INS_FCCMPE,
+		AArch64_FCMPDrr, ARM64_INS_FCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCCMPdd, ARM64_INS_FCCMP,
+		AArch64_FCMPEDri, ARM64_INS_FCMPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCCMPss, ARM64_INS_FCCMP,
+		AArch64_FCMPEDrr, ARM64_INS_FCMPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCMEQZddi, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQZssi, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQddd, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQsss, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQvvi_2D, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQvvi_2S, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQvvi_4S, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQvvv_2D, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQvvv_2S, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMEQvvv_4S, ARM64_INS_FCMEQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEZddi, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEZssi, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEddd, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEsss, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEvvi_2D, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEvvi_2S, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEvvi_4S, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEvvv_2D, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEvvv_2S, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGEvvv_4S, ARM64_INS_FCMGE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTZddi, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTZssi, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTddd, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTsss, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTvvi_2D, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTvvi_2S, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTvvi_4S, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTvvv_2D, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTvvv_2S, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMGTvvv_4S, ARM64_INS_FCMGT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLEZddi, ARM64_INS_FCMLE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLEZssi, ARM64_INS_FCMLE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLEvvi_2D, ARM64_INS_FCMLE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLEvvi_2S, ARM64_INS_FCMLE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLEvvi_4S, ARM64_INS_FCMLE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLTZddi, ARM64_INS_FCMLT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLTZssi, ARM64_INS_FCMLT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLTvvi_2D, ARM64_INS_FCMLT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLTvvi_2S, ARM64_INS_FCMLT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMLTvvi_4S, ARM64_INS_FCMLT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMPdd_quiet, ARM64_INS_FCMP,
+		AArch64_FCMPESri, ARM64_INS_FCMPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCMPdd_sig, ARM64_INS_FCMPE,
+		AArch64_FCMPESrr, ARM64_INS_FCMPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCMPdi_quiet, ARM64_INS_FCMP,
+		AArch64_FCMPSri, ARM64_INS_FCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCMPdi_sig, ARM64_INS_FCMPE,
+		AArch64_FCMPSrr, ARM64_INS_FCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCMPsi_quiet, ARM64_INS_FCMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMPsi_sig, ARM64_INS_FCMPE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMPss_quiet, ARM64_INS_FCMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCMPss_sig, ARM64_INS_FCMPE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCSELdddc, ARM64_INS_FCSEL,
+		AArch64_FCSELDrrr, ARM64_INS_FCSEL,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCSELsssc, ARM64_INS_FCSEL,
+		AArch64_FCSELSrrr, ARM64_INS_FCSEL,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAS_2d, ARM64_INS_FCVTAS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTAS_2s, ARM64_INS_FCVTAS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTAS_4s, ARM64_INS_FCVTAS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTASdd, ARM64_INS_FCVTAS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTASss, ARM64_INS_FCVTAS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTASwd, ARM64_INS_FCVTAS,
+		AArch64_FCVTASUWDr, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTASws, ARM64_INS_FCVTAS,
+		AArch64_FCVTASUWSr, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTASxd, ARM64_INS_FCVTAS,
+		AArch64_FCVTASUXDr, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTASxs, ARM64_INS_FCVTAS,
+		AArch64_FCVTASUXSr, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAU_2d, ARM64_INS_FCVTAU,
+		AArch64_FCVTASv1i32, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAU_2s, ARM64_INS_FCVTAU,
+		AArch64_FCVTASv1i64, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAU_4s, ARM64_INS_FCVTAU,
+		AArch64_FCVTASv2f32, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAUdd, ARM64_INS_FCVTAU,
+		AArch64_FCVTASv2f64, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAUss, ARM64_INS_FCVTAU,
+		AArch64_FCVTASv4f32, ARM64_INS_FCVTAS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAUwd, ARM64_INS_FCVTAU,
+		AArch64_FCVTAUUWDr, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAUws, ARM64_INS_FCVTAU,
+		AArch64_FCVTAUUWSr, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAUxd, ARM64_INS_FCVTAU,
+		AArch64_FCVTAUUXDr, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTAUxs, ARM64_INS_FCVTAU,
+		AArch64_FCVTAUUXSr, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTL2s2d, ARM64_INS_FCVTL,
+		AArch64_FCVTAUv1i32, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTL4h4s, ARM64_INS_FCVTL,
+		AArch64_FCVTAUv1i64, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTL4s2d, ARM64_INS_FCVTL2,
+		AArch64_FCVTAUv2f32, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTL8h4s, ARM64_INS_FCVTL2,
+		AArch64_FCVTAUv2f64, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMS_2d, ARM64_INS_FCVTMS,
+		AArch64_FCVTAUv4f32, ARM64_INS_FCVTAU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMS_2s, ARM64_INS_FCVTMS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTMS_4s, ARM64_INS_FCVTMS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTMSdd, ARM64_INS_FCVTMS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTMSss, ARM64_INS_FCVTMS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTMSwd, ARM64_INS_FCVTMS,
+		AArch64_FCVTDHr, ARM64_INS_FCVT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMSws, ARM64_INS_FCVTMS,
+		AArch64_FCVTDSr, ARM64_INS_FCVT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMSxd, ARM64_INS_FCVTMS,
+		AArch64_FCVTHDr, ARM64_INS_FCVT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMSxs, ARM64_INS_FCVTMS,
+		AArch64_FCVTHSr, ARM64_INS_FCVT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMU_2d, ARM64_INS_FCVTMU,
+		AArch64_FCVTLv2i32, ARM64_INS_FCVTL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMU_2s, ARM64_INS_FCVTMU,
+		AArch64_FCVTLv4i16, ARM64_INS_FCVTL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMU_4s, ARM64_INS_FCVTMU,
+		AArch64_FCVTLv4i32, ARM64_INS_FCVTL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMUdd, ARM64_INS_FCVTMU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTMUss, ARM64_INS_FCVTMU,
+		AArch64_FCVTLv8i16, ARM64_INS_FCVTL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMUwd, ARM64_INS_FCVTMU,
+		AArch64_FCVTMSUWDr, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMUws, ARM64_INS_FCVTMU,
+		AArch64_FCVTMSUWSr, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMUxd, ARM64_INS_FCVTMU,
+		AArch64_FCVTMSUXDr, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTMUxs, ARM64_INS_FCVTMU,
+		AArch64_FCVTMSUXSr, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTN2d2s, ARM64_INS_FCVTN,
+		AArch64_FCVTMSv1i32, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTN2d4s, ARM64_INS_FCVTN2,
+		AArch64_FCVTMSv1i64, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTN4s4h, ARM64_INS_FCVTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTN4s8h, ARM64_INS_FCVTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTNS_2d, ARM64_INS_FCVTNS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTNS_2s, ARM64_INS_FCVTNS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTNS_4s, ARM64_INS_FCVTNS,
+		AArch64_FCVTMSv2f32, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNSdd, ARM64_INS_FCVTNS,
+		AArch64_FCVTMSv2f64, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNSss, ARM64_INS_FCVTNS,
+		AArch64_FCVTMSv4f32, ARM64_INS_FCVTMS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNSwd, ARM64_INS_FCVTNS,
+		AArch64_FCVTMUUWDr, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNSws, ARM64_INS_FCVTNS,
+		AArch64_FCVTMUUWSr, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNSxd, ARM64_INS_FCVTNS,
+		AArch64_FCVTMUUXDr, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNSxs, ARM64_INS_FCVTNS,
+		AArch64_FCVTMUUXSr, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNU_2d, ARM64_INS_FCVTNU,
+		AArch64_FCVTMUv1i32, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNU_2s, ARM64_INS_FCVTNU,
+		AArch64_FCVTMUv1i64, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNU_4s, ARM64_INS_FCVTNU,
+		AArch64_FCVTMUv2f32, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNUdd, ARM64_INS_FCVTNU,
+		AArch64_FCVTMUv2f64, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNUss, ARM64_INS_FCVTNU,
+		AArch64_FCVTMUv4f32, ARM64_INS_FCVTMU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNUwd, ARM64_INS_FCVTNU,
+		AArch64_FCVTNSUWDr, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNUws, ARM64_INS_FCVTNU,
+		AArch64_FCVTNSUWSr, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNUxd, ARM64_INS_FCVTNU,
+		AArch64_FCVTNSUXDr, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTNUxs, ARM64_INS_FCVTNU,
+		AArch64_FCVTNSUXSr, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPS_2d, ARM64_INS_FCVTPS,
+		AArch64_FCVTNSv1i32, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPS_2s, ARM64_INS_FCVTPS,
+		AArch64_FCVTNSv1i64, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPS_4s, ARM64_INS_FCVTPS,
+		AArch64_FCVTNSv2f32, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPSdd, ARM64_INS_FCVTPS,
+		AArch64_FCVTNSv2f64, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPSss, ARM64_INS_FCVTPS,
+		AArch64_FCVTNSv4f32, ARM64_INS_FCVTNS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPSwd, ARM64_INS_FCVTPS,
+		AArch64_FCVTNUUWDr, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPSws, ARM64_INS_FCVTPS,
+		AArch64_FCVTNUUWSr, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPSxd, ARM64_INS_FCVTPS,
+		AArch64_FCVTNUUXDr, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPSxs, ARM64_INS_FCVTPS,
+		AArch64_FCVTNUUXSr, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPU_2d, ARM64_INS_FCVTPU,
+		AArch64_FCVTNUv1i32, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPU_2s, ARM64_INS_FCVTPU,
+		AArch64_FCVTNUv1i64, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPU_4s, ARM64_INS_FCVTPU,
+		AArch64_FCVTNUv2f32, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPUdd, ARM64_INS_FCVTPU,
+		AArch64_FCVTNUv2f64, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPUss, ARM64_INS_FCVTPU,
+		AArch64_FCVTNUv4f32, ARM64_INS_FCVTNU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPUwd, ARM64_INS_FCVTPU,
+		AArch64_FCVTNv2i32, ARM64_INS_FCVTN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPUws, ARM64_INS_FCVTPU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTPUxd, ARM64_INS_FCVTPU,
+		AArch64_FCVTNv4i16, ARM64_INS_FCVTN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTPUxs, ARM64_INS_FCVTPU,
+		AArch64_FCVTNv4i32, ARM64_INS_FCVTN2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTXN, ARM64_INS_FCVTXN,
+		AArch64_FCVTNv8i16, ARM64_INS_FCVTN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTXN2d2s, ARM64_INS_FCVTXN,
+		AArch64_FCVTPSUWDr, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTXN2d4s, ARM64_INS_FCVTXN2,
+		AArch64_FCVTPSUWSr, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZS_2d, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSUXDr, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZS_2s, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSUXSr, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZS_4s, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSv1i32, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZS_Nddi, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSv1i64, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZS_Nssi, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSv2f32, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSdd, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSv2f64, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSss, ARM64_INS_FCVTZS,
+		AArch64_FCVTPSv4f32, ARM64_INS_FCVTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSwd, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUUWDr, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSwdi, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUUWSr, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSws, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUUXDr, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSwsi, ARM64_INS_FCVTZS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FCVTZSxd, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUUXSr, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSxdi, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUv1i32, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSxs, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUv1i64, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZSxsi, ARM64_INS_FCVTZS,
+		AArch64_FCVTPUv2f32, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZU_2d, ARM64_INS_FCVTZU,
+		AArch64_FCVTPUv2f64, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZU_2s, ARM64_INS_FCVTZU,
+		AArch64_FCVTPUv4f32, ARM64_INS_FCVTPU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZU_4s, ARM64_INS_FCVTZU,
+		AArch64_FCVTSDr, ARM64_INS_FCVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZU_Nddi, ARM64_INS_FCVTZU,
+		AArch64_FCVTSHr, ARM64_INS_FCVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZU_Nssi, ARM64_INS_FCVTZU,
+		AArch64_FCVTXNv1i64, ARM64_INS_FCVTXN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUdd, ARM64_INS_FCVTZU,
+		AArch64_FCVTXNv2f32, ARM64_INS_FCVTXN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUss, ARM64_INS_FCVTZU,
+		AArch64_FCVTXNv4f32, ARM64_INS_FCVTXN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUwd, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSSWDri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUwdi, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSSWSri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUws, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSSXDri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUwsi, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSSXSri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUxd, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSUWDr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUxdi, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSUWSr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUxs, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSUXDr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTZUxsi, ARM64_INS_FCVTZU,
+		AArch64_FCVTZSUXSr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTdh, ARM64_INS_FCVT,
+		AArch64_FCVTZS_IntSWDri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTds, ARM64_INS_FCVT,
+		AArch64_FCVTZS_IntSWSri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVThd, ARM64_INS_FCVT,
+		AArch64_FCVTZS_IntSXDri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVThs, ARM64_INS_FCVT,
+		AArch64_FCVTZS_IntSXSri, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTsd, ARM64_INS_FCVT,
+		AArch64_FCVTZS_IntUWDr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FCVTsh, ARM64_INS_FCVT,
+		AArch64_FCVTZS_IntUWSr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FDIVddd, ARM64_INS_FDIV,
+		AArch64_FCVTZS_IntUXDr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FDIVsss, ARM64_INS_FDIV,
+		AArch64_FCVTZS_IntUXSr, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FDIVvvv_2D, ARM64_INS_FDIV,
+		AArch64_FCVTZS_Intv2f32, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FDIVvvv_2S, ARM64_INS_FDIV,
+		AArch64_FCVTZS_Intv2f64, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FDIVvvv_4S, ARM64_INS_FDIV,
+		AArch64_FCVTZS_Intv4f32, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMADDdddd, ARM64_INS_FMADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMADDssss, ARM64_INS_FMADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXNMPvv_D_2D, ARM64_INS_FMAXNMP,
+		AArch64_FCVTZSd, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMPvv_S_2S, ARM64_INS_FMAXNMP,
+		AArch64_FCVTZSs, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMPvvv_2D, ARM64_INS_FMAXNMP,
+		AArch64_FCVTZSv1i32, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMPvvv_2S, ARM64_INS_FMAXNMP,
+		AArch64_FCVTZSv1i64, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMPvvv_4S, ARM64_INS_FMAXNMP,
+		AArch64_FCVTZSv2f32, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMV_1s4s, ARM64_INS_FMAXNMV,
+		AArch64_FCVTZSv2f64, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMddd, ARM64_INS_FMAXNM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXNMsss, ARM64_INS_FMAXNM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXNMvvv_2D, ARM64_INS_FMAXNM,
+		AArch64_FCVTZSv2i32_shift, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMvvv_2S, ARM64_INS_FMAXNM,
+		AArch64_FCVTZSv2i64_shift, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXNMvvv_4S, ARM64_INS_FMAXNM,
+		AArch64_FCVTZSv4f32, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXPvv_D_2D, ARM64_INS_FMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXPvv_S_2S, ARM64_INS_FMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXPvvv_2D, ARM64_INS_FMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXPvvv_2S, ARM64_INS_FMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXPvvv_4S, ARM64_INS_FMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMAXV_1s4s, ARM64_INS_FMAXV,
+		AArch64_FCVTZSv4i32_shift, ARM64_INS_FCVTZS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXddd, ARM64_INS_FMAX,
+		AArch64_FCVTZUSWDri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXsss, ARM64_INS_FMAX,
+		AArch64_FCVTZUSWSri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXvvv_2D, ARM64_INS_FMAX,
+		AArch64_FCVTZUSXDri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXvvv_2S, ARM64_INS_FMAX,
+		AArch64_FCVTZUSXSri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMAXvvv_4S, ARM64_INS_FMAX,
+		AArch64_FCVTZUUWDr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMPvv_D_2D, ARM64_INS_FMINNMP,
+		AArch64_FCVTZUUWSr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMPvv_S_2S, ARM64_INS_FMINNMP,
+		AArch64_FCVTZUUXDr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMPvvv_2D, ARM64_INS_FMINNMP,
+		AArch64_FCVTZUUXSr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMPvvv_2S, ARM64_INS_FMINNMP,
+		AArch64_FCVTZU_IntSWDri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMPvvv_4S, ARM64_INS_FMINNMP,
+		AArch64_FCVTZU_IntSWSri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMV_1s4s, ARM64_INS_FMINNMV,
+		AArch64_FCVTZU_IntSXDri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMddd, ARM64_INS_FMINNM,
+		AArch64_FCVTZU_IntSXSri, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMsss, ARM64_INS_FMINNM,
+		AArch64_FCVTZU_IntUWDr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMvvv_2D, ARM64_INS_FMINNM,
+		AArch64_FCVTZU_IntUWSr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINNMvvv_2S, ARM64_INS_FMINNM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINNMvvv_4S, ARM64_INS_FMINNM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINPvv_D_2D, ARM64_INS_FMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINPvv_S_2S, ARM64_INS_FMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINPvvv_2D, ARM64_INS_FMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINPvvv_2S, ARM64_INS_FMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINPvvv_4S, ARM64_INS_FMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINV_1s4s, ARM64_INS_FMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINddd, ARM64_INS_FMIN,
+		AArch64_FCVTZU_IntUXDr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINsss, ARM64_INS_FMIN,
+		AArch64_FCVTZU_IntUXSr, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINvvv_2D, ARM64_INS_FMIN,
+		AArch64_FCVTZU_Intv2f32, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMINvvv_2S, ARM64_INS_FMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMINvvv_4S, ARM64_INS_FMIN,
+		AArch64_FCVTZU_Intv2f64, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAddv_2D, ARM64_INS_FMLA,
+		AArch64_FCVTZU_Intv4f32, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAssv_4S, ARM64_INS_FMLA,
+		AArch64_FCVTZUd, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAvve_2d2d, ARM64_INS_FMLA,
+		AArch64_FCVTZUs, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAvve_2s4s, ARM64_INS_FMLA,
+		AArch64_FCVTZUv1i32, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAvve_4s4s, ARM64_INS_FMLA,
+		AArch64_FCVTZUv1i64, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAvvv_2D, ARM64_INS_FMLA,
+		AArch64_FCVTZUv2f32, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAvvv_2S, ARM64_INS_FMLA,
+		AArch64_FCVTZUv2f64, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLAvvv_4S, ARM64_INS_FMLA,
+		AArch64_FCVTZUv2i32_shift, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSddv_2D, ARM64_INS_FMLS,
+		AArch64_FCVTZUv2i64_shift, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSssv_4S, ARM64_INS_FMLS,
+		AArch64_FCVTZUv4f32, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSvve_2d2d, ARM64_INS_FMLS,
+		AArch64_FCVTZUv4i32_shift, ARM64_INS_FCVTZU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSvve_2s4s, ARM64_INS_FMLS,
+		AArch64_FDIVDrr, ARM64_INS_FDIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSvve_4s4s, ARM64_INS_FMLS,
+		AArch64_FDIVSrr, ARM64_INS_FDIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSvvv_2D, ARM64_INS_FMLS,
+		AArch64_FDIVv2f32, ARM64_INS_FDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSvvv_2S, ARM64_INS_FMLS,
+		AArch64_FDIVv2f64, ARM64_INS_FDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMLSvvv_4S, ARM64_INS_FMLS,
+		AArch64_FDIVv4f32, ARM64_INS_FDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVdd, ARM64_INS_FMOV,
+		AArch64_FMADDDrrr, ARM64_INS_FMADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVdi, ARM64_INS_FMOV,
+		AArch64_FMADDSrrr, ARM64_INS_FMADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVdx, ARM64_INS_FMOV,
+		AArch64_FMAXDrr, ARM64_INS_FMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVsi, ARM64_INS_FMOV,
+		AArch64_FMAXNMDrr, ARM64_INS_FMAXNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVss, ARM64_INS_FMOV,
+		AArch64_FMAXNMPv2f32, ARM64_INS_FMAXNMP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVsw, ARM64_INS_FMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMOVvi_2D, ARM64_INS_FMOV,
+		AArch64_FMAXNMPv2f64, ARM64_INS_FMAXNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVvi_2S, ARM64_INS_FMOV,
+		AArch64_FMAXNMPv2i32p, ARM64_INS_FMAXNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVvi_4S, ARM64_INS_FMOV,
+		AArch64_FMAXNMPv2i64p, ARM64_INS_FMAXNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVvx, ARM64_INS_FMOV,
+		AArch64_FMAXNMPv4f32, ARM64_INS_FMAXNMP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVws, ARM64_INS_FMOV,
+		AArch64_FMAXNMSrr, ARM64_INS_FMAXNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMOVxd, ARM64_INS_FMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMOVxv, ARM64_INS_FMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMSUBdddd, ARM64_INS_FMSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMSUBssss, ARM64_INS_FMSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMULXddd, ARM64_INS_FMULX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMULXddv_2D, ARM64_INS_FMULX,
+		AArch64_FMAXNMVv4i32v, ARM64_INS_FMAXNMV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXsss, ARM64_INS_FMULX,
+		AArch64_FMAXNMv2f32, ARM64_INS_FMAXNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXssv_4S, ARM64_INS_FMULX,
+		AArch64_FMAXNMv2f64, ARM64_INS_FMAXNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXve_2d2d, ARM64_INS_FMULX,
+		AArch64_FMAXNMv4f32, ARM64_INS_FMAXNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXve_2s4s, ARM64_INS_FMULX,
+		AArch64_FMAXPv2f32, ARM64_INS_FMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXve_4s4s, ARM64_INS_FMULX,
+		AArch64_FMAXPv2f64, ARM64_INS_FMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXvvv_2D, ARM64_INS_FMULX,
+		AArch64_FMAXPv2i32p, ARM64_INS_FMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXvvv_2S, ARM64_INS_FMULX,
+		AArch64_FMAXPv2i64p, ARM64_INS_FMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULXvvv_4S, ARM64_INS_FMULX,
+		AArch64_FMAXPv4f32, ARM64_INS_FMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULddd, ARM64_INS_FMUL,
+		AArch64_FMAXSrr, ARM64_INS_FMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULddv_2D, ARM64_INS_FMUL,
+		AArch64_FMAXVv4i32v, ARM64_INS_FMAXV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULsss, ARM64_INS_FMUL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FMULssv_4S, ARM64_INS_FMUL,
+		AArch64_FMAXv2f32, ARM64_INS_FMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULve_2d2d, ARM64_INS_FMUL,
+		AArch64_FMAXv2f64, ARM64_INS_FMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULve_2s4s, ARM64_INS_FMUL,
+		AArch64_FMAXv4f32, ARM64_INS_FMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULve_4s4s, ARM64_INS_FMUL,
+		AArch64_FMINDrr, ARM64_INS_FMIN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULvvv_2D, ARM64_INS_FMUL,
+		AArch64_FMINNMDrr, ARM64_INS_FMINNM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULvvv_2S, ARM64_INS_FMUL,
+		AArch64_FMINNMPv2f32, ARM64_INS_FMINNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FMULvvv_4S, ARM64_INS_FMUL,
+		AArch64_FMINNMPv2f64, ARM64_INS_FMINNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FNEG2d, ARM64_INS_FNEG,
+		AArch64_FMINNMPv2i32p, ARM64_INS_FMINNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FNEG2s, ARM64_INS_FNEG,
+		AArch64_FMINNMPv2i64p, ARM64_INS_FMINNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FNEG4s, ARM64_INS_FNEG,
+		AArch64_FMINNMPv4f32, ARM64_INS_FMINNMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FNEGdd, ARM64_INS_FNEG,
+		AArch64_FMINNMSrr, ARM64_INS_FMINNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FNEGss, ARM64_INS_FNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FNMADDdddd, ARM64_INS_FNMADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FNMADDssss, ARM64_INS_FNMADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FNMSUBdddd, ARM64_INS_FNMSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FNMSUBssss, ARM64_INS_FNMSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FNMULddd, ARM64_INS_FNMUL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FNMULsss, ARM64_INS_FNMUL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRECPE_2d, ARM64_INS_FRECPE,
+		AArch64_FMINNMVv4i32v, ARM64_INS_FMINNMV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPE_2s, ARM64_INS_FRECPE,
+		AArch64_FMINNMv2f32, ARM64_INS_FMINNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPE_4s, ARM64_INS_FRECPE,
+		AArch64_FMINNMv2f64, ARM64_INS_FMINNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPEdd, ARM64_INS_FRECPE,
+		AArch64_FMINNMv4f32, ARM64_INS_FMINNM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPEss, ARM64_INS_FRECPE,
+		AArch64_FMINPv2f32, ARM64_INS_FMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPSddd, ARM64_INS_FRECPS,
+		AArch64_FMINPv2f64, ARM64_INS_FMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPSsss, ARM64_INS_FRECPS,
+		AArch64_FMINPv2i32p, ARM64_INS_FMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPSvvv_2D, ARM64_INS_FRECPS,
+		AArch64_FMINPv2i64p, ARM64_INS_FMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPSvvv_2S, ARM64_INS_FRECPS,
+		AArch64_FMINPv4f32, ARM64_INS_FMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPSvvv_4S, ARM64_INS_FRECPS,
+		AArch64_FMINSrr, ARM64_INS_FMIN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPXdd, ARM64_INS_FRECPX,
+		AArch64_FMINVv4i32v, ARM64_INS_FMINV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRECPXss, ARM64_INS_FRECPX,
+		AArch64_FMINv2f32, ARM64_INS_FMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTA_2d, ARM64_INS_FRINTA,
+		AArch64_FMINv2f64, ARM64_INS_FMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTA_2s, ARM64_INS_FRINTA,
+		AArch64_FMINv4f32, ARM64_INS_FMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTA_4s, ARM64_INS_FRINTA,
+		AArch64_FMLAv1i32_indexed, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTAdd, ARM64_INS_FRINTA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTAss, ARM64_INS_FRINTA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTI_2d, ARM64_INS_FRINTI,
+		AArch64_FMLAv1i64_indexed, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTI_2s, ARM64_INS_FRINTI,
+		AArch64_FMLAv2f32, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTI_4s, ARM64_INS_FRINTI,
+		AArch64_FMLAv2f64, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTIdd, ARM64_INS_FRINTI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTIss, ARM64_INS_FRINTI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTM_2d, ARM64_INS_FRINTM,
+		AArch64_FMLAv2i32_indexed, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTM_2s, ARM64_INS_FRINTM,
+		AArch64_FMLAv2i64_indexed, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTM_4s, ARM64_INS_FRINTM,
+		AArch64_FMLAv4f32, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTMdd, ARM64_INS_FRINTM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTMss, ARM64_INS_FRINTM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTN_2d, ARM64_INS_FRINTN,
+		AArch64_FMLAv4i32_indexed, ARM64_INS_FMLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTN_2s, ARM64_INS_FRINTN,
+		AArch64_FMLSv1i32_indexed, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTN_4s, ARM64_INS_FRINTN,
+		AArch64_FMLSv1i64_indexed, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTNdd, ARM64_INS_FRINTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTNss, ARM64_INS_FRINTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTP_2d, ARM64_INS_FRINTP,
+		AArch64_FMLSv2f32, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTP_2s, ARM64_INS_FRINTP,
+		AArch64_FMLSv2f64, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTP_4s, ARM64_INS_FRINTP,
+		AArch64_FMLSv2i32_indexed, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTPdd, ARM64_INS_FRINTP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTPss, ARM64_INS_FRINTP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRINTX_2d, ARM64_INS_FRINTX,
+		AArch64_FMLSv2i64_indexed, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTX_2s, ARM64_INS_FRINTX,
+		AArch64_FMLSv4f32, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTX_4s, ARM64_INS_FRINTX,
+		AArch64_FMLSv4i32_indexed, ARM64_INS_FMLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTXdd, ARM64_INS_FRINTX,
+		AArch64_FMOVDXHighr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTXss, ARM64_INS_FRINTX,
+		AArch64_FMOVDXr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTZ_2d, ARM64_INS_FRINTZ,
+		AArch64_FMOVDi, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTZ_2s, ARM64_INS_FRINTZ,
+		AArch64_FMOVDr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTZ_4s, ARM64_INS_FRINTZ,
+		AArch64_FMOVSWr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTZdd, ARM64_INS_FRINTZ,
+		AArch64_FMOVSi, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRINTZss, ARM64_INS_FRINTZ,
+		AArch64_FMOVSr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRSQRTE_2d, ARM64_INS_FRSQRTE,
+		AArch64_FMOVWSr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRSQRTE_2s, ARM64_INS_FRSQRTE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTE_4s, ARM64_INS_FRSQRTE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTEdd, ARM64_INS_FRSQRTE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTEss, ARM64_INS_FRSQRTE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTSddd, ARM64_INS_FRSQRTS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTSsss, ARM64_INS_FRSQRTS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTSvvv_2D, ARM64_INS_FRSQRTS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_FRSQRTSvvv_2S, ARM64_INS_FRSQRTS,
+		AArch64_FMOVXDHighr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FRSQRTSvvv_4S, ARM64_INS_FRSQRTS,
+		AArch64_FMOVXDr, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSQRT_2d, ARM64_INS_FSQRT,
+		AArch64_FMOVv2f32_ns, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSQRT_2s, ARM64_INS_FSQRT,
+		AArch64_FMOVv2f64_ns, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSQRT_4s, ARM64_INS_FSQRT,
+		AArch64_FMOVv4f32_ns, ARM64_INS_FMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSQRTdd, ARM64_INS_FSQRT,
+		AArch64_FMSUBDrrr, ARM64_INS_FMSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSQRTss, ARM64_INS_FSQRT,
+		AArch64_FMSUBSrrr, ARM64_INS_FMSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSUBddd, ARM64_INS_FSUB,
+		AArch64_FMULDrr, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSUBsss, ARM64_INS_FSUB,
+		AArch64_FMULSrr, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSUBvvv_2D, ARM64_INS_FSUB,
+		AArch64_FMULX32, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSUBvvv_2S, ARM64_INS_FSUB,
+		AArch64_FMULX64, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_FSUBvvv_4S, ARM64_INS_FSUB,
+		AArch64_FMULXv1i32_indexed, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_HINTi, ARM64_INS_HINT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_HLTi, ARM64_INS_HLT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
-#endif
-	},
-	{
-		AArch64_HVCi, ARM64_INS_HVC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
-#endif
-	},
-	{
-		AArch64_ICi, ARM64_INS_IC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ICix, ARM64_INS_IC,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_INSELb, ARM64_INS_INS,
+		AArch64_FMULXv1i64_indexed, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INSELd, ARM64_INS_INS,
+		AArch64_FMULXv2f32, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INSELh, ARM64_INS_INS,
+		AArch64_FMULXv2f64, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INSELs, ARM64_INS_INS,
+		AArch64_FMULXv2i32_indexed, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INSbw, ARM64_INS_INS,
+		AArch64_FMULXv2i64_indexed, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INSdx, ARM64_INS_INS,
+		AArch64_FMULXv4f32, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INShw, ARM64_INS_INS,
+		AArch64_FMULXv4i32_indexed, ARM64_INS_FMULX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_INSsw, ARM64_INS_INS,
+		AArch64_FMULv1i32_indexed, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ISBi, ARM64_INS_ISB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LD1LN_B, ARM64_INS_LD1,
+		AArch64_FMULv1i64_indexed, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_D, ARM64_INS_LD1,
+		AArch64_FMULv2f32, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_H, ARM64_INS_LD1,
+		AArch64_FMULv2f64, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_S, ARM64_INS_LD1,
+		AArch64_FMULv2i32_indexed, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_B_fixed, ARM64_INS_LD1,
+		AArch64_FMULv2i64_indexed, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_B_register, ARM64_INS_LD1,
+		AArch64_FMULv4f32, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_D_fixed, ARM64_INS_LD1,
+		AArch64_FMULv4i32_indexed, ARM64_INS_FMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_D_register, ARM64_INS_LD1,
+		AArch64_FNEGDr, ARM64_INS_FNEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_H_fixed, ARM64_INS_LD1,
+		AArch64_FNEGSr, ARM64_INS_FNEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_H_register, ARM64_INS_LD1,
+		AArch64_FNEGv2f32, ARM64_INS_FNEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_S_fixed, ARM64_INS_LD1,
+		AArch64_FNEGv2f64, ARM64_INS_FNEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1LN_WB_S_register, ARM64_INS_LD1,
+		AArch64_FNEGv4f32, ARM64_INS_FNEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_16B, ARM64_INS_LD1R,
+		AArch64_FNMADDDrrr, ARM64_INS_FNMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_1D, ARM64_INS_LD1R,
+		AArch64_FNMADDSrrr, ARM64_INS_FNMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_2D, ARM64_INS_LD1R,
+		AArch64_FNMSUBDrrr, ARM64_INS_FNMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_2S, ARM64_INS_LD1R,
+		AArch64_FNMSUBSrrr, ARM64_INS_FNMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_4H, ARM64_INS_LD1R,
+		AArch64_FNMULDrr, ARM64_INS_FNMUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_4S, ARM64_INS_LD1R,
+		AArch64_FNMULSrr, ARM64_INS_FNMUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_8B, ARM64_INS_LD1R,
+		AArch64_FRECPEv1i32, ARM64_INS_FRECPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_8H, ARM64_INS_LD1R,
+		AArch64_FRECPEv1i64, ARM64_INS_FRECPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_16B_fixed, ARM64_INS_LD1R,
+		AArch64_FRECPEv2f32, ARM64_INS_FRECPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_16B_register, ARM64_INS_LD1R,
+		AArch64_FRECPEv2f64, ARM64_INS_FRECPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_1D_fixed, ARM64_INS_LD1R,
+		AArch64_FRECPEv4f32, ARM64_INS_FRECPE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_1D_register, ARM64_INS_LD1R,
+		AArch64_FRECPS32, ARM64_INS_FRECPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_2D_fixed, ARM64_INS_LD1R,
+		AArch64_FRECPS64, ARM64_INS_FRECPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_2D_register, ARM64_INS_LD1R,
+		AArch64_FRECPSv2f32, ARM64_INS_FRECPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_2S_fixed, ARM64_INS_LD1R,
+		AArch64_FRECPSv2f64, ARM64_INS_FRECPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_2S_register, ARM64_INS_LD1R,
+		AArch64_FRECPSv4f32, ARM64_INS_FRECPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_4H_fixed, ARM64_INS_LD1R,
+		AArch64_FRECPXv1i32, ARM64_INS_FRECPX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_4H_register, ARM64_INS_LD1R,
+		AArch64_FRECPXv1i64, ARM64_INS_FRECPX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_4S_fixed, ARM64_INS_LD1R,
+		AArch64_FRINTADr, ARM64_INS_FRINTA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_4S_register, ARM64_INS_LD1R,
+		AArch64_FRINTASr, ARM64_INS_FRINTA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_8B_fixed, ARM64_INS_LD1R,
+		AArch64_FRINTAv2f32, ARM64_INS_FRINTA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_8B_register, ARM64_INS_LD1R,
+		AArch64_FRINTAv2f64, ARM64_INS_FRINTA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_8H_fixed, ARM64_INS_LD1R,
+		AArch64_FRINTAv4f32, ARM64_INS_FRINTA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1R_WB_8H_register, ARM64_INS_LD1R,
+		AArch64_FRINTIDr, ARM64_INS_FRINTI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_16B_fixed, ARM64_INS_LD1,
+		AArch64_FRINTISr, ARM64_INS_FRINTI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_16B_register, ARM64_INS_LD1,
+		AArch64_FRINTIv2f32, ARM64_INS_FRINTI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_1D_fixed, ARM64_INS_LD1,
+		AArch64_FRINTIv2f64, ARM64_INS_FRINTI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_1D_register, ARM64_INS_LD1,
+		AArch64_FRINTIv4f32, ARM64_INS_FRINTI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_2D_fixed, ARM64_INS_LD1,
+		AArch64_FRINTMDr, ARM64_INS_FRINTM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_2D_register, ARM64_INS_LD1,
+		AArch64_FRINTMSr, ARM64_INS_FRINTM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_2S_fixed, ARM64_INS_LD1,
+		AArch64_FRINTMv2f32, ARM64_INS_FRINTM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_2S_register, ARM64_INS_LD1,
+		AArch64_FRINTMv2f64, ARM64_INS_FRINTM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_4H_fixed, ARM64_INS_LD1,
+		AArch64_FRINTMv4f32, ARM64_INS_FRINTM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_4H_register, ARM64_INS_LD1,
+		AArch64_FRINTNDr, ARM64_INS_FRINTN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_4S_fixed, ARM64_INS_LD1,
+		AArch64_FRINTNSr, ARM64_INS_FRINTN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_4S_register, ARM64_INS_LD1,
+		AArch64_FRINTNv2f32, ARM64_INS_FRINTN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_8B_fixed, ARM64_INS_LD1,
+		AArch64_FRINTNv2f64, ARM64_INS_FRINTN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_8B_register, ARM64_INS_LD1,
+		AArch64_FRINTNv4f32, ARM64_INS_FRINTN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_8H_fixed, ARM64_INS_LD1,
+		AArch64_FRINTPDr, ARM64_INS_FRINTP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1WB_8H_register, ARM64_INS_LD1,
+		AArch64_FRINTPSr, ARM64_INS_FRINTP,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_16B, ARM64_INS_LD1,
+		AArch64_FRINTPv2f32, ARM64_INS_FRINTP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_1D, ARM64_INS_LD1,
+		AArch64_FRINTPv2f64, ARM64_INS_FRINTP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_2D, ARM64_INS_LD1,
+		AArch64_FRINTPv4f32, ARM64_INS_FRINTP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_2S, ARM64_INS_LD1,
+		AArch64_FRINTXDr, ARM64_INS_FRINTX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_4H, ARM64_INS_LD1,
+		AArch64_FRINTXSr, ARM64_INS_FRINTX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_4S, ARM64_INS_LD1,
+		AArch64_FRINTXv2f32, ARM64_INS_FRINTX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_8B, ARM64_INS_LD1,
+		AArch64_FRINTXv2f64, ARM64_INS_FRINTX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1_8H, ARM64_INS_LD1,
+		AArch64_FRINTXv4f32, ARM64_INS_FRINTX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_16B_fixed, ARM64_INS_LD1,
+		AArch64_FRINTZDr, ARM64_INS_FRINTZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_16B_register, ARM64_INS_LD1,
+		AArch64_FRINTZSr, ARM64_INS_FRINTZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_1D_fixed, ARM64_INS_LD1,
+		AArch64_FRINTZv2f32, ARM64_INS_FRINTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_1D_register, ARM64_INS_LD1,
+		AArch64_FRINTZv2f64, ARM64_INS_FRINTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_2D_fixed, ARM64_INS_LD1,
+		AArch64_FRINTZv4f32, ARM64_INS_FRINTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_2D_register, ARM64_INS_LD1,
+		AArch64_FRSQRTEv1i32, ARM64_INS_FRSQRTE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_2S_fixed, ARM64_INS_LD1,
+		AArch64_FRSQRTEv1i64, ARM64_INS_FRSQRTE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_2S_register, ARM64_INS_LD1,
+		AArch64_FRSQRTEv2f32, ARM64_INS_FRSQRTE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_4H_fixed, ARM64_INS_LD1,
+		AArch64_FRSQRTEv2f64, ARM64_INS_FRSQRTE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_4H_register, ARM64_INS_LD1,
+		AArch64_FRSQRTEv4f32, ARM64_INS_FRSQRTE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_4S_fixed, ARM64_INS_LD1,
+		AArch64_FRSQRTS32, ARM64_INS_FRSQRTS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_4S_register, ARM64_INS_LD1,
+		AArch64_FRSQRTS64, ARM64_INS_FRSQRTS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_8B_fixed, ARM64_INS_LD1,
+		AArch64_FRSQRTSv2f32, ARM64_INS_FRSQRTS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_8B_register, ARM64_INS_LD1,
+		AArch64_FRSQRTSv2f64, ARM64_INS_FRSQRTS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_8H_fixed, ARM64_INS_LD1,
+		AArch64_FRSQRTSv4f32, ARM64_INS_FRSQRTS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2WB_8H_register, ARM64_INS_LD1,
+		AArch64_FSQRTDr, ARM64_INS_FSQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_16B, ARM64_INS_LD1,
+		AArch64_FSQRTSr, ARM64_INS_FSQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_1D, ARM64_INS_LD1,
+		AArch64_FSQRTv2f32, ARM64_INS_FSQRT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_2D, ARM64_INS_LD1,
+		AArch64_FSQRTv2f64, ARM64_INS_FSQRT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_2S, ARM64_INS_LD1,
+		AArch64_FSQRTv4f32, ARM64_INS_FSQRT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_4H, ARM64_INS_LD1,
+		AArch64_FSUBDrr, ARM64_INS_FSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_4S, ARM64_INS_LD1,
+		AArch64_FSUBSrr, ARM64_INS_FSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_8B, ARM64_INS_LD1,
+		AArch64_FSUBv2f32, ARM64_INS_FSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x2_8H, ARM64_INS_LD1,
+		AArch64_FSUBv2f64, ARM64_INS_FSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_16B_fixed, ARM64_INS_LD1,
+		AArch64_FSUBv4f32, ARM64_INS_FSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_16B_register, ARM64_INS_LD1,
+		AArch64_HINT, ARM64_INS_HINT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_1D_fixed, ARM64_INS_LD1,
+		AArch64_HLT, ARM64_INS_HLT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_1D_register, ARM64_INS_LD1,
+		AArch64_HVC, ARM64_INS_HVC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_2D_fixed, ARM64_INS_LD1,
+		AArch64_INSvi16gpr, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_2D_register, ARM64_INS_LD1,
+		AArch64_INSvi16lane, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_2S_fixed, ARM64_INS_LD1,
+		AArch64_INSvi32gpr, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_2S_register, ARM64_INS_LD1,
+		AArch64_INSvi32lane, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_4H_fixed, ARM64_INS_LD1,
+		AArch64_INSvi64gpr, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_4H_register, ARM64_INS_LD1,
+		AArch64_INSvi64lane, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_4S_fixed, ARM64_INS_LD1,
+		AArch64_INSvi8gpr, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_4S_register, ARM64_INS_LD1,
+		AArch64_INSvi8lane, ARM64_INS_INS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_8B_fixed, ARM64_INS_LD1,
+		AArch64_ISB, ARM64_INS_ISB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_8B_register, ARM64_INS_LD1,
+		AArch64_LD1Fourv16b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_8H_fixed, ARM64_INS_LD1,
+		AArch64_LD1Fourv16b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3WB_8H_register, ARM64_INS_LD1,
+		AArch64_LD1Fourv1d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_16B, ARM64_INS_LD1,
+		AArch64_LD1Fourv1d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_1D, ARM64_INS_LD1,
+		AArch64_LD1Fourv2d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_2D, ARM64_INS_LD1,
+		AArch64_LD1Fourv2d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_2S, ARM64_INS_LD1,
+		AArch64_LD1Fourv2s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_4H, ARM64_INS_LD1,
+		AArch64_LD1Fourv2s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_4S, ARM64_INS_LD1,
+		AArch64_LD1Fourv4h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_8B, ARM64_INS_LD1,
+		AArch64_LD1Fourv4h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x3_8H, ARM64_INS_LD1,
+		AArch64_LD1Fourv4s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_16B_fixed, ARM64_INS_LD1,
+		AArch64_LD1Fourv4s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_16B_register, ARM64_INS_LD1,
+		AArch64_LD1Fourv8b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_1D_fixed, ARM64_INS_LD1,
+		AArch64_LD1Fourv8b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_1D_register, ARM64_INS_LD1,
+		AArch64_LD1Fourv8h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_2D_fixed, ARM64_INS_LD1,
+		AArch64_LD1Fourv8h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_2D_register, ARM64_INS_LD1,
+		AArch64_LD1Onev16b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_2S_fixed, ARM64_INS_LD1,
+		AArch64_LD1Onev16b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_2S_register, ARM64_INS_LD1,
+		AArch64_LD1Onev1d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_4H_fixed, ARM64_INS_LD1,
+		AArch64_LD1Onev1d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_4H_register, ARM64_INS_LD1,
+		AArch64_LD1Onev2d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_4S_fixed, ARM64_INS_LD1,
+		AArch64_LD1Onev2d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_4S_register, ARM64_INS_LD1,
+		AArch64_LD1Onev2s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_8B_fixed, ARM64_INS_LD1,
+		AArch64_LD1Onev2s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_8B_register, ARM64_INS_LD1,
+		AArch64_LD1Onev4h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_8H_fixed, ARM64_INS_LD1,
+		AArch64_LD1Onev4h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4WB_8H_register, ARM64_INS_LD1,
+		AArch64_LD1Onev4s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_16B, ARM64_INS_LD1,
+		AArch64_LD1Onev4s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_1D, ARM64_INS_LD1,
+		AArch64_LD1Onev8b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_2D, ARM64_INS_LD1,
+		AArch64_LD1Onev8b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_2S, ARM64_INS_LD1,
+		AArch64_LD1Onev8h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_4H, ARM64_INS_LD1,
+		AArch64_LD1Onev8h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_4S, ARM64_INS_LD1,
+		AArch64_LD1Rv16b, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_8B, ARM64_INS_LD1,
+		AArch64_LD1Rv16b_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD1x4_8H, ARM64_INS_LD1,
+		AArch64_LD1Rv1d, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_B, ARM64_INS_LD2,
+		AArch64_LD1Rv1d_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_D, ARM64_INS_LD2,
+		AArch64_LD1Rv2d, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_H, ARM64_INS_LD2,
+		AArch64_LD1Rv2d_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_S, ARM64_INS_LD2,
+		AArch64_LD1Rv2s, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_B_fixed, ARM64_INS_LD2,
+		AArch64_LD1Rv2s_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_B_register, ARM64_INS_LD2,
+		AArch64_LD1Rv4h, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_D_fixed, ARM64_INS_LD2,
+		AArch64_LD1Rv4h_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_D_register, ARM64_INS_LD2,
+		AArch64_LD1Rv4s, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_H_fixed, ARM64_INS_LD2,
+		AArch64_LD1Rv4s_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_H_register, ARM64_INS_LD2,
+		AArch64_LD1Rv8b, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_S_fixed, ARM64_INS_LD2,
+		AArch64_LD1Rv8b_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2LN_WB_S_register, ARM64_INS_LD2,
+		AArch64_LD1Rv8h, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_16B, ARM64_INS_LD2R,
+		AArch64_LD1Rv8h_POST, ARM64_INS_LD1R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_1D, ARM64_INS_LD2R,
+		AArch64_LD1Threev16b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_2D, ARM64_INS_LD2R,
+		AArch64_LD1Threev16b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_2S, ARM64_INS_LD2R,
+		AArch64_LD1Threev1d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_4H, ARM64_INS_LD2R,
+		AArch64_LD1Threev1d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_4S, ARM64_INS_LD2R,
+		AArch64_LD1Threev2d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_8B, ARM64_INS_LD2R,
+		AArch64_LD1Threev2d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_8H, ARM64_INS_LD2R,
+		AArch64_LD1Threev2s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_16B_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Threev2s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_16B_register, ARM64_INS_LD2R,
+		AArch64_LD1Threev4h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_1D_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Threev4h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_1D_register, ARM64_INS_LD2R,
+		AArch64_LD1Threev4s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_2D_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Threev4s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_2D_register, ARM64_INS_LD2R,
+		AArch64_LD1Threev8b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_2S_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Threev8b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_2S_register, ARM64_INS_LD2R,
+		AArch64_LD1Threev8h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_4H_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Threev8h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_4H_register, ARM64_INS_LD2R,
+		AArch64_LD1Twov16b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_4S_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Twov16b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_4S_register, ARM64_INS_LD2R,
+		AArch64_LD1Twov1d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_8B_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Twov1d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_8B_register, ARM64_INS_LD2R,
+		AArch64_LD1Twov2d, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_8H_fixed, ARM64_INS_LD2R,
+		AArch64_LD1Twov2d_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2R_WB_8H_register, ARM64_INS_LD2R,
+		AArch64_LD1Twov2s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_16B_fixed, ARM64_INS_LD2,
+		AArch64_LD1Twov2s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_16B_register, ARM64_INS_LD2,
+		AArch64_LD1Twov4h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_2D_fixed, ARM64_INS_LD2,
+		AArch64_LD1Twov4h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_2D_register, ARM64_INS_LD2,
+		AArch64_LD1Twov4s, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_2S_fixed, ARM64_INS_LD2,
+		AArch64_LD1Twov4s_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_2S_register, ARM64_INS_LD2,
+		AArch64_LD1Twov8b, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_4H_fixed, ARM64_INS_LD2,
+		AArch64_LD1Twov8b_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_4H_register, ARM64_INS_LD2,
+		AArch64_LD1Twov8h, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_4S_fixed, ARM64_INS_LD2,
+		AArch64_LD1Twov8h_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_4S_register, ARM64_INS_LD2,
+		AArch64_LD1i16, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_8B_fixed, ARM64_INS_LD2,
+		AArch64_LD1i16_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_8B_register, ARM64_INS_LD2,
+		AArch64_LD1i32, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_8H_fixed, ARM64_INS_LD2,
+		AArch64_LD1i32_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2WB_8H_register, ARM64_INS_LD2,
+		AArch64_LD1i64, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_16B, ARM64_INS_LD2,
+		AArch64_LD1i64_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_2D, ARM64_INS_LD2,
+		AArch64_LD1i8, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_2S, ARM64_INS_LD2,
+		AArch64_LD1i8_POST, ARM64_INS_LD1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_4H, ARM64_INS_LD2,
+		AArch64_LD2Rv16b, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_4S, ARM64_INS_LD2,
+		AArch64_LD2Rv16b_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_8B, ARM64_INS_LD2,
+		AArch64_LD2Rv1d, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD2_8H, ARM64_INS_LD2,
+		AArch64_LD2Rv1d_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_B, ARM64_INS_LD3,
+		AArch64_LD2Rv2d, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_D, ARM64_INS_LD3,
+		AArch64_LD2Rv2d_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_H, ARM64_INS_LD3,
+		AArch64_LD2Rv2s, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_S, ARM64_INS_LD3,
+		AArch64_LD2Rv2s_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_B_fixed, ARM64_INS_LD3,
+		AArch64_LD2Rv4h, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_B_register, ARM64_INS_LD3,
+		AArch64_LD2Rv4h_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_D_fixed, ARM64_INS_LD3,
+		AArch64_LD2Rv4s, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_D_register, ARM64_INS_LD3,
+		AArch64_LD2Rv4s_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_H_fixed, ARM64_INS_LD3,
+		AArch64_LD2Rv8b, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_H_register, ARM64_INS_LD3,
+		AArch64_LD2Rv8b_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_S_fixed, ARM64_INS_LD3,
+		AArch64_LD2Rv8h, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3LN_WB_S_register, ARM64_INS_LD3,
+		AArch64_LD2Rv8h_POST, ARM64_INS_LD2R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_16B, ARM64_INS_LD3R,
+		AArch64_LD2Twov16b, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_1D, ARM64_INS_LD3R,
+		AArch64_LD2Twov16b_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_2D, ARM64_INS_LD3R,
+		AArch64_LD2Twov2d, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_2S, ARM64_INS_LD3R,
+		AArch64_LD2Twov2d_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_4H, ARM64_INS_LD3R,
+		AArch64_LD2Twov2s, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_4S, ARM64_INS_LD3R,
+		AArch64_LD2Twov2s_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_8B, ARM64_INS_LD3R,
+		AArch64_LD2Twov4h, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_8H, ARM64_INS_LD3R,
+		AArch64_LD2Twov4h_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_16B_fixed, ARM64_INS_LD3R,
+		AArch64_LD2Twov4s, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_16B_register, ARM64_INS_LD3R,
+		AArch64_LD2Twov4s_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_1D_fixed, ARM64_INS_LD3R,
+		AArch64_LD2Twov8b, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_1D_register, ARM64_INS_LD3R,
+		AArch64_LD2Twov8b_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_2D_fixed, ARM64_INS_LD3R,
+		AArch64_LD2Twov8h, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_2D_register, ARM64_INS_LD3R,
+		AArch64_LD2Twov8h_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_2S_fixed, ARM64_INS_LD3R,
+		AArch64_LD2i16, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_2S_register, ARM64_INS_LD3R,
+		AArch64_LD2i16_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_4H_fixed, ARM64_INS_LD3R,
+		AArch64_LD2i32, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_4H_register, ARM64_INS_LD3R,
+		AArch64_LD2i32_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_4S_fixed, ARM64_INS_LD3R,
+		AArch64_LD2i64, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_4S_register, ARM64_INS_LD3R,
+		AArch64_LD2i64_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_8B_fixed, ARM64_INS_LD3R,
+		AArch64_LD2i8, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_8B_register, ARM64_INS_LD3R,
+		AArch64_LD2i8_POST, ARM64_INS_LD2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_8H_fixed, ARM64_INS_LD3R,
+		AArch64_LD3Rv16b, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3R_WB_8H_register, ARM64_INS_LD3R,
+		AArch64_LD3Rv16b_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_16B_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv1d, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_16B_register, ARM64_INS_LD3,
+		AArch64_LD3Rv1d_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_2D_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv2d, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_2D_register, ARM64_INS_LD3,
+		AArch64_LD3Rv2d_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_2S_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv2s, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_2S_register, ARM64_INS_LD3,
+		AArch64_LD3Rv2s_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_4H_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv4h, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_4H_register, ARM64_INS_LD3,
+		AArch64_LD3Rv4h_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_4S_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv4s, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_4S_register, ARM64_INS_LD3,
+		AArch64_LD3Rv4s_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_8B_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv8b, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_8B_register, ARM64_INS_LD3,
+		AArch64_LD3Rv8b_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_8H_fixed, ARM64_INS_LD3,
+		AArch64_LD3Rv8h, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3WB_8H_register, ARM64_INS_LD3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LD3_16B, ARM64_INS_LD3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LD3_2D, ARM64_INS_LD3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LD3_2S, ARM64_INS_LD3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LD3_4H, ARM64_INS_LD3,
+		AArch64_LD3Rv8h_POST, ARM64_INS_LD3R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3_4S, ARM64_INS_LD3,
+		AArch64_LD3Threev16b, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3_8B, ARM64_INS_LD3,
+		AArch64_LD3Threev16b_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD3_8H, ARM64_INS_LD3,
+		AArch64_LD3Threev2d, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_B, ARM64_INS_LD4,
+		AArch64_LD3Threev2d_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_D, ARM64_INS_LD4,
+		AArch64_LD3Threev2s, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_H, ARM64_INS_LD4,
+		AArch64_LD3Threev2s_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_S, ARM64_INS_LD4,
+		AArch64_LD3Threev4h, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_B_fixed, ARM64_INS_LD4,
+		AArch64_LD3Threev4h_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_B_register, ARM64_INS_LD4,
+		AArch64_LD3Threev4s, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_D_fixed, ARM64_INS_LD4,
+		AArch64_LD3Threev4s_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_D_register, ARM64_INS_LD4,
+		AArch64_LD3Threev8b, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_H_fixed, ARM64_INS_LD4,
+		AArch64_LD3Threev8b_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_H_register, ARM64_INS_LD4,
+		AArch64_LD3Threev8h, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_S_fixed, ARM64_INS_LD4,
+		AArch64_LD3Threev8h_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4LN_WB_S_register, ARM64_INS_LD4,
+		AArch64_LD3i16, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_16B, ARM64_INS_LD4R,
+		AArch64_LD3i16_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_1D, ARM64_INS_LD4R,
+		AArch64_LD3i32, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_2D, ARM64_INS_LD4R,
+		AArch64_LD3i32_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_2S, ARM64_INS_LD4R,
+		AArch64_LD3i64, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_4H, ARM64_INS_LD4R,
+		AArch64_LD3i64_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_4S, ARM64_INS_LD4R,
+		AArch64_LD3i8, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_8B, ARM64_INS_LD4R,
+		AArch64_LD3i8_POST, ARM64_INS_LD3,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_8H, ARM64_INS_LD4R,
+		AArch64_LD4Fourv16b, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_16B_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv16b_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_16B_register, ARM64_INS_LD4R,
+		AArch64_LD4Fourv2d, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_1D_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv2d_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_1D_register, ARM64_INS_LD4R,
+		AArch64_LD4Fourv2s, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_2D_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv2s_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_2D_register, ARM64_INS_LD4R,
+		AArch64_LD4Fourv4h, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_2S_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv4h_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_2S_register, ARM64_INS_LD4R,
+		AArch64_LD4Fourv4s, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_4H_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv4s_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_4H_register, ARM64_INS_LD4R,
+		AArch64_LD4Fourv8b, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_4S_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv8b_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_4S_register, ARM64_INS_LD4R,
+		AArch64_LD4Fourv8h, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_8B_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Fourv8h_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_8B_register, ARM64_INS_LD4R,
+		AArch64_LD4Rv16b, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_8H_fixed, ARM64_INS_LD4R,
+		AArch64_LD4Rv16b_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4R_WB_8H_register, ARM64_INS_LD4R,
+		AArch64_LD4Rv1d, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_16B_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv1d_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_16B_register, ARM64_INS_LD4,
+		AArch64_LD4Rv2d, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_2D_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv2d_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_2D_register, ARM64_INS_LD4,
+		AArch64_LD4Rv2s, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_2S_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv2s_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_2S_register, ARM64_INS_LD4,
+		AArch64_LD4Rv4h, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_4H_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv4h_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_4H_register, ARM64_INS_LD4,
+		AArch64_LD4Rv4s, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_4S_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv4s_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_4S_register, ARM64_INS_LD4,
+		AArch64_LD4Rv8b, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_8B_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv8b_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_8B_register, ARM64_INS_LD4,
+		AArch64_LD4Rv8h, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_8H_fixed, ARM64_INS_LD4,
+		AArch64_LD4Rv8h_POST, ARM64_INS_LD4R,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4WB_8H_register, ARM64_INS_LD4,
+		AArch64_LD4i16, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_16B, ARM64_INS_LD4,
+		AArch64_LD4i16_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_2D, ARM64_INS_LD4,
+		AArch64_LD4i32, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_2S, ARM64_INS_LD4,
+		AArch64_LD4i32_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_4H, ARM64_INS_LD4,
+		AArch64_LD4i64, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_4S, ARM64_INS_LD4,
+		AArch64_LD4i64_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_8B, ARM64_INS_LD4,
+		AArch64_LD4i8, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LD4_8H, ARM64_INS_LD4,
+		AArch64_LD4i8_POST, ARM64_INS_LD4,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAR_byte, ARM64_INS_LDARB,
+		AArch64_LDARB, ARM64_INS_LDARB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAR_dword, ARM64_INS_LDAR,
+		AArch64_LDARH, ARM64_INS_LDARH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAR_hword, ARM64_INS_LDARH,
+		AArch64_LDARW, ARM64_INS_LDAR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAR_word, ARM64_INS_LDAR,
+		AArch64_LDARX, ARM64_INS_LDAR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAXP_dword, ARM64_INS_LDAXP,
+		AArch64_LDAXPW, ARM64_INS_LDAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAXP_word, ARM64_INS_LDAXP,
+		AArch64_LDAXPX, ARM64_INS_LDAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAXR_byte, ARM64_INS_LDAXRB,
+		AArch64_LDAXRB, ARM64_INS_LDAXRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAXR_dword, ARM64_INS_LDAXR,
+		AArch64_LDAXRH, ARM64_INS_LDAXRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAXR_hword, ARM64_INS_LDAXRH,
+		AArch64_LDAXRW, ARM64_INS_LDAXR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDAXR_word, ARM64_INS_LDAXR,
+		AArch64_LDAXRX, ARM64_INS_LDAXR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDPSWx, ARM64_INS_LDPSW,
+		AArch64_LDNPDi, ARM64_INS_LDNP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDPSWx_PostInd, ARM64_INS_LDPSW,
+		AArch64_LDNPQi, ARM64_INS_LDNP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDPSWx_PreInd, ARM64_INS_LDPSW,
+		AArch64_LDNPSi, ARM64_INS_LDNP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBw, ARM64_INS_LDRSB,
+		AArch64_LDNPWi, ARM64_INS_LDNP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBw_PostInd, ARM64_INS_LDRSB,
+		AArch64_LDNPXi, ARM64_INS_LDNP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBw_PreInd, ARM64_INS_LDRSB,
+		AArch64_LDPDi, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBw_U, ARM64_INS_LDURSB,
+		AArch64_LDPDpost, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBw_Wm_RegOffset, ARM64_INS_LDRSB,
+		AArch64_LDPDpre, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBw_Xm_RegOffset, ARM64_INS_LDRSB,
+		AArch64_LDPQi, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBx, ARM64_INS_LDRSB,
+		AArch64_LDPQpost, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBx_PostInd, ARM64_INS_LDRSB,
+		AArch64_LDPQpre, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBx_PreInd, ARM64_INS_LDRSB,
+		AArch64_LDPSWi, ARM64_INS_LDPSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBx_U, ARM64_INS_LDURSB,
+		AArch64_LDPSWpost, ARM64_INS_LDPSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBx_Wm_RegOffset, ARM64_INS_LDRSB,
+		AArch64_LDPSWpre, ARM64_INS_LDPSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSBx_Xm_RegOffset, ARM64_INS_LDRSB,
+		AArch64_LDPSi, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHw, ARM64_INS_LDRSH,
+		AArch64_LDPSpost, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHw_PostInd, ARM64_INS_LDRSH,
+		AArch64_LDPSpre, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHw_PreInd, ARM64_INS_LDRSH,
+		AArch64_LDPWi, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHw_U, ARM64_INS_LDURSH,
+		AArch64_LDPWpost, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHw_Wm_RegOffset, ARM64_INS_LDRSH,
+		AArch64_LDPWpre, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHw_Xm_RegOffset, ARM64_INS_LDRSH,
+		AArch64_LDPXi, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHx, ARM64_INS_LDRSH,
+		AArch64_LDPXpost, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHx_PostInd, ARM64_INS_LDRSH,
+		AArch64_LDPXpre, ARM64_INS_LDP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHx_PreInd, ARM64_INS_LDRSH,
+		AArch64_LDRBBpost, ARM64_INS_LDRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHx_U, ARM64_INS_LDURSH,
+		AArch64_LDRBBpre, ARM64_INS_LDRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHx_Wm_RegOffset, ARM64_INS_LDRSH,
+		AArch64_LDRBBroW, ARM64_INS_LDRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSHx_Xm_RegOffset, ARM64_INS_LDRSH,
+		AArch64_LDRBBroX, ARM64_INS_LDRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSWx, ARM64_INS_LDRSW,
+		AArch64_LDRBBui, ARM64_INS_LDRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSWx_PostInd, ARM64_INS_LDRSW,
+		AArch64_LDRBpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSWx_PreInd, ARM64_INS_LDRSW,
+		AArch64_LDRBpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSWx_Wm_RegOffset, ARM64_INS_LDRSW,
+		AArch64_LDRBroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSWx_Xm_RegOffset, ARM64_INS_LDRSW,
+		AArch64_LDRBroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRSWx_lit, ARM64_INS_LDRSW,
+		AArch64_LDRBui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRd_lit, ARM64_INS_LDR,
+		AArch64_LDRDl, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRq_lit, ARM64_INS_LDR,
+		AArch64_LDRDpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRs_lit, ARM64_INS_LDR,
+		AArch64_LDRDpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRw_lit, ARM64_INS_LDR,
+		AArch64_LDRDroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDRx_lit, ARM64_INS_LDR,
+		AArch64_LDRDroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDTRSBw, ARM64_INS_LDTRSB,
+		AArch64_LDRDui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDTRSBx, ARM64_INS_LDTRSB,
+		AArch64_LDRHHpost, ARM64_INS_LDRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDTRSHw, ARM64_INS_LDTRSH,
+		AArch64_LDRHHpre, ARM64_INS_LDRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDTRSHx, ARM64_INS_LDTRSH,
+		AArch64_LDRHHroW, ARM64_INS_LDRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDTRSWx, ARM64_INS_LDTRSW,
+		AArch64_LDRHHroX, ARM64_INS_LDRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDURSWx, ARM64_INS_LDURSW,
+		AArch64_LDRHHui, ARM64_INS_LDRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDXP_dword, ARM64_INS_LDXP,
+		AArch64_LDRHpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDXP_word, ARM64_INS_LDXP,
+		AArch64_LDRHpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDXR_byte, ARM64_INS_LDXRB,
+		AArch64_LDRHroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDXR_dword, ARM64_INS_LDXR,
+		AArch64_LDRHroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDXR_hword, ARM64_INS_LDXRH,
+		AArch64_LDRHui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LDXR_word, ARM64_INS_LDXR,
+		AArch64_LDRQl, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_LDR, ARM64_INS_LDRH,
+		AArch64_LDRQpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_LDUR, ARM64_INS_LDURH,
+		AArch64_LDRQpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_PostInd_LDR, ARM64_INS_LDRH,
+		AArch64_LDRQroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_PostInd_STR, ARM64_INS_STRH,
+		AArch64_LDRQroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_PreInd_LDR, ARM64_INS_LDRH,
+		AArch64_LDRQui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_PreInd_STR, ARM64_INS_STRH,
+		AArch64_LDRSBWpost, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_STR, ARM64_INS_STRH,
+		AArch64_LDRSBWpre, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_STUR, ARM64_INS_STURH,
+		AArch64_LDRSBWroW, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_UnPriv_LDR, ARM64_INS_LDTRH,
+		AArch64_LDRSBWroX, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_UnPriv_STR, ARM64_INS_STTRH,
+		AArch64_LDRSBWui, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_Wm_RegOffset_LDR, ARM64_INS_LDRH,
+		AArch64_LDRSBXpost, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_Wm_RegOffset_STR, ARM64_INS_STRH,
+		AArch64_LDRSBXpre, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_Xm_RegOffset_LDR, ARM64_INS_LDRH,
+		AArch64_LDRSBXroW, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS16_Xm_RegOffset_STR, ARM64_INS_STRH,
+		AArch64_LDRSBXroX, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_LDR, ARM64_INS_LDR,
+		AArch64_LDRSBXui, ARM64_INS_LDRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_LDUR, ARM64_INS_LDUR,
+		AArch64_LDRSHWpost, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_PostInd_LDR, ARM64_INS_LDR,
+		AArch64_LDRSHWpre, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_PostInd_STR, ARM64_INS_STR,
+		AArch64_LDRSHWroW, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_PreInd_LDR, ARM64_INS_LDR,
+		AArch64_LDRSHWroX, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_PreInd_STR, ARM64_INS_STR,
+		AArch64_LDRSHWui, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_STR, ARM64_INS_STR,
+		AArch64_LDRSHXpost, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_STUR, ARM64_INS_STUR,
+		AArch64_LDRSHXpre, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_UnPriv_LDR, ARM64_INS_LDTR,
+		AArch64_LDRSHXroW, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_UnPriv_STR, ARM64_INS_STTR,
+		AArch64_LDRSHXroX, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_Wm_RegOffset_LDR, ARM64_INS_LDR,
+		AArch64_LDRSHXui, ARM64_INS_LDRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_Wm_RegOffset_STR, ARM64_INS_STR,
+		AArch64_LDRSWl, ARM64_INS_LDRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_Xm_RegOffset_LDR, ARM64_INS_LDR,
+		AArch64_LDRSWpost, ARM64_INS_LDRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS32_Xm_RegOffset_STR, ARM64_INS_STR,
+		AArch64_LDRSWpre, ARM64_INS_LDRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_LDR, ARM64_INS_LDR,
+		AArch64_LDRSWroW, ARM64_INS_LDRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_LDUR, ARM64_INS_LDUR,
+		AArch64_LDRSWroX, ARM64_INS_LDRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_PostInd_LDR, ARM64_INS_LDR,
+		AArch64_LDRSWui, ARM64_INS_LDRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_PostInd_STR, ARM64_INS_STR,
+		AArch64_LDRSl, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_PreInd_LDR, ARM64_INS_LDR,
+		AArch64_LDRSpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_PreInd_STR, ARM64_INS_STR,
+		AArch64_LDRSpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_STR, ARM64_INS_STR,
+		AArch64_LDRSroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_STUR, ARM64_INS_STUR,
+		AArch64_LDRSroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_UnPriv_LDR, ARM64_INS_LDTR,
+		AArch64_LDRSui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_UnPriv_STR, ARM64_INS_STTR,
+		AArch64_LDRWl, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_Wm_RegOffset_LDR, ARM64_INS_LDR,
+		AArch64_LDRWpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_Wm_RegOffset_STR, ARM64_INS_STR,
+		AArch64_LDRWpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_Xm_RegOffset_LDR, ARM64_INS_LDR,
+		AArch64_LDRWroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS64_Xm_RegOffset_STR, ARM64_INS_STR,
+		AArch64_LDRWroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_LDR, ARM64_INS_LDRB,
+		AArch64_LDRWui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_LDUR, ARM64_INS_LDURB,
+		AArch64_LDRXl, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_PostInd_LDR, ARM64_INS_LDRB,
+		AArch64_LDRXpost, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_PostInd_STR, ARM64_INS_STRB,
+		AArch64_LDRXpre, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_PreInd_LDR, ARM64_INS_LDRB,
+		AArch64_LDRXroW, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_PreInd_STR, ARM64_INS_STRB,
+		AArch64_LDRXroX, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_STR, ARM64_INS_STRB,
+		AArch64_LDRXui, ARM64_INS_LDR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_STUR, ARM64_INS_STURB,
+		AArch64_LDTRBi, ARM64_INS_LDTRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_UnPriv_LDR, ARM64_INS_LDTRB,
+		AArch64_LDTRHi, ARM64_INS_LDTRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_UnPriv_STR, ARM64_INS_STTRB,
+		AArch64_LDTRSBWi, ARM64_INS_LDTRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_Wm_RegOffset_LDR, ARM64_INS_LDRB,
+		AArch64_LDTRSBXi, ARM64_INS_LDTRSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_Wm_RegOffset_STR, ARM64_INS_STRB,
+		AArch64_LDTRSHWi, ARM64_INS_LDTRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_Xm_RegOffset_LDR, ARM64_INS_LDRB,
+		AArch64_LDTRSHXi, ARM64_INS_LDTRSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LS8_Xm_RegOffset_STR, ARM64_INS_STRB,
+		AArch64_LDTRSWi, ARM64_INS_LDTRSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSFP128_LDR, ARM64_INS_LDR,
+		AArch64_LDTRWi, ARM64_INS_LDTR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSFP128_LDUR, ARM64_INS_LDUR,
+		AArch64_LDTRXi, ARM64_INS_LDTR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSFP128_PostInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_PostInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_PreInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_PreInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_STUR, ARM64_INS_STUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_Wm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_Wm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_Xm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP128_Xm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_LDUR, ARM64_INS_LDUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_PostInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_PostInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_PreInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_PreInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_STUR, ARM64_INS_STUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_Wm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_Wm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_Xm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP16_Xm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_LDUR, ARM64_INS_LDUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_PostInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_PostInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_PreInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_PreInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_STUR, ARM64_INS_STUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_Wm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_Wm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_Xm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP32_Xm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_LDUR, ARM64_INS_LDUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_PostInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_PostInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_PreInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_PreInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_STUR, ARM64_INS_STUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_Wm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_Wm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_Xm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP64_Xm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_LDUR, ARM64_INS_LDUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_PostInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_PostInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_PreInd_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_PreInd_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_STUR, ARM64_INS_STUR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_Wm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_Wm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_Xm_RegOffset_LDR, ARM64_INS_LDR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFP8_Xm_RegOffset_STR, ARM64_INS_STR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_NonTemp_LDR, ARM64_INS_LDNP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_NonTemp_STR, ARM64_INS_STNP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_PostInd_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_PostInd_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_PreInd_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_PreInd_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair128_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_NonTemp_LDR, ARM64_INS_LDNP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_NonTemp_STR, ARM64_INS_STNP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_PostInd_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_PostInd_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_PreInd_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_PreInd_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair32_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_NonTemp_LDR, ARM64_INS_LDNP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_NonTemp_STR, ARM64_INS_STNP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_PostInd_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_PostInd_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_PreInd_LDR, ARM64_INS_LDP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_PreInd_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSFPPair64_STR, ARM64_INS_STP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_LSLVwww, ARM64_INS_LSL,
+		AArch64_LDURBBi, ARM64_INS_LDURB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSLVxxx, ARM64_INS_LSL,
+		AArch64_LDURBi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSLwwi, ARM64_INS_LSL,
+		AArch64_LDURDi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSLxxi, ARM64_INS_LSL,
+		AArch64_LDURHHi, ARM64_INS_LDURH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_LDR, ARM64_INS_LDP,
+		AArch64_LDURHi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_NonTemp_LDR, ARM64_INS_LDNP,
+		AArch64_LDURQi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_NonTemp_STR, ARM64_INS_STNP,
+		AArch64_LDURSBWi, ARM64_INS_LDURSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_PostInd_LDR, ARM64_INS_LDP,
+		AArch64_LDURSBXi, ARM64_INS_LDURSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_PostInd_STR, ARM64_INS_STP,
+		AArch64_LDURSHWi, ARM64_INS_LDURSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_PreInd_LDR, ARM64_INS_LDP,
+		AArch64_LDURSHXi, ARM64_INS_LDURSH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_PreInd_STR, ARM64_INS_STP,
+		AArch64_LDURSWi, ARM64_INS_LDURSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair32_STR, ARM64_INS_STP,
+		AArch64_LDURSi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_LDR, ARM64_INS_LDP,
+		AArch64_LDURWi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_NonTemp_LDR, ARM64_INS_LDNP,
+		AArch64_LDURXi, ARM64_INS_LDUR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_NonTemp_STR, ARM64_INS_STNP,
+		AArch64_LDXPW, ARM64_INS_LDXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_PostInd_LDR, ARM64_INS_LDP,
+		AArch64_LDXPX, ARM64_INS_LDXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_PostInd_STR, ARM64_INS_STP,
+		AArch64_LDXRB, ARM64_INS_LDXRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_PreInd_LDR, ARM64_INS_LDP,
+		AArch64_LDXRH, ARM64_INS_LDXRH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_PreInd_STR, ARM64_INS_STP,
+		AArch64_LDXRW, ARM64_INS_LDXR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSPair64_STR, ARM64_INS_STP,
+		AArch64_LDXRX, ARM64_INS_LDXR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSRVwww, ARM64_INS_LSR,
+		AArch64_LSLVWr, ARM64_INS_LSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSRVxxx, ARM64_INS_LSR,
+		AArch64_LSLVXr, ARM64_INS_LSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSRwwi, ARM64_INS_LSR,
+		AArch64_LSRVWr, ARM64_INS_LSR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_LSRxxi, ARM64_INS_LSR,
+		AArch64_LSRVXr, ARM64_INS_LSR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MADDwwww, ARM64_INS_MADD,
+		AArch64_MADDWrrr, ARM64_INS_MADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MADDxxxx, ARM64_INS_MADD,
+		AArch64_MADDXrrr, ARM64_INS_MADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvve_2s4s, ARM64_INS_MLA,
+		AArch64_MLAv16i8, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvve_4h8h, ARM64_INS_MLA,
+		AArch64_MLAv2i32, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvve_4s4s, ARM64_INS_MLA,
+		AArch64_MLAv2i32_indexed, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvve_8h8h, ARM64_INS_MLA,
+		AArch64_MLAv4i16, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvvv_16B, ARM64_INS_MLA,
+		AArch64_MLAv4i16_indexed, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvvv_2S, ARM64_INS_MLA,
+		AArch64_MLAv4i32, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvvv_4H, ARM64_INS_MLA,
+		AArch64_MLAv4i32_indexed, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvvv_4S, ARM64_INS_MLA,
+		AArch64_MLAv8i16, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvvv_8B, ARM64_INS_MLA,
+		AArch64_MLAv8i16_indexed, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLAvvv_8H, ARM64_INS_MLA,
+		AArch64_MLAv8i8, ARM64_INS_MLA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvve_2s4s, ARM64_INS_MLS,
+		AArch64_MLSv16i8, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvve_4h8h, ARM64_INS_MLS,
+		AArch64_MLSv2i32, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvve_4s4s, ARM64_INS_MLS,
+		AArch64_MLSv2i32_indexed, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvve_8h8h, ARM64_INS_MLS,
+		AArch64_MLSv4i16, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvvv_16B, ARM64_INS_MLS,
+		AArch64_MLSv4i16_indexed, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvvv_2S, ARM64_INS_MLS,
+		AArch64_MLSv4i32, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvvv_4H, ARM64_INS_MLS,
+		AArch64_MLSv4i32_indexed, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvvv_4S, ARM64_INS_MLS,
+		AArch64_MLSv8i16, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvvv_8B, ARM64_INS_MLS,
+		AArch64_MLSv8i16_indexed, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MLSvvv_8H, ARM64_INS_MLS,
+		AArch64_MLSv8i8, ARM64_INS_MLS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIdi, ARM64_INS_MOVI,
+		AArch64_MOVID, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_16B, ARM64_INS_MOVI,
+		AArch64_MOVIv16b_ns, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_2D, ARM64_INS_MOVI,
+		AArch64_MOVIv2d_ns, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_8B, ARM64_INS_MOVI,
+		AArch64_MOVIv2i32, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_lsl_2S, ARM64_INS_MOVI,
+		AArch64_MOVIv2s_msl, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_lsl_4H, ARM64_INS_MOVI,
+		AArch64_MOVIv4i16, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_lsl_4S, ARM64_INS_MOVI,
+		AArch64_MOVIv4i32, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_lsl_8H, ARM64_INS_MOVI,
+		AArch64_MOVIv4s_msl, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_msl_2S, ARM64_INS_MOVI,
+		AArch64_MOVIv8b_ns, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVIvi_msl_4S, ARM64_INS_MOVI,
+		AArch64_MOVIv8i16, ARM64_INS_MOVI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVKwii, ARM64_INS_MOVK,
+		AArch64_MOVKWi, ARM64_INS_MOVK,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVKxii, ARM64_INS_MOVK,
+		AArch64_MOVKXi, ARM64_INS_MOVK,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVNwii, ARM64_INS_MOVN,
+		AArch64_MOVNWi, ARM64_INS_MOVN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVNxii, ARM64_INS_MOVN,
+		AArch64_MOVNXi, ARM64_INS_MOVN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVZwii, ARM64_INS_MOVZ,
+		AArch64_MOVZWi, ARM64_INS_MOVZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MOVZxii, ARM64_INS_MOVZ,
+		AArch64_MOVZXi, ARM64_INS_MOVZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MRSxi, ARM64_INS_MRS,
+		AArch64_MRS, ARM64_INS_MRS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MSRii, ARM64_INS_MSR,
+		AArch64_MSR, ARM64_INS_MSR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MSRix, ARM64_INS_MSR,
+		AArch64_MSRpstate, ARM64_INS_MSR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MSUBwwww, ARM64_INS_MSUB,
+		AArch64_MSUBWrrr, ARM64_INS_MSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MSUBxxxx, ARM64_INS_MSUB,
+		AArch64_MSUBXrrr, ARM64_INS_MSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULve_2s4s, ARM64_INS_MUL,
+		AArch64_MULv16i8, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULve_4h8h, ARM64_INS_MUL,
+		AArch64_MULv2i32, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULve_4s4s, ARM64_INS_MUL,
+		AArch64_MULv2i32_indexed, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULve_8h8h, ARM64_INS_MUL,
+		AArch64_MULv4i16, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULvvv_16B, ARM64_INS_MUL,
+		AArch64_MULv4i16_indexed, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULvvv_2S, ARM64_INS_MUL,
+		AArch64_MULv4i32, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULvvv_4H, ARM64_INS_MUL,
+		AArch64_MULv4i32_indexed, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULvvv_4S, ARM64_INS_MUL,
+		AArch64_MULv8i16, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULvvv_8B, ARM64_INS_MUL,
+		AArch64_MULv8i16_indexed, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MULvvv_8H, ARM64_INS_MUL,
+		AArch64_MULv8i8, ARM64_INS_MUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNIvi_lsl_2S, ARM64_INS_MVNI,
+		AArch64_MVNIv2i32, ARM64_INS_MVNI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNIvi_lsl_4H, ARM64_INS_MVNI,
+		AArch64_MVNIv2s_msl, ARM64_INS_MVNI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNIvi_lsl_4S, ARM64_INS_MVNI,
+		AArch64_MVNIv4i16, ARM64_INS_MVNI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNIvi_lsl_8H, ARM64_INS_MVNI,
+		AArch64_MVNIv4i32, ARM64_INS_MVNI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNIvi_msl_2S, ARM64_INS_MVNI,
+		AArch64_MVNIv4s_msl, ARM64_INS_MVNI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNIvi_msl_4S, ARM64_INS_MVNI,
+		AArch64_MVNIv8i16, ARM64_INS_MVNI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_MVNww_asr, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNww_lsl, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNww_lsr, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNww_ror, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNxx_asr, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNxx_lsl, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNxx_lsr, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_MVNxx_ror, ARM64_INS_MVN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_NEG16b, ARM64_INS_NEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_NEG2d, ARM64_INS_NEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_NEG2s, ARM64_INS_NEG,
+		AArch64_NEGv16i8, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NEG4h, ARM64_INS_NEG,
+		AArch64_NEGv1i64, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NEG4s, ARM64_INS_NEG,
+		AArch64_NEGv2i32, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NEG8b, ARM64_INS_NEG,
+		AArch64_NEGv2i64, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NEG8h, ARM64_INS_NEG,
+		AArch64_NEGv4i16, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NEGdd, ARM64_INS_NEG,
+		AArch64_NEGv4i32, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NOT16b, ARM64_INS_NOT,
+		AArch64_NEGv8i16, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_NOT8b, ARM64_INS_NOT,
+		AArch64_NEGv8i8, ARM64_INS_NEG,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNvvv_16B, ARM64_INS_ORN,
+		AArch64_NOTv16i8, ARM64_INS_NOT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNvvv_8B, ARM64_INS_ORN,
+		AArch64_NOTv8i8, ARM64_INS_NOT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNwww_asr, ARM64_INS_ORN,
+		AArch64_ORNWrs, ARM64_INS_ORN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNwww_lsl, ARM64_INS_ORN,
+		AArch64_ORNXrs, ARM64_INS_ORN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNwww_lsr, ARM64_INS_ORN,
+		AArch64_ORNv16i8, ARM64_INS_ORN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNwww_ror, ARM64_INS_ORN,
+		AArch64_ORNv8i8, ARM64_INS_ORN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNxxx_asr, ARM64_INS_ORN,
+		AArch64_ORRWri, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNxxx_lsl, ARM64_INS_ORN,
+		AArch64_ORRWrs, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNxxx_lsr, ARM64_INS_ORN,
+		AArch64_ORRXri, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORNxxx_ror, ARM64_INS_ORN,
+		AArch64_ORRXrs, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRvi_lsl_2S, ARM64_INS_ORR,
+		AArch64_ORRv16i8, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRvi_lsl_4H, ARM64_INS_ORR,
+		AArch64_ORRv2i32, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRvi_lsl_4S, ARM64_INS_ORR,
+		AArch64_ORRv4i16, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRvi_lsl_8H, ARM64_INS_ORR,
+		AArch64_ORRv4i32, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRvvv_16B, ARM64_INS_ORR,
+		AArch64_ORRv8i16, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRvvv_8B, ARM64_INS_ORR,
+		AArch64_ORRv8i8, ARM64_INS_ORR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ORRwwi, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRwww_asr, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRwww_lsl, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRwww_lsr, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRwww_ror, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRxxi, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRxxx_asr, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRxxx_lsl, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRxxx_lsr, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ORRxxx_ror, ARM64_INS_ORR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_PMULL2vvv_1q2d, ARM64_INS_PMULL2,
+		AArch64_PMULLv16i8, ARM64_INS_PMULL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PMULL2vvv_8h16b, ARM64_INS_PMULL2,
+		AArch64_PMULLv1i64, ARM64_INS_PMULL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PMULLvvv_1q1d, ARM64_INS_PMULL,
+		AArch64_PMULLv2i64, ARM64_INS_PMULL2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PMULLvvv_8h8b, ARM64_INS_PMULL,
+		AArch64_PMULLv8i8, ARM64_INS_PMULL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PMULvvv_16B, ARM64_INS_PMUL,
+		AArch64_PMULv16i8, ARM64_INS_PMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PMULvvv_8B, ARM64_INS_PMUL,
+		AArch64_PMULv8i8, ARM64_INS_PMUL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PRFM, ARM64_INS_PRFM,
+		AArch64_PRFMl, ARM64_INS_PRFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PRFM_Wm_RegOffset, ARM64_INS_PRFM,
+		AArch64_PRFMroW, ARM64_INS_PRFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PRFM_Xm_RegOffset, ARM64_INS_PRFM,
+		AArch64_PRFMroX, ARM64_INS_PRFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PRFM_lit, ARM64_INS_PRFM,
+		AArch64_PRFMui, ARM64_INS_PRFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_PRFUM, ARM64_INS_PRFUM,
+		AArch64_PRFUMi, ARM64_INS_PRFUM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QRSHRUNvvi_16B, ARM64_INS_SQRSHRUN2,
+		AArch64_RADDHNv2i64_v2i32, ARM64_INS_RADDHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QRSHRUNvvi_2S, ARM64_INS_SQRSHRUN,
+		AArch64_RADDHNv2i64_v4i32, ARM64_INS_RADDHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QRSHRUNvvi_4H, ARM64_INS_SQRSHRUN,
+		AArch64_RADDHNv4i32_v4i16, ARM64_INS_RADDHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QRSHRUNvvi_4S, ARM64_INS_SQRSHRUN2,
+		AArch64_RADDHNv4i32_v8i16, ARM64_INS_RADDHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QRSHRUNvvi_8B, ARM64_INS_SQRSHRUN,
+		AArch64_RADDHNv8i16_v16i8, ARM64_INS_RADDHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QRSHRUNvvi_8H, ARM64_INS_SQRSHRUN2,
+		AArch64_RADDHNv8i16_v8i8, ARM64_INS_RADDHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QSHRUNvvi_16B, ARM64_INS_SQSHRUN2,
+		AArch64_RBITWr, ARM64_INS_RBIT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QSHRUNvvi_2S, ARM64_INS_SQSHRUN,
+		AArch64_RBITXr, ARM64_INS_RBIT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QSHRUNvvi_4H, ARM64_INS_SQSHRUN,
+		AArch64_RBITv16i8, ARM64_INS_RBIT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QSHRUNvvi_4S, ARM64_INS_SQSHRUN2,
+		AArch64_RBITv8i8, ARM64_INS_RBIT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QSHRUNvvi_8B, ARM64_INS_SQSHRUN,
+		AArch64_RET, ARM64_INS_RET,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_QSHRUNvvi_8H, ARM64_INS_SQSHRUN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RADDHN2vvv_16b8h, ARM64_INS_RADDHN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RADDHN2vvv_4s2d, ARM64_INS_RADDHN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RADDHN2vvv_8h4s, ARM64_INS_RADDHN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RADDHNvvv_2s2d, ARM64_INS_RADDHN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RADDHNvvv_4h4s, ARM64_INS_RADDHN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RADDHNvvv_8b8h, ARM64_INS_RADDHN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RBIT16b, ARM64_INS_RBIT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RBIT8b, ARM64_INS_RBIT,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_RBITww, ARM64_INS_RBIT,
+		AArch64_REV16Wr, ARM64_INS_REV16,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RBITxx, ARM64_INS_RBIT,
+		AArch64_REV16Xr, ARM64_INS_REV16,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RETx, ARM64_INS_RET,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 1
-#endif
-	},
-	{
-		AArch64_REV16_16b, ARM64_INS_REV16,
+		AArch64_REV16v16i8, ARM64_INS_REV16,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV16_8b, ARM64_INS_REV16,
+		AArch64_REV16v8i8, ARM64_INS_REV16,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV16ww, ARM64_INS_REV16,
+		AArch64_REV32Xr, ARM64_INS_REV32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV16xx, ARM64_INS_REV16,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_REV32_16b, ARM64_INS_REV32,
+		AArch64_REV32v16i8, ARM64_INS_REV32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV32_4h, ARM64_INS_REV32,
+		AArch64_REV32v4i16, ARM64_INS_REV32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV32_8b, ARM64_INS_REV32,
+		AArch64_REV32v8i16, ARM64_INS_REV32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV32_8h, ARM64_INS_REV32,
+		AArch64_REV32v8i8, ARM64_INS_REV32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV32xx, ARM64_INS_REV32,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_REV64_16b, ARM64_INS_REV64,
+		AArch64_REV64v16i8, ARM64_INS_REV64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV64_2s, ARM64_INS_REV64,
+		AArch64_REV64v2i32, ARM64_INS_REV64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV64_4h, ARM64_INS_REV64,
+		AArch64_REV64v4i16, ARM64_INS_REV64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV64_4s, ARM64_INS_REV64,
+		AArch64_REV64v4i32, ARM64_INS_REV64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV64_8b, ARM64_INS_REV64,
+		AArch64_REV64v8i16, ARM64_INS_REV64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REV64_8h, ARM64_INS_REV64,
+		AArch64_REV64v8i8, ARM64_INS_REV64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REVww, ARM64_INS_REV,
+		AArch64_REVWr, ARM64_INS_REV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_REVxx, ARM64_INS_REV,
+		AArch64_REVXr, ARM64_INS_REV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RORVwww, ARM64_INS_ROR,
+		AArch64_RORVWr, ARM64_INS_ROR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RORVxxx, ARM64_INS_ROR,
+		AArch64_RORVXr, ARM64_INS_ROR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSHRNvvi_16B, ARM64_INS_RSHRN2,
+		AArch64_RSHRNv16i8_shift, ARM64_INS_RSHRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSHRNvvi_2S, ARM64_INS_RSHRN,
+		AArch64_RSHRNv2i32_shift, ARM64_INS_RSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSHRNvvi_4H, ARM64_INS_RSHRN,
+		AArch64_RSHRNv4i16_shift, ARM64_INS_RSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSHRNvvi_4S, ARM64_INS_RSHRN2,
+		AArch64_RSHRNv4i32_shift, ARM64_INS_RSHRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSHRNvvi_8B, ARM64_INS_RSHRN,
+		AArch64_RSHRNv8i16_shift, ARM64_INS_RSHRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSHRNvvi_8H, ARM64_INS_RSHRN2,
+		AArch64_RSHRNv8i8_shift, ARM64_INS_RSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSUBHN2vvv_16b8h, ARM64_INS_RSUBHN2,
+		AArch64_RSUBHNv2i64_v2i32, ARM64_INS_RSUBHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSUBHN2vvv_4s2d, ARM64_INS_RSUBHN2,
+		AArch64_RSUBHNv2i64_v4i32, ARM64_INS_RSUBHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSUBHN2vvv_8h4s, ARM64_INS_RSUBHN2,
+		AArch64_RSUBHNv4i32_v4i16, ARM64_INS_RSUBHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSUBHNvvv_2s2d, ARM64_INS_RSUBHN,
+		AArch64_RSUBHNv4i32_v8i16, ARM64_INS_RSUBHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSUBHNvvv_4h4s, ARM64_INS_RSUBHN,
+		AArch64_RSUBHNv8i16_v16i8, ARM64_INS_RSUBHN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_RSUBHNvvv_8b8h, ARM64_INS_RSUBHN,
+		AArch64_RSUBHNv8i16_v8i8, ARM64_INS_RSUBHN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAL2vvv_2d2s, ARM64_INS_SABAL2,
+		AArch64_SABALv16i8_v8i16, ARM64_INS_SABAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAL2vvv_4s4h, ARM64_INS_SABAL2,
+		AArch64_SABALv2i32_v2i64, ARM64_INS_SABAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAL2vvv_8h8b, ARM64_INS_SABAL2,
+		AArch64_SABALv4i16_v4i32, ARM64_INS_SABAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABALvvv_2d2s, ARM64_INS_SABAL,
+		AArch64_SABALv4i32_v2i64, ARM64_INS_SABAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABALvvv_4s4h, ARM64_INS_SABAL,
+		AArch64_SABALv8i16_v4i32, ARM64_INS_SABAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABALvvv_8h8b, ARM64_INS_SABAL,
+		AArch64_SABALv8i8_v8i16, ARM64_INS_SABAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAvvv_16B, ARM64_INS_SABA,
+		AArch64_SABAv16i8, ARM64_INS_SABA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAvvv_2S, ARM64_INS_SABA,
+		AArch64_SABAv2i32, ARM64_INS_SABA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAvvv_4H, ARM64_INS_SABA,
+		AArch64_SABAv4i16, ARM64_INS_SABA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAvvv_4S, ARM64_INS_SABA,
+		AArch64_SABAv4i32, ARM64_INS_SABA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAvvv_8B, ARM64_INS_SABA,
+		AArch64_SABAv8i16, ARM64_INS_SABA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABAvvv_8H, ARM64_INS_SABA,
+		AArch64_SABAv8i8, ARM64_INS_SABA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDL2vvv_2d2s, ARM64_INS_SABDL2,
+		AArch64_SABDLv16i8_v8i16, ARM64_INS_SABDL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDL2vvv_4s4h, ARM64_INS_SABDL2,
+		AArch64_SABDLv2i32_v2i64, ARM64_INS_SABDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDL2vvv_8h8b, ARM64_INS_SABDL2,
+		AArch64_SABDLv4i16_v4i32, ARM64_INS_SABDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDLvvv_2d2s, ARM64_INS_SABDL,
+		AArch64_SABDLv4i32_v2i64, ARM64_INS_SABDL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDLvvv_4s4h, ARM64_INS_SABDL,
+		AArch64_SABDLv8i16_v4i32, ARM64_INS_SABDL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDLvvv_8h8b, ARM64_INS_SABDL,
+		AArch64_SABDLv8i8_v8i16, ARM64_INS_SABDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDvvv_16B, ARM64_INS_SABD,
+		AArch64_SABDv16i8, ARM64_INS_SABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDvvv_2S, ARM64_INS_SABD,
+		AArch64_SABDv2i32, ARM64_INS_SABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDvvv_4H, ARM64_INS_SABD,
+		AArch64_SABDv4i16, ARM64_INS_SABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDvvv_4S, ARM64_INS_SABD,
+		AArch64_SABDv4i32, ARM64_INS_SABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDvvv_8B, ARM64_INS_SABD,
+		AArch64_SABDv8i16, ARM64_INS_SABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SABDvvv_8H, ARM64_INS_SABD,
+		AArch64_SABDv8i8, ARM64_INS_SABD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADALP16b8h, ARM64_INS_SADALP,
+		AArch64_SADALPv16i8_v8i16, ARM64_INS_SADALP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADALP2s1d, ARM64_INS_SADALP,
+		AArch64_SADALPv2i32_v1i64, ARM64_INS_SADALP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADALP4h2s, ARM64_INS_SADALP,
+		AArch64_SADALPv4i16_v2i32, ARM64_INS_SADALP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADALP4s2d, ARM64_INS_SADALP,
+		AArch64_SADALPv4i32_v2i64, ARM64_INS_SADALP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADALP8b4h, ARM64_INS_SADALP,
+		AArch64_SADALPv8i16_v4i32, ARM64_INS_SADALP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADALP8h4s, ARM64_INS_SADALP,
+		AArch64_SADALPv8i8_v4i16, ARM64_INS_SADALP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDL2vvv_2d4s, ARM64_INS_SADDL2,
+		AArch64_SADDLPv16i8_v8i16, ARM64_INS_SADDLP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDL2vvv_4s8h, ARM64_INS_SADDL2,
+		AArch64_SADDLPv2i32_v1i64, ARM64_INS_SADDLP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDL2vvv_8h16b, ARM64_INS_SADDL2,
+		AArch64_SADDLPv4i16_v2i32, ARM64_INS_SADDLP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLP16b8h, ARM64_INS_SADDLP,
+		AArch64_SADDLPv4i32_v2i64, ARM64_INS_SADDLP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLP2s1d, ARM64_INS_SADDLP,
+		AArch64_SADDLPv8i16_v4i32, ARM64_INS_SADDLP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLP4h2s, ARM64_INS_SADDLP,
+		AArch64_SADDLPv8i8_v4i16, ARM64_INS_SADDLP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLP4s2d, ARM64_INS_SADDLP,
+		AArch64_SADDLVv16i8v, ARM64_INS_SADDLV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLP8b4h, ARM64_INS_SADDLP,
+		AArch64_SADDLVv4i16v, ARM64_INS_SADDLV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLP8h4s, ARM64_INS_SADDLP,
+		AArch64_SADDLVv4i32v, ARM64_INS_SADDLV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLV_1d4s, ARM64_INS_SADDLV,
+		AArch64_SADDLVv8i16v, ARM64_INS_SADDLV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLV_1h16b, ARM64_INS_SADDLV,
+		AArch64_SADDLVv8i8v, ARM64_INS_SADDLV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLV_1h8b, ARM64_INS_SADDLV,
+		AArch64_SADDLv16i8_v8i16, ARM64_INS_SADDL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLV_1s4h, ARM64_INS_SADDLV,
+		AArch64_SADDLv2i32_v2i64, ARM64_INS_SADDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLV_1s8h, ARM64_INS_SADDLV,
+		AArch64_SADDLv4i16_v4i32, ARM64_INS_SADDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLvvv_2d2s, ARM64_INS_SADDL,
+		AArch64_SADDLv4i32_v2i64, ARM64_INS_SADDL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLvvv_4s4h, ARM64_INS_SADDL,
+		AArch64_SADDLv8i16_v4i32, ARM64_INS_SADDL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDLvvv_8h8b, ARM64_INS_SADDL,
+		AArch64_SADDLv8i8_v8i16, ARM64_INS_SADDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDW2vvv_2d4s, ARM64_INS_SADDW2,
+		AArch64_SADDWv16i8_v8i16, ARM64_INS_SADDW2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDW2vvv_4s8h, ARM64_INS_SADDW2,
+		AArch64_SADDWv2i32_v2i64, ARM64_INS_SADDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDW2vvv_8h16b, ARM64_INS_SADDW2,
+		AArch64_SADDWv4i16_v4i32, ARM64_INS_SADDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDWvvv_2d2s, ARM64_INS_SADDW,
+		AArch64_SADDWv4i32_v2i64, ARM64_INS_SADDW2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDWvvv_4s4h, ARM64_INS_SADDW,
+		AArch64_SADDWv8i16_v4i32, ARM64_INS_SADDW2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SADDWvvv_8h8b, ARM64_INS_SADDW,
+		AArch64_SADDWv8i8_v8i16, ARM64_INS_SADDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBCSwww, ARM64_INS_SBC,
+		AArch64_SBCSWr, ARM64_INS_SBC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBCSxxx, ARM64_INS_SBC,
+		AArch64_SBCSXr, ARM64_INS_SBC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBCwww, ARM64_INS_SBC,
+		AArch64_SBCWr, ARM64_INS_SBC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBCxxx, ARM64_INS_SBC,
+		AArch64_SBCXr, ARM64_INS_SBC,
 #ifndef CAPSTONE_DIET
 		{ ARM64_REG_NZCV, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBFIZwwii, ARM64_INS_SBFIZ,
+		AArch64_SBFMWri, ARM64_INS_SBFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBFIZxxii, ARM64_INS_SBFIZ,
+		AArch64_SBFMXri, ARM64_INS_SBFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SBFMwwii, ARM64_INS_SBFM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SBFMxxii, ARM64_INS_SBFM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SBFXwwii, ARM64_INS_SBFX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SBFXxxii, ARM64_INS_SBFX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTF_2d, ARM64_INS_SCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTF_2s, ARM64_INS_SCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTF_4s, ARM64_INS_SCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTF_Nddi, ARM64_INS_SCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTF_Nssi, ARM64_INS_SCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTFdd, ARM64_INS_SCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SCVTFdw, ARM64_INS_SCVTF,
+		AArch64_SCVTFSWDri, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFdwi, ARM64_INS_SCVTF,
+		AArch64_SCVTFSWSri, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFdx, ARM64_INS_SCVTF,
+		AArch64_SCVTFSXDri, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFdxi, ARM64_INS_SCVTF,
+		AArch64_SCVTFSXSri, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFss, ARM64_INS_SCVTF,
+		AArch64_SCVTFUWDri, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFUWSri, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFUXDri, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFUXSri, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFd, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFsw, ARM64_INS_SCVTF,
+		AArch64_SCVTFs, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFswi, ARM64_INS_SCVTF,
+		AArch64_SCVTFv1i32, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFsx, ARM64_INS_SCVTF,
+		AArch64_SCVTFv1i64, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SCVTFsxi, ARM64_INS_SCVTF,
+		AArch64_SCVTFv2f32, ARM64_INS_SCVTF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SDIVwww, ARM64_INS_SDIV,
+		AArch64_SCVTFv2f64, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFv2i32_shift, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFv2i64_shift, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFv4f32, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SCVTFv4i32_shift, ARM64_INS_SCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SDIVWr, ARM64_INS_SDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SDIVxxx, ARM64_INS_SDIV,
+		AArch64_SDIVXr, ARM64_INS_SDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SHA1C, ARM64_INS_SHA1C,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA1H, ARM64_INS_SHA1H,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA1M, ARM64_INS_SHA1M,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA1P, ARM64_INS_SHA1P,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA1SU0, ARM64_INS_SHA1SU0,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA1SU1, ARM64_INS_SHA1SU1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA256H, ARM64_INS_SHA256H,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA256H2, ARM64_INS_SHA256H2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA256SU0, ARM64_INS_SHA256SU0,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHA256SU1, ARM64_INS_SHA256SU1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, ARM64_GRP_CRYPTO, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHADDvvv_16B, ARM64_INS_SHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHADDvvv_2S, ARM64_INS_SHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHADDvvv_4H, ARM64_INS_SHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHADDvvv_4S, ARM64_INS_SHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHADDvvv_8B, ARM64_INS_SHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHADDvvv_8H, ARM64_INS_SHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLL16b8h, ARM64_INS_SHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLL2s2d, ARM64_INS_SHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLL4h4s, ARM64_INS_SHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLL4s2d, ARM64_INS_SHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLL8b8h, ARM64_INS_SHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLL8h4s, ARM64_INS_SHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLddi, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_16B, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_2D, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_2S, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_4H, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_4S, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_8B, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHLvvi_8H, ARM64_INS_SHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHRNvvi_16B, ARM64_INS_SHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHRNvvi_2S, ARM64_INS_SHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHRNvvi_4H, ARM64_INS_SHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHRNvvi_4S, ARM64_INS_SHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHRNvvi_8B, ARM64_INS_SHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHRNvvi_8H, ARM64_INS_SHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHSUBvvv_16B, ARM64_INS_SHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHSUBvvv_2S, ARM64_INS_SHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHSUBvvv_4H, ARM64_INS_SHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHSUBvvv_4S, ARM64_INS_SHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHSUBvvv_8B, ARM64_INS_SHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SHSUBvvv_8H, ARM64_INS_SHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLI, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_16B, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_2D, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_2S, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_4H, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_4S, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_8B, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SLIvvi_8H, ARM64_INS_SLI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMADDLxwwx, ARM64_INS_SMADDL,
+		AArch64_SDIV_IntWr, ARM64_INS_SDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXPvvv_16B, ARM64_INS_SMAXP,
+		AArch64_SDIV_IntXr, ARM64_INS_SDIV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA1Crrr, ARM64_INS_SHA1C,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA1Hrr, ARM64_INS_SHA1H,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA1Mrrr, ARM64_INS_SHA1M,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA1Prrr, ARM64_INS_SHA1P,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA1SU0rrr, ARM64_INS_SHA1SU0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA1SU1rr, ARM64_INS_SHA1SU1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA256H2rrr, ARM64_INS_SHA256H2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA256Hrrr, ARM64_INS_SHA256H,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA256SU0rr, ARM64_INS_SHA256SU0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHA256SU1rrr, ARM64_INS_SHA256SU1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_CRYPTO, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHADDv16i8, ARM64_INS_SHADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXPvvv_2S, ARM64_INS_SMAXP,
+		AArch64_SHADDv2i32, ARM64_INS_SHADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXPvvv_4H, ARM64_INS_SMAXP,
+		AArch64_SHADDv4i16, ARM64_INS_SHADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXPvvv_4S, ARM64_INS_SMAXP,
+		AArch64_SHADDv4i32, ARM64_INS_SHADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXPvvv_8B, ARM64_INS_SMAXP,
+		AArch64_SHADDv8i16, ARM64_INS_SHADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXPvvv_8H, ARM64_INS_SMAXP,
+		AArch64_SHADDv8i8, ARM64_INS_SHADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXV_1b16b, ARM64_INS_SMAXV,
+		AArch64_SHLLv16i8, ARM64_INS_SHLL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXV_1b8b, ARM64_INS_SMAXV,
+		AArch64_SHLLv2i32, ARM64_INS_SHLL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXV_1h4h, ARM64_INS_SMAXV,
+		AArch64_SHLLv4i16, ARM64_INS_SHLL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXV_1h8h, ARM64_INS_SMAXV,
+		AArch64_SHLLv4i32, ARM64_INS_SHLL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXV_1s4s, ARM64_INS_SMAXV,
+		AArch64_SHLLv8i16, ARM64_INS_SHLL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXvvv_16B, ARM64_INS_SMAX,
+		AArch64_SHLLv8i8, ARM64_INS_SHLL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXvvv_2S, ARM64_INS_SMAX,
+		AArch64_SHLd, ARM64_INS_SHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXvvv_4H, ARM64_INS_SMAX,
+		AArch64_SHLv16i8_shift, ARM64_INS_SHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXvvv_4S, ARM64_INS_SMAX,
+		AArch64_SHLv2i32_shift, ARM64_INS_SHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXvvv_8B, ARM64_INS_SMAX,
+		AArch64_SHLv2i64_shift, ARM64_INS_SHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMAXvvv_8H, ARM64_INS_SMAX,
+		AArch64_SHLv4i16_shift, ARM64_INS_SHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_SMCi, ARM64_INS_SMC,
+		AArch64_SHLv4i32_shift, ARM64_INS_SHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHLv8i16_shift, ARM64_INS_SHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHLv8i8_shift, ARM64_INS_SHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHRNv16i8_shift, ARM64_INS_SHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHRNv2i32_shift, ARM64_INS_SHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHRNv4i16_shift, ARM64_INS_SHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHRNv4i32_shift, ARM64_INS_SHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHRNv8i16_shift, ARM64_INS_SHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHRNv8i8_shift, ARM64_INS_SHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHSUBv16i8, ARM64_INS_SHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHSUBv2i32, ARM64_INS_SHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHSUBv4i16, ARM64_INS_SHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHSUBv4i32, ARM64_INS_SHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHSUBv8i16, ARM64_INS_SHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SHSUBv8i8, ARM64_INS_SHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLId, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv16i8_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv2i32_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv2i64_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv4i16_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv4i32_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv8i16_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SLIv8i8_shift, ARM64_INS_SLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMADDLrrr, ARM64_INS_SMADDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXPv16i8, ARM64_INS_SMAXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXPv2i32, ARM64_INS_SMAXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXPv4i16, ARM64_INS_SMAXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXPv4i32, ARM64_INS_SMAXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXPv8i16, ARM64_INS_SMAXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXPv8i8, ARM64_INS_SMAXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXVv16i8v, ARM64_INS_SMAXV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXVv4i16v, ARM64_INS_SMAXV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXVv4i32v, ARM64_INS_SMAXV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXVv8i16v, ARM64_INS_SMAXV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXVv8i8v, ARM64_INS_SMAXV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXv16i8, ARM64_INS_SMAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXv2i32, ARM64_INS_SMAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXv4i16, ARM64_INS_SMAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXv4i32, ARM64_INS_SMAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXv8i16, ARM64_INS_SMAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMAXv8i8, ARM64_INS_SMAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMC, ARM64_INS_SMC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINPv16i8, ARM64_INS_SMINP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINPv2i32, ARM64_INS_SMINP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINPv4i16, ARM64_INS_SMINP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINPv4i32, ARM64_INS_SMINP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINPv8i16, ARM64_INS_SMINP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINPv8i8, ARM64_INS_SMINP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINVv16i8v, ARM64_INS_SMINV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINVv4i16v, ARM64_INS_SMINV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINVv4i32v, ARM64_INS_SMINV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINVv8i16v, ARM64_INS_SMINV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINVv8i8v, ARM64_INS_SMINV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINv16i8, ARM64_INS_SMIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINv2i32, ARM64_INS_SMIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINv4i16, ARM64_INS_SMIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINv4i32, ARM64_INS_SMIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINv8i16, ARM64_INS_SMIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMINv8i8, ARM64_INS_SMIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv16i8_v8i16, ARM64_INS_SMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv2i32_indexed, ARM64_INS_SMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv2i32_v2i64, ARM64_INS_SMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv4i16_indexed, ARM64_INS_SMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv4i16_v4i32, ARM64_INS_SMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv4i32_indexed, ARM64_INS_SMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv4i32_v2i64, ARM64_INS_SMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv8i16_indexed, ARM64_INS_SMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv8i16_v4i32, ARM64_INS_SMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLALv8i8_v8i16, ARM64_INS_SMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv16i8_v8i16, ARM64_INS_SMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv2i32_indexed, ARM64_INS_SMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv2i32_v2i64, ARM64_INS_SMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv4i16_indexed, ARM64_INS_SMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv4i16_v4i32, ARM64_INS_SMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv4i32_indexed, ARM64_INS_SMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv4i32_v2i64, ARM64_INS_SMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv8i16_indexed, ARM64_INS_SMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv8i16_v4i32, ARM64_INS_SMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMLSLv8i8_v8i16, ARM64_INS_SMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMOVvi16to32, ARM64_INS_SMOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMOVvi16to64, ARM64_INS_SMOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMOVvi32to64, ARM64_INS_SMOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMOVvi8to32, ARM64_INS_SMOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMOVvi8to64, ARM64_INS_SMOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMSUBLrrr, ARM64_INS_SMSUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULHrr, ARM64_INS_SMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv16i8_v8i16, ARM64_INS_SMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv2i32_indexed, ARM64_INS_SMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv2i32_v2i64, ARM64_INS_SMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv4i16_indexed, ARM64_INS_SMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv4i16_v4i32, ARM64_INS_SMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv4i32_indexed, ARM64_INS_SMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv4i32_v2i64, ARM64_INS_SMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv8i16_indexed, ARM64_INS_SMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv8i16_v4i32, ARM64_INS_SMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SMULLv8i8_v8i16, ARM64_INS_SMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv16i8, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv1i16, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv1i32, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv1i64, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv1i8, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv2i32, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv2i64, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv4i16, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv4i32, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv8i16, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQABSv8i8, ARM64_INS_SQABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv16i8, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv1i16, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv1i32, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv1i64, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv1i8, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv2i32, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv2i64, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv4i16, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv4i32, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv8i16, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQADDv8i8, ARM64_INS_SQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALi16, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALi32, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv1i32_indexed, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv1i64_indexed, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv2i32_indexed, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv2i32_v2i64, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv4i16_indexed, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv4i16_v4i32, ARM64_INS_SQDMLAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv4i32_indexed, ARM64_INS_SQDMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv4i32_v2i64, ARM64_INS_SQDMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv8i16_indexed, ARM64_INS_SQDMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLALv8i16_v4i32, ARM64_INS_SQDMLAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLi16, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLi32, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv1i32_indexed, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv1i64_indexed, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv2i32_indexed, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv2i32_v2i64, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv4i16_indexed, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv4i16_v4i32, ARM64_INS_SQDMLSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv4i32_indexed, ARM64_INS_SQDMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv4i32_v2i64, ARM64_INS_SQDMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv8i16_indexed, ARM64_INS_SQDMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMLSLv8i16_v4i32, ARM64_INS_SQDMLSL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv1i16, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv1i16_indexed, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv1i32, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv1i32_indexed, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv2i32, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv2i32_indexed, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv4i16, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv4i16_indexed, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv4i32, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv4i32_indexed, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv8i16, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULHv8i16_indexed, ARM64_INS_SQDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLi16, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLi32, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv1i32_indexed, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv1i64_indexed, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv2i32_indexed, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv2i32_v2i64, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv4i16_indexed, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv4i16_v4i32, ARM64_INS_SQDMULL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv4i32_indexed, ARM64_INS_SQDMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv4i32_v2i64, ARM64_INS_SQDMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv8i16_indexed, ARM64_INS_SQDMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQDMULLv8i16_v4i32, ARM64_INS_SQDMULL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv16i8, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv1i16, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv1i32, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv1i64, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv1i8, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv2i32, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv2i64, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv4i16, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv4i32, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv8i16, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQNEGv8i8, ARM64_INS_SQNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv1i16, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv1i16_indexed, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv1i32, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv1i32_indexed, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv2i32, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv2i32_indexed, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv4i16, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv4i16_indexed, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv4i32, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv4i32_indexed, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv8i16, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRDMULHv8i16_indexed, ARM64_INS_SQRDMULH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv16i8, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv1i16, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv1i32, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv1i64, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv1i8, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv2i32, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv2i64, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv4i16, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv4i32, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv8i16, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHLv8i8, ARM64_INS_SQRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNb, ARM64_INS_SQRSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNh, ARM64_INS_SQRSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNs, ARM64_INS_SQRSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNv16i8_shift, ARM64_INS_SQRSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNv2i32_shift, ARM64_INS_SQRSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNv4i16_shift, ARM64_INS_SQRSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNv4i32_shift, ARM64_INS_SQRSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNv8i16_shift, ARM64_INS_SQRSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRNv8i8_shift, ARM64_INS_SQRSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNb, ARM64_INS_SQRSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNh, ARM64_INS_SQRSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNs, ARM64_INS_SQRSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNv16i8_shift, ARM64_INS_SQRSHRUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNv2i32_shift, ARM64_INS_SQRSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNv4i16_shift, ARM64_INS_SQRSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNv4i32_shift, ARM64_INS_SQRSHRUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNv8i16_shift, ARM64_INS_SQRSHRUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQRSHRUNv8i8_shift, ARM64_INS_SQRSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUb, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUd, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUh, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUs, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv16i8_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv2i32_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv2i64_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv4i16_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv4i32_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv8i16_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLUv8i8_shift, ARM64_INS_SQSHLU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLb, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLd, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLh, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLs, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv16i8, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv16i8_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv1i16, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv1i32, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv1i64, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv1i8, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv2i32, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv2i32_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv2i64, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv2i64_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv4i16, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv4i16_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv4i32, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv4i32_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv8i16, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv8i16_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv8i8, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHLv8i8_shift, ARM64_INS_SQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNb, ARM64_INS_SQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNh, ARM64_INS_SQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNs, ARM64_INS_SQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNv16i8_shift, ARM64_INS_SQSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNv2i32_shift, ARM64_INS_SQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNv4i16_shift, ARM64_INS_SQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNv4i32_shift, ARM64_INS_SQSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNv8i16_shift, ARM64_INS_SQSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRNv8i8_shift, ARM64_INS_SQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNb, ARM64_INS_SQSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNh, ARM64_INS_SQSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNs, ARM64_INS_SQSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNv16i8_shift, ARM64_INS_SQSHRUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNv2i32_shift, ARM64_INS_SQSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNv4i16_shift, ARM64_INS_SQSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNv4i32_shift, ARM64_INS_SQSHRUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNv8i16_shift, ARM64_INS_SQSHRUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSHRUNv8i8_shift, ARM64_INS_SQSHRUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv16i8, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv1i16, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv1i32, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv1i64, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv1i8, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv2i32, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv2i64, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv4i16, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv4i32, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv8i16, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQSUBv8i8, ARM64_INS_SQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv16i8, ARM64_INS_SQXTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv1i16, ARM64_INS_SQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv1i32, ARM64_INS_SQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv1i8, ARM64_INS_SQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv2i32, ARM64_INS_SQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv4i16, ARM64_INS_SQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv4i32, ARM64_INS_SQXTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv8i16, ARM64_INS_SQXTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTNv8i8, ARM64_INS_SQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv16i8, ARM64_INS_SQXTUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv1i16, ARM64_INS_SQXTUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv1i32, ARM64_INS_SQXTUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv1i8, ARM64_INS_SQXTUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv2i32, ARM64_INS_SQXTUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv4i16, ARM64_INS_SQXTUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv4i32, ARM64_INS_SQXTUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv8i16, ARM64_INS_SQXTUN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SQXTUNv8i8, ARM64_INS_SQXTUN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRHADDv16i8, ARM64_INS_SRHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRHADDv2i32, ARM64_INS_SRHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRHADDv4i16, ARM64_INS_SRHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRHADDv4i32, ARM64_INS_SRHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRHADDv8i16, ARM64_INS_SRHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRHADDv8i8, ARM64_INS_SRHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRId, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv16i8_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv2i32_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv2i64_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv4i16_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv4i32_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv8i16_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRIv8i8_shift, ARM64_INS_SRI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv16i8, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv1i64, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv2i32, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv2i64, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv4i16, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv4i32, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv8i16, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHLv8i8, ARM64_INS_SRSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRd, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv16i8_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv2i32_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv2i64_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv4i16_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv4i32_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv8i16_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSHRv8i8_shift, ARM64_INS_SRSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAd, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv16i8_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv2i32_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv2i64_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv4i16_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv4i32_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv8i16_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SRSRAv8i8_shift, ARM64_INS_SRSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLLv16i8_shift, ARM64_INS_SSHLL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLLv2i32_shift, ARM64_INS_SSHLL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLLv4i16_shift, ARM64_INS_SSHLL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLLv4i32_shift, ARM64_INS_SSHLL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLLv8i16_shift, ARM64_INS_SSHLL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLLv8i8_shift, ARM64_INS_SSHLL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv16i8, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv1i64, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv2i32, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv2i64, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv4i16, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv4i32, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv8i16, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHLv8i8, ARM64_INS_SSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRd, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv16i8_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv2i32_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv2i64_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv4i16_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv4i32_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv8i16_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSHRv8i8_shift, ARM64_INS_SSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAd, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv16i8_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv2i32_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv2i64_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv4i16_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv4i32_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv8i16_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSRAv8i8_shift, ARM64_INS_SSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBLv16i8_v8i16, ARM64_INS_SSUBL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBLv2i32_v2i64, ARM64_INS_SSUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBLv4i16_v4i32, ARM64_INS_SSUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBLv4i32_v2i64, ARM64_INS_SSUBL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBLv8i16_v4i32, ARM64_INS_SSUBL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBLv8i8_v8i16, ARM64_INS_SSUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBWv16i8_v8i16, ARM64_INS_SSUBW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBWv2i32_v2i64, ARM64_INS_SSUBW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBWv4i16_v4i32, ARM64_INS_SSUBW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBWv4i32_v2i64, ARM64_INS_SSUBW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBWv8i16_v4i32, ARM64_INS_SSUBW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SSUBWv8i8_v8i16, ARM64_INS_SSUBW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv16b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv16b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv1d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv1d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv2d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv2d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv2s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv2s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv4h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv4h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv4s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv4s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv8b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv8b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv8h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Fourv8h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev16b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev16b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev1d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev1d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev2d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev2d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev2s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev2s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev4h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev4h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev4s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev4s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev8b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev8b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev8h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Onev8h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev16b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev16b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev1d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev1d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev2d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev2d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev2s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev2s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev4h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev4h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev4s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev4s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev8b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev8b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev8h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Threev8h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov16b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov16b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov1d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov1d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov2d, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov2d_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov2s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov2s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov4h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov4h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov4s, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov4s_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov8b, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov8b_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov8h, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1Twov8h_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i16, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i16_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i32, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i32_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i64, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i64_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i8, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST1i8_POST, ARM64_INS_ST1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov16b, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov16b_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov2d, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov2d_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov2s, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov2s_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov4h, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov4h_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov4s, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov4s_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov8b, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov8b_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov8h, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2Twov8h_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i16, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i16_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i32, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i32_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i64, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i64_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i8, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST2i8_POST, ARM64_INS_ST2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev16b, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev16b_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev2d, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev2d_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev2s, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev2s_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev4h, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev4h_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev4s, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev4s_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev8b, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev8b_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev8h, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3Threev8h_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i16, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i16_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i32, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i32_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i64, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i64_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i8, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST3i8_POST, ARM64_INS_ST3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv16b, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv16b_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv2d, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv2d_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv2s, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv2s_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv4h, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv4h_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv4s, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv4s_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv8b, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv8b_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv8h, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4Fourv8h_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i16, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i16_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i32, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i32_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i64, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i64_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i8, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ST4i8_POST, ARM64_INS_ST4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLRB, ARM64_INS_STLRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLRH, ARM64_INS_STLRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLRW, ARM64_INS_STLR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLRX, ARM64_INS_STLR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLXPW, ARM64_INS_STLXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLXPX, ARM64_INS_STLXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLXRB, ARM64_INS_STLXRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLXRH, ARM64_INS_STLXRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLXRW, ARM64_INS_STLXR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STLXRX, ARM64_INS_STLXR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STNPDi, ARM64_INS_STNP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STNPQi, ARM64_INS_STNP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STNPSi, ARM64_INS_STNP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STNPWi, ARM64_INS_STNP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STNPXi, ARM64_INS_STNP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPDi, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPDpost, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPDpre, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPQi, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPQpost, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPQpre, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPSi, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPSpost, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPSpre, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPWi, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPWpost, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPWpre, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPXi, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPXpost, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STPXpre, ARM64_INS_STP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBBpost, ARM64_INS_STRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBBpre, ARM64_INS_STRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBBroW, ARM64_INS_STRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBBroX, ARM64_INS_STRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBBui, ARM64_INS_STRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRBui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRDpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRDpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRDroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRDroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRDui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHHpost, ARM64_INS_STRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHHpre, ARM64_INS_STRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHHroW, ARM64_INS_STRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHHroX, ARM64_INS_STRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHHui, ARM64_INS_STRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRHui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRQpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRQpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRQroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRQroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRQui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRSpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRSpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRSroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRSroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRSui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRWpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRWpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRWroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRWroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRWui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRXpost, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRXpre, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRXroW, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRXroX, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STRXui, ARM64_INS_STR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STTRBi, ARM64_INS_STTRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STTRHi, ARM64_INS_STTRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STTRWi, ARM64_INS_STTR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STTRXi, ARM64_INS_STTR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURBBi, ARM64_INS_STURB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURBi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURDi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURHHi, ARM64_INS_STURH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURHi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURQi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURSi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURWi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STURXi, ARM64_INS_STUR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STXPW, ARM64_INS_STXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STXPX, ARM64_INS_STXP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STXRB, ARM64_INS_STXRB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STXRH, ARM64_INS_STXRH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STXRW, ARM64_INS_STXR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_STXRX, ARM64_INS_STXR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBHNv2i64_v2i32, ARM64_INS_SUBHN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBHNv2i64_v4i32, ARM64_INS_SUBHN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBHNv4i32_v4i16, ARM64_INS_SUBHN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBHNv4i32_v8i16, ARM64_INS_SUBHN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBHNv8i16_v16i8, ARM64_INS_SUBHN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBHNv8i16_v8i8, ARM64_INS_SUBHN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSWri, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSWrs, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSWrx, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSXri, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSXrs, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSXrx, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBSXrx64, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBWri, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBWrs, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBWrx, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBXri, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBXrs, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBXrx, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBXrx64, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv16i8, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv1i64, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv2i32, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv2i64, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv4i16, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv4i32, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv8i16, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUBv8i8, ARM64_INS_SUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv16i8, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv1i16, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv1i32, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv1i64, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv1i8, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv2i32, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv2i64, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv4i16, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv4i32, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv8i16, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SUQADDv8i8, ARM64_INS_SUQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SVC, ARM64_INS_SVC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SYSLxt, ARM64_INS_SYSL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_SYSxt, ARM64_INS_SYS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv16i8Four, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv16i8One, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv16i8Three, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv16i8Two, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv8i8Four, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv8i8One, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv8i8Three, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBLv8i8Two, ARM64_INS_TBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TBNZW, ARM64_INS_TBNZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_SMINPvvv_16B, ARM64_INS_SMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINPvvv_2S, ARM64_INS_SMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINPvvv_4H, ARM64_INS_SMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINPvvv_4S, ARM64_INS_SMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINPvvv_8B, ARM64_INS_SMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINPvvv_8H, ARM64_INS_SMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINV_1b16b, ARM64_INS_SMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINV_1b8b, ARM64_INS_SMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINV_1h4h, ARM64_INS_SMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINV_1h8h, ARM64_INS_SMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINV_1s4s, ARM64_INS_SMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINvvv_16B, ARM64_INS_SMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINvvv_2S, ARM64_INS_SMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINvvv_4H, ARM64_INS_SMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINvvv_4S, ARM64_INS_SMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINvvv_8B, ARM64_INS_SMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMINvvv_8H, ARM64_INS_SMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLAL2vvv_2d4s, ARM64_INS_SMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLAL2vvv_4s8h, ARM64_INS_SMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLAL2vvv_8h16b, ARM64_INS_SMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvve_2d2s, ARM64_INS_SMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvve_2d4s, ARM64_INS_SMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvve_4s4h, ARM64_INS_SMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvve_4s8h, ARM64_INS_SMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvvv_2d2s, ARM64_INS_SMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvvv_4s4h, ARM64_INS_SMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLALvvv_8h8b, ARM64_INS_SMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSL2vvv_2d4s, ARM64_INS_SMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSL2vvv_4s8h, ARM64_INS_SMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSL2vvv_8h16b, ARM64_INS_SMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvve_2d2s, ARM64_INS_SMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvve_2d4s, ARM64_INS_SMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvve_4s4h, ARM64_INS_SMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvve_4s8h, ARM64_INS_SMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvvv_2d2s, ARM64_INS_SMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvvv_4s4h, ARM64_INS_SMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMLSLvvv_8h8b, ARM64_INS_SMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMOVwb, ARM64_INS_SMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMOVwh, ARM64_INS_SMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMOVxb, ARM64_INS_SMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMOVxh, ARM64_INS_SMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMOVxs, ARM64_INS_SMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMSUBLxwwx, ARM64_INS_SMSUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULHxxx, ARM64_INS_SMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULL2vvv_2d4s, ARM64_INS_SMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULL2vvv_4s8h, ARM64_INS_SMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULL2vvv_8h16b, ARM64_INS_SMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLve_2d2s, ARM64_INS_SMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLve_2d4s, ARM64_INS_SMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLve_4s4h, ARM64_INS_SMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLve_4s8h, ARM64_INS_SMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLvvv_2d2s, ARM64_INS_SMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLvvv_4s4h, ARM64_INS_SMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SMULLvvv_8h8b, ARM64_INS_SMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS16b, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS2d, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS2s, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS4h, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS4s, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS8b, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABS8h, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABSbb, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABSdd, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABShh, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQABSss, ARM64_INS_SQABS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDbbb, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDddd, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDhhh, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDsss, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_16B, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_2D, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_2S, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_4H, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_4S, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_8B, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQADDvvv_8H, ARM64_INS_SQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLAL2vvv_2d4s, ARM64_INS_SQDMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLAL2vvv_4s8h, ARM64_INS_SQDMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALdss, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALdsv_2S, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALdsv_4S, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALshh, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALshv_4H, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALshv_8H, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALvve_2d2s, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALvve_2d4s, ARM64_INS_SQDMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALvve_4s4h, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALvve_4s8h, ARM64_INS_SQDMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALvvv_2d2s, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLALvvv_4s4h, ARM64_INS_SQDMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSL2vvv_2d4s, ARM64_INS_SQDMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSL2vvv_4s8h, ARM64_INS_SQDMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLdss, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLdsv_2S, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLdsv_4S, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLshh, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLshv_4H, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLshv_8H, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLvve_2d2s, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLvve_2d4s, ARM64_INS_SQDMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLvve_4s4h, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLvve_4s8h, ARM64_INS_SQDMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLvvv_2d2s, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMLSLvvv_4s4h, ARM64_INS_SQDMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHhhh, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHhhv_4H, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHhhv_8H, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHsss, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHssv_2S, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHssv_4S, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHve_2s4s, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHve_4h8h, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHve_4s4s, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHve_8h8h, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHvvv_2S, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHvvv_4H, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHvvv_4S, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULHvvv_8H, ARM64_INS_SQDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULL2vvv_2d4s, ARM64_INS_SQDMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULL2vvv_4s8h, ARM64_INS_SQDMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLdss, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLdsv_2S, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLdsv_4S, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLshh, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLshv_4H, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLshv_8H, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLve_2d2s, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLve_2d4s, ARM64_INS_SQDMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLve_4s4h, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLve_4s8h, ARM64_INS_SQDMULL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLvvv_2d2s, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQDMULLvvv_4s4h, ARM64_INS_SQDMULL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG16b, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG2d, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG2s, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG4h, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG4s, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG8b, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEG8h, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEGbb, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEGdd, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEGhh, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQNEGss, ARM64_INS_SQNEG,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHhhh, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHhhv_4H, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHhhv_8H, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHsss, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHssv_2S, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHssv_4S, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHve_2s4s, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHve_4h8h, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHve_4s4s, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHve_8h8h, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHvvv_2S, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHvvv_4H, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHvvv_4S, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRDMULHvvv_8H, ARM64_INS_SQRDMULH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLbbb, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLddd, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLhhh, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLsss, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_16B, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_2D, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_2S, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_4H, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_4S, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_8B, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHLvvv_8H, ARM64_INS_SQRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNbhi, ARM64_INS_SQRSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNhsi, ARM64_INS_SQRSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNsdi, ARM64_INS_SQRSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNvvi_16B, ARM64_INS_SQRSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNvvi_2S, ARM64_INS_SQRSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNvvi_4H, ARM64_INS_SQRSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNvvi_4S, ARM64_INS_SQRSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNvvi_8B, ARM64_INS_SQRSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRNvvi_8H, ARM64_INS_SQRSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRUNbhi, ARM64_INS_SQRSHRUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRUNhsi, ARM64_INS_SQRSHRUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQRSHRUNsdi, ARM64_INS_SQRSHRUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUbbi, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUddi, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUhhi, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUssi, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_16B, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_2D, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_2S, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_4H, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_4S, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_8B, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLUvvi_8H, ARM64_INS_SQSHLU,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLbbb, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLbbi, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLddd, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLddi, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLhhh, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLhhi, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLssi, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLsss, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_16B, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_2D, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_2S, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_4H, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_4S, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_8B, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvi_8H, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_16B, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_2D, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_2S, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_4H, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_4S, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_8B, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHLvvv_8H, ARM64_INS_SQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNbhi, ARM64_INS_SQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNhsi, ARM64_INS_SQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNsdi, ARM64_INS_SQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNvvi_16B, ARM64_INS_SQSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNvvi_2S, ARM64_INS_SQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNvvi_4H, ARM64_INS_SQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNvvi_4S, ARM64_INS_SQSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNvvi_8B, ARM64_INS_SQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRNvvi_8H, ARM64_INS_SQSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRUNbhi, ARM64_INS_SQSHRUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRUNhsi, ARM64_INS_SQSHRUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSHRUNsdi, ARM64_INS_SQSHRUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBbbb, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBddd, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBhhh, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBsss, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_16B, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_2D, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_2S, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_4H, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_4S, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_8B, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQSUBvvv_8H, ARM64_INS_SQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTN2d2s, ARM64_INS_SQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTN2d4s, ARM64_INS_SQXTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTN4s4h, ARM64_INS_SQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTN4s8h, ARM64_INS_SQXTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTN8h16b, ARM64_INS_SQXTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTN8h8b, ARM64_INS_SQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTNbh, ARM64_INS_SQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTNhs, ARM64_INS_SQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTNsd, ARM64_INS_SQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUN2d2s, ARM64_INS_SQXTUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUN2d4s, ARM64_INS_SQXTUN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUN4s4h, ARM64_INS_SQXTUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUN4s8h, ARM64_INS_SQXTUN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUN8h16b, ARM64_INS_SQXTUN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUN8h8b, ARM64_INS_SQXTUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUNbh, ARM64_INS_SQXTUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUNhs, ARM64_INS_SQXTUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SQXTUNsd, ARM64_INS_SQXTUN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRHADDvvv_16B, ARM64_INS_SRHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRHADDvvv_2S, ARM64_INS_SRHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRHADDvvv_4H, ARM64_INS_SRHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRHADDvvv_4S, ARM64_INS_SRHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRHADDvvv_8B, ARM64_INS_SRHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRHADDvvv_8H, ARM64_INS_SRHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRI, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_16B, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_2D, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_2S, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_4H, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_4S, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_8B, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRIvvi_8H, ARM64_INS_SRI,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLddd, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_16B, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_2D, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_2S, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_4H, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_4S, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_8B, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHLvvv_8H, ARM64_INS_SRSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRddi, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_16B, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_2D, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_2S, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_4H, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_4S, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_8B, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSHRvvi_8H, ARM64_INS_SRSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRA, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_16B, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_2D, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_2S, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_4H, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_4S, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_8B, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SRSRAvvi_8H, ARM64_INS_SRSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLLvvi_16B, ARM64_INS_SSHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLLvvi_2S, ARM64_INS_SSHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLLvvi_4H, ARM64_INS_SSHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLLvvi_4S, ARM64_INS_SSHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLLvvi_8B, ARM64_INS_SSHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLLvvi_8H, ARM64_INS_SSHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLddd, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_16B, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_2D, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_2S, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_4H, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_4S, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_8B, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHLvvv_8H, ARM64_INS_SSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRddi, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_16B, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_2D, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_2S, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_4H, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_4S, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_8B, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSHRvvi_8H, ARM64_INS_SSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRA, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_16B, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_2D, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_2S, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_4H, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_4S, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_8B, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSRAvvi_8H, ARM64_INS_SSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBL2vvv_2d4s, ARM64_INS_SSUBL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBL2vvv_4s8h, ARM64_INS_SSUBL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBL2vvv_8h16b, ARM64_INS_SSUBL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBLvvv_2d2s, ARM64_INS_SSUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBLvvv_4s4h, ARM64_INS_SSUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBLvvv_8h8b, ARM64_INS_SSUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBW2vvv_2d4s, ARM64_INS_SSUBW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBW2vvv_4s8h, ARM64_INS_SSUBW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBW2vvv_8h16b, ARM64_INS_SSUBW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBWvvv_2d2s, ARM64_INS_SSUBW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBWvvv_4s4h, ARM64_INS_SSUBW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SSUBWvvv_8h8b, ARM64_INS_SSUBW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1LN_WB_S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_16B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_16B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_1D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_1D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_2D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_2D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_2S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_2S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_4H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_4H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_4S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_4S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_8B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_8B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_8H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1WB_8H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_16B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_1D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_2D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_2S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_4H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_4S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_8B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1_8H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_16B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_16B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_1D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_1D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_2D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_2D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_2S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_2S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_4H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_4H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_4S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_4S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_8B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_8B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_8H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2WB_8H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_16B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_1D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_2D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_2S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_4H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_4S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_8B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x2_8H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_16B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_16B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_1D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_1D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_2D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_2D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_2S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_2S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_4H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_4H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_4S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_4S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_8B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_8B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_8H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3WB_8H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_16B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_1D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_2D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_2S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_4H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_4S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_8B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x3_8H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_16B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_16B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_1D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_1D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_2D_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_2D_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_2S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_2S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_4H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_4H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_4S_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_4S_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_8B_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_8B_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_8H_fixed, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4WB_8H_register, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_16B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_1D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_2D, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_2S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_4H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_4S, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_8B, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST1x4_8H, ARM64_INS_ST1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_B, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_D, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_H, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_S, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_B_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_B_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_D_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_D_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_H_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_H_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_S_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2LN_WB_S_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_16B_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_16B_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_2D_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_2D_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_2S_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_2S_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_4H_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_4H_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_4S_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_4S_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_8B_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_8B_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_8H_fixed, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2WB_8H_register, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_16B, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_2D, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_2S, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_4H, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_4S, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_8B, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST2_8H, ARM64_INS_ST2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_B, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_D, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_H, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_S, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_B_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_B_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_D_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_D_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_H_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_H_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_S_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3LN_WB_S_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_16B_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_16B_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_2D_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_2D_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_2S_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_2S_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_4H_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_4H_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_4S_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_4S_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_8B_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_8B_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_8H_fixed, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3WB_8H_register, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_16B, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_2D, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_2S, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_4H, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_4S, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_8B, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST3_8H, ARM64_INS_ST3,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_B, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_D, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_H, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_S, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_B_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_B_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_D_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_D_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_H_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_H_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_S_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4LN_WB_S_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_16B_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_16B_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_2D_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_2D_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_2S_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_2S_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_4H_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_4H_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_4S_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_4S_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_8B_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_8B_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_8H_fixed, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4WB_8H_register, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_16B, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_2D, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_2S, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_4H, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_4S, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_8B, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_ST4_8H, ARM64_INS_ST4,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLR_byte, ARM64_INS_STLRB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLR_dword, ARM64_INS_STLR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLR_hword, ARM64_INS_STLRH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLR_word, ARM64_INS_STLR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLXP_dword, ARM64_INS_STLXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLXP_word, ARM64_INS_STLXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLXR_byte, ARM64_INS_STLXRB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLXR_dword, ARM64_INS_STLXR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLXR_hword, ARM64_INS_STLXRH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STLXR_word, ARM64_INS_STLXR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STXP_dword, ARM64_INS_STXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STXP_word, ARM64_INS_STXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STXR_byte, ARM64_INS_STXRB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STXR_dword, ARM64_INS_STXR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STXR_hword, ARM64_INS_STXRH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_STXR_word, ARM64_INS_STXR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBHN2vvv_16b8h, ARM64_INS_SUBHN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBHN2vvv_4s2d, ARM64_INS_SUBHN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBHN2vvv_8h4s, ARM64_INS_SUBHN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBHNvvv_2s2d, ARM64_INS_SUBHN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBHNvvv_4h4s, ARM64_INS_SUBHN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBHNvvv_8b8h, ARM64_INS_SUBHN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_asr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_lsl, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_lsr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_sxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_sxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_sxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_sxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_uxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_uxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_uxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSwww_uxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxw_sxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxw_sxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxw_sxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxw_uxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxw_uxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxw_uxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxx_asr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxx_lsl, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxx_lsr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxx_sxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBSxxx_uxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBddd, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_16B, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_2D, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_2S, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_4H, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_4S, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_8B, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBvvv_8H, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwwi_lsl0_S, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwwi_lsl0_cmp, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwwi_lsl0_s, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwwi_lsl12_S, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwwi_lsl12_cmp, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwwi_lsl12_s, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_asr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_lsl, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_lsr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_sxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_sxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_sxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_sxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_uxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_uxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_uxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBwww_uxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxi_lsl0_S, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxi_lsl0_cmp, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxi_lsl0_s, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxi_lsl12_S, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxi_lsl12_cmp, ARM64_INS_CMP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxi_lsl12_s, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxw_sxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxw_sxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxw_sxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxw_uxtb, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxw_uxth, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxw_uxtw, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxx_asr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxx_lsl, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxx_lsr, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxx_sxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUBxxx_uxtx, ARM64_INS_SUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD16b, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD2d, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD2s, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD4h, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD4s, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD8b, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADD8h, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADDbb, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADDdd, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADDhh, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SUQADDss, ARM64_INS_SUQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SVCi, ARM64_INS_SVC,
+		AArch64_TBNZX, ARM64_INS_TBNZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_SXTBww, ARM64_INS_SXTB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SXTBxw, ARM64_INS_SXTB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SXTHww, ARM64_INS_SXTH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SXTHxw, ARM64_INS_SXTH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SXTWxw, ARM64_INS_SXTW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SYSLxicci, ARM64_INS_SYSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_SYSiccix, ARM64_INS_SYS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TBL1_16b, ARM64_INS_TBL,
+		AArch64_TBXv16i8Four, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL1_8b, ARM64_INS_TBL,
+		AArch64_TBXv16i8One, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL2_16b, ARM64_INS_TBL,
+		AArch64_TBXv16i8Three, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL2_8b, ARM64_INS_TBL,
+		AArch64_TBXv16i8Two, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL3_16b, ARM64_INS_TBL,
+		AArch64_TBXv8i8Four, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL3_8b, ARM64_INS_TBL,
+		AArch64_TBXv8i8One, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL4_16b, ARM64_INS_TBL,
+		AArch64_TBXv8i8Three, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBL4_8b, ARM64_INS_TBL,
+		AArch64_TBXv8i8Two, ARM64_INS_TBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBNZwii, ARM64_INS_TBNZ,
+		AArch64_TBZW, ARM64_INS_TBZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_TBNZxii, ARM64_INS_TBNZ,
+		AArch64_TBZX, ARM64_INS_TBZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		AArch64_TBX1_16b, ARM64_INS_TBX,
+		AArch64_TRN1v16i8, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX1_8b, ARM64_INS_TBX,
+		AArch64_TRN1v2i32, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX2_16b, ARM64_INS_TBX,
+		AArch64_TRN1v2i64, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX2_8b, ARM64_INS_TBX,
+		AArch64_TRN1v4i16, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX3_16b, ARM64_INS_TBX,
+		AArch64_TRN1v4i32, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX3_8b, ARM64_INS_TBX,
+		AArch64_TRN1v8i16, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX4_16b, ARM64_INS_TBX,
+		AArch64_TRN1v8i8, ARM64_INS_TRN1,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBX4_8b, ARM64_INS_TBX,
+		AArch64_TRN2v16i8, ARM64_INS_TRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBZwii, ARM64_INS_TBZ,
+		AArch64_TRN2v2i32, ARM64_INS_TRN2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TBZxii, ARM64_INS_TBZ,
+		AArch64_TRN2v2i64, ARM64_INS_TRN2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 1, 0
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TLBIi, ARM64_INS_TLBI,
+		AArch64_TRN2v4i16, ARM64_INS_TRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TRN2v4i32, ARM64_INS_TRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TRN2v8i16, ARM64_INS_TRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_TRN2v8i8, ARM64_INS_TRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABALv16i8_v8i16, ARM64_INS_UABAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABALv2i32_v2i64, ARM64_INS_UABAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABALv4i16_v4i32, ARM64_INS_UABAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABALv4i32_v2i64, ARM64_INS_UABAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABALv8i16_v4i32, ARM64_INS_UABAL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABALv8i8_v8i16, ARM64_INS_UABAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABAv16i8, ARM64_INS_UABA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABAv2i32, ARM64_INS_UABA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABAv4i16, ARM64_INS_UABA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABAv4i32, ARM64_INS_UABA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABAv8i16, ARM64_INS_UABA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABAv8i8, ARM64_INS_UABA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDLv16i8_v8i16, ARM64_INS_UABDL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDLv2i32_v2i64, ARM64_INS_UABDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDLv4i16_v4i32, ARM64_INS_UABDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDLv4i32_v2i64, ARM64_INS_UABDL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDLv8i16_v4i32, ARM64_INS_UABDL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDLv8i8_v8i16, ARM64_INS_UABDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDv16i8, ARM64_INS_UABD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDv2i32, ARM64_INS_UABD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDv4i16, ARM64_INS_UABD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDv4i32, ARM64_INS_UABD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDv8i16, ARM64_INS_UABD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UABDv8i8, ARM64_INS_UABD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADALPv16i8_v8i16, ARM64_INS_UADALP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADALPv2i32_v1i64, ARM64_INS_UADALP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADALPv4i16_v2i32, ARM64_INS_UADALP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADALPv4i32_v2i64, ARM64_INS_UADALP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADALPv8i16_v4i32, ARM64_INS_UADALP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADALPv8i8_v4i16, ARM64_INS_UADALP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLPv16i8_v8i16, ARM64_INS_UADDLP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLPv2i32_v1i64, ARM64_INS_UADDLP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLPv4i16_v2i32, ARM64_INS_UADDLP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLPv4i32_v2i64, ARM64_INS_UADDLP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLPv8i16_v4i32, ARM64_INS_UADDLP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLPv8i8_v4i16, ARM64_INS_UADDLP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLVv16i8v, ARM64_INS_UADDLV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLVv4i16v, ARM64_INS_UADDLV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLVv4i32v, ARM64_INS_UADDLV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLVv8i16v, ARM64_INS_UADDLV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLVv8i8v, ARM64_INS_UADDLV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLv16i8_v8i16, ARM64_INS_UADDL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLv2i32_v2i64, ARM64_INS_UADDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLv4i16_v4i32, ARM64_INS_UADDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLv4i32_v2i64, ARM64_INS_UADDL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLv8i16_v4i32, ARM64_INS_UADDL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDLv8i8_v8i16, ARM64_INS_UADDL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDWv16i8_v8i16, ARM64_INS_UADDW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDWv2i32_v2i64, ARM64_INS_UADDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDWv4i16_v4i32, ARM64_INS_UADDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDWv4i32_v2i64, ARM64_INS_UADDW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDWv8i16_v4i32, ARM64_INS_UADDW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UADDWv8i8_v8i16, ARM64_INS_UADDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UBFMWri, ARM64_INS_UBFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TLBIix, ARM64_INS_TLBI,
+		AArch64_UBFMXri, ARM64_INS_UBFM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_TRN1vvv_16b, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN1vvv_2d, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN1vvv_2s, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN1vvv_4h, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN1vvv_4s, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN1vvv_8b, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN1vvv_8h, ARM64_INS_TRN1,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_16b, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_2d, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_2s, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_4h, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_4s, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_8b, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TRN2vvv_8h, ARM64_INS_TRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTww_asr, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTww_lsl, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTww_lsr, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTww_ror, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTxx_asr, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTxx_lsl, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTxx_lsr, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_TSTxx_ror, ARM64_INS_TST,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { ARM64_REG_NZCV, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAL2vvv_2d2s, ARM64_INS_UABAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAL2vvv_4s4h, ARM64_INS_UABAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAL2vvv_8h8b, ARM64_INS_UABAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABALvvv_2d2s, ARM64_INS_UABAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABALvvv_4s4h, ARM64_INS_UABAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABALvvv_8h8b, ARM64_INS_UABAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAvvv_16B, ARM64_INS_UABA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAvvv_2S, ARM64_INS_UABA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAvvv_4H, ARM64_INS_UABA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAvvv_4S, ARM64_INS_UABA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAvvv_8B, ARM64_INS_UABA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABAvvv_8H, ARM64_INS_UABA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDL2vvv_2d2s, ARM64_INS_UABDL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDL2vvv_4s4h, ARM64_INS_UABDL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDL2vvv_8h8b, ARM64_INS_UABDL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDLvvv_2d2s, ARM64_INS_UABDL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDLvvv_4s4h, ARM64_INS_UABDL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDLvvv_8h8b, ARM64_INS_UABDL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDvvv_16B, ARM64_INS_UABD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDvvv_2S, ARM64_INS_UABD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDvvv_4H, ARM64_INS_UABD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDvvv_4S, ARM64_INS_UABD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDvvv_8B, ARM64_INS_UABD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UABDvvv_8H, ARM64_INS_UABD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADALP16b8h, ARM64_INS_UADALP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADALP2s1d, ARM64_INS_UADALP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADALP4h2s, ARM64_INS_UADALP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADALP4s2d, ARM64_INS_UADALP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADALP8b4h, ARM64_INS_UADALP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADALP8h4s, ARM64_INS_UADALP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDL2vvv_2d4s, ARM64_INS_UADDL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDL2vvv_4s8h, ARM64_INS_UADDL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDL2vvv_8h16b, ARM64_INS_UADDL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLP16b8h, ARM64_INS_UADDLP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLP2s1d, ARM64_INS_UADDLP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLP4h2s, ARM64_INS_UADDLP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLP4s2d, ARM64_INS_UADDLP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLP8b4h, ARM64_INS_UADDLP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLP8h4s, ARM64_INS_UADDLP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLV_1d4s, ARM64_INS_UADDLV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLV_1h16b, ARM64_INS_UADDLV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLV_1h8b, ARM64_INS_UADDLV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLV_1s4h, ARM64_INS_UADDLV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLV_1s8h, ARM64_INS_UADDLV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLvvv_2d2s, ARM64_INS_UADDL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLvvv_4s4h, ARM64_INS_UADDL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDLvvv_8h8b, ARM64_INS_UADDL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDW2vvv_2d4s, ARM64_INS_UADDW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDW2vvv_4s8h, ARM64_INS_UADDW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDW2vvv_8h16b, ARM64_INS_UADDW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDWvvv_2d2s, ARM64_INS_UADDW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDWvvv_4s4h, ARM64_INS_UADDW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UADDWvvv_8h8b, ARM64_INS_UADDW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UBFIZwwii, ARM64_INS_UBFIZ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UBFIZxxii, ARM64_INS_UBFIZ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UBFMwwii, ARM64_INS_UBFM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UBFMxxii, ARM64_INS_UBFM,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UBFXwwii, ARM64_INS_UBFX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UBFXxxii, ARM64_INS_UBFX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTF_2d, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTF_2s, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTF_4s, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTF_Nddi, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTF_Nssi, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTFdd, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTFdw, ARM64_INS_UCVTF,
+		AArch64_UCVTFSWDri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFdwi, ARM64_INS_UCVTF,
+		AArch64_UCVTFSWSri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFdx, ARM64_INS_UCVTF,
+		AArch64_UCVTFSXDri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFdxi, ARM64_INS_UCVTF,
+		AArch64_UCVTFSXSri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFss, ARM64_INS_UCVTF,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UCVTFsw, ARM64_INS_UCVTF,
+		AArch64_UCVTFUWDri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFswi, ARM64_INS_UCVTF,
+		AArch64_UCVTFUWSri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFsx, ARM64_INS_UCVTF,
+		AArch64_UCVTFUXDri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UCVTFsxi, ARM64_INS_UCVTF,
+		AArch64_UCVTFUXSri, ARM64_INS_UCVTF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_FPARMV8, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UDIVwww, ARM64_INS_UDIV,
+		AArch64_UCVTFd, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFs, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv1i32, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv1i64, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv2f32, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv2f64, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv2i32_shift, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv2i64_shift, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv4f32, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UCVTFv4i32_shift, ARM64_INS_UCVTF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UDIVWr, ARM64_INS_UDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UDIVxxx, ARM64_INS_UDIV,
+		AArch64_UDIVXr, ARM64_INS_UDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UHADDvvv_16B, ARM64_INS_UHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHADDvvv_2S, ARM64_INS_UHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHADDvvv_4H, ARM64_INS_UHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHADDvvv_4S, ARM64_INS_UHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHADDvvv_8B, ARM64_INS_UHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHADDvvv_8H, ARM64_INS_UHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHSUBvvv_16B, ARM64_INS_UHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHSUBvvv_2S, ARM64_INS_UHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHSUBvvv_4H, ARM64_INS_UHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHSUBvvv_4S, ARM64_INS_UHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHSUBvvv_8B, ARM64_INS_UHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UHSUBvvv_8H, ARM64_INS_UHSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMADDLxwwx, ARM64_INS_UMADDL,
+		AArch64_UDIV_IntWr, ARM64_INS_UDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMAXPvvv_16B, ARM64_INS_UMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXPvvv_2S, ARM64_INS_UMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXPvvv_4H, ARM64_INS_UMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXPvvv_4S, ARM64_INS_UMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXPvvv_8B, ARM64_INS_UMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXPvvv_8H, ARM64_INS_UMAXP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXV_1b16b, ARM64_INS_UMAXV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXV_1b8b, ARM64_INS_UMAXV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXV_1h4h, ARM64_INS_UMAXV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXV_1h8h, ARM64_INS_UMAXV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXV_1s4s, ARM64_INS_UMAXV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXvvv_16B, ARM64_INS_UMAX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXvvv_2S, ARM64_INS_UMAX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXvvv_4H, ARM64_INS_UMAX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXvvv_4S, ARM64_INS_UMAX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXvvv_8B, ARM64_INS_UMAX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMAXvvv_8H, ARM64_INS_UMAX,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINPvvv_16B, ARM64_INS_UMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINPvvv_2S, ARM64_INS_UMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINPvvv_4H, ARM64_INS_UMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINPvvv_4S, ARM64_INS_UMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINPvvv_8B, ARM64_INS_UMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINPvvv_8H, ARM64_INS_UMINP,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINV_1b16b, ARM64_INS_UMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINV_1b8b, ARM64_INS_UMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINV_1h4h, ARM64_INS_UMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINV_1h8h, ARM64_INS_UMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINV_1s4s, ARM64_INS_UMINV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINvvv_16B, ARM64_INS_UMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINvvv_2S, ARM64_INS_UMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINvvv_4H, ARM64_INS_UMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINvvv_4S, ARM64_INS_UMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINvvv_8B, ARM64_INS_UMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMINvvv_8H, ARM64_INS_UMIN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLAL2vvv_2d4s, ARM64_INS_UMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLAL2vvv_4s8h, ARM64_INS_UMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLAL2vvv_8h16b, ARM64_INS_UMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvve_2d2s, ARM64_INS_UMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvve_2d4s, ARM64_INS_UMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvve_4s4h, ARM64_INS_UMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvve_4s8h, ARM64_INS_UMLAL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvvv_2d2s, ARM64_INS_UMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvvv_4s4h, ARM64_INS_UMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLALvvv_8h8b, ARM64_INS_UMLAL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSL2vvv_2d4s, ARM64_INS_UMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSL2vvv_4s8h, ARM64_INS_UMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSL2vvv_8h16b, ARM64_INS_UMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvve_2d2s, ARM64_INS_UMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvve_2d4s, ARM64_INS_UMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvve_4s4h, ARM64_INS_UMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvve_4s8h, ARM64_INS_UMLSL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvvv_2d2s, ARM64_INS_UMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvvv_4s4h, ARM64_INS_UMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMLSLvvv_8h8b, ARM64_INS_UMLSL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMOVwb, ARM64_INS_UMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMOVwh, ARM64_INS_UMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMOVws, ARM64_INS_UMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMOVxd, ARM64_INS_UMOV,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UMSUBLxwwx, ARM64_INS_UMSUBL,
+		AArch64_UDIV_IntXr, ARM64_INS_UDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULHxxx, ARM64_INS_UMULH,
+		AArch64_UHADDv16i8, ARM64_INS_UHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHADDv2i32, ARM64_INS_UHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHADDv4i16, ARM64_INS_UHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHADDv4i32, ARM64_INS_UHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHADDv8i16, ARM64_INS_UHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHADDv8i8, ARM64_INS_UHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHSUBv16i8, ARM64_INS_UHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHSUBv2i32, ARM64_INS_UHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHSUBv4i16, ARM64_INS_UHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHSUBv4i32, ARM64_INS_UHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHSUBv8i16, ARM64_INS_UHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UHSUBv8i8, ARM64_INS_UHSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UMADDLrrr, ARM64_INS_UMADDL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULL2vvv_2d4s, ARM64_INS_UMULL2,
+		AArch64_UMAXPv16i8, ARM64_INS_UMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULL2vvv_4s8h, ARM64_INS_UMULL2,
+		AArch64_UMAXPv2i32, ARM64_INS_UMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULL2vvv_8h16b, ARM64_INS_UMULL2,
+		AArch64_UMAXPv4i16, ARM64_INS_UMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLve_2d2s, ARM64_INS_UMULL,
+		AArch64_UMAXPv4i32, ARM64_INS_UMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLve_2d4s, ARM64_INS_UMULL2,
+		AArch64_UMAXPv8i16, ARM64_INS_UMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLve_4s4h, ARM64_INS_UMULL,
+		AArch64_UMAXPv8i8, ARM64_INS_UMAXP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLve_4s8h, ARM64_INS_UMULL2,
+		AArch64_UMAXVv16i8v, ARM64_INS_UMAXV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLvvv_2d2s, ARM64_INS_UMULL,
+		AArch64_UMAXVv4i16v, ARM64_INS_UMAXV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLvvv_4s4h, ARM64_INS_UMULL,
+		AArch64_UMAXVv4i32v, ARM64_INS_UMAXV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UMULLvvv_8h8b, ARM64_INS_UMULL,
+		AArch64_UMAXVv8i16v, ARM64_INS_UMAXV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDbbb, ARM64_INS_UQADD,
+		AArch64_UMAXVv8i8v, ARM64_INS_UMAXV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDddd, ARM64_INS_UQADD,
+		AArch64_UMAXv16i8, ARM64_INS_UMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDhhh, ARM64_INS_UQADD,
+		AArch64_UMAXv2i32, ARM64_INS_UMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDsss, ARM64_INS_UQADD,
+		AArch64_UMAXv4i16, ARM64_INS_UMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_16B, ARM64_INS_UQADD,
+		AArch64_UMAXv4i32, ARM64_INS_UMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_2D, ARM64_INS_UQADD,
+		AArch64_UMAXv8i16, ARM64_INS_UMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_2S, ARM64_INS_UQADD,
+		AArch64_UMAXv8i8, ARM64_INS_UMAX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_4H, ARM64_INS_UQADD,
+		AArch64_UMINPv16i8, ARM64_INS_UMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_4S, ARM64_INS_UQADD,
+		AArch64_UMINPv2i32, ARM64_INS_UMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_8B, ARM64_INS_UQADD,
+		AArch64_UMINPv4i16, ARM64_INS_UMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQADDvvv_8H, ARM64_INS_UQADD,
+		AArch64_UMINPv4i32, ARM64_INS_UMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLbbb, ARM64_INS_UQRSHL,
+		AArch64_UMINPv8i16, ARM64_INS_UMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLddd, ARM64_INS_UQRSHL,
+		AArch64_UMINPv8i8, ARM64_INS_UMINP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLhhh, ARM64_INS_UQRSHL,
+		AArch64_UMINVv16i8v, ARM64_INS_UMINV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLsss, ARM64_INS_UQRSHL,
+		AArch64_UMINVv4i16v, ARM64_INS_UMINV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_16B, ARM64_INS_UQRSHL,
+		AArch64_UMINVv4i32v, ARM64_INS_UMINV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_2D, ARM64_INS_UQRSHL,
+		AArch64_UMINVv8i16v, ARM64_INS_UMINV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_2S, ARM64_INS_UQRSHL,
+		AArch64_UMINVv8i8v, ARM64_INS_UMINV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_4H, ARM64_INS_UQRSHL,
+		AArch64_UMINv16i8, ARM64_INS_UMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_4S, ARM64_INS_UQRSHL,
+		AArch64_UMINv2i32, ARM64_INS_UMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_8B, ARM64_INS_UQRSHL,
+		AArch64_UMINv4i16, ARM64_INS_UMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHLvvv_8H, ARM64_INS_UQRSHL,
+		AArch64_UMINv4i32, ARM64_INS_UMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNbhi, ARM64_INS_UQRSHRN,
+		AArch64_UMINv8i16, ARM64_INS_UMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNhsi, ARM64_INS_UQRSHRN,
+		AArch64_UMINv8i8, ARM64_INS_UMIN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNsdi, ARM64_INS_UQRSHRN,
+		AArch64_UMLALv16i8_v8i16, ARM64_INS_UMLAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNvvi_16B, ARM64_INS_UQRSHRN2,
+		AArch64_UMLALv2i32_indexed, ARM64_INS_UMLAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNvvi_2S, ARM64_INS_UQRSHRN,
+		AArch64_UMLALv2i32_v2i64, ARM64_INS_UMLAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNvvi_4H, ARM64_INS_UQRSHRN,
+		AArch64_UMLALv4i16_indexed, ARM64_INS_UMLAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNvvi_4S, ARM64_INS_UQRSHRN2,
+		AArch64_UMLALv4i16_v4i32, ARM64_INS_UMLAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNvvi_8B, ARM64_INS_UQRSHRN,
+		AArch64_UMLALv4i32_indexed, ARM64_INS_UMLAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQRSHRNvvi_8H, ARM64_INS_UQRSHRN2,
+		AArch64_UMLALv4i32_v2i64, ARM64_INS_UMLAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLbbb, ARM64_INS_UQSHL,
+		AArch64_UMLALv8i16_indexed, ARM64_INS_UMLAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLbbi, ARM64_INS_UQSHL,
+		AArch64_UMLALv8i16_v4i32, ARM64_INS_UMLAL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLddd, ARM64_INS_UQSHL,
+		AArch64_UMLALv8i8_v8i16, ARM64_INS_UMLAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLddi, ARM64_INS_UQSHL,
+		AArch64_UMLSLv16i8_v8i16, ARM64_INS_UMLSL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLhhh, ARM64_INS_UQSHL,
+		AArch64_UMLSLv2i32_indexed, ARM64_INS_UMLSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLhhi, ARM64_INS_UQSHL,
+		AArch64_UMLSLv2i32_v2i64, ARM64_INS_UMLSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLssi, ARM64_INS_UQSHL,
+		AArch64_UMLSLv4i16_indexed, ARM64_INS_UMLSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLsss, ARM64_INS_UQSHL,
+		AArch64_UMLSLv4i16_v4i32, ARM64_INS_UMLSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_16B, ARM64_INS_UQSHL,
+		AArch64_UMLSLv4i32_indexed, ARM64_INS_UMLSL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_2D, ARM64_INS_UQSHL,
+		AArch64_UMLSLv4i32_v2i64, ARM64_INS_UMLSL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_2S, ARM64_INS_UQSHL,
+		AArch64_UMLSLv8i16_indexed, ARM64_INS_UMLSL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_4H, ARM64_INS_UQSHL,
+		AArch64_UMLSLv8i16_v4i32, ARM64_INS_UMLSL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_4S, ARM64_INS_UQSHL,
+		AArch64_UMLSLv8i8_v8i16, ARM64_INS_UMLSL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_8B, ARM64_INS_UQSHL,
+		AArch64_UMOVvi16, ARM64_INS_UMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvi_8H, ARM64_INS_UQSHL,
+		AArch64_UMOVvi32, ARM64_INS_UMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvv_16B, ARM64_INS_UQSHL,
+		AArch64_UMOVvi64, ARM64_INS_UMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvv_2D, ARM64_INS_UQSHL,
+		AArch64_UMOVvi8, ARM64_INS_UMOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UQSHLvvv_2S, ARM64_INS_UQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHLvvv_4H, ARM64_INS_UQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHLvvv_4S, ARM64_INS_UQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHLvvv_8B, ARM64_INS_UQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHLvvv_8H, ARM64_INS_UQSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNbhi, ARM64_INS_UQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNhsi, ARM64_INS_UQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNsdi, ARM64_INS_UQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNvvi_16B, ARM64_INS_UQSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNvvi_2S, ARM64_INS_UQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNvvi_4H, ARM64_INS_UQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNvvi_4S, ARM64_INS_UQSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNvvi_8B, ARM64_INS_UQSHRN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSHRNvvi_8H, ARM64_INS_UQSHRN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBbbb, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBddd, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBhhh, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBsss, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_16B, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_2D, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_2S, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_4H, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_4S, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_8B, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQSUBvvv_8H, ARM64_INS_UQSUB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTN2d2s, ARM64_INS_UQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTN2d4s, ARM64_INS_UQXTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTN4s4h, ARM64_INS_UQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTN4s8h, ARM64_INS_UQXTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTN8h16b, ARM64_INS_UQXTN2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTN8h8b, ARM64_INS_UQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTNbh, ARM64_INS_UQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTNhs, ARM64_INS_UQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UQXTNsd, ARM64_INS_UQXTN,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URECPE2s, ARM64_INS_URECPE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URECPE4s, ARM64_INS_URECPE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URHADDvvv_16B, ARM64_INS_URHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URHADDvvv_2S, ARM64_INS_URHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URHADDvvv_4H, ARM64_INS_URHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URHADDvvv_4S, ARM64_INS_URHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URHADDvvv_8B, ARM64_INS_URHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URHADDvvv_8H, ARM64_INS_URHADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLddd, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_16B, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_2D, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_2S, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_4H, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_4S, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_8B, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHLvvv_8H, ARM64_INS_URSHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRddi, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_16B, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_2D, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_2S, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_4H, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_4S, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_8B, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSHRvvi_8H, ARM64_INS_URSHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSQRTE2s, ARM64_INS_URSQRTE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSQRTE4s, ARM64_INS_URSQRTE,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRA, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_16B, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_2D, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_2S, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_4H, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_4S, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_8B, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_URSRAvvi_8H, ARM64_INS_URSRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLLvvi_16B, ARM64_INS_USHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLLvvi_2S, ARM64_INS_USHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLLvvi_4H, ARM64_INS_USHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLLvvi_4S, ARM64_INS_USHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLLvvi_8B, ARM64_INS_USHLL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLLvvi_8H, ARM64_INS_USHLL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLddd, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_16B, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_2D, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_2S, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_4H, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_4S, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_8B, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHLvvv_8H, ARM64_INS_USHL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRddi, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_16B, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_2D, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_2S, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_4H, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_4S, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_8B, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USHRvvi_8H, ARM64_INS_USHR,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD16b, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD2d, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD2s, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD4h, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD4s, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD8b, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADD8h, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADDbb, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADDdd, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADDhh, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USQADDss, ARM64_INS_USQADD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRA, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_16B, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_2D, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_2S, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_4H, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_4S, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_8B, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USRAvvi_8H, ARM64_INS_USRA,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBL2vvv_2d4s, ARM64_INS_USUBL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBL2vvv_4s8h, ARM64_INS_USUBL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBL2vvv_8h16b, ARM64_INS_USUBL2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBLvvv_2d2s, ARM64_INS_USUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBLvvv_4s4h, ARM64_INS_USUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBLvvv_8h8b, ARM64_INS_USUBL,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBW2vvv_2d4s, ARM64_INS_USUBW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBW2vvv_4s8h, ARM64_INS_USUBW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBW2vvv_8h16b, ARM64_INS_USUBW2,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBWvvv_2d2s, ARM64_INS_USUBW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBWvvv_4s4h, ARM64_INS_USUBW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_USUBWvvv_8h8b, ARM64_INS_USUBW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UXTBww, ARM64_INS_UXTB,
+		AArch64_UMSUBLrrr, ARM64_INS_UMSUBL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UXTBxw, ARM64_INS_UXTB,
+		AArch64_UMULHrr, ARM64_INS_UMULH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UXTHww, ARM64_INS_UXTH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UXTHxw, ARM64_INS_UXTH,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		AArch64_UZP1vvv_16b, ARM64_INS_UZP1,
+		AArch64_UMULLv16i8_v8i16, ARM64_INS_UMULL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP1vvv_2d, ARM64_INS_UZP1,
+		AArch64_UMULLv2i32_indexed, ARM64_INS_UMULL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP1vvv_2s, ARM64_INS_UZP1,
+		AArch64_UMULLv2i32_v2i64, ARM64_INS_UMULL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP1vvv_4h, ARM64_INS_UZP1,
+		AArch64_UMULLv4i16_indexed, ARM64_INS_UMULL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP1vvv_4s, ARM64_INS_UZP1,
+		AArch64_UMULLv4i16_v4i32, ARM64_INS_UMULL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP1vvv_8b, ARM64_INS_UZP1,
+		AArch64_UMULLv4i32_indexed, ARM64_INS_UMULL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP1vvv_8h, ARM64_INS_UZP1,
+		AArch64_UMULLv4i32_v2i64, ARM64_INS_UMULL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_16b, ARM64_INS_UZP2,
+		AArch64_UMULLv8i16_indexed, ARM64_INS_UMULL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_2d, ARM64_INS_UZP2,
+		AArch64_UMULLv8i16_v4i32, ARM64_INS_UMULL2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_2s, ARM64_INS_UZP2,
+		AArch64_UMULLv8i8_v8i16, ARM64_INS_UMULL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_4h, ARM64_INS_UZP2,
+		AArch64_UQADDv16i8, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_4s, ARM64_INS_UZP2,
+		AArch64_UQADDv1i16, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_8b, ARM64_INS_UZP2,
+		AArch64_UQADDv1i32, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_UZP2vvv_8h, ARM64_INS_UZP2,
+		AArch64_UQADDv1i64, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTf2xs_2D, ARM64_INS_FCVTZS,
+		AArch64_UQADDv1i8, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTf2xs_2S, ARM64_INS_FCVTZS,
+		AArch64_UQADDv2i32, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTf2xs_4S, ARM64_INS_FCVTZS,
+		AArch64_UQADDv2i64, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTf2xu_2D, ARM64_INS_FCVTZU,
+		AArch64_UQADDv4i16, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTf2xu_2S, ARM64_INS_FCVTZU,
+		AArch64_UQADDv4i32, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTf2xu_4S, ARM64_INS_FCVTZU,
+		AArch64_UQADDv8i16, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTxs2f_2D, ARM64_INS_SCVTF,
+		AArch64_UQADDv8i8, ARM64_INS_UQADD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTxs2f_2S, ARM64_INS_SCVTF,
+		AArch64_UQRSHLv16i8, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTxs2f_4S, ARM64_INS_SCVTF,
+		AArch64_UQRSHLv1i16, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTxu2f_2D, ARM64_INS_UCVTF,
+		AArch64_UQRSHLv1i32, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTxu2f_2S, ARM64_INS_UCVTF,
+		AArch64_UQRSHLv1i64, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_VCVTxu2f_4S, ARM64_INS_UCVTF,
+		AArch64_UQRSHLv1i8, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_XTN2d2s, ARM64_INS_XTN,
+		AArch64_UQRSHLv2i32, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_XTN2d4s, ARM64_INS_XTN2,
+		AArch64_UQRSHLv2i64, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_XTN4s4h, ARM64_INS_XTN,
+		AArch64_UQRSHLv4i16, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_XTN4s8h, ARM64_INS_XTN2,
+		AArch64_UQRSHLv4i32, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_XTN8h16b, ARM64_INS_XTN2,
+		AArch64_UQRSHLv8i16, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_XTN8h8b, ARM64_INS_XTN,
+		AArch64_UQRSHLv8i8, ARM64_INS_UQRSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_16b, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNb, ARM64_INS_UQRSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_2d, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNh, ARM64_INS_UQRSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_2s, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNs, ARM64_INS_UQRSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_4h, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNv16i8_shift, ARM64_INS_UQRSHRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_4s, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNv2i32_shift, ARM64_INS_UQRSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_8b, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNv4i16_shift, ARM64_INS_UQRSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP1vvv_8h, ARM64_INS_ZIP1,
+		AArch64_UQRSHRNv4i32_shift, ARM64_INS_UQRSHRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_16b, ARM64_INS_ZIP2,
+		AArch64_UQRSHRNv8i16_shift, ARM64_INS_UQRSHRN2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_2d, ARM64_INS_ZIP2,
+		AArch64_UQRSHRNv8i8_shift, ARM64_INS_UQRSHRN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_2s, ARM64_INS_ZIP2,
+		AArch64_UQSHLb, ARM64_INS_UQSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_4h, ARM64_INS_ZIP2,
+		AArch64_UQSHLd, ARM64_INS_UQSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_4s, ARM64_INS_ZIP2,
+		AArch64_UQSHLh, ARM64_INS_UQSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_8b, ARM64_INS_ZIP2,
+		AArch64_UQSHLs, ARM64_INS_UQSHL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
 	},
 	{
-		AArch64_ZIP2vvv_8h, ARM64_INS_ZIP2,
+		AArch64_UQSHLv16i8, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv16i8_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv1i16, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv1i32, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv1i64, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv1i8, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv2i32, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv2i32_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv2i64, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv2i64_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv4i16, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv4i16_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv4i32, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv4i32_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv8i16, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv8i16_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv8i8, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHLv8i8_shift, ARM64_INS_UQSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNb, ARM64_INS_UQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNh, ARM64_INS_UQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNs, ARM64_INS_UQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNv16i8_shift, ARM64_INS_UQSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNv2i32_shift, ARM64_INS_UQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNv4i16_shift, ARM64_INS_UQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNv4i32_shift, ARM64_INS_UQSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNv8i16_shift, ARM64_INS_UQSHRN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSHRNv8i8_shift, ARM64_INS_UQSHRN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv16i8, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv1i16, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv1i32, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv1i64, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv1i8, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv2i32, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv2i64, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv4i16, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv4i32, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv8i16, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQSUBv8i8, ARM64_INS_UQSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv16i8, ARM64_INS_UQXTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv1i16, ARM64_INS_UQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv1i32, ARM64_INS_UQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv1i8, ARM64_INS_UQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv2i32, ARM64_INS_UQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv4i16, ARM64_INS_UQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv4i32, ARM64_INS_UQXTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv8i16, ARM64_INS_UQXTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UQXTNv8i8, ARM64_INS_UQXTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URECPEv2i32, ARM64_INS_URECPE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URECPEv4i32, ARM64_INS_URECPE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URHADDv16i8, ARM64_INS_URHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URHADDv2i32, ARM64_INS_URHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URHADDv4i16, ARM64_INS_URHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URHADDv4i32, ARM64_INS_URHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URHADDv8i16, ARM64_INS_URHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URHADDv8i8, ARM64_INS_URHADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv16i8, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv1i64, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv2i32, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv2i64, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv4i16, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv4i32, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv8i16, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHLv8i8, ARM64_INS_URSHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRd, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv16i8_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv2i32_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv2i64_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv4i16_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv4i32_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv8i16_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSHRv8i8_shift, ARM64_INS_URSHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSQRTEv2i32, ARM64_INS_URSQRTE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSQRTEv4i32, ARM64_INS_URSQRTE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAd, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv16i8_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv2i32_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv2i64_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv4i16_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv4i32_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv8i16_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_URSRAv8i8_shift, ARM64_INS_URSRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLLv16i8_shift, ARM64_INS_USHLL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLLv2i32_shift, ARM64_INS_USHLL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLLv4i16_shift, ARM64_INS_USHLL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLLv4i32_shift, ARM64_INS_USHLL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLLv8i16_shift, ARM64_INS_USHLL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLLv8i8_shift, ARM64_INS_USHLL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv16i8, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv1i64, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv2i32, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv2i64, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv4i16, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv4i32, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv8i16, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHLv8i8, ARM64_INS_USHL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRd, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv16i8_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv2i32_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv2i64_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv4i16_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv4i32_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv8i16_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USHRv8i8_shift, ARM64_INS_USHR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv16i8, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv1i16, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv1i32, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv1i64, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv1i8, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv2i32, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv2i64, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv4i16, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv4i32, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv8i16, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USQADDv8i8, ARM64_INS_USQADD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAd, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv16i8_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv2i32_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv2i64_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv4i16_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv4i32_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv8i16_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USRAv8i8_shift, ARM64_INS_USRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBLv16i8_v8i16, ARM64_INS_USUBL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBLv2i32_v2i64, ARM64_INS_USUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBLv4i16_v4i32, ARM64_INS_USUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBLv4i32_v2i64, ARM64_INS_USUBL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBLv8i16_v4i32, ARM64_INS_USUBL2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBLv8i8_v8i16, ARM64_INS_USUBL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBWv16i8_v8i16, ARM64_INS_USUBW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBWv2i32_v2i64, ARM64_INS_USUBW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBWv4i16_v4i32, ARM64_INS_USUBW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBWv4i32_v2i64, ARM64_INS_USUBW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBWv8i16_v4i32, ARM64_INS_USUBW2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_USUBWv8i8_v8i16, ARM64_INS_USUBW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v16i8, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v2i32, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v2i64, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v4i16, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v4i32, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v8i16, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP1v8i8, ARM64_INS_UZP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v16i8, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v2i32, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v2i64, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v4i16, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v4i32, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v8i16, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_UZP2v8i8, ARM64_INS_UZP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_XTNv16i8, ARM64_INS_XTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_XTNv2i32, ARM64_INS_XTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_XTNv4i16, ARM64_INS_XTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_XTNv4i32, ARM64_INS_XTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_XTNv8i16, ARM64_INS_XTN2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_XTNv8i8, ARM64_INS_XTN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v16i8, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v2i32, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v2i64, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v4i16, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v4i32, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v8i16, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP1v8i8, ARM64_INS_ZIP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v16i8, ARM64_INS_ZIP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v2i32, ARM64_INS_ZIP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v2i64, ARM64_INS_ZIP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v4i16, ARM64_INS_ZIP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v4i32, ARM64_INS_ZIP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v8i16, ARM64_INS_ZIP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
+#endif
+	},
+	{
+		AArch64_ZIP2v8i8, ARM64_INS_ZIP2,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM64_GRP_NEON, 0 }, 0, 0
 #endif
@@ -16547,37 +14306,32 @@
 
 static name_map insn_name_maps[] = {
 	{ ARM64_INS_INVALID, NULL },
-	//=========
 
 	{ ARM64_INS_ABS, "abs" },
 	{ ARM64_INS_ADC, "adc" },
-	{ ARM64_INS_ADDHN2, "addhn2" },
 	{ ARM64_INS_ADDHN, "addhn" },
+	{ ARM64_INS_ADDHN2, "addhn2" },
 	{ ARM64_INS_ADDP, "addp" },
-	{ ARM64_INS_ADDV, "addv" },
 	{ ARM64_INS_ADD, "add" },
-	{ ARM64_INS_CMN, "cmn" },
-	{ ARM64_INS_ADRP, "adrp" },
+	{ ARM64_INS_ADDV, "addv" },
 	{ ARM64_INS_ADR, "adr" },
+	{ ARM64_INS_ADRP, "adrp" },
 	{ ARM64_INS_AESD, "aesd" },
 	{ ARM64_INS_AESE, "aese" },
 	{ ARM64_INS_AESIMC, "aesimc" },
 	{ ARM64_INS_AESMC, "aesmc" },
 	{ ARM64_INS_AND, "and" },
 	{ ARM64_INS_ASR, "asr" },
-	{ ARM64_INS_AT, "at" },
-	{ ARM64_INS_BFI, "bfi" },
+	{ ARM64_INS_B, "b" },
 	{ ARM64_INS_BFM, "bfm" },
-	{ ARM64_INS_BFXIL, "bfxil" },
 	{ ARM64_INS_BIC, "bic" },
 	{ ARM64_INS_BIF, "bif" },
 	{ ARM64_INS_BIT, "bit" },
-	{ ARM64_INS_BLR, "blr" },
 	{ ARM64_INS_BL, "bl" },
-	{ ARM64_INS_BRK, "brk" },
+	{ ARM64_INS_BLR, "blr" },
 	{ ARM64_INS_BR, "br" },
+	{ ARM64_INS_BRK, "brk" },
 	{ ARM64_INS_BSL, "bsl" },
-	{ ARM64_INS_B, "b" },
 	{ ARM64_INS_CBNZ, "cbnz" },
 	{ ARM64_INS_CBZ, "cbz" },
 	{ ARM64_INS_CCMN, "ccmn" },
@@ -16592,9 +14346,9 @@
 	{ ARM64_INS_CMHS, "cmhs" },
 	{ ARM64_INS_CMLE, "cmle" },
 	{ ARM64_INS_CMLT, "cmlt" },
-	{ ARM64_INS_CMP, "cmp" },
 	{ ARM64_INS_CMTST, "cmtst" },
 	{ ARM64_INS_CNT, "cnt" },
+	{ ARM64_INS_MOV, "mov" },
 	{ ARM64_INS_CRC32B, "crc32b" },
 	{ ARM64_INS_CRC32CB, "crc32cb" },
 	{ ARM64_INS_CRC32CH, "crc32ch" },
@@ -16610,7 +14364,6 @@
 	{ ARM64_INS_DCPS1, "dcps1" },
 	{ ARM64_INS_DCPS2, "dcps2" },
 	{ ARM64_INS_DCPS3, "dcps3" },
-	{ ARM64_INS_DC, "dc" },
 	{ ARM64_INS_DMB, "dmb" },
 	{ ARM64_INS_DRPS, "drps" },
 	{ ARM64_INS_DSB, "dsb" },
@@ -16624,10 +14377,10 @@
 	{ ARM64_INS_FABS, "fabs" },
 	{ ARM64_INS_FACGE, "facge" },
 	{ ARM64_INS_FACGT, "facgt" },
-	{ ARM64_INS_FADDP, "faddp" },
 	{ ARM64_INS_FADD, "fadd" },
-	{ ARM64_INS_FCCMPE, "fccmpe" },
+	{ ARM64_INS_FADDP, "faddp" },
 	{ ARM64_INS_FCCMP, "fccmp" },
+	{ ARM64_INS_FCCMPE, "fccmpe" },
 	{ ARM64_INS_FCMEQ, "fcmeq" },
 	{ ARM64_INS_FCMGE, "fcmge" },
 	{ ARM64_INS_FCMGT, "fcmgt" },
@@ -16638,41 +14391,41 @@
 	{ ARM64_INS_FCSEL, "fcsel" },
 	{ ARM64_INS_FCVTAS, "fcvtas" },
 	{ ARM64_INS_FCVTAU, "fcvtau" },
+	{ ARM64_INS_FCVT, "fcvt" },
 	{ ARM64_INS_FCVTL, "fcvtl" },
 	{ ARM64_INS_FCVTL2, "fcvtl2" },
 	{ ARM64_INS_FCVTMS, "fcvtms" },
 	{ ARM64_INS_FCVTMU, "fcvtmu" },
-	{ ARM64_INS_FCVTN, "fcvtn" },
-	{ ARM64_INS_FCVTN2, "fcvtn2" },
 	{ ARM64_INS_FCVTNS, "fcvtns" },
 	{ ARM64_INS_FCVTNU, "fcvtnu" },
+	{ ARM64_INS_FCVTN, "fcvtn" },
+	{ ARM64_INS_FCVTN2, "fcvtn2" },
 	{ ARM64_INS_FCVTPS, "fcvtps" },
 	{ ARM64_INS_FCVTPU, "fcvtpu" },
 	{ ARM64_INS_FCVTXN, "fcvtxn" },
 	{ ARM64_INS_FCVTXN2, "fcvtxn2" },
 	{ ARM64_INS_FCVTZS, "fcvtzs" },
 	{ ARM64_INS_FCVTZU, "fcvtzu" },
-	{ ARM64_INS_FCVT, "fcvt" },
 	{ ARM64_INS_FDIV, "fdiv" },
 	{ ARM64_INS_FMADD, "fmadd" },
+	{ ARM64_INS_FMAX, "fmax" },
+	{ ARM64_INS_FMAXNM, "fmaxnm" },
 	{ ARM64_INS_FMAXNMP, "fmaxnmp" },
 	{ ARM64_INS_FMAXNMV, "fmaxnmv" },
-	{ ARM64_INS_FMAXNM, "fmaxnm" },
 	{ ARM64_INS_FMAXP, "fmaxp" },
 	{ ARM64_INS_FMAXV, "fmaxv" },
-	{ ARM64_INS_FMAX, "fmax" },
+	{ ARM64_INS_FMIN, "fmin" },
+	{ ARM64_INS_FMINNM, "fminnm" },
 	{ ARM64_INS_FMINNMP, "fminnmp" },
 	{ ARM64_INS_FMINNMV, "fminnmv" },
-	{ ARM64_INS_FMINNM, "fminnm" },
 	{ ARM64_INS_FMINP, "fminp" },
 	{ ARM64_INS_FMINV, "fminv" },
-	{ ARM64_INS_FMIN, "fmin" },
 	{ ARM64_INS_FMLA, "fmla" },
 	{ ARM64_INS_FMLS, "fmls" },
 	{ ARM64_INS_FMOV, "fmov" },
 	{ ARM64_INS_FMSUB, "fmsub" },
-	{ ARM64_INS_FMULX, "fmulx" },
 	{ ARM64_INS_FMUL, "fmul" },
+	{ ARM64_INS_FMULX, "fmulx" },
 	{ ARM64_INS_FNEG, "fneg" },
 	{ ARM64_INS_FNMADD, "fnmadd" },
 	{ ARM64_INS_FNMSUB, "fnmsub" },
@@ -16694,60 +14447,48 @@
 	{ ARM64_INS_HINT, "hint" },
 	{ ARM64_INS_HLT, "hlt" },
 	{ ARM64_INS_HVC, "hvc" },
-	{ ARM64_INS_IC, "ic" },
 	{ ARM64_INS_INS, "ins" },
 	{ ARM64_INS_ISB, "isb" },
 	{ ARM64_INS_LD1, "ld1" },
 	{ ARM64_INS_LD1R, "ld1r" },
-	{ ARM64_INS_LD2, "ld2" },
 	{ ARM64_INS_LD2R, "ld2r" },
-	{ ARM64_INS_LD3, "ld3" },
+	{ ARM64_INS_LD2, "ld2" },
 	{ ARM64_INS_LD3R, "ld3r" },
+	{ ARM64_INS_LD3, "ld3" },
 	{ ARM64_INS_LD4, "ld4" },
 	{ ARM64_INS_LD4R, "ld4r" },
 	{ ARM64_INS_LDARB, "ldarb" },
-	{ ARM64_INS_LDAR, "ldar" },
 	{ ARM64_INS_LDARH, "ldarh" },
+	{ ARM64_INS_LDAR, "ldar" },
 	{ ARM64_INS_LDAXP, "ldaxp" },
 	{ ARM64_INS_LDAXRB, "ldaxrb" },
-	{ ARM64_INS_LDAXR, "ldaxr" },
 	{ ARM64_INS_LDAXRH, "ldaxrh" },
+	{ ARM64_INS_LDAXR, "ldaxr" },
+	{ ARM64_INS_LDNP, "ldnp" },
+	{ ARM64_INS_LDP, "ldp" },
 	{ ARM64_INS_LDPSW, "ldpsw" },
-	{ ARM64_INS_LDRSB, "ldrsb" },
-	{ ARM64_INS_LDURSB, "ldursb" },
-	{ ARM64_INS_LDRSH, "ldrsh" },
-	{ ARM64_INS_LDURSH, "ldursh" },
-	{ ARM64_INS_LDRSW, "ldrsw" },
+	{ ARM64_INS_LDRB, "ldrb" },
 	{ ARM64_INS_LDR, "ldr" },
+	{ ARM64_INS_LDRH, "ldrh" },
+	{ ARM64_INS_LDRSB, "ldrsb" },
+	{ ARM64_INS_LDRSH, "ldrsh" },
+	{ ARM64_INS_LDRSW, "ldrsw" },
+	{ ARM64_INS_LDTRB, "ldtrb" },
+	{ ARM64_INS_LDTRH, "ldtrh" },
 	{ ARM64_INS_LDTRSB, "ldtrsb" },
 	{ ARM64_INS_LDTRSH, "ldtrsh" },
 	{ ARM64_INS_LDTRSW, "ldtrsw" },
+	{ ARM64_INS_LDTR, "ldtr" },
+	{ ARM64_INS_LDURB, "ldurb" },
+	{ ARM64_INS_LDUR, "ldur" },
+	{ ARM64_INS_LDURH, "ldurh" },
+	{ ARM64_INS_LDURSB, "ldursb" },
+	{ ARM64_INS_LDURSH, "ldursh" },
 	{ ARM64_INS_LDURSW, "ldursw" },
 	{ ARM64_INS_LDXP, "ldxp" },
 	{ ARM64_INS_LDXRB, "ldxrb" },
-	{ ARM64_INS_LDXR, "ldxr" },
 	{ ARM64_INS_LDXRH, "ldxrh" },
-	{ ARM64_INS_LDRH, "ldrh" },
-	{ ARM64_INS_LDURH, "ldurh" },
-	{ ARM64_INS_STRH, "strh" },
-	{ ARM64_INS_STURH, "sturh" },
-	{ ARM64_INS_LDTRH, "ldtrh" },
-	{ ARM64_INS_STTRH, "sttrh" },
-	{ ARM64_INS_LDUR, "ldur" },
-	{ ARM64_INS_STR, "str" },
-	{ ARM64_INS_STUR, "stur" },
-	{ ARM64_INS_LDTR, "ldtr" },
-	{ ARM64_INS_STTR, "sttr" },
-	{ ARM64_INS_LDRB, "ldrb" },
-	{ ARM64_INS_LDURB, "ldurb" },
-	{ ARM64_INS_STRB, "strb" },
-	{ ARM64_INS_STURB, "sturb" },
-	{ ARM64_INS_LDTRB, "ldtrb" },
-	{ ARM64_INS_STTRB, "sttrb" },
-	{ ARM64_INS_LDP, "ldp" },
-	{ ARM64_INS_LDNP, "ldnp" },
-	{ ARM64_INS_STNP, "stnp" },
-	{ ARM64_INS_STP, "stp" },
+	{ ARM64_INS_LDXR, "ldxr" },
 	{ ARM64_INS_LSL, "lsl" },
 	{ ARM64_INS_LSR, "lsr" },
 	{ ARM64_INS_MADD, "madd" },
@@ -16762,7 +14503,6 @@
 	{ ARM64_INS_MSUB, "msub" },
 	{ ARM64_INS_MUL, "mul" },
 	{ ARM64_INS_MVNI, "mvni" },
-	{ ARM64_INS_MVN, "mvn" },
 	{ ARM64_INS_NEG, "neg" },
 	{ ARM64_INS_NOT, "not" },
 	{ ARM64_INS_ORN, "orn" },
@@ -16772,12 +14512,8 @@
 	{ ARM64_INS_PMUL, "pmul" },
 	{ ARM64_INS_PRFM, "prfm" },
 	{ ARM64_INS_PRFUM, "prfum" },
-	{ ARM64_INS_SQRSHRUN2, "sqrshrun2" },
-	{ ARM64_INS_SQRSHRUN, "sqrshrun" },
-	{ ARM64_INS_SQSHRUN2, "sqshrun2" },
-	{ ARM64_INS_SQSHRUN, "sqshrun" },
-	{ ARM64_INS_RADDHN2, "raddhn2" },
 	{ ARM64_INS_RADDHN, "raddhn" },
+	{ ARM64_INS_RADDHN2, "raddhn2" },
 	{ ARM64_INS_RBIT, "rbit" },
 	{ ARM64_INS_RET, "ret" },
 	{ ARM64_INS_REV16, "rev16" },
@@ -16787,8 +14523,8 @@
 	{ ARM64_INS_ROR, "ror" },
 	{ ARM64_INS_RSHRN2, "rshrn2" },
 	{ ARM64_INS_RSHRN, "rshrn" },
-	{ ARM64_INS_RSUBHN2, "rsubhn2" },
 	{ ARM64_INS_RSUBHN, "rsubhn" },
+	{ ARM64_INS_RSUBHN2, "rsubhn2" },
 	{ ARM64_INS_SABAL2, "sabal2" },
 	{ ARM64_INS_SABAL, "sabal" },
 	{ ARM64_INS_SABA, "saba" },
@@ -16796,16 +14532,14 @@
 	{ ARM64_INS_SABDL, "sabdl" },
 	{ ARM64_INS_SABD, "sabd" },
 	{ ARM64_INS_SADALP, "sadalp" },
-	{ ARM64_INS_SADDL2, "saddl2" },
 	{ ARM64_INS_SADDLP, "saddlp" },
 	{ ARM64_INS_SADDLV, "saddlv" },
+	{ ARM64_INS_SADDL2, "saddl2" },
 	{ ARM64_INS_SADDL, "saddl" },
 	{ ARM64_INS_SADDW2, "saddw2" },
 	{ ARM64_INS_SADDW, "saddw" },
 	{ ARM64_INS_SBC, "sbc" },
-	{ ARM64_INS_SBFIZ, "sbfiz" },
 	{ ARM64_INS_SBFM, "sbfm" },
-	{ ARM64_INS_SBFX, "sbfx" },
 	{ ARM64_INS_SCVTF, "scvtf" },
 	{ ARM64_INS_SDIV, "sdiv" },
 	{ ARM64_INS_SHA1C, "sha1c" },
@@ -16814,8 +14548,8 @@
 	{ ARM64_INS_SHA1P, "sha1p" },
 	{ ARM64_INS_SHA1SU0, "sha1su0" },
 	{ ARM64_INS_SHA1SU1, "sha1su1" },
-	{ ARM64_INS_SHA256H, "sha256h" },
 	{ ARM64_INS_SHA256H2, "sha256h2" },
+	{ ARM64_INS_SHA256H, "sha256h" },
 	{ ARM64_INS_SHA256SU0, "sha256su0" },
 	{ ARM64_INS_SHA256SU1, "sha256su1" },
 	{ ARM64_INS_SHADD, "shadd" },
@@ -16845,27 +14579,31 @@
 	{ ARM64_INS_SMULL, "smull" },
 	{ ARM64_INS_SQABS, "sqabs" },
 	{ ARM64_INS_SQADD, "sqadd" },
-	{ ARM64_INS_SQDMLAL2, "sqdmlal2" },
 	{ ARM64_INS_SQDMLAL, "sqdmlal" },
-	{ ARM64_INS_SQDMLSL2, "sqdmlsl2" },
+	{ ARM64_INS_SQDMLAL2, "sqdmlal2" },
 	{ ARM64_INS_SQDMLSL, "sqdmlsl" },
+	{ ARM64_INS_SQDMLSL2, "sqdmlsl2" },
 	{ ARM64_INS_SQDMULH, "sqdmulh" },
-	{ ARM64_INS_SQDMULL2, "sqdmull2" },
 	{ ARM64_INS_SQDMULL, "sqdmull" },
+	{ ARM64_INS_SQDMULL2, "sqdmull2" },
 	{ ARM64_INS_SQNEG, "sqneg" },
 	{ ARM64_INS_SQRDMULH, "sqrdmulh" },
 	{ ARM64_INS_SQRSHL, "sqrshl" },
 	{ ARM64_INS_SQRSHRN, "sqrshrn" },
 	{ ARM64_INS_SQRSHRN2, "sqrshrn2" },
+	{ ARM64_INS_SQRSHRUN, "sqrshrun" },
+	{ ARM64_INS_SQRSHRUN2, "sqrshrun2" },
 	{ ARM64_INS_SQSHLU, "sqshlu" },
 	{ ARM64_INS_SQSHL, "sqshl" },
 	{ ARM64_INS_SQSHRN, "sqshrn" },
 	{ ARM64_INS_SQSHRN2, "sqshrn2" },
+	{ ARM64_INS_SQSHRUN, "sqshrun" },
+	{ ARM64_INS_SQSHRUN2, "sqshrun2" },
 	{ ARM64_INS_SQSUB, "sqsub" },
-	{ ARM64_INS_SQXTN, "sqxtn" },
 	{ ARM64_INS_SQXTN2, "sqxtn2" },
-	{ ARM64_INS_SQXTUN, "sqxtun" },
+	{ ARM64_INS_SQXTN, "sqxtn" },
 	{ ARM64_INS_SQXTUN2, "sqxtun2" },
+	{ ARM64_INS_SQXTUN, "sqxtun" },
 	{ ARM64_INS_SRHADD, "srhadd" },
 	{ ARM64_INS_SRI, "sri" },
 	{ ARM64_INS_SRSHL, "srshl" },
@@ -16885,34 +14623,40 @@
 	{ ARM64_INS_ST3, "st3" },
 	{ ARM64_INS_ST4, "st4" },
 	{ ARM64_INS_STLRB, "stlrb" },
-	{ ARM64_INS_STLR, "stlr" },
 	{ ARM64_INS_STLRH, "stlrh" },
+	{ ARM64_INS_STLR, "stlr" },
 	{ ARM64_INS_STLXP, "stlxp" },
 	{ ARM64_INS_STLXRB, "stlxrb" },
-	{ ARM64_INS_STLXR, "stlxr" },
 	{ ARM64_INS_STLXRH, "stlxrh" },
+	{ ARM64_INS_STLXR, "stlxr" },
+	{ ARM64_INS_STNP, "stnp" },
+	{ ARM64_INS_STP, "stp" },
+	{ ARM64_INS_STRB, "strb" },
+	{ ARM64_INS_STR, "str" },
+	{ ARM64_INS_STRH, "strh" },
+	{ ARM64_INS_STTRB, "sttrb" },
+	{ ARM64_INS_STTRH, "sttrh" },
+	{ ARM64_INS_STTR, "sttr" },
+	{ ARM64_INS_STURB, "sturb" },
+	{ ARM64_INS_STUR, "stur" },
+	{ ARM64_INS_STURH, "sturh" },
 	{ ARM64_INS_STXP, "stxp" },
 	{ ARM64_INS_STXRB, "stxrb" },
-	{ ARM64_INS_STXR, "stxr" },
 	{ ARM64_INS_STXRH, "stxrh" },
-	{ ARM64_INS_SUBHN2, "subhn2" },
+	{ ARM64_INS_STXR, "stxr" },
 	{ ARM64_INS_SUBHN, "subhn" },
+	{ ARM64_INS_SUBHN2, "subhn2" },
 	{ ARM64_INS_SUB, "sub" },
 	{ ARM64_INS_SUQADD, "suqadd" },
 	{ ARM64_INS_SVC, "svc" },
-	{ ARM64_INS_SXTB, "sxtb" },
-	{ ARM64_INS_SXTH, "sxth" },
-	{ ARM64_INS_SXTW, "sxtw" },
 	{ ARM64_INS_SYSL, "sysl" },
 	{ ARM64_INS_SYS, "sys" },
 	{ ARM64_INS_TBL, "tbl" },
 	{ ARM64_INS_TBNZ, "tbnz" },
 	{ ARM64_INS_TBX, "tbx" },
 	{ ARM64_INS_TBZ, "tbz" },
-	{ ARM64_INS_TLBI, "tlbi" },
 	{ ARM64_INS_TRN1, "trn1" },
 	{ ARM64_INS_TRN2, "trn2" },
-	{ ARM64_INS_TST, "tst" },
 	{ ARM64_INS_UABAL2, "uabal2" },
 	{ ARM64_INS_UABAL, "uabal" },
 	{ ARM64_INS_UABA, "uaba" },
@@ -16920,15 +14664,13 @@
 	{ ARM64_INS_UABDL, "uabdl" },
 	{ ARM64_INS_UABD, "uabd" },
 	{ ARM64_INS_UADALP, "uadalp" },
-	{ ARM64_INS_UADDL2, "uaddl2" },
 	{ ARM64_INS_UADDLP, "uaddlp" },
 	{ ARM64_INS_UADDLV, "uaddlv" },
+	{ ARM64_INS_UADDL2, "uaddl2" },
 	{ ARM64_INS_UADDL, "uaddl" },
 	{ ARM64_INS_UADDW2, "uaddw2" },
 	{ ARM64_INS_UADDW, "uaddw" },
-	{ ARM64_INS_UBFIZ, "ubfiz" },
 	{ ARM64_INS_UBFM, "ubfm" },
-	{ ARM64_INS_UBFX, "ubfx" },
 	{ ARM64_INS_UCVTF, "ucvtf" },
 	{ ARM64_INS_UDIV, "udiv" },
 	{ ARM64_INS_UHADD, "uhadd" },
@@ -16957,8 +14699,8 @@
 	{ ARM64_INS_UQSHRN, "uqshrn" },
 	{ ARM64_INS_UQSHRN2, "uqshrn2" },
 	{ ARM64_INS_UQSUB, "uqsub" },
-	{ ARM64_INS_UQXTN, "uqxtn" },
 	{ ARM64_INS_UQXTN2, "uqxtn2" },
+	{ ARM64_INS_UQXTN, "uqxtn" },
 	{ ARM64_INS_URECPE, "urecpe" },
 	{ ARM64_INS_URHADD, "urhadd" },
 	{ ARM64_INS_URSHL, "urshl" },
@@ -16975,12 +14717,10 @@
 	{ ARM64_INS_USUBL, "usubl" },
 	{ ARM64_INS_USUBW2, "usubw2" },
 	{ ARM64_INS_USUBW, "usubw" },
-	{ ARM64_INS_UXTB, "uxtb" },
-	{ ARM64_INS_UXTH, "uxth" },
 	{ ARM64_INS_UZP1, "uzp1" },
 	{ ARM64_INS_UZP2, "uzp2" },
-	{ ARM64_INS_XTN, "xtn" },
 	{ ARM64_INS_XTN2, "xtn2" },
+	{ ARM64_INS_XTN, "xtn" },
 	{ ARM64_INS_ZIP1, "zip1" },
 	{ ARM64_INS_ZIP2, "zip2" },
 };
@@ -16998,7 +14738,6 @@
 	{ ARM64_INS_MNEG, "mneg" },
 	{ ARM64_INS_UMNEGL, "umnegl" },
 	{ ARM64_INS_SMNEGL, "smnegl" },
-	{ ARM64_INS_MOV, "mov" },
 	{ ARM64_INS_NOP, "nop" },
 	{ ARM64_INS_YIELD, "yield" },
 	{ ARM64_INS_WFE, "wfe" },
@@ -17008,6 +14747,33 @@
 	{ ARM64_INS_NGC, "ngc" },
 	{ ARM64_INS_NGCS, "ngcs" },
 	{ ARM64_INS_NEGS, "negs" },
+
+	{ ARM64_INS_SBFIZ, "sbfiz" },
+	{ ARM64_INS_UBFIZ, "ubfiz" },
+	{ ARM64_INS_SBFX, "sbfx" },
+	{ ARM64_INS_UBFX, "ubfx" },
+	{ ARM64_INS_BFI, "bfi" },
+	{ ARM64_INS_BFXIL, "bfxil" },
+	{ ARM64_INS_CMN, "cmn" },
+	{ ARM64_INS_MVN, "mvn" },
+	{ ARM64_INS_TST, "tst" },
+	{ ARM64_INS_CSET, "cset" },
+	{ ARM64_INS_CINC, "cinc" },
+	{ ARM64_INS_CSETM, "csetm" },
+	{ ARM64_INS_CINV, "cinv" },
+	{ ARM64_INS_CNEG, "cneg" },
+	{ ARM64_INS_SXTB, "sxtb" },
+	{ ARM64_INS_SXTH, "sxth" },
+	{ ARM64_INS_SXTW, "sxtw" },
+	{ ARM64_INS_CMP, "cmp" },
+	{ ARM64_INS_UXTB, "uxtb" },
+	{ ARM64_INS_UXTH, "uxth" },
+	{ ARM64_INS_UXTW, "uxtw" },
+
+	{ ARM64_INS_IC, "ic" },
+	{ ARM64_INS_DC, "dc" },
+	{ ARM64_INS_AT, "at" },
+	{ ARM64_INS_TLBI, "tlbi" },
 };
 
 const char *AArch64_insn_name(csh handle, unsigned int id)
@@ -17034,6 +14800,30 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ ARM64_GRP_INVALID, NULL },
+	{ ARM64_GRP_CRYPTO, "crypto" },
+	{ ARM64_GRP_FPARMV8, "fparmv8" },
+	{ ARM64_GRP_NEON, "neon" },
+	{ ARM64_GRP_CRC, "crc" },
+
+	{ ARM64_GRP_JUMP, "jump" },
+};
+#endif
+
+const char *AArch64_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= ARM64_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 // map instruction name to public instruction ID
 arm64_reg AArch64_map_insn(const char *name)
 {
@@ -17047,4 +14837,131 @@
 	return (i != -1)? i : ARM64_REG_INVALID;
 }
 
+// map internal raw vregister to 'public' register
+arm64_reg AArch64_map_vregister(unsigned int r)
+{
+	// for some reasons different Arm64 can map different register number to
+	// the same register. this function handles the issue for exposing Mips
+	// operands by mapping internal registers to 'public' register.
+	unsigned int map[] = { 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, ARM64_REG_V0,
+		ARM64_REG_V1, ARM64_REG_V2, ARM64_REG_V3, ARM64_REG_V4, ARM64_REG_V5,
+		ARM64_REG_V6, ARM64_REG_V7, ARM64_REG_V8, ARM64_REG_V9, ARM64_REG_V10,
+		ARM64_REG_V11, ARM64_REG_V12, ARM64_REG_V13, ARM64_REG_V14, ARM64_REG_V15,
+		ARM64_REG_V16, ARM64_REG_V17, ARM64_REG_V18, ARM64_REG_V19, ARM64_REG_V20,
+		ARM64_REG_V21, ARM64_REG_V22, ARM64_REG_V23, ARM64_REG_V24, ARM64_REG_V25,
+		ARM64_REG_V26, ARM64_REG_V27, ARM64_REG_V28, ARM64_REG_V29, ARM64_REG_V30,
+		ARM64_REG_V31, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0,
+		0, 0, 0, ARM64_REG_V0, ARM64_REG_V1,
+		ARM64_REG_V2, ARM64_REG_V3, ARM64_REG_V4, ARM64_REG_V5, ARM64_REG_V6,
+		ARM64_REG_V7, ARM64_REG_V8, ARM64_REG_V9, ARM64_REG_V10, ARM64_REG_V11,
+		ARM64_REG_V12, ARM64_REG_V13, ARM64_REG_V14, ARM64_REG_V15, ARM64_REG_V16,
+		ARM64_REG_V17, ARM64_REG_V18, ARM64_REG_V19, ARM64_REG_V20, ARM64_REG_V21,
+		ARM64_REG_V22, ARM64_REG_V23, ARM64_REG_V24, ARM64_REG_V25, ARM64_REG_V26,
+		ARM64_REG_V27, ARM64_REG_V28, ARM64_REG_V29, ARM64_REG_V30, ARM64_REG_V31,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, 0, 0, 0,
+		0, 0, ARM64_REG_V0, ARM64_REG_V1, ARM64_REG_V2,
+		ARM64_REG_V3, ARM64_REG_V4, ARM64_REG_V5, ARM64_REG_V6, ARM64_REG_V7,
+		ARM64_REG_V8, ARM64_REG_V9, ARM64_REG_V10, ARM64_REG_V11, ARM64_REG_V12,
+		ARM64_REG_V13, ARM64_REG_V14, ARM64_REG_V15, ARM64_REG_V16, ARM64_REG_V17,
+		ARM64_REG_V18, ARM64_REG_V19, ARM64_REG_V20, ARM64_REG_V21, ARM64_REG_V22,
+		ARM64_REG_V23, ARM64_REG_V24, ARM64_REG_V25, ARM64_REG_V26, ARM64_REG_V27,
+		ARM64_REG_V28, ARM64_REG_V29, ARM64_REG_V30, ARM64_REG_V31, ARM64_REG_V0,
+		ARM64_REG_V1, ARM64_REG_V2, ARM64_REG_V3, ARM64_REG_V4, ARM64_REG_V5,
+		ARM64_REG_V6, ARM64_REG_V7, ARM64_REG_V8, ARM64_REG_V9, ARM64_REG_V10,
+		ARM64_REG_V11, ARM64_REG_V12, ARM64_REG_V13, ARM64_REG_V14, ARM64_REG_V15,
+		ARM64_REG_V16, ARM64_REG_V17, ARM64_REG_V18, ARM64_REG_V19, ARM64_REG_V20,
+		ARM64_REG_V21, ARM64_REG_V22, ARM64_REG_V23, ARM64_REG_V24, ARM64_REG_V25,
+		ARM64_REG_V26, ARM64_REG_V27, ARM64_REG_V28, ARM64_REG_V29, ARM64_REG_V30,
+		ARM64_REG_V31, ARM64_REG_V0, ARM64_REG_V1, ARM64_REG_V2, ARM64_REG_V3,
+		ARM64_REG_V4, ARM64_REG_V5, ARM64_REG_V6, ARM64_REG_V7, ARM64_REG_V8,
+		ARM64_REG_V9, ARM64_REG_V10, ARM64_REG_V11, ARM64_REG_V12, ARM64_REG_V13,
+		ARM64_REG_V14, ARM64_REG_V15, ARM64_REG_V16, ARM64_REG_V17, ARM64_REG_V18,
+		ARM64_REG_V19, ARM64_REG_V20, ARM64_REG_V21, ARM64_REG_V22, ARM64_REG_V23,
+		ARM64_REG_V24, ARM64_REG_V25, ARM64_REG_V26, ARM64_REG_V27, ARM64_REG_V28,
+		ARM64_REG_V29, ARM64_REG_V30, ARM64_REG_V31, ARM64_REG_V0, ARM64_REG_V1,
+		ARM64_REG_V2, ARM64_REG_V3, ARM64_REG_V4, ARM64_REG_V5, ARM64_REG_V6,
+		ARM64_REG_V7, ARM64_REG_V8, ARM64_REG_V9, ARM64_REG_V10, ARM64_REG_V11,
+		ARM64_REG_V12, ARM64_REG_V13, ARM64_REG_V14, ARM64_REG_V15, ARM64_REG_V16,
+		ARM64_REG_V17, ARM64_REG_V18, ARM64_REG_V19, ARM64_REG_V20, ARM64_REG_V21,
+		ARM64_REG_V22, ARM64_REG_V23, ARM64_REG_V24, ARM64_REG_V25, ARM64_REG_V26,
+		ARM64_REG_V27, ARM64_REG_V28, ARM64_REG_V29, ARM64_REG_V30, ARM64_REG_V31,
+		ARM64_REG_V0, ARM64_REG_V1, ARM64_REG_V2, ARM64_REG_V3, ARM64_REG_V4,
+		ARM64_REG_V5, ARM64_REG_V6, ARM64_REG_V7, ARM64_REG_V8, ARM64_REG_V9,
+		ARM64_REG_V10, ARM64_REG_V11, ARM64_REG_V12, ARM64_REG_V13, ARM64_REG_V14,
+		ARM64_REG_V15, ARM64_REG_V16, ARM64_REG_V17, ARM64_REG_V18, ARM64_REG_V19,
+		ARM64_REG_V20, ARM64_REG_V21, ARM64_REG_V22, ARM64_REG_V23, ARM64_REG_V24,
+		ARM64_REG_V25, ARM64_REG_V26, ARM64_REG_V27, ARM64_REG_V28, ARM64_REG_V29,
+		ARM64_REG_V30, ARM64_REG_V31, ARM64_REG_V0, ARM64_REG_V1, ARM64_REG_V2,
+		ARM64_REG_V3, ARM64_REG_V4, ARM64_REG_V5, ARM64_REG_V6, ARM64_REG_V7,
+		ARM64_REG_V8, ARM64_REG_V9, ARM64_REG_V10, ARM64_REG_V11, ARM64_REG_V12,
+		ARM64_REG_V13, ARM64_REG_V14, ARM64_REG_V15, ARM64_REG_V16, ARM64_REG_V17,
+		ARM64_REG_V18, ARM64_REG_V19, ARM64_REG_V20, ARM64_REG_V21, ARM64_REG_V22,
+		ARM64_REG_V23, ARM64_REG_V24, ARM64_REG_V25, ARM64_REG_V26, ARM64_REG_V27,
+		ARM64_REG_V28, ARM64_REG_V29, ARM64_REG_V30, ARM64_REG_V31, };
+
+	if (r < ARR_SIZE(map))
+		return map[r];
+
+	// cannot find this register
+	return 0;
+}
+
+void arm64_op_addVectorArrSpecifier(MCInst * MI, int sp)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].vas = sp;
+	}
+}
+
+void arm64_op_addVectorElementSizeSpecifier(MCInst * MI, int sp)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count - 1].vess = sp;
+	}
+}
+
+void arm64_op_addFP(MCInst *MI, float fp)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_FP;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].fp = fp;
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
+void arm64_op_addImm(MCInst *MI, int64_t imm)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].type = ARM64_OP_IMM;
+		MI->flat_insn->detail->arm64.operands[MI->flat_insn->detail->arm64.op_count].imm = (int)imm;
+		MI->flat_insn->detail->arm64.op_count++;
+	}
+}
+
 #endif
diff --git a/arch/AArch64/AArch64Mapping.h b/arch/AArch64/AArch64Mapping.h
index 2bbdd35..69edef9 100644
--- a/arch/AArch64/AArch64Mapping.h
+++ b/arch/AArch64/AArch64Mapping.h
@@ -14,7 +14,22 @@
 
 const char *AArch64_insn_name(csh handle, unsigned int id);
 
+const char *AArch64_group_name(csh handle, unsigned int id);
+
 // map instruction name to public instruction ID
 arm64_reg AArch64_map_insn(const char *name);
 
+// map internal vregister to public register
+arm64_reg AArch64_map_vregister(unsigned int r);
+
+void arm64_op_addReg(MCInst *MI, int reg);
+
+void arm64_op_addVectorArrSpecifier(MCInst * MI, int sp);
+
+void arm64_op_addVectorElementSizeSpecifier(MCInst * MI, int sp);
+
+void arm64_op_addFP(MCInst *MI, float fp);
+
+void arm64_op_addImm(MCInst *MI, int64_t imm);
+
 #endif
diff --git a/arch/AArch64/AArch64Module.c b/arch/AArch64/AArch64Module.c
index 22d0fd8..f7fa97f 100644
--- a/arch/AArch64/AArch64Module.c
+++ b/arch/AArch64/AArch64Module.c
@@ -27,6 +27,7 @@
 	ud->reg_name = AArch64_reg_name;
 	ud->insn_id = AArch64_get_insn_id;
 	ud->insn_name = AArch64_insn_name;
+	ud->group_name = AArch64_group_name;
 	ud->post_printer = AArch64_post_printer;
 
 	return CS_ERR_OK;
diff --git a/arch/ARM/ARMBaseInfo.h b/arch/ARM/ARMBaseInfo.h
index 233a3aa..7e50396 100644
--- a/arch/ARM/ARMBaseInfo.h
+++ b/arch/ARM/ARMBaseInfo.h
@@ -20,7 +20,7 @@
 #ifndef CS_ARMBASEINFO_H
 #define CS_ARMBASEINFO_H
 
-//#include "ARMMCTargetDesc.h"
+#include "../../include/arm.h"
 
 // Defines symbolic names for ARM registers.  This defines a mapping from
 // register name to register number.
@@ -92,23 +92,12 @@
 	}
 }
 
-enum ARM_PROC_IMod {
-	ARM_PROC_IE = 2,
-	ARM_PROC_ID = 3
-};
-
-enum ARM_PROC_IFlags {
-	ARM_PROC_F = 1,
-	ARM_PROC_I = 2,
-	ARM_PROC_A = 4
-};
-
 inline static char *ARM_PROC_IFlagsToString(unsigned val)
 {
 	switch (val) {
-		case ARM_PROC_F: return "f";
-		case ARM_PROC_I: return "i";
-		case ARM_PROC_A: return "a";
+		case ARM_CPSFLAG_F: return "f";
+		case ARM_CPSFLAG_I: return "i";
+		case ARM_CPSFLAG_A: return "a";
 		default: return "";
 	}
 }
@@ -116,10 +105,9 @@
 inline static char *ARM_PROC_IModToString(unsigned val)
 {
 	switch (val) {
-		case ARM_PROC_IE: return "ie";
-		case ARM_PROC_ID: return "id";
-		default:
-						  return "";
+		case ARM_CPSMODE_IE: return "ie";
+		case ARM_CPSMODE_ID: return "id";
+		default: return "";
 	}
 }
 
diff --git a/arch/ARM/ARMDisassembler.c b/arch/ARM/ARMDisassembler.c
index 524ec0e..bc9fe30 100644
--- a/arch/ARM/ARMDisassembler.c
+++ b/arch/ARM/ARMDisassembler.c
@@ -364,7 +364,7 @@
 		uint64_t Address, const void *Decoder);
 
 // Hacky: enable all features for disassembler
-static uint64_t getFeatureBits(int mode)
+uint64_t ARM_getFeatureBits(unsigned int mode)
 {
 	uint64_t Bits = (uint64_t)-1;	// everything by default
 
@@ -377,7 +377,8 @@
 	//Bits &= ~ARM_HasV8Ops;
 	//Bits &= ~ARM_HasV6Ops;
 
-	//Bits &= (~ARM_FeatureMClass);
+	if ((mode & CS_MODE_MCLASS) == 0)
+		Bits &= (~ARM_FeatureMClass);
 
 	// some features are mutually exclusive
 	if (mode & CS_MODE_THUMB) {
@@ -440,7 +441,7 @@
 static DecodeStatus _ARM_getInstruction(cs_struct *ud, MCInst *MI, const uint8_t *code, size_t code_len,
 		uint16_t *Size, uint64_t Address)
 {
-	uint32_t insn;
+	uint32_t insn, i;
 	uint8_t bytes[4];
 	DecodeStatus result;
 
@@ -452,6 +453,8 @@
 
 	if (MI->flat_insn->detail) {
 		memset(&MI->flat_insn->detail->arm, 0, sizeof(cs_arm));
+		for (i = 0; i < ARR_SIZE(MI->flat_insn->detail->arm.operands); i++)
+			MI->flat_insn->detail->arm.operands[i].vector_index = -1;
 	}
 
 	memcpy(bytes, code, 4);
@@ -683,6 +686,7 @@
 	bool InITBlock;
 	unsigned Firstcond, Mask; 
 	uint32_t NEONLdStInsn, insn32, NEONDataInsn, NEONCryptoInsn, NEONv8Insn;
+	int i;
 
 	// We want to read exactly 2 bytes of data.
 	if (code_len < 2)
@@ -693,6 +697,8 @@
 
 	if (MI->flat_insn->detail) {
 		memset(&MI->flat_insn->detail->arm, 0, sizeof(cs_arm));
+		for (i = 0; i < ARR_SIZE(MI->flat_insn->detail->arm.operands); i++)
+			MI->flat_insn->detail->arm.operands[i].vector_index = -1;
 	}
 
 	memcpy(bytes, code, 2);
@@ -1231,10 +1237,13 @@
 {
 	unsigned i;
 	DecodeStatus S = MCDisassembler_Success;
+	unsigned opcode;
 
 	bool NeedDisjointWriteback = false;
 	unsigned WritebackReg = 0;
-	switch (MCInst_getOpcode(Inst)) {
+
+	opcode = MCInst_getOpcode(Inst);
+	switch (opcode) {
 		default:
 			break;
 		case ARM_LDMIA_UPD:
@@ -1262,6 +1271,15 @@
 		}
 	}
 
+	if (opcode == ARM_t2LDMIA_UPD && WritebackReg == ARM_SP) {
+		if (Val & (1 << ARM_SP)
+				|| ((Val & (1 << ARM_PC)) && (Val & (1 << ARM_LR)))) {
+			// invalid thumb2 pop
+			// needs no sp in reglist and not both pc and lr set at the same time
+			return MCDisassembler_Fail;
+		}
+	}
+
 	return S;
 }
 
@@ -4035,7 +4053,53 @@
 static DecodeStatus DecodeMSRMask(MCInst *Inst, unsigned Val,
 		uint64_t Address, const void *Decoder)
 {
-	if (!Val) return MCDisassembler_Fail;
+	uint64_t FeatureBits = ARM_getFeatureBits(Inst->csh->mode);
+	if (FeatureBits & ARM_FeatureMClass) {
+		unsigned ValLow = Val & 0xff;
+
+		// Validate the SYSm value first.
+		switch (ValLow) {
+			case  0: // apsr
+			case  1: // iapsr
+			case  2: // eapsr
+			case  3: // xpsr
+			case  5: // ipsr
+			case  6: // epsr
+			case  7: // iepsr
+			case  8: // msp
+			case  9: // psp
+			case 16: // primask
+			case 20: // control
+				break;
+			case 17: // basepri
+			case 18: // basepri_max
+			case 19: // faultmask
+				if (!(FeatureBits & ARM_HasV7Ops))
+					// Values basepri, basepri_max and faultmask are only valid for v7m.
+					return MCDisassembler_Fail;
+				break;
+			default:
+				return MCDisassembler_Fail;
+		}
+
+		// The ARMv7-M architecture has an additional 2-bit mask value in the MSR
+		// instruction (bits {11,10}). The mask is used only with apsr, iapsr,
+		// eapsr and xpsr, it has to be 0b10 in other cases. Bit mask{1} indicates
+		// if the NZCVQ bits should be moved by the instruction. Bit mask{0}
+		// indicates the move for the GE{3:0} bits, the mask{0} bit can be set
+		// only if the processor includes the DSP extension.
+		if ((FeatureBits & ARM_HasV7Ops) && MCInst_getOpcode(Inst) == ARM_t2MSR_M) {
+			unsigned Mask = (Val >> 10) & 3;
+			if (Mask == 0 || (Mask != 2 && ValLow > 3) ||
+					(!(FeatureBits & ARM_FeatureDSPThumb2) && Mask == 1))
+				return MCDisassembler_Fail;
+		}
+	} else {
+		// A/R class
+		if (Val == 0)
+			return MCDisassembler_Fail;
+	}
+
 	MCOperand_CreateImm0(Inst, Val);
 	return MCDisassembler_Success;
 }
diff --git a/arch/ARM/ARMDisassembler.h b/arch/ARM/ARMDisassembler.h
index 7b66c22..713b00d 100644
--- a/arch/ARM/ARMDisassembler.h
+++ b/arch/ARM/ARMDisassembler.h
@@ -13,6 +13,6 @@
 
 bool Thumb_getInstruction(csh handle, const uint8_t *code, size_t code_len, MCInst *instr, uint16_t *size, uint64_t address, void *info);
 
-uint64_t ARM_getFeatureBits(int mode);
+uint64_t ARM_getFeatureBits(unsigned int mode);
 
 #endif
diff --git a/arch/ARM/ARMGenAsmWriter.inc b/arch/ARM/ARMGenAsmWriter.inc
index 26980e4..4a47452 100644
--- a/arch/ARM/ARMGenAsmWriter.inc
+++ b/arch/ARM/ARMGenAsmWriter.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 /// printInstruction - This method is automatically generated by tablegen
 /// from the instruction set description.
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,294 +25,244 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    1317U,	// DBG_VALUE
+    1329U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    1310U,	// BUNDLE
-    1327U,	// LIFETIME_START
-    1297U,	// LIFETIME_END
+    1322U,	// BUNDLE
+    1339U,	// LIFETIME_START
+    1309U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// ABS
-    5756U,	// ADCri
-    5756U,	// ADCrr
-    9852U,	// ADCrsi
-    13948U,	// ADCrsr
+    5768U,	// ADCri
+    5768U,	// ADCrr
+    9864U,	// ADCrsi
+    13960U,	// ADCrsr
     0U,	// ADDSri
     0U,	// ADDSrr
     0U,	// ADDSrsi
     0U,	// ADDSrsr
-    5817U,	// ADDri
-    5817U,	// ADDrr
-    9913U,	// ADDrsi
-    14009U,	// ADDrsr
+    5829U,	// ADDri
+    5829U,	// ADDrr
+    9925U,	// ADDrsi
+    14021U,	// ADDrsr
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
-    18794U,	// ADR
+    18806U,	// ADR
     1090671288U,	// AESD
     1090671296U,	// AESE
     1107448485U,	// AESIMC
     1107448495U,	// AESMC
-    5870U,	// ANDri
-    5870U,	// ANDrr
-    9966U,	// ANDrsi
-    14062U,	// ANDrsr
-    268696U,	// ASRi
-    268696U,	// ASRr
-    0U,	// ATOMIC_CMP_SWAP_I16
-    0U,	// ATOMIC_CMP_SWAP_I32
-    0U,	// ATOMIC_CMP_SWAP_I64
-    0U,	// ATOMIC_CMP_SWAP_I8
-    0U,	// ATOMIC_LOAD_ADD_I16
-    0U,	// ATOMIC_LOAD_ADD_I32
-    0U,	// ATOMIC_LOAD_ADD_I64
-    0U,	// ATOMIC_LOAD_ADD_I8
-    0U,	// ATOMIC_LOAD_AND_I16
-    0U,	// ATOMIC_LOAD_AND_I32
-    0U,	// ATOMIC_LOAD_AND_I64
-    0U,	// ATOMIC_LOAD_AND_I8
-    0U,	// ATOMIC_LOAD_I64
-    0U,	// ATOMIC_LOAD_MAX_I16
-    0U,	// ATOMIC_LOAD_MAX_I32
-    0U,	// ATOMIC_LOAD_MAX_I64
-    0U,	// ATOMIC_LOAD_MAX_I8
-    0U,	// ATOMIC_LOAD_MIN_I16
-    0U,	// ATOMIC_LOAD_MIN_I32
-    0U,	// ATOMIC_LOAD_MIN_I64
-    0U,	// ATOMIC_LOAD_MIN_I8
-    0U,	// ATOMIC_LOAD_NAND_I16
-    0U,	// ATOMIC_LOAD_NAND_I32
-    0U,	// ATOMIC_LOAD_NAND_I64
-    0U,	// ATOMIC_LOAD_NAND_I8
-    0U,	// ATOMIC_LOAD_OR_I16
-    0U,	// ATOMIC_LOAD_OR_I32
-    0U,	// ATOMIC_LOAD_OR_I64
-    0U,	// ATOMIC_LOAD_OR_I8
-    0U,	// ATOMIC_LOAD_SUB_I16
-    0U,	// ATOMIC_LOAD_SUB_I32
-    0U,	// ATOMIC_LOAD_SUB_I64
-    0U,	// ATOMIC_LOAD_SUB_I8
-    0U,	// ATOMIC_LOAD_UMAX_I16
-    0U,	// ATOMIC_LOAD_UMAX_I32
-    0U,	// ATOMIC_LOAD_UMAX_I64
-    0U,	// ATOMIC_LOAD_UMAX_I8
-    0U,	// ATOMIC_LOAD_UMIN_I16
-    0U,	// ATOMIC_LOAD_UMIN_I32
-    0U,	// ATOMIC_LOAD_UMIN_I64
-    0U,	// ATOMIC_LOAD_UMIN_I8
-    0U,	// ATOMIC_LOAD_XOR_I16
-    0U,	// ATOMIC_LOAD_XOR_I32
-    0U,	// ATOMIC_LOAD_XOR_I64
-    0U,	// ATOMIC_LOAD_XOR_I8
-    0U,	// ATOMIC_STORE_I64
-    0U,	// ATOMIC_SWAP_I16
-    0U,	// ATOMIC_SWAP_I32
-    0U,	// ATOMIC_SWAP_I64
-    0U,	// ATOMIC_SWAP_I8
+    5882U,	// ANDri
+    5882U,	// ANDrr
+    9978U,	// ANDrsi
+    14074U,	// ANDrsr
+    268708U,	// ASRi
+    268708U,	// ASRr
     0U,	// B
     0U,	// BCCZi64
     0U,	// BCCi64
-    26244U,	// BFC
-    30665U,	// BFI
-    5769U,	// BICri
-    5769U,	// BICrr
-    9865U,	// BICrsi
-    13961U,	// BICrsr
-    414537U,	// BKPT
-    414517U,	// BL
-    414570U,	// BLX
-    1073777569U,	// BLX_pred
-    414570U,	// BLXi
-    1073776666U,	// BL_pred
+    26256U,	// BFC
+    30677U,	// BFI
+    5781U,	// BICri
+    5781U,	// BICrr
+    9877U,	// BICrsi
+    13973U,	// BICrsr
+    414542U,	// BKPT
+    414522U,	// BL
+    414582U,	// BLX
+    1073777581U,	// BLX_pred
+    414582U,	// BLXi
+    1073776678U,	// BL_pred
     0U,	// BMOVPCB_CALL
     0U,	// BMOVPCRX_CALL
     0U,	// BR_JTadd
     0U,	// BR_JTm
     0U,	// BR_JTr
-    414566U,	// BX
-    1073776603U,	// BXJ
+    414578U,	// BX
+    1073776615U,	// BXJ
     0U,	// BX_CALL
-    564029U,	// BX_RET
-    1073777469U,	// BX_pred
-    1073776023U,	// Bcc
-    2197858613U,	// CDP
+    564041U,	// BX_RET
+    1073777481U,	// BX_pred
+    1073776035U,	// Bcc
+    2197858625U,	// CDP
     67809687U,	// CDP2
-    2955U,	// CLREX
-    19405U,	// CLZ
-    18651U,	// CMNri
-    18651U,	// CMNzrr
-    26843U,	// CMNzrsi
-    30939U,	// CMNzrsr
-    18751U,	// CMPri
-    18751U,	// CMPrr
-    26943U,	// CMPrsi
-    31039U,	// CMPrsr
+    2967U,	// CLREX
+    19417U,	// CLZ
+    18663U,	// CMNri
+    18663U,	// CMNzrr
+    26855U,	// CMNzrsi
+    30951U,	// CMNzrsr
+    18763U,	// CMPri
+    18763U,	// CMPrr
+    26955U,	// CMPrsi
+    31051U,	// CMPrsr
     0U,	// CONSTPOOL_ENTRY
     0U,	// COPY_STRUCT_BYVAL_I32
-    414521U,	// CPS1p
-    1157679598U,	// CPS2p
-    83937774U,	// CPS3p
+    414526U,	// CPS1p
+    1157679610U,	// CPS2p
+    83937786U,	// CPS3p
     33706710U,	// CRC32B
     33706718U,	// CRC32CB
-    33706777U,	// CRC32CH
-    33706839U,	// CRC32CW
-    33706769U,	// CRC32H
-    33706831U,	// CRC32W
-    1073776462U,	// DBG
+    33706782U,	// CRC32CH
+    33706851U,	// CRC32CW
+    33706774U,	// CRC32H
+    33706843U,	// CRC32W
+    1073776474U,	// DBG
     54005U,	// DMB
     54010U,	// DSB
-    6534U,	// EORri
-    6534U,	// EORrr
-    10630U,	// EORrsi
-    14726U,	// EORrsr
-    3322694374U,	// FCONSTD
-    3322825446U,	// FCONSTS
-    33573688U,	// FLDMXDB_UPD
-    35585U,	// FLDMXIA
-    33573633U,	// FLDMXIA_UPD
-    1087986U,	// FMSTAT
-    33573696U,	// FSTMXDB_UPD
-    35593U,	// FSTMXIA
-    33573641U,	// FSTMXIA_UPD
-    1073777273U,	// HINT
-    414532U,	// HLT
+    6546U,	// EORri
+    6546U,	// EORrr
+    10642U,	// EORrsi
+    14738U,	// EORrsr
+    3322694386U,	// FCONSTD
+    3322825458U,	// FCONSTS
+    33573700U,	// FLDMXDB_UPD
+    35597U,	// FLDMXIA
+    33573645U,	// FLDMXIA_UPD
+    1087998U,	// FMSTAT
+    33573708U,	// FSTMXDB_UPD
+    35605U,	// FSTMXIA
+    33573653U,	// FSTMXIA_UPD
+    1073777285U,	// HINT
+    414537U,	// HLT
     58111U,	// ISB
-    117766759U,	// ITasm
+    117766771U,	// ITasm
     0U,	// Int_eh_sjlj_dispatchsetup
     0U,	// Int_eh_sjlj_longjmp
     0U,	// Int_eh_sjlj_setjmp
     0U,	// Int_eh_sjlj_setjmp_nofp
-    17731U,	// LDA
-    17812U,	// LDAB
-    19321U,	// LDAEX
-    18012U,	// LDAEXB
-    1207977736U,	// LDAEXD
-    18349U,	// LDAEXH
-    18269U,	// LDAH
-    152220455U,	// LDC2L_OFFSET
-    2316481319U,	// LDC2L_OPTION
-    3390223143U,	// LDC2L_POST
-    185774887U,	// LDC2L_PRE
+    17743U,	// LDA
+    17824U,	// LDAB
+    19333U,	// LDAEX
+    18024U,	// LDAEXB
+    134235924U,	// LDAEXD
+    18361U,	// LDAEXH
+    18281U,	// LDAH
+    152220460U,	// LDC2L_OFFSET
+    1242739500U,	// LDC2L_OPTION
+    2316481324U,	// LDC2L_POST
+    185774892U,	// LDC2L_PRE
     152220030U,	// LDC2_OFFSET
-    2316480894U,	// LDC2_OPTION
-    3390222718U,	// LDC2_POST
+    1242739070U,	// LDC2_OPTION
+    2316480894U,	// LDC2_POST
     185774462U,	// LDC2_PRE
-    50362403U,	// LDCL_OFFSET
-    50362403U,	// LDCL_OPTION
-    50362403U,	// LDCL_POST
-    50362403U,	// LDCL_PRE
-    50361984U,	// LDC_OFFSET
-    50361984U,	// LDC_OPTION
-    50361984U,	// LDC_POST
-    50361984U,	// LDC_PRE
-    34119U,	// LDMDA
-    33572167U,	// LDMDA_UPD
-    34246U,	// LDMDB
-    33572294U,	// LDMDB_UPD
-    34986U,	// LDMIA
+    3271587887U,	// LDCL_OFFSET
+    3271587887U,	// LDCL_OPTION
+    3271587887U,	// LDCL_POST
+    3271587887U,	// LDCL_PRE
+    3271587468U,	// LDC_OFFSET
+    3271587468U,	// LDC_OPTION
+    3271587468U,	// LDC_POST
+    3271587468U,	// LDC_PRE
+    34131U,	// LDMDA
+    33572179U,	// LDMDA_UPD
+    34258U,	// LDMDB
+    33572306U,	// LDMDB_UPD
+    34998U,	// LDMIA
     0U,	// LDMIA_RET
-    33573034U,	// LDMIA_UPD
-    34265U,	// LDMIB
-    33572313U,	// LDMIB_UPD
-    281140U,	// LDRBT_POST
-    68148U,	// LDRBT_POST_IMM
-    68148U,	// LDRBT_POST_REG
-    67059U,	// LDRB_POST_IMM
-    67059U,	// LDRB_POST_REG
-    30195U,	// LDRB_PRE_IMM
-    67059U,	// LDRB_PRE_REG
-    26099U,	// LDRBi12
-    30195U,	// LDRBrs
-    67314U,	// LDRD
-    1207990002U,	// LDRD_PAIR
-    42738U,	// LDRD_POST
-    42738U,	// LDRD_PRE
-    19333U,	// LDREX
-    18026U,	// LDREXB
-    1207977750U,	// LDREXD
-    18363U,	// LDREXH
-    30600U,	// LDRH
-    31314U,	// LDRHTi
-    68178U,	// LDRHTr
-    67464U,	// LDRH_POST
-    67464U,	// LDRH_PRE
+    33573046U,	// LDMIA_UPD
+    34277U,	// LDMIB
+    33572325U,	// LDMIB_UPD
+    281152U,	// LDRBT_POST
+    68160U,	// LDRBT_POST_IMM
+    68160U,	// LDRBT_POST_REG
+    67071U,	// LDRB_POST_IMM
+    67071U,	// LDRB_POST_REG
+    30207U,	// LDRB_PRE_IMM
+    67071U,	// LDRB_PRE_REG
+    26111U,	// LDRBi12
+    30207U,	// LDRBrs
+    67326U,	// LDRD
+    42750U,	// LDRD_POST
+    42750U,	// LDRD_PRE
+    19345U,	// LDREX
+    18038U,	// LDREXB
+    134235938U,	// LDREXD
+    18375U,	// LDREXH
+    30612U,	// LDRH
+    31326U,	// LDRHTi
+    68190U,	// LDRHTr
+    67476U,	// LDRH_POST
+    67476U,	// LDRH_PRE
     0U,	// LDRLIT_ga_abs
     0U,	// LDRLIT_ga_pcrel
     0U,	// LDRLIT_ga_pcrel_ldr
-    30213U,	// LDRSB
-    31296U,	// LDRSBTi
-    68160U,	// LDRSBTr
-    67077U,	// LDRSB_POST
-    67077U,	// LDRSB_PRE
-    30610U,	// LDRSH
-    31326U,	// LDRSHTi
-    68190U,	// LDRSHTr
-    67474U,	// LDRSH_POST
-    67474U,	// LDRSH_PRE
-    281214U,	// LDRT_POST
-    68222U,	// LDRT_POST_IMM
-    68222U,	// LDRT_POST_REG
-    67951U,	// LDR_POST_IMM
-    67951U,	// LDR_POST_REG
-    31087U,	// LDR_PRE_IMM
-    67951U,	// LDR_PRE_REG
-    26991U,	// LDRcp
-    26991U,	// LDRi12
-    31087U,	// LDRrs
+    30225U,	// LDRSB
+    31308U,	// LDRSBTi
+    68172U,	// LDRSBTr
+    67089U,	// LDRSB_POST
+    67089U,	// LDRSB_PRE
+    30622U,	// LDRSH
+    31338U,	// LDRSHTi
+    68202U,	// LDRSHTr
+    67486U,	// LDRSH_POST
+    67486U,	// LDRSH_PRE
+    281226U,	// LDRT_POST
+    68234U,	// LDRT_POST_IMM
+    68234U,	// LDRT_POST_REG
+    67963U,	// LDR_POST_IMM
+    67963U,	// LDR_POST_REG
+    31099U,	// LDR_PRE_IMM
+    67963U,	// LDR_PRE_REG
+    27003U,	// LDRcp
+    27003U,	// LDRi12
+    31099U,	// LDRrs
     0U,	// LEApcrel
     0U,	// LEApcrelJT
-    268421U,	// LSLi
-    268421U,	// LSLr
-    268703U,	// LSRi
-    268703U,	// LSRr
-    2197858662U,	// MCR
+    268433U,	// LSLi
+    268433U,	// LSLr
+    268715U,	// LSRi
+    268715U,	// LSRr
+    2197858674U,	// MCR
     17478045U,	// MCR2
-    2197883278U,	// MCRR
+    2197883290U,	// MCRR
     17478051U,	// MCRR2
-    9583U,	// MLA
+    9595U,	// MLA
     0U,	// MLAv5
-    31185U,	// MLS
+    31197U,	// MLS
     0U,	// MOVCCi
     0U,	// MOVCCi16
     0U,	// MOVCCi32imm
     0U,	// MOVCCr
     0U,	// MOVCCsi
     0U,	// MOVCCsr
-    1350375U,	// MOVPCLR
+    1350387U,	// MOVPCLR
     0U,	// MOVPCRX
-    27316U,	// MOVTi16
+    27328U,	// MOVTi16
     0U,	// MOVTi16_ga_pcrel
     0U,	// MOV_ga_pcrel
     0U,	// MOV_ga_pcrel_ldr
-    72423U,	// MOVi
-    19196U,	// MOVi16
+    72435U,	// MOVi
+    19208U,	// MOVi16
     0U,	// MOVi16_ga_pcrel
     0U,	// MOVi32imm
-    72423U,	// MOVr
-    72423U,	// MOVr_TC
-    6887U,	// MOVsi
-    10983U,	// MOVsr
+    72435U,	// MOVr
+    72435U,	// MOVr_TC
+    6899U,	// MOVsi
+    10995U,	// MOVsr
     0U,	// MOVsra_flag
     0U,	// MOVsrl_flag
-    201369233U,	// MRC
+    201369245U,	// MRC
     74116U,	// MRC2
-    2197882517U,	// MRRC
+    2197882529U,	// MRRC
     17478026U,	// MRRC2
-    2147518963U,	// MRS
-    3221260787U,	// MRSsys
-    218122660U,	// MSR
-    218122660U,	// MSRi
-    6293U,	// MUL
+    35327U,	// MRS
+    1073777151U,	// MRSsys
+    218122672U,	// MSR
+    218122672U,	// MSRi
+    6305U,	// MUL
     0U,	// MULv5
     0U,	// MVNCCi
-    71967U,	// MVNi
-    71967U,	// MVNr
-    6431U,	// MVNsi
-    10527U,	// MVNsr
-    6548U,	// ORRri
-    6548U,	// ORRrr
-    10644U,	// ORRrsi
-    14740U,	// ORRrsr
+    71979U,	// MVNi
+    71979U,	// MVNr
+    6443U,	// MVNsi
+    10539U,	// MVNsr
+    6560U,	// ORRri
+    6560U,	// ORRrr
+    10656U,	// ORRrsi
+    14752U,	// ORRrsr
     0U,	// PICADD
     0U,	// PICLDR
     0U,	// PICLDRB
@@ -322,28 +272,28 @@
     0U,	// PICSTR
     0U,	// PICSTRB
     0U,	// PICSTRH
-    31263U,	// PKHBT
-    30226U,	// PKHTB
-    78688U,	// PLDWi12
-    82784U,	// PLDWrs
+    31275U,	// PKHBT
+    30238U,	// PKHTB
+    78700U,	// PLDWi12
+    82796U,	// PLDWrs
     78596U,	// PLDi12
     82692U,	// PLDrs
-    78626U,	// PLIi12
-    82722U,	// PLIrs
-    26321U,	// QADD
-    25752U,	// QADD16
-    25855U,	// QADD8
-    27574U,	// QASX
-    26295U,	// QDADD
-    26167U,	// QDSUB
-    27433U,	// QSAX
-    26180U,	// QSUB
-    25714U,	// QSUB16
-    25816U,	// QSUB8
-    19045U,	// RBIT
-    19155U,	// REV
-    17596U,	// REV16
-    18333U,	// REVSH
+    78631U,	// PLIi12
+    82727U,	// PLIrs
+    26333U,	// QADD
+    25764U,	// QADD16
+    25867U,	// QADD8
+    27586U,	// QASX
+    26307U,	// QDADD
+    26179U,	// QDSUB
+    27445U,	// QSAX
+    26192U,	// QSUB
+    25726U,	// QSUB16
+    25828U,	// QSUB8
+    19057U,	// RBIT
+    19167U,	// REV
+    17608U,	// REV16
+    18345U,	// REVSH
     414408U,	// RFEDA
     1462984U,	// RFEDA_UPD
     414439U,	// RFEDB
@@ -352,31 +302,31 @@
     1462991U,	// RFEIA_UPD
     414446U,	// RFEIB
     1463022U,	// RFEIB_UPD
-    268682U,	// RORi
-    268682U,	// RORr
+    268694U,	// RORi
+    268694U,	// RORr
     0U,	// RRX
-    334757U,	// RRXi
+    334769U,	// RRXi
     0U,	// RSBSri
     0U,	// RSBSrsi
     0U,	// RSBSrsr
-    5639U,	// RSBri
-    5639U,	// RSBrr
-    9735U,	// RSBrsi
-    13831U,	// RSBrsr
-    5786U,	// RSCri
-    5786U,	// RSCrr
-    9882U,	// RSCrsi
-    13978U,	// RSCrsr
-    25759U,	// SADD16
-    25861U,	// SADD8
-    27579U,	// SASX
-    5752U,	// SBCri
-    5752U,	// SBCrr
-    9848U,	// SBCrsi
-    13944U,	// SBCrsr
-    31639U,	// SBFX
-    27351U,	// SDIV
-    26688U,	// SEL
+    5651U,	// RSBri
+    5651U,	// RSBrr
+    9747U,	// RSBrsi
+    13843U,	// RSBrsr
+    5798U,	// RSCri
+    5798U,	// RSCrr
+    9894U,	// RSCrsi
+    13990U,	// RSCrsr
+    25771U,	// SADD16
+    25873U,	// SADD8
+    27591U,	// SASX
+    5764U,	// SBCri
+    5764U,	// SBCrr
+    9860U,	// SBCrsi
+    13956U,	// SBCrsr
+    31651U,	// SBFX
+    27363U,	// SDIV
+    26700U,	// SEL
     86793U,	// SETEND
     16928834U,	// SHA1C
     1107447884U,	// SHA1H
@@ -388,390 +338,390 @@
     16928821U,	// SHA256H2
     1090670605U,	// SHA256SU0
     16928807U,	// SHA256SU1
-    25735U,	// SHADD16
-    25840U,	// SHADD8
-    27561U,	// SHASX
-    27420U,	// SHSAX
-    25697U,	// SHSUB16
-    25801U,	// SHSUB8
-    1073776269U,	// SMC
-    30117U,	// SMLABB
-    31256U,	// SMLABT
-    30374U,	// SMLAD
-    31565U,	// SMLADX
-    92166U,	// SMLAL
-    30124U,	// SMLALBB
-    31269U,	// SMLALBT
-    30427U,	// SMLALD
-    31579U,	// SMLALDX
-    30232U,	// SMLALTB
-    31386U,	// SMLALTT
+    25747U,	// SHADD16
+    25852U,	// SHADD8
+    27573U,	// SHASX
+    27432U,	// SHSAX
+    25709U,	// SHSUB16
+    25813U,	// SHSUB8
+    1073776281U,	// SMC
+    30129U,	// SMLABB
+    31268U,	// SMLABT
+    30386U,	// SMLAD
+    31577U,	// SMLADX
+    92178U,	// SMLAL
+    30136U,	// SMLALBB
+    31281U,	// SMLALBT
+    30439U,	// SMLALD
+    31591U,	// SMLALDX
+    30244U,	// SMLALTB
+    31398U,	// SMLALTT
     0U,	// SMLALv5
-    30219U,	// SMLATB
-    31379U,	// SMLATT
-    30286U,	// SMLAWB
-    31417U,	// SMLAWT
-    30460U,	// SMLSD
-    31595U,	// SMLSDX
-    30438U,	// SMLSLD
-    31587U,	// SMLSLDX
-    30061U,	// SMMLA
-    31071U,	// SMMLAR
-    31183U,	// SMMLS
-    31132U,	// SMMLSR
-    26771U,	// SMMUL
-    27006U,	// SMMULR
-    26284U,	// SMUAD
-    27476U,	// SMUADX
-    26036U,	// SMULBB
-    27181U,	// SMULBT
-    10346U,	// SMULL
+    30231U,	// SMLATB
+    31391U,	// SMLATT
+    30298U,	// SMLAWB
+    31429U,	// SMLAWT
+    30472U,	// SMLSD
+    31607U,	// SMLSDX
+    30450U,	// SMLSLD
+    31599U,	// SMLSLDX
+    30073U,	// SMMLA
+    31083U,	// SMMLAR
+    31195U,	// SMMLS
+    31144U,	// SMMLSR
+    26783U,	// SMMUL
+    27018U,	// SMMULR
+    26296U,	// SMUAD
+    27488U,	// SMUADX
+    26048U,	// SMULBB
+    27193U,	// SMULBT
+    10358U,	// SMULL
     0U,	// SMULLv5
-    26144U,	// SMULTB
-    27298U,	// SMULTT
-    26197U,	// SMULWB
-    27328U,	// SMULWT
-    26370U,	// SMUSD
-    27506U,	// SMUSDX
-    414634U,	// SRSDA
-    414586U,	// SRSDA_UPD
-    414656U,	// SRSDB
-    414610U,	// SRSDB_UPD
-    414645U,	// SRSIA
-    414598U,	// SRSIA_UPD
-    414667U,	// SRSIB
-    414622U,	// SRSIB_UPD
-    31246U,	// SSAT
-    25773U,	// SSAT16
-    27438U,	// SSAX
-    25721U,	// SSUB16
-    25822U,	// SSUB8
-    152220462U,	// STC2L_OFFSET
-    2316481326U,	// STC2L_OPTION
-    3390223150U,	// STC2L_POST
-    185774894U,	// STC2L_PRE
+    26156U,	// SMULTB
+    27310U,	// SMULTT
+    26209U,	// SMULWB
+    27340U,	// SMULWT
+    26382U,	// SMUSD
+    27518U,	// SMUSDX
+    414646U,	// SRSDA
+    414598U,	// SRSDA_UPD
+    414668U,	// SRSDB
+    414622U,	// SRSDB_UPD
+    414657U,	// SRSIA
+    414610U,	// SRSIA_UPD
+    414679U,	// SRSIB
+    414634U,	// SRSIB_UPD
+    31258U,	// SSAT
+    25785U,	// SSAT16
+    27450U,	// SSAX
+    25733U,	// SSUB16
+    25834U,	// SSUB8
+    152220467U,	// STC2L_OFFSET
+    1242739507U,	// STC2L_OPTION
+    2316481331U,	// STC2L_POST
+    185774899U,	// STC2L_PRE
     152220049U,	// STC2_OFFSET
-    2316480913U,	// STC2_OPTION
-    3390222737U,	// STC2_POST
+    1242739089U,	// STC2_OPTION
+    2316480913U,	// STC2_POST
     185774481U,	// STC2_PRE
-    50362408U,	// STCL_OFFSET
-    50362408U,	// STCL_OPTION
-    50362408U,	// STCL_POST
-    50362408U,	// STCL_PRE
-    50362014U,	// STC_OFFSET
-    50362014U,	// STC_OPTION
-    50362014U,	// STC_POST
-    50362014U,	// STC_PRE
-    18575U,	// STL
-    17893U,	// STLB
-    27519U,	// STLEX
-    26211U,	// STLEXB
-    26383U,	// STLEXD
-    26548U,	// STLEXH
-    18290U,	// STLH
-    34125U,	// STMDA
-    33572173U,	// STMDA_UPD
-    34253U,	// STMDB
-    33572301U,	// STMDB_UPD
-    34990U,	// STMIA
-    33573038U,	// STMIA_UPD
-    34271U,	// STMIB
-    33572319U,	// STMIB_UPD
-    281146U,	// STRBT_POST
-    33622586U,	// STRBT_POST_IMM
-    33622586U,	// STRBT_POST_REG
-    33621496U,	// STRB_POST_IMM
-    33621496U,	// STRB_POST_REG
-    33584632U,	// STRB_PRE_IMM
-    33621496U,	// STRB_PRE_REG
-    26104U,	// STRBi12
+    3271587892U,	// STCL_OFFSET
+    3271587892U,	// STCL_OPTION
+    3271587892U,	// STCL_POST
+    3271587892U,	// STCL_PRE
+    3271587498U,	// STC_OFFSET
+    3271587498U,	// STC_OPTION
+    3271587498U,	// STC_POST
+    3271587498U,	// STC_PRE
+    18587U,	// STL
+    17905U,	// STLB
+    27531U,	// STLEX
+    26223U,	// STLEXB
+    26395U,	// STLEXD
+    26560U,	// STLEXH
+    18302U,	// STLH
+    34137U,	// STMDA
+    33572185U,	// STMDA_UPD
+    34265U,	// STMDB
+    33572313U,	// STMDB_UPD
+    35002U,	// STMIA
+    33573050U,	// STMIA_UPD
+    34283U,	// STMIB
+    33572331U,	// STMIB_UPD
+    281158U,	// STRBT_POST
+    33622598U,	// STRBT_POST_IMM
+    33622598U,	// STRBT_POST_REG
+    33621508U,	// STRB_POST_IMM
+    33621508U,	// STRB_POST_REG
+    33584644U,	// STRB_PRE_IMM
+    33621508U,	// STRB_PRE_REG
+    26116U,	// STRBi12
     0U,	// STRBi_preidx
     0U,	// STRBr_preidx
-    30200U,	// STRBrs
-    67319U,	// STRD
-    1207990007U,	// STRD_PAIR
-    33597175U,	// STRD_POST
-    33597175U,	// STRD_PRE
-    27537U,	// STREX
-    26225U,	// STREXB
-    26397U,	// STREXD
-    26562U,	// STREXH
-    30605U,	// STRH
-    33585752U,	// STRHTi
-    33622616U,	// STRHTr
-    33621901U,	// STRH_POST
-    33621901U,	// STRH_PRE
+    30212U,	// STRBrs
+    67331U,	// STRD
+    33597187U,	// STRD_POST
+    33597187U,	// STRD_PRE
+    27549U,	// STREX
+    26237U,	// STREXB
+    26409U,	// STREXD
+    26574U,	// STREXH
+    30617U,	// STRH
+    33585764U,	// STRHTi
+    33622628U,	// STRHTr
+    33621913U,	// STRH_POST
+    33621913U,	// STRH_PRE
     0U,	// STRH_preidx
-    281225U,	// STRT_POST
-    33622665U,	// STRT_POST_IMM
-    33622665U,	// STRT_POST_REG
-    33622448U,	// STR_POST_IMM
-    33622448U,	// STR_POST_REG
-    33585584U,	// STR_PRE_IMM
-    33622448U,	// STR_PRE_REG
-    27056U,	// STRi12
+    281237U,	// STRT_POST
+    33622677U,	// STRT_POST_IMM
+    33622677U,	// STRT_POST_REG
+    33622460U,	// STR_POST_IMM
+    33622460U,	// STR_POST_REG
+    33585596U,	// STR_PRE_IMM
+    33622460U,	// STR_PRE_REG
+    27068U,	// STRi12
     0U,	// STRi_preidx
     0U,	// STRr_preidx
-    31152U,	// STRrs
+    31164U,	// STRrs
     0U,	// SUBS_PC_LR
     0U,	// SUBSri
     0U,	// SUBSrr
     0U,	// SUBSrsi
     0U,	// SUBSrsr
-    5689U,	// SUBri
-    5689U,	// SUBrr
-    9785U,	// SUBrsi
-    13881U,	// SUBrsr
-    1073776290U,	// SVC
-    26957U,	// SWP
-    26094U,	// SWPB
-    30105U,	// SXTAB
-    29763U,	// SXTAB16
-    30562U,	// SXTAH
-    26157U,	// SXTB
-    25683U,	// SXTB16
-    26531U,	// SXTH
+    5701U,	// SUBri
+    5701U,	// SUBrr
+    9797U,	// SUBrsi
+    13893U,	// SUBrsr
+    1073776302U,	// SVC
+    26969U,	// SWP
+    26106U,	// SWPB
+    30117U,	// SXTAB
+    29775U,	// SXTAB16
+    30574U,	// SXTAH
+    26169U,	// SXTB
+    25695U,	// SXTB16
+    26543U,	// SXTH
     0U,	// TAILJMPd
     0U,	// TAILJMPr
     0U,	// TCRETURNdi
     0U,	// TCRETURNri
-    18779U,	// TEQri
-    18779U,	// TEQrr
-    26971U,	// TEQrsi
-    31067U,	// TEQrsr
+    18791U,	// TEQri
+    18791U,	// TEQrr
+    26983U,	// TEQrsi
+    31079U,	// TEQrsr
     0U,	// TPsoft
-    2352U,	// TRAP
-    2352U,	// TRAPNaCl
-    19087U,	// TSTri
-    19087U,	// TSTrr
-    27279U,	// TSTrsi
-    31375U,	// TSTrsr
-    25766U,	// UADD16
-    25867U,	// UADD8
-    27584U,	// UASX
-    31644U,	// UBFX
-    27356U,	// UDIV
-    25743U,	// UHADD16
-    25847U,	// UHADD8
-    27567U,	// UHASX
-    27426U,	// UHSAX
-    25705U,	// UHSUB16
-    25808U,	// UHSUB8
-    30699U,	// UMAAL
-    92172U,	// UMLAL
+    2364U,	// TRAP
+    2364U,	// TRAPNaCl
+    19099U,	// TSTri
+    19099U,	// TSTrr
+    27291U,	// TSTrsi
+    31387U,	// TSTrsr
+    25778U,	// UADD16
+    25879U,	// UADD8
+    27596U,	// UASX
+    31656U,	// UBFX
+    414481U,	// UDF
+    27368U,	// UDIV
+    25755U,	// UHADD16
+    25859U,	// UHADD8
+    27579U,	// UHASX
+    27438U,	// UHSAX
+    25717U,	// UHSUB16
+    25820U,	// UHSUB8
+    30711U,	// UMAAL
+    92184U,	// UMLAL
     0U,	// UMLALv5
-    10352U,	// UMULL
+    10364U,	// UMULL
     0U,	// UMULLv5
-    25751U,	// UQADD16
-    25854U,	// UQADD8
-    27573U,	// UQASX
-    27432U,	// UQSAX
-    25713U,	// UQSUB16
-    25815U,	// UQSUB8
-    25834U,	// USAD8
-    29890U,	// USADA8
-    31251U,	// USAT
-    25780U,	// USAT16
-    27443U,	// USAX
-    25728U,	// USUB16
-    25828U,	// USUB8
-    30111U,	// UXTAB
-    29771U,	// UXTAB16
-    30568U,	// UXTAH
-    26162U,	// UXTB
-    25690U,	// UXTB16
-    26536U,	// UXTH
-    18380785U,	// VABALsv2i64
-    18511857U,	// VABALsv4i32
-    18642929U,	// VABALsv8i16
-    18774001U,	// VABALuv2i64
-    18905073U,	// VABALuv4i32
-    19036145U,	// VABALuv8i16
-    18642238U,	// VABAsv16i8
-    18380094U,	// VABAsv2i32
-    18511166U,	// VABAsv4i16
-    18380094U,	// VABAsv4i32
-    18511166U,	// VABAsv8i16
-    18642238U,	// VABAsv8i8
-    19035454U,	// VABAuv16i8
-    18773310U,	// VABAuv2i32
-    18904382U,	// VABAuv4i16
-    18773310U,	// VABAuv4i32
-    18904382U,	// VABAuv8i16
-    19035454U,	// VABAuv8i8
-    35153965U,	// VABDLsv2i64
-    35285037U,	// VABDLsv4i32
-    35416109U,	// VABDLsv8i16
-    35547181U,	// VABDLuv2i64
-    35678253U,	// VABDLuv4i32
-    35809325U,	// VABDLuv8i16
-    2249090738U,	// VABDfd
-    2249090738U,	// VABDfq
-    35415730U,	// VABDsv16i8
-    35153586U,	// VABDsv2i32
-    35284658U,	// VABDsv4i16
-    35153586U,	// VABDsv4i32
-    35284658U,	// VABDsv8i16
-    35415730U,	// VABDsv8i8
-    35808946U,	// VABDuv16i8
-    35546802U,	// VABDuv2i32
-    35677874U,	// VABDuv4i16
-    35546802U,	// VABDuv4i32
-    35677874U,	// VABDuv8i16
-    35808946U,	// VABDuv8i8
-    2248952256U,	// VABSD
-    2249083328U,	// VABSS
-    2249083328U,	// VABSfd
-    2249083328U,	// VABSfq
-    1109150144U,	// VABSv16i8
-    1108888000U,	// VABSv2i32
-    1109019072U,	// VABSv4i16
-    1108888000U,	// VABSv4i32
-    1109019072U,	// VABSv8i16
-    1109150144U,	// VABSv8i8
-    2249090852U,	// VACGEd
-    2249090852U,	// VACGEq
-    2249091655U,	// VACGTd
-    2249091655U,	// VACGTq
-    2248959702U,	// VADDD
-    35940553U,	// VADDHNv2i32
-    36071625U,	// VADDHNv4i16
-    36202697U,	// VADDHNv8i8
-    35153978U,	// VADDLsv2i64
-    35285050U,	// VADDLsv4i32
-    35416122U,	// VADDLsv8i16
-    35547194U,	// VADDLuv2i64
-    35678266U,	// VADDLuv4i32
-    35809338U,	// VADDLuv8i16
-    2249090774U,	// VADDS
-    35154673U,	// VADDWsv2i64
-    35285745U,	// VADDWsv4i32
-    35416817U,	// VADDWsv8i16
-    35547889U,	// VADDWuv2i64
-    35678961U,	// VADDWuv4i32
-    35810033U,	// VADDWuv8i16
-    2249090774U,	// VADDfd
-    2249090774U,	// VADDfq
-    36333270U,	// VADDv16i8
-    35940054U,	// VADDv1i64
-    36071126U,	// VADDv2i32
-    35940054U,	// VADDv2i64
-    36202198U,	// VADDv4i16
-    36071126U,	// VADDv4i32
-    36202198U,	// VADDv8i16
-    36333270U,	// VADDv8i8
-    26349U,	// VANDd
-    26349U,	// VANDq
-    26248U,	// VBICd
-    237397640U,	// VBICiv2i32
-    237528712U,	// VBICiv4i16
-    237397640U,	// VBICiv4i32
-    237528712U,	// VBICiv8i16
-    26248U,	// VBICq
-    30537U,	// VBIFd
-    30537U,	// VBIFq
-    31338U,	// VBITd
-    31338U,	// VBITq
-    30844U,	// VBSLd
-    30844U,	// VBSLq
-    2249091414U,	// VCEQfd
-    2249091414U,	// VCEQfq
-    36333910U,	// VCEQv16i8
-    36071766U,	// VCEQv2i32
-    36202838U,	// VCEQv4i16
-    36071766U,	// VCEQv4i32
-    36202838U,	// VCEQv8i16
-    36333910U,	// VCEQv8i8
-    36325718U,	// VCEQzv16i8
-    2249083222U,	// VCEQzv2f32
-    36063574U,	// VCEQzv2i32
-    2249083222U,	// VCEQzv4f32
-    36194646U,	// VCEQzv4i16
-    36063574U,	// VCEQzv4i32
-    36194646U,	// VCEQzv8i16
-    36325718U,	// VCEQzv8i8
-    2249090858U,	// VCGEfd
-    2249090858U,	// VCGEfq
-    35415850U,	// VCGEsv16i8
-    35153706U,	// VCGEsv2i32
-    35284778U,	// VCGEsv4i16
-    35153706U,	// VCGEsv4i32
-    35284778U,	// VCGEsv8i16
-    35415850U,	// VCGEsv8i8
-    35809066U,	// VCGEuv16i8
-    35546922U,	// VCGEuv2i32
-    35677994U,	// VCGEuv4i16
-    35546922U,	// VCGEuv4i32
-    35677994U,	// VCGEuv8i16
-    35809066U,	// VCGEuv8i8
-    35407658U,	// VCGEzv16i8
-    2249082666U,	// VCGEzv2f32
-    35145514U,	// VCGEzv2i32
-    2249082666U,	// VCGEzv4f32
-    35276586U,	// VCGEzv4i16
-    35145514U,	// VCGEzv4i32
-    35276586U,	// VCGEzv8i16
-    35407658U,	// VCGEzv8i8
-    2249091661U,	// VCGTfd
-    2249091661U,	// VCGTfq
-    35416653U,	// VCGTsv16i8
-    35154509U,	// VCGTsv2i32
-    35285581U,	// VCGTsv4i16
-    35154509U,	// VCGTsv4i32
-    35285581U,	// VCGTsv8i16
-    35416653U,	// VCGTsv8i8
-    35809869U,	// VCGTuv16i8
-    35547725U,	// VCGTuv2i32
-    35678797U,	// VCGTuv4i16
-    35547725U,	// VCGTuv4i32
-    35678797U,	// VCGTuv8i16
-    35809869U,	// VCGTuv8i8
-    35408461U,	// VCGTzv16i8
-    2249083469U,	// VCGTzv2f32
-    35146317U,	// VCGTzv2i32
-    2249083469U,	// VCGTzv4f32
-    35277389U,	// VCGTzv4i16
-    35146317U,	// VCGTzv4i32
-    35277389U,	// VCGTzv8i16
-    35408461U,	// VCGTzv8i8
-    35407663U,	// VCLEzv16i8
-    2249082671U,	// VCLEzv2f32
-    35145519U,	// VCLEzv2i32
-    2249082671U,	// VCLEzv4f32
-    35276591U,	// VCLEzv4i16
-    35145519U,	// VCLEzv4i32
-    35276591U,	// VCLEzv8i16
-    35407663U,	// VCLEzv8i8
-    1109150154U,	// VCLSv16i8
-    1108888010U,	// VCLSv2i32
-    1109019082U,	// VCLSv4i16
-    1108888010U,	// VCLSv4i32
-    1109019082U,	// VCLSv8i16
-    1109150154U,	// VCLSv8i8
-    35408495U,	// VCLTzv16i8
-    2249083503U,	// VCLTzv2f32
-    35146351U,	// VCLTzv2i32
-    2249083503U,	// VCLTzv4f32
-    35277423U,	// VCLTzv4i16
-    35146351U,	// VCLTzv4i32
-    35277423U,	// VCLTzv8i16
-    35408495U,	// VCLTzv8i8
-    1110068172U,	// VCLZv16i8
-    1109806028U,	// VCLZv2i32
-    1109937100U,	// VCLZv4i16
-    1109806028U,	// VCLZv4i32
-    1109937100U,	// VCLZv8i16
-    1110068172U,	// VCLZv8i8
-    2248952126U,	// VCMPD
-    2248951611U,	// VCMPED
-    2249082683U,	// VCMPES
-    252479291U,	// VCMPEZD
-    252610363U,	// VCMPEZS
-    2249083198U,	// VCMPS
-    252479806U,	// VCMPZD
-    252610878U,	// VCMPZS
-    2902644U,	// VCNTd
-    2902644U,	// VCNTq
+    25763U,	// UQADD16
+    25866U,	// UQADD8
+    27585U,	// UQASX
+    27444U,	// UQSAX
+    25725U,	// UQSUB16
+    25827U,	// UQSUB8
+    25846U,	// USAD8
+    29902U,	// USADA8
+    31263U,	// USAT
+    25792U,	// USAT16
+    27455U,	// USAX
+    25740U,	// USUB16
+    25840U,	// USUB8
+    30123U,	// UXTAB
+    29783U,	// UXTAB16
+    30580U,	// UXTAH
+    26174U,	// UXTB
+    25702U,	// UXTB16
+    26548U,	// UXTH
+    18380797U,	// VABALsv2i64
+    18511869U,	// VABALsv4i32
+    18642941U,	// VABALsv8i16
+    18774013U,	// VABALuv2i64
+    18905085U,	// VABALuv4i32
+    19036157U,	// VABALuv8i16
+    18642250U,	// VABAsv16i8
+    18380106U,	// VABAsv2i32
+    18511178U,	// VABAsv4i16
+    18380106U,	// VABAsv4i32
+    18511178U,	// VABAsv8i16
+    18642250U,	// VABAsv8i8
+    19035466U,	// VABAuv16i8
+    18773322U,	// VABAuv2i32
+    18904394U,	// VABAuv4i16
+    18773322U,	// VABAuv4i32
+    18904394U,	// VABAuv8i16
+    19035466U,	// VABAuv8i8
+    35153977U,	// VABDLsv2i64
+    35285049U,	// VABDLsv4i32
+    35416121U,	// VABDLsv8i16
+    35547193U,	// VABDLuv2i64
+    35678265U,	// VABDLuv4i32
+    35809337U,	// VABDLuv8i16
+    2249090750U,	// VABDfd
+    2249090750U,	// VABDfq
+    35415742U,	// VABDsv16i8
+    35153598U,	// VABDsv2i32
+    35284670U,	// VABDsv4i16
+    35153598U,	// VABDsv4i32
+    35284670U,	// VABDsv8i16
+    35415742U,	// VABDsv8i8
+    35808958U,	// VABDuv16i8
+    35546814U,	// VABDuv2i32
+    35677886U,	// VABDuv4i16
+    35546814U,	// VABDuv4i32
+    35677886U,	// VABDuv8i16
+    35808958U,	// VABDuv8i8
+    2248952268U,	// VABSD
+    2249083340U,	// VABSS
+    2249083340U,	// VABSfd
+    2249083340U,	// VABSfq
+    1109150156U,	// VABSv16i8
+    1108888012U,	// VABSv2i32
+    1109019084U,	// VABSv4i16
+    1108888012U,	// VABSv4i32
+    1109019084U,	// VABSv8i16
+    1109150156U,	// VABSv8i8
+    2249090864U,	// VACGEd
+    2249090864U,	// VACGEq
+    2249091667U,	// VACGTd
+    2249091667U,	// VACGTq
+    2248959714U,	// VADDD
+    35940565U,	// VADDHNv2i32
+    36071637U,	// VADDHNv4i16
+    36202709U,	// VADDHNv8i8
+    35153990U,	// VADDLsv2i64
+    35285062U,	// VADDLsv4i32
+    35416134U,	// VADDLsv8i16
+    35547206U,	// VADDLuv2i64
+    35678278U,	// VADDLuv4i32
+    35809350U,	// VADDLuv8i16
+    2249090786U,	// VADDS
+    35154685U,	// VADDWsv2i64
+    35285757U,	// VADDWsv4i32
+    35416829U,	// VADDWsv8i16
+    35547901U,	// VADDWuv2i64
+    35678973U,	// VADDWuv4i32
+    35810045U,	// VADDWuv8i16
+    2249090786U,	// VADDfd
+    2249090786U,	// VADDfq
+    36333282U,	// VADDv16i8
+    35940066U,	// VADDv1i64
+    36071138U,	// VADDv2i32
+    35940066U,	// VADDv2i64
+    36202210U,	// VADDv4i16
+    36071138U,	// VADDv4i32
+    36202210U,	// VADDv8i16
+    36333282U,	// VADDv8i8
+    26361U,	// VANDd
+    26361U,	// VANDq
+    26260U,	// VBICd
+    237397652U,	// VBICiv2i32
+    237528724U,	// VBICiv4i16
+    237397652U,	// VBICiv4i32
+    237528724U,	// VBICiv8i16
+    26260U,	// VBICq
+    30549U,	// VBIFd
+    30549U,	// VBIFq
+    31350U,	// VBITd
+    31350U,	// VBITq
+    30856U,	// VBSLd
+    30856U,	// VBSLq
+    2249091426U,	// VCEQfd
+    2249091426U,	// VCEQfq
+    36333922U,	// VCEQv16i8
+    36071778U,	// VCEQv2i32
+    36202850U,	// VCEQv4i16
+    36071778U,	// VCEQv4i32
+    36202850U,	// VCEQv8i16
+    36333922U,	// VCEQv8i8
+    2183809378U,	// VCEQzv16i8
+    2249083234U,	// VCEQzv2f32
+    2183547234U,	// VCEQzv2i32
+    2249083234U,	// VCEQzv4f32
+    2183678306U,	// VCEQzv4i16
+    2183547234U,	// VCEQzv4i32
+    2183678306U,	// VCEQzv8i16
+    2183809378U,	// VCEQzv8i8
+    2249090870U,	// VCGEfd
+    2249090870U,	// VCGEfq
+    35415862U,	// VCGEsv16i8
+    35153718U,	// VCGEsv2i32
+    35284790U,	// VCGEsv4i16
+    35153718U,	// VCGEsv4i32
+    35284790U,	// VCGEsv8i16
+    35415862U,	// VCGEsv8i8
+    35809078U,	// VCGEuv16i8
+    35546934U,	// VCGEuv2i32
+    35678006U,	// VCGEuv4i16
+    35546934U,	// VCGEuv4i32
+    35678006U,	// VCGEuv8i16
+    35809078U,	// VCGEuv8i8
+    2182891318U,	// VCGEzv16i8
+    2249082678U,	// VCGEzv2f32
+    2182629174U,	// VCGEzv2i32
+    2249082678U,	// VCGEzv4f32
+    2182760246U,	// VCGEzv4i16
+    2182629174U,	// VCGEzv4i32
+    2182760246U,	// VCGEzv8i16
+    2182891318U,	// VCGEzv8i8
+    2249091673U,	// VCGTfd
+    2249091673U,	// VCGTfq
+    35416665U,	// VCGTsv16i8
+    35154521U,	// VCGTsv2i32
+    35285593U,	// VCGTsv4i16
+    35154521U,	// VCGTsv4i32
+    35285593U,	// VCGTsv8i16
+    35416665U,	// VCGTsv8i8
+    35809881U,	// VCGTuv16i8
+    35547737U,	// VCGTuv2i32
+    35678809U,	// VCGTuv4i16
+    35547737U,	// VCGTuv4i32
+    35678809U,	// VCGTuv8i16
+    35809881U,	// VCGTuv8i8
+    2182892121U,	// VCGTzv16i8
+    2249083481U,	// VCGTzv2f32
+    2182629977U,	// VCGTzv2i32
+    2249083481U,	// VCGTzv4f32
+    2182761049U,	// VCGTzv4i16
+    2182629977U,	// VCGTzv4i32
+    2182761049U,	// VCGTzv8i16
+    2182892121U,	// VCGTzv8i8
+    2182891323U,	// VCLEzv16i8
+    2249082683U,	// VCLEzv2f32
+    2182629179U,	// VCLEzv2i32
+    2249082683U,	// VCLEzv4f32
+    2182760251U,	// VCLEzv4i16
+    2182629179U,	// VCLEzv4i32
+    2182760251U,	// VCLEzv8i16
+    2182891323U,	// VCLEzv8i8
+    1109150166U,	// VCLSv16i8
+    1108888022U,	// VCLSv2i32
+    1109019094U,	// VCLSv4i16
+    1108888022U,	// VCLSv4i32
+    1109019094U,	// VCLSv8i16
+    1109150166U,	// VCLSv8i8
+    2182892155U,	// VCLTzv16i8
+    2249083515U,	// VCLTzv2f32
+    2182630011U,	// VCLTzv2i32
+    2249083515U,	// VCLTzv4f32
+    2182761083U,	// VCLTzv4i16
+    2182630011U,	// VCLTzv4i32
+    2182761083U,	// VCLTzv8i16
+    2182892155U,	// VCLTzv8i8
+    1110068184U,	// VCLZv16i8
+    1109806040U,	// VCLZv2i32
+    1109937112U,	// VCLZv4i16
+    1109806040U,	// VCLZv4i32
+    1109937112U,	// VCLZv8i16
+    1110068184U,	// VCLZv8i8
+    2248952138U,	// VCMPD
+    2248951623U,	// VCMPED
+    2249082695U,	// VCMPES
+    252479303U,	// VCMPEZD
+    252610375U,	// VCMPEZS
+    2249083210U,	// VCMPS
+    252479818U,	// VCMPZD
+    252610890U,	// VCMPZS
+    2902656U,	// VCNTd
+    2902656U,	// VCNTq
     1107447926U,	// VCVTANSD
     1107447926U,	// VCVTANSQ
     1107447986U,	// VCVTANUD
@@ -780,11 +730,11 @@
     1107447926U,	// VCVTASS
     1107448294U,	// VCVTAUD
     1107447986U,	// VCVTAUS
-    3032615U,	// VCVTBDH
-    3163687U,	// VCVTBHD
-    3294759U,	// VCVTBHS
-    3425831U,	// VCVTBSH
-    3558063U,	// VCVTDS
+    3032627U,	// VCVTBDH
+    3163699U,	// VCVTBHD
+    3294771U,	// VCVTBHS
+    3425843U,	// VCVTBSH
+    3558075U,	// VCVTDS
     1107447941U,	// VCVTMNSD
     1107447941U,	// VCVTMNSQ
     1107448001U,	// VCVTMNUD
@@ -809,936 +759,938 @@
     1107447971U,	// VCVTPSS
     1107448339U,	// VCVTPUD
     1107448031U,	// VCVTPUS
-    3689135U,	// VCVTSD
-    3033769U,	// VCVTTDH
-    3164841U,	// VCVTTHD
-    3295913U,	// VCVTTHS
-    3426985U,	// VCVTTSH
-    3426991U,	// VCVTf2h
-    272255663U,	// VCVTf2sd
-    272255663U,	// VCVTf2sq
-    272386735U,	// VCVTf2ud
-    272386735U,	// VCVTf2uq
-    1178233519U,	// VCVTf2xsd
-    1178233519U,	// VCVTf2xsq
-    1178364591U,	// VCVTf2xud
-    1178364591U,	// VCVTf2xuq
-    3295919U,	// VCVTh2f
-    272517807U,	// VCVTs2fd
-    272517807U,	// VCVTs2fq
-    272648879U,	// VCVTu2fd
-    272648879U,	// VCVTu2fq
-    1178495663U,	// VCVTxs2fd
-    1178495663U,	// VCVTxs2fq
-    1178626735U,	// VCVTxu2fd
-    1178626735U,	// VCVTxu2fq
-    2248960737U,	// VDIVD
-    2249091809U,	// VDIVS
-    4344135U,	// VDUP16d
-    4344135U,	// VDUP16q
-    4475207U,	// VDUP32d
-    4475207U,	// VDUP32q
-    2902343U,	// VDUP8d
-    2902343U,	// VDUP8q
-    4352327U,	// VDUPLN16d
-    4352327U,	// VDUPLN16q
-    4483399U,	// VDUPLN32d
-    4483399U,	// VDUPLN32q
-    2910535U,	// VDUPLN8d
-    2910535U,	// VDUPLN8q
-    27013U,	// VEORd
-    27013U,	// VEORq
-    4356807U,	// VEXTd16
-    4487879U,	// VEXTd32
-    2915015U,	// VEXTd8
-    4356807U,	// VEXTq16
-    4487879U,	// VEXTq32
-    4618951U,	// VEXTq64
-    2915015U,	// VEXTq8
-    1175221630U,	// VFMAD
-    1175352702U,	// VFMAS
-    1175352702U,	// VFMAfd
-    1175352702U,	// VFMAfq
-    1175222752U,	// VFMSD
-    1175353824U,	// VFMSS
-    1175353824U,	// VFMSfd
-    1175353824U,	// VFMSfq
-    1175221635U,	// VFNMAD
-    1175352707U,	// VFNMAS
-    1175222757U,	// VFNMSD
-    1175353829U,	// VFNMSS
-    4483814U,	// VGETLNi32
-    2182769382U,	// VGETLNs16
-    2182900454U,	// VGETLNs8
-    2183162598U,	// VGETLNu16
-    2183293670U,	// VGETLNu8
-    35415748U,	// VHADDsv16i8
-    35153604U,	// VHADDsv2i32
-    35284676U,	// VHADDsv4i16
-    35153604U,	// VHADDsv4i32
-    35284676U,	// VHADDsv8i16
-    35415748U,	// VHADDsv8i8
-    35808964U,	// VHADDuv16i8
-    35546820U,	// VHADDuv2i32
-    35677892U,	// VHADDuv4i16
-    35546820U,	// VHADDuv4i32
-    35677892U,	// VHADDuv8i16
-    35808964U,	// VHADDuv8i8
-    35415613U,	// VHSUBsv16i8
-    35153469U,	// VHSUBsv2i32
-    35284541U,	// VHSUBsv4i16
-    35153469U,	// VHSUBsv4i32
-    35284541U,	// VHSUBsv8i16
-    35415613U,	// VHSUBsv8i8
-    35808829U,	// VHSUBuv16i8
-    35546685U,	// VHSUBuv2i32
-    35677757U,	// VHSUBuv4i16
-    35546685U,	// VHSUBuv4i32
-    35677757U,	// VHSUBuv8i16
-    35808829U,	// VHSUBuv8i8
-    3510789078U,	// VLD1DUPd16
-    289567702U,	// VLD1DUPd16wb_fixed
-    289604566U,	// VLD1DUPd16wb_register
-    3510920150U,	// VLD1DUPd32
-    289698774U,	// VLD1DUPd32wb_fixed
-    289735638U,	// VLD1DUPd32wb_register
-    3509347286U,	// VLD1DUPd8
-    288125910U,	// VLD1DUPd8wb_fixed
-    288162774U,	// VLD1DUPd8wb_register
-    3527566294U,	// VLD1DUPq16
-    306344918U,	// VLD1DUPq16wb_fixed
-    306381782U,	// VLD1DUPq16wb_register
-    3527697366U,	// VLD1DUPq32
-    306475990U,	// VLD1DUPq32wb_fixed
-    306512854U,	// VLD1DUPq32wb_register
-    3526124502U,	// VLD1DUPq8
-    304903126U,	// VLD1DUPq8wb_fixed
-    304939990U,	// VLD1DUPq8wb_register
-    1078526934U,	// VLD1LNd16
-    1078555606U,	// VLD1LNd16_UPD
-    1078658006U,	// VLD1LNd32
-    1078686678U,	// VLD1LNd32_UPD
-    1078789078U,	// VLD1LNd8
-    1078817750U,	// VLD1LNd8_UPD
-    4355030U,	// VLD1LNdAsm_16
-    4486102U,	// VLD1LNdAsm_32
-    2913238U,	// VLD1LNdAsm_8
-    4355030U,	// VLD1LNdWB_fixed_Asm_16
-    4486102U,	// VLD1LNdWB_fixed_Asm_32
-    2913238U,	// VLD1LNdWB_fixed_Asm_8
-    4391894U,	// VLD1LNdWB_register_Asm_16
-    4522966U,	// VLD1LNdWB_register_Asm_32
-    2950102U,	// VLD1LNdWB_register_Asm_8
+    3689147U,	// VCVTSD
+    3033781U,	// VCVTTDH
+    3164853U,	// VCVTTHD
+    3295925U,	// VCVTTHS
+    3426997U,	// VCVTTSH
+    3427003U,	// VCVTf2h
+    272255675U,	// VCVTf2sd
+    272255675U,	// VCVTf2sq
+    272386747U,	// VCVTf2ud
+    272386747U,	// VCVTf2uq
+    3325717179U,	// VCVTf2xsd
+    3325717179U,	// VCVTf2xsq
+    3325848251U,	// VCVTf2xud
+    3325848251U,	// VCVTf2xuq
+    3295931U,	// VCVTh2f
+    272517819U,	// VCVTs2fd
+    272517819U,	// VCVTs2fq
+    272648891U,	// VCVTu2fd
+    272648891U,	// VCVTu2fq
+    3325979323U,	// VCVTxs2fd
+    3325979323U,	// VCVTxs2fq
+    3326110395U,	// VCVTxu2fd
+    3326110395U,	// VCVTxu2fq
+    2248960749U,	// VDIVD
+    2249091821U,	// VDIVS
+    4344147U,	// VDUP16d
+    4344147U,	// VDUP16q
+    4475219U,	// VDUP32d
+    4475219U,	// VDUP32q
+    2902355U,	// VDUP8d
+    2902355U,	// VDUP8q
+    4352339U,	// VDUPLN16d
+    4352339U,	// VDUPLN16q
+    4483411U,	// VDUPLN32d
+    4483411U,	// VDUPLN32q
+    2910547U,	// VDUPLN8d
+    2910547U,	// VDUPLN8q
+    27025U,	// VEORd
+    27025U,	// VEORq
+    4356819U,	// VEXTd16
+    4487891U,	// VEXTd32
+    2915027U,	// VEXTd8
+    4356819U,	// VEXTq16
+    4487891U,	// VEXTq32
+    4618963U,	// VEXTq64
+    2915027U,	// VEXTq8
+    3322705290U,	// VFMAD
+    3322836362U,	// VFMAS
+    3322836362U,	// VFMAfd
+    3322836362U,	// VFMAfq
+    3322706412U,	// VFMSD
+    3322837484U,	// VFMSS
+    3322837484U,	// VFMSfd
+    3322837484U,	// VFMSfq
+    3322705295U,	// VFNMAD
+    3322836367U,	// VFNMAS
+    3322706417U,	// VFNMSD
+    3322837489U,	// VFNMSS
+    4483826U,	// VGETLNi32
+    35285746U,	// VGETLNs16
+    35416818U,	// VGETLNs8
+    35678962U,	// VGETLNu16
+    35810034U,	// VGETLNu8
+    35415760U,	// VHADDsv16i8
+    35153616U,	// VHADDsv2i32
+    35284688U,	// VHADDsv4i16
+    35153616U,	// VHADDsv4i32
+    35284688U,	// VHADDsv8i16
+    35415760U,	// VHADDsv8i8
+    35808976U,	// VHADDuv16i8
+    35546832U,	// VHADDuv2i32
+    35677904U,	// VHADDuv4i16
+    35546832U,	// VHADDuv4i32
+    35677904U,	// VHADDuv8i16
+    35808976U,	// VHADDuv8i8
+    35415625U,	// VHSUBsv16i8
+    35153481U,	// VHSUBsv2i32
+    35284553U,	// VHSUBsv4i16
+    35153481U,	// VHSUBsv4i32
+    35284553U,	// VHSUBsv8i16
+    35415625U,	// VHSUBsv8i8
+    35808841U,	// VHSUBuv16i8
+    35546697U,	// VHSUBuv2i32
+    35677769U,	// VHSUBuv4i16
+    35546697U,	// VHSUBuv4i32
+    35677769U,	// VHSUBuv8i16
+    35808841U,	// VHSUBuv8i8
+    1363305442U,	// VLD1DUPd16
+    2437051362U,	// VLD1DUPd16wb_fixed
+    2437088226U,	// VLD1DUPd16wb_register
+    1363436514U,	// VLD1DUPd32
+    2437182434U,	// VLD1DUPd32wb_fixed
+    2437219298U,	// VLD1DUPd32wb_register
+    1361863650U,	// VLD1DUPd8
+    2435609570U,	// VLD1DUPd8wb_fixed
+    2435646434U,	// VLD1DUPd8wb_register
+    1380082658U,	// VLD1DUPq16
+    2453828578U,	// VLD1DUPq16wb_fixed
+    2453865442U,	// VLD1DUPq16wb_register
+    1380213730U,	// VLD1DUPq32
+    2453959650U,	// VLD1DUPq32wb_fixed
+    2453996514U,	// VLD1DUPq32wb_register
+    1378640866U,	// VLD1DUPq8
+    2452386786U,	// VLD1DUPq8wb_fixed
+    2452423650U,	// VLD1DUPq8wb_register
+    3226010594U,	// VLD1LNd16
+    3226039266U,	// VLD1LNd16_UPD
+    3226141666U,	// VLD1LNd32
+    3226170338U,	// VLD1LNd32_UPD
+    3226272738U,	// VLD1LNd8
+    3226301410U,	// VLD1LNd8_UPD
+    4355042U,	// VLD1LNdAsm_16
+    4486114U,	// VLD1LNdAsm_32
+    2913250U,	// VLD1LNdAsm_8
+    4355042U,	// VLD1LNdWB_fixed_Asm_16
+    4486114U,	// VLD1LNdWB_fixed_Asm_32
+    2913250U,	// VLD1LNdWB_fixed_Asm_8
+    4391906U,	// VLD1LNdWB_register_Asm_16
+    4522978U,	// VLD1LNdWB_register_Asm_32
+    2950114U,	// VLD1LNdWB_register_Asm_8
     0U,	// VLD1LNq16Pseudo
     0U,	// VLD1LNq16Pseudo_UPD
     0U,	// VLD1LNq32Pseudo
     0U,	// VLD1LNq32Pseudo_UPD
     0U,	// VLD1LNq8Pseudo
     0U,	// VLD1LNq8Pseudo_UPD
-    3544343510U,	// VLD1d16
-    3561120726U,	// VLD1d16Q
-    339899350U,	// VLD1d16Qwb_fixed
-    339936214U,	// VLD1d16Qwb_register
-    3577897942U,	// VLD1d16T
-    356676566U,	// VLD1d16Twb_fixed
-    356713430U,	// VLD1d16Twb_register
-    323122134U,	// VLD1d16wb_fixed
-    323158998U,	// VLD1d16wb_register
-    3544474582U,	// VLD1d32
-    3561251798U,	// VLD1d32Q
-    340030422U,	// VLD1d32Qwb_fixed
-    340067286U,	// VLD1d32Qwb_register
-    3578029014U,	// VLD1d32T
-    356807638U,	// VLD1d32Twb_fixed
-    356844502U,	// VLD1d32Twb_register
-    323253206U,	// VLD1d32wb_fixed
-    323290070U,	// VLD1d32wb_register
-    3544605654U,	// VLD1d64
-    3561382870U,	// VLD1d64Q
+    1396859874U,	// VLD1d16
+    1413637090U,	// VLD1d16Q
+    2487383010U,	// VLD1d16Qwb_fixed
+    2487419874U,	// VLD1d16Qwb_register
+    1430414306U,	// VLD1d16T
+    2504160226U,	// VLD1d16Twb_fixed
+    2504197090U,	// VLD1d16Twb_register
+    2470605794U,	// VLD1d16wb_fixed
+    2470642658U,	// VLD1d16wb_register
+    1396990946U,	// VLD1d32
+    1413768162U,	// VLD1d32Q
+    2487514082U,	// VLD1d32Qwb_fixed
+    2487550946U,	// VLD1d32Qwb_register
+    1430545378U,	// VLD1d32T
+    2504291298U,	// VLD1d32Twb_fixed
+    2504328162U,	// VLD1d32Twb_register
+    2470736866U,	// VLD1d32wb_fixed
+    2470773730U,	// VLD1d32wb_register
+    1397122018U,	// VLD1d64
+    1413899234U,	// VLD1d64Q
     0U,	// VLD1d64QPseudo
     0U,	// VLD1d64QPseudoWB_fixed
     0U,	// VLD1d64QPseudoWB_register
-    340161494U,	// VLD1d64Qwb_fixed
-    340198358U,	// VLD1d64Qwb_register
-    3578160086U,	// VLD1d64T
+    2487645154U,	// VLD1d64Qwb_fixed
+    2487682018U,	// VLD1d64Qwb_register
+    1430676450U,	// VLD1d64T
     0U,	// VLD1d64TPseudo
     0U,	// VLD1d64TPseudoWB_fixed
     0U,	// VLD1d64TPseudoWB_register
-    356938710U,	// VLD1d64Twb_fixed
-    356975574U,	// VLD1d64Twb_register
-    323384278U,	// VLD1d64wb_fixed
-    323421142U,	// VLD1d64wb_register
-    3542901718U,	// VLD1d8
-    3559678934U,	// VLD1d8Q
-    338457558U,	// VLD1d8Qwb_fixed
-    338494422U,	// VLD1d8Qwb_register
-    3576456150U,	// VLD1d8T
-    355234774U,	// VLD1d8Twb_fixed
-    355271638U,	// VLD1d8Twb_register
-    321680342U,	// VLD1d8wb_fixed
-    321717206U,	// VLD1d8wb_register
-    3594675158U,	// VLD1q16
-    373453782U,	// VLD1q16wb_fixed
-    373490646U,	// VLD1q16wb_register
-    3594806230U,	// VLD1q32
-    373584854U,	// VLD1q32wb_fixed
-    373621718U,	// VLD1q32wb_register
-    3594937302U,	// VLD1q64
-    373715926U,	// VLD1q64wb_fixed
-    373752790U,	// VLD1q64wb_register
-    3593233366U,	// VLD1q8
-    372011990U,	// VLD1q8wb_fixed
-    372048854U,	// VLD1q8wb_register
-    3527566338U,	// VLD2DUPd16
-    306344962U,	// VLD2DUPd16wb_fixed
-    306381826U,	// VLD2DUPd16wb_register
-    3611452418U,	// VLD2DUPd16x2
-    390231042U,	// VLD2DUPd16x2wb_fixed
-    390267906U,	// VLD2DUPd16x2wb_register
-    3527697410U,	// VLD2DUPd32
-    306476034U,	// VLD2DUPd32wb_fixed
-    306512898U,	// VLD2DUPd32wb_register
-    3611583490U,	// VLD2DUPd32x2
-    390362114U,	// VLD2DUPd32x2wb_fixed
-    390398978U,	// VLD2DUPd32x2wb_register
-    3526124546U,	// VLD2DUPd8
-    304903170U,	// VLD2DUPd8wb_fixed
-    304940034U,	// VLD2DUPd8wb_register
-    3610010626U,	// VLD2DUPd8x2
-    388789250U,	// VLD2DUPd8x2wb_fixed
-    388826114U,	// VLD2DUPd8x2wb_register
-    1078555650U,	// VLD2LNd16
+    2504422370U,	// VLD1d64Twb_fixed
+    2504459234U,	// VLD1d64Twb_register
+    2470867938U,	// VLD1d64wb_fixed
+    2470904802U,	// VLD1d64wb_register
+    1395418082U,	// VLD1d8
+    1412195298U,	// VLD1d8Q
+    2485941218U,	// VLD1d8Qwb_fixed
+    2485978082U,	// VLD1d8Qwb_register
+    1428972514U,	// VLD1d8T
+    2502718434U,	// VLD1d8Twb_fixed
+    2502755298U,	// VLD1d8Twb_register
+    2469164002U,	// VLD1d8wb_fixed
+    2469200866U,	// VLD1d8wb_register
+    1447191522U,	// VLD1q16
+    2520937442U,	// VLD1q16wb_fixed
+    2520974306U,	// VLD1q16wb_register
+    1447322594U,	// VLD1q32
+    2521068514U,	// VLD1q32wb_fixed
+    2521105378U,	// VLD1q32wb_register
+    1447453666U,	// VLD1q64
+    2521199586U,	// VLD1q64wb_fixed
+    2521236450U,	// VLD1q64wb_register
+    1445749730U,	// VLD1q8
+    2519495650U,	// VLD1q8wb_fixed
+    2519532514U,	// VLD1q8wb_register
+    1380082702U,	// VLD2DUPd16
+    2453828622U,	// VLD2DUPd16wb_fixed
+    2453865486U,	// VLD2DUPd16wb_register
+    1463968782U,	// VLD2DUPd16x2
+    2537714702U,	// VLD2DUPd16x2wb_fixed
+    2537751566U,	// VLD2DUPd16x2wb_register
+    1380213774U,	// VLD2DUPd32
+    2453959694U,	// VLD2DUPd32wb_fixed
+    2453996558U,	// VLD2DUPd32wb_register
+    1464099854U,	// VLD2DUPd32x2
+    2537845774U,	// VLD2DUPd32x2wb_fixed
+    2537882638U,	// VLD2DUPd32x2wb_register
+    1378640910U,	// VLD2DUPd8
+    2452386830U,	// VLD2DUPd8wb_fixed
+    2452423694U,	// VLD2DUPd8wb_register
+    1462526990U,	// VLD2DUPd8x2
+    2536272910U,	// VLD2DUPd8x2wb_fixed
+    2536309774U,	// VLD2DUPd8x2wb_register
+    3226039310U,	// VLD2LNd16
     0U,	// VLD2LNd16Pseudo
     0U,	// VLD2LNd16Pseudo_UPD
-    1078559746U,	// VLD2LNd16_UPD
-    1078686722U,	// VLD2LNd32
+    3226043406U,	// VLD2LNd16_UPD
+    3226170382U,	// VLD2LNd32
     0U,	// VLD2LNd32Pseudo
     0U,	// VLD2LNd32Pseudo_UPD
-    1078690818U,	// VLD2LNd32_UPD
-    1078817794U,	// VLD2LNd8
+    3226174478U,	// VLD2LNd32_UPD
+    3226301454U,	// VLD2LNd8
     0U,	// VLD2LNd8Pseudo
     0U,	// VLD2LNd8Pseudo_UPD
-    1078821890U,	// VLD2LNd8_UPD
-    4355074U,	// VLD2LNdAsm_16
-    4486146U,	// VLD2LNdAsm_32
-    2913282U,	// VLD2LNdAsm_8
-    4355074U,	// VLD2LNdWB_fixed_Asm_16
-    4486146U,	// VLD2LNdWB_fixed_Asm_32
-    2913282U,	// VLD2LNdWB_fixed_Asm_8
-    4391938U,	// VLD2LNdWB_register_Asm_16
-    4523010U,	// VLD2LNdWB_register_Asm_32
-    2950146U,	// VLD2LNdWB_register_Asm_8
-    1078555650U,	// VLD2LNq16
+    3226305550U,	// VLD2LNd8_UPD
+    4355086U,	// VLD2LNdAsm_16
+    4486158U,	// VLD2LNdAsm_32
+    2913294U,	// VLD2LNdAsm_8
+    4355086U,	// VLD2LNdWB_fixed_Asm_16
+    4486158U,	// VLD2LNdWB_fixed_Asm_32
+    2913294U,	// VLD2LNdWB_fixed_Asm_8
+    4391950U,	// VLD2LNdWB_register_Asm_16
+    4523022U,	// VLD2LNdWB_register_Asm_32
+    2950158U,	// VLD2LNdWB_register_Asm_8
+    3226039310U,	// VLD2LNq16
     0U,	// VLD2LNq16Pseudo
     0U,	// VLD2LNq16Pseudo_UPD
-    1078559746U,	// VLD2LNq16_UPD
-    1078686722U,	// VLD2LNq32
+    3226043406U,	// VLD2LNq16_UPD
+    3226170382U,	// VLD2LNq32
     0U,	// VLD2LNq32Pseudo
     0U,	// VLD2LNq32Pseudo_UPD
-    1078690818U,	// VLD2LNq32_UPD
-    4355074U,	// VLD2LNqAsm_16
-    4486146U,	// VLD2LNqAsm_32
-    4355074U,	// VLD2LNqWB_fixed_Asm_16
-    4486146U,	// VLD2LNqWB_fixed_Asm_32
-    4391938U,	// VLD2LNqWB_register_Asm_16
-    4523010U,	// VLD2LNqWB_register_Asm_32
-    3628229634U,	// VLD2b16
-    407008258U,	// VLD2b16wb_fixed
-    407045122U,	// VLD2b16wb_register
-    3628360706U,	// VLD2b32
-    407139330U,	// VLD2b32wb_fixed
-    407176194U,	// VLD2b32wb_register
-    3626787842U,	// VLD2b8
-    405566466U,	// VLD2b8wb_fixed
-    405603330U,	// VLD2b8wb_register
-    3594675202U,	// VLD2d16
-    373453826U,	// VLD2d16wb_fixed
-    373490690U,	// VLD2d16wb_register
-    3594806274U,	// VLD2d32
-    373584898U,	// VLD2d32wb_fixed
-    373621762U,	// VLD2d32wb_register
-    3593233410U,	// VLD2d8
-    372012034U,	// VLD2d8wb_fixed
-    372048898U,	// VLD2d8wb_register
-    3561120770U,	// VLD2q16
+    3226174478U,	// VLD2LNq32_UPD
+    4355086U,	// VLD2LNqAsm_16
+    4486158U,	// VLD2LNqAsm_32
+    4355086U,	// VLD2LNqWB_fixed_Asm_16
+    4486158U,	// VLD2LNqWB_fixed_Asm_32
+    4391950U,	// VLD2LNqWB_register_Asm_16
+    4523022U,	// VLD2LNqWB_register_Asm_32
+    1480745998U,	// VLD2b16
+    2554491918U,	// VLD2b16wb_fixed
+    2554528782U,	// VLD2b16wb_register
+    1480877070U,	// VLD2b32
+    2554622990U,	// VLD2b32wb_fixed
+    2554659854U,	// VLD2b32wb_register
+    1479304206U,	// VLD2b8
+    2553050126U,	// VLD2b8wb_fixed
+    2553086990U,	// VLD2b8wb_register
+    1447191566U,	// VLD2d16
+    2520937486U,	// VLD2d16wb_fixed
+    2520974350U,	// VLD2d16wb_register
+    1447322638U,	// VLD2d32
+    2521068558U,	// VLD2d32wb_fixed
+    2521105422U,	// VLD2d32wb_register
+    1445749774U,	// VLD2d8
+    2519495694U,	// VLD2d8wb_fixed
+    2519532558U,	// VLD2d8wb_register
+    1413637134U,	// VLD2q16
     0U,	// VLD2q16Pseudo
     0U,	// VLD2q16PseudoWB_fixed
     0U,	// VLD2q16PseudoWB_register
-    339899394U,	// VLD2q16wb_fixed
-    339936258U,	// VLD2q16wb_register
-    3561251842U,	// VLD2q32
+    2487383054U,	// VLD2q16wb_fixed
+    2487419918U,	// VLD2q16wb_register
+    1413768206U,	// VLD2q32
     0U,	// VLD2q32Pseudo
     0U,	// VLD2q32PseudoWB_fixed
     0U,	// VLD2q32PseudoWB_register
-    340030466U,	// VLD2q32wb_fixed
-    340067330U,	// VLD2q32wb_register
-    3559678978U,	// VLD2q8
+    2487514126U,	// VLD2q32wb_fixed
+    2487550990U,	// VLD2q32wb_register
+    1412195342U,	// VLD2q8
     0U,	// VLD2q8Pseudo
     0U,	// VLD2q8PseudoWB_fixed
     0U,	// VLD2q8PseudoWB_register
-    338457602U,	// VLD2q8wb_fixed
-    338494466U,	// VLD2q8wb_register
-    2152268834U,	// VLD3DUPd16
+    2485941262U,	// VLD2q8wb_fixed
+    2485978126U,	// VLD2q8wb_register
+    4785198U,	// VLD3DUPd16
     0U,	// VLD3DUPd16Pseudo
     0U,	// VLD3DUPd16Pseudo_UPD
-    2152297506U,	// VLD3DUPd16_UPD
-    2152399906U,	// VLD3DUPd32
+    4813870U,	// VLD3DUPd16_UPD
+    4916270U,	// VLD3DUPd32
     0U,	// VLD3DUPd32Pseudo
     0U,	// VLD3DUPd32Pseudo_UPD
-    2152428578U,	// VLD3DUPd32_UPD
-    2152530978U,	// VLD3DUPd8
+    4944942U,	// VLD3DUPd32_UPD
+    5047342U,	// VLD3DUPd8
     0U,	// VLD3DUPd8Pseudo
     0U,	// VLD3DUPd8Pseudo_UPD
-    2152559650U,	// VLD3DUPd8_UPD
-    1497523234U,	// VLD3DUPdAsm_16
-    1497654306U,	// VLD3DUPdAsm_32
-    1496081442U,	// VLD3DUPdAsm_8
-    3645006882U,	// VLD3DUPdWB_fixed_Asm_16
-    3645137954U,	// VLD3DUPdWB_fixed_Asm_32
-    3643565090U,	// VLD3DUPdWB_fixed_Asm_8
-    423785506U,	// VLD3DUPdWB_register_Asm_16
-    423916578U,	// VLD3DUPdWB_register_Asm_32
-    422343714U,	// VLD3DUPdWB_register_Asm_8
-    2152268834U,	// VLD3DUPq16
-    2152297506U,	// VLD3DUPq16_UPD
-    2152399906U,	// VLD3DUPq32
-    2152428578U,	// VLD3DUPq32_UPD
-    2152530978U,	// VLD3DUPq8
-    2152559650U,	// VLD3DUPq8_UPD
-    1514300450U,	// VLD3DUPqAsm_16
-    1514431522U,	// VLD3DUPqAsm_32
-    1512858658U,	// VLD3DUPqAsm_8
-    3661784098U,	// VLD3DUPqWB_fixed_Asm_16
-    3661915170U,	// VLD3DUPqWB_fixed_Asm_32
-    3660342306U,	// VLD3DUPqWB_fixed_Asm_8
-    440562722U,	// VLD3DUPqWB_register_Asm_16
-    440693794U,	// VLD3DUPqWB_register_Asm_32
-    439120930U,	// VLD3DUPqWB_register_Asm_8
-    1078559778U,	// VLD3LNd16
+    5076014U,	// VLD3DUPd8_UPD
+    1497523246U,	// VLD3DUPdAsm_16
+    1497654318U,	// VLD3DUPdAsm_32
+    1496081454U,	// VLD3DUPdAsm_8
+    1497523246U,	// VLD3DUPdWB_fixed_Asm_16
+    1497654318U,	// VLD3DUPdWB_fixed_Asm_32
+    1496081454U,	// VLD3DUPdWB_fixed_Asm_8
+    423785518U,	// VLD3DUPdWB_register_Asm_16
+    423916590U,	// VLD3DUPdWB_register_Asm_32
+    422343726U,	// VLD3DUPdWB_register_Asm_8
+    4785198U,	// VLD3DUPq16
+    4813870U,	// VLD3DUPq16_UPD
+    4916270U,	// VLD3DUPq32
+    4944942U,	// VLD3DUPq32_UPD
+    5047342U,	// VLD3DUPq8
+    5076014U,	// VLD3DUPq8_UPD
+    1514300462U,	// VLD3DUPqAsm_16
+    1514431534U,	// VLD3DUPqAsm_32
+    1512858670U,	// VLD3DUPqAsm_8
+    1514300462U,	// VLD3DUPqWB_fixed_Asm_16
+    1514431534U,	// VLD3DUPqWB_fixed_Asm_32
+    1512858670U,	// VLD3DUPqWB_fixed_Asm_8
+    440562734U,	// VLD3DUPqWB_register_Asm_16
+    440693806U,	// VLD3DUPqWB_register_Asm_32
+    439120942U,	// VLD3DUPqWB_register_Asm_8
+    3226043438U,	// VLD3LNd16
     0U,	// VLD3LNd16Pseudo
     0U,	// VLD3LNd16Pseudo_UPD
-    1078563874U,	// VLD3LNd16_UPD
-    1078690850U,	// VLD3LNd32
+    3226047534U,	// VLD3LNd16_UPD
+    3226174510U,	// VLD3LNd32
     0U,	// VLD3LNd32Pseudo
     0U,	// VLD3LNd32Pseudo_UPD
-    1078694946U,	// VLD3LNd32_UPD
-    1078821922U,	// VLD3LNd8
+    3226178606U,	// VLD3LNd32_UPD
+    3226305582U,	// VLD3LNd8
     0U,	// VLD3LNd8Pseudo
     0U,	// VLD3LNd8Pseudo_UPD
-    1078826018U,	// VLD3LNd8_UPD
-    4355106U,	// VLD3LNdAsm_16
-    4486178U,	// VLD3LNdAsm_32
-    2913314U,	// VLD3LNdAsm_8
-    4355106U,	// VLD3LNdWB_fixed_Asm_16
-    4486178U,	// VLD3LNdWB_fixed_Asm_32
-    2913314U,	// VLD3LNdWB_fixed_Asm_8
-    4391970U,	// VLD3LNdWB_register_Asm_16
-    4523042U,	// VLD3LNdWB_register_Asm_32
-    2950178U,	// VLD3LNdWB_register_Asm_8
-    1078559778U,	// VLD3LNq16
+    3226309678U,	// VLD3LNd8_UPD
+    4355118U,	// VLD3LNdAsm_16
+    4486190U,	// VLD3LNdAsm_32
+    2913326U,	// VLD3LNdAsm_8
+    4355118U,	// VLD3LNdWB_fixed_Asm_16
+    4486190U,	// VLD3LNdWB_fixed_Asm_32
+    2913326U,	// VLD3LNdWB_fixed_Asm_8
+    4391982U,	// VLD3LNdWB_register_Asm_16
+    4523054U,	// VLD3LNdWB_register_Asm_32
+    2950190U,	// VLD3LNdWB_register_Asm_8
+    3226043438U,	// VLD3LNq16
     0U,	// VLD3LNq16Pseudo
     0U,	// VLD3LNq16Pseudo_UPD
-    1078563874U,	// VLD3LNq16_UPD
-    1078690850U,	// VLD3LNq32
+    3226047534U,	// VLD3LNq16_UPD
+    3226174510U,	// VLD3LNq32
     0U,	// VLD3LNq32Pseudo
     0U,	// VLD3LNq32Pseudo_UPD
-    1078694946U,	// VLD3LNq32_UPD
-    4355106U,	// VLD3LNqAsm_16
-    4486178U,	// VLD3LNqAsm_32
-    4355106U,	// VLD3LNqWB_fixed_Asm_16
-    4486178U,	// VLD3LNqWB_fixed_Asm_32
-    4391970U,	// VLD3LNqWB_register_Asm_16
-    4523042U,	// VLD3LNqWB_register_Asm_32
-    4785186U,	// VLD3d16
+    3226178606U,	// VLD3LNq32_UPD
+    4355118U,	// VLD3LNqAsm_16
+    4486190U,	// VLD3LNqAsm_32
+    4355118U,	// VLD3LNqWB_fixed_Asm_16
+    4486190U,	// VLD3LNqWB_fixed_Asm_32
+    4391982U,	// VLD3LNqWB_register_Asm_16
+    4523054U,	// VLD3LNqWB_register_Asm_32
+    4785198U,	// VLD3d16
     0U,	// VLD3d16Pseudo
     0U,	// VLD3d16Pseudo_UPD
-    4813858U,	// VLD3d16_UPD
-    4916258U,	// VLD3d32
+    4813870U,	// VLD3d16_UPD
+    4916270U,	// VLD3d32
     0U,	// VLD3d32Pseudo
     0U,	// VLD3d32Pseudo_UPD
-    4944930U,	// VLD3d32_UPD
-    5047330U,	// VLD3d8
+    4944942U,	// VLD3d32_UPD
+    5047342U,	// VLD3d8
     0U,	// VLD3d8Pseudo
     0U,	// VLD3d8Pseudo_UPD
-    5076002U,	// VLD3d8_UPD
-    3577898018U,	// VLD3dAsm_16
-    3578029090U,	// VLD3dAsm_32
-    3576456226U,	// VLD3dAsm_8
-    3577898018U,	// VLD3dWB_fixed_Asm_16
-    3578029090U,	// VLD3dWB_fixed_Asm_32
-    3576456226U,	// VLD3dWB_fixed_Asm_8
-    3577902114U,	// VLD3dWB_register_Asm_16
-    3578033186U,	// VLD3dWB_register_Asm_32
-    3576460322U,	// VLD3dWB_register_Asm_8
-    4785186U,	// VLD3q16
+    5076014U,	// VLD3d8_UPD
+    1430414382U,	// VLD3dAsm_16
+    1430545454U,	// VLD3dAsm_32
+    1428972590U,	// VLD3dAsm_8
+    1430414382U,	// VLD3dWB_fixed_Asm_16
+    1430545454U,	// VLD3dWB_fixed_Asm_32
+    1428972590U,	// VLD3dWB_fixed_Asm_8
+    1430418478U,	// VLD3dWB_register_Asm_16
+    1430549550U,	// VLD3dWB_register_Asm_32
+    1428976686U,	// VLD3dWB_register_Asm_8
+    4785198U,	// VLD3q16
     0U,	// VLD3q16Pseudo_UPD
-    4813858U,	// VLD3q16_UPD
+    4813870U,	// VLD3q16_UPD
     0U,	// VLD3q16oddPseudo
     0U,	// VLD3q16oddPseudo_UPD
-    4916258U,	// VLD3q32
+    4916270U,	// VLD3q32
     0U,	// VLD3q32Pseudo_UPD
-    4944930U,	// VLD3q32_UPD
+    4944942U,	// VLD3q32_UPD
     0U,	// VLD3q32oddPseudo
     0U,	// VLD3q32oddPseudo_UPD
-    5047330U,	// VLD3q8
+    5047342U,	// VLD3q8
     0U,	// VLD3q8Pseudo_UPD
-    5076002U,	// VLD3q8_UPD
+    5076014U,	// VLD3q8_UPD
     0U,	// VLD3q8oddPseudo
     0U,	// VLD3q8oddPseudo_UPD
-    1531077666U,	// VLD3qAsm_16
-    1531208738U,	// VLD3qAsm_32
-    1529635874U,	// VLD3qAsm_8
-    3678561314U,	// VLD3qWB_fixed_Asm_16
-    3678692386U,	// VLD3qWB_fixed_Asm_32
-    3677119522U,	// VLD3qWB_fixed_Asm_8
-    457339938U,	// VLD3qWB_register_Asm_16
-    457471010U,	// VLD3qWB_register_Asm_32
-    455898146U,	// VLD3qWB_register_Asm_8
-    2152244281U,	// VLD4DUPd16
+    1531077678U,	// VLD3qAsm_16
+    1531208750U,	// VLD3qAsm_32
+    1529635886U,	// VLD3qAsm_8
+    1531077678U,	// VLD3qWB_fixed_Asm_16
+    1531208750U,	// VLD3qWB_fixed_Asm_32
+    1529635886U,	// VLD3qWB_fixed_Asm_8
+    457339950U,	// VLD3qWB_register_Asm_16
+    457471022U,	// VLD3qWB_register_Asm_32
+    455898158U,	// VLD3qWB_register_Asm_8
+    4760645U,	// VLD4DUPd16
     0U,	// VLD4DUPd16Pseudo
     0U,	// VLD4DUPd16Pseudo_UPD
-    2152309817U,	// VLD4DUPd16_UPD
-    2152375353U,	// VLD4DUPd32
+    4826181U,	// VLD4DUPd16_UPD
+    4891717U,	// VLD4DUPd32
     0U,	// VLD4DUPd32Pseudo
     0U,	// VLD4DUPd32Pseudo_UPD
-    2152440889U,	// VLD4DUPd32_UPD
-    2152506425U,	// VLD4DUPd8
+    4957253U,	// VLD4DUPd32_UPD
+    5022789U,	// VLD4DUPd8
     0U,	// VLD4DUPd8Pseudo
     0U,	// VLD4DUPd8Pseudo_UPD
-    2152571961U,	// VLD4DUPd8_UPD
-    1547854905U,	// VLD4DUPdAsm_16
-    1547985977U,	// VLD4DUPdAsm_32
-    1546413113U,	// VLD4DUPdAsm_8
-    3695338553U,	// VLD4DUPdWB_fixed_Asm_16
-    3695469625U,	// VLD4DUPdWB_fixed_Asm_32
-    3693896761U,	// VLD4DUPdWB_fixed_Asm_8
-    474117177U,	// VLD4DUPdWB_register_Asm_16
-    474248249U,	// VLD4DUPdWB_register_Asm_32
-    472675385U,	// VLD4DUPdWB_register_Asm_8
-    2152244281U,	// VLD4DUPq16
-    2152309817U,	// VLD4DUPq16_UPD
-    2152375353U,	// VLD4DUPq32
-    2152440889U,	// VLD4DUPq32_UPD
-    2152506425U,	// VLD4DUPq8
-    2152571961U,	// VLD4DUPq8_UPD
-    1564632121U,	// VLD4DUPqAsm_16
-    1564763193U,	// VLD4DUPqAsm_32
-    1563190329U,	// VLD4DUPqAsm_8
-    3712115769U,	// VLD4DUPqWB_fixed_Asm_16
-    3712246841U,	// VLD4DUPqWB_fixed_Asm_32
-    3710673977U,	// VLD4DUPqWB_fixed_Asm_8
-    490894393U,	// VLD4DUPqWB_register_Asm_16
-    491025465U,	// VLD4DUPqWB_register_Asm_32
-    489452601U,	// VLD4DUPqWB_register_Asm_8
-    1078563897U,	// VLD4LNd16
+    5088325U,	// VLD4DUPd8_UPD
+    1547854917U,	// VLD4DUPdAsm_16
+    1547985989U,	// VLD4DUPdAsm_32
+    1546413125U,	// VLD4DUPdAsm_8
+    1547854917U,	// VLD4DUPdWB_fixed_Asm_16
+    1547985989U,	// VLD4DUPdWB_fixed_Asm_32
+    1546413125U,	// VLD4DUPdWB_fixed_Asm_8
+    474117189U,	// VLD4DUPdWB_register_Asm_16
+    474248261U,	// VLD4DUPdWB_register_Asm_32
+    472675397U,	// VLD4DUPdWB_register_Asm_8
+    4760645U,	// VLD4DUPq16
+    4826181U,	// VLD4DUPq16_UPD
+    4891717U,	// VLD4DUPq32
+    4957253U,	// VLD4DUPq32_UPD
+    5022789U,	// VLD4DUPq8
+    5088325U,	// VLD4DUPq8_UPD
+    1564632133U,	// VLD4DUPqAsm_16
+    1564763205U,	// VLD4DUPqAsm_32
+    1563190341U,	// VLD4DUPqAsm_8
+    1564632133U,	// VLD4DUPqWB_fixed_Asm_16
+    1564763205U,	// VLD4DUPqWB_fixed_Asm_32
+    1563190341U,	// VLD4DUPqWB_fixed_Asm_8
+    490894405U,	// VLD4DUPqWB_register_Asm_16
+    491025477U,	// VLD4DUPqWB_register_Asm_32
+    489452613U,	// VLD4DUPqWB_register_Asm_8
+    3226047557U,	// VLD4LNd16
     0U,	// VLD4LNd16Pseudo
     0U,	// VLD4LNd16Pseudo_UPD
-    1078572089U,	// VLD4LNd16_UPD
-    1078694969U,	// VLD4LNd32
+    3226055749U,	// VLD4LNd16_UPD
+    3226178629U,	// VLD4LNd32
     0U,	// VLD4LNd32Pseudo
     0U,	// VLD4LNd32Pseudo_UPD
-    1078703161U,	// VLD4LNd32_UPD
-    1078826041U,	// VLD4LNd8
+    3226186821U,	// VLD4LNd32_UPD
+    3226309701U,	// VLD4LNd8
     0U,	// VLD4LNd8Pseudo
     0U,	// VLD4LNd8Pseudo_UPD
-    1078834233U,	// VLD4LNd8_UPD
-    4355129U,	// VLD4LNdAsm_16
-    4486201U,	// VLD4LNdAsm_32
-    2913337U,	// VLD4LNdAsm_8
-    4355129U,	// VLD4LNdWB_fixed_Asm_16
-    4486201U,	// VLD4LNdWB_fixed_Asm_32
-    2913337U,	// VLD4LNdWB_fixed_Asm_8
-    4391993U,	// VLD4LNdWB_register_Asm_16
-    4523065U,	// VLD4LNdWB_register_Asm_32
-    2950201U,	// VLD4LNdWB_register_Asm_8
-    1078563897U,	// VLD4LNq16
+    3226317893U,	// VLD4LNd8_UPD
+    4355141U,	// VLD4LNdAsm_16
+    4486213U,	// VLD4LNdAsm_32
+    2913349U,	// VLD4LNdAsm_8
+    4355141U,	// VLD4LNdWB_fixed_Asm_16
+    4486213U,	// VLD4LNdWB_fixed_Asm_32
+    2913349U,	// VLD4LNdWB_fixed_Asm_8
+    4392005U,	// VLD4LNdWB_register_Asm_16
+    4523077U,	// VLD4LNdWB_register_Asm_32
+    2950213U,	// VLD4LNdWB_register_Asm_8
+    3226047557U,	// VLD4LNq16
     0U,	// VLD4LNq16Pseudo
     0U,	// VLD4LNq16Pseudo_UPD
-    1078572089U,	// VLD4LNq16_UPD
-    1078694969U,	// VLD4LNq32
+    3226055749U,	// VLD4LNq16_UPD
+    3226178629U,	// VLD4LNq32
     0U,	// VLD4LNq32Pseudo
     0U,	// VLD4LNq32Pseudo_UPD
-    1078703161U,	// VLD4LNq32_UPD
-    4355129U,	// VLD4LNqAsm_16
-    4486201U,	// VLD4LNqAsm_32
-    4355129U,	// VLD4LNqWB_fixed_Asm_16
-    4486201U,	// VLD4LNqWB_fixed_Asm_32
-    4391993U,	// VLD4LNqWB_register_Asm_16
-    4523065U,	// VLD4LNqWB_register_Asm_32
-    4760633U,	// VLD4d16
+    3226186821U,	// VLD4LNq32_UPD
+    4355141U,	// VLD4LNqAsm_16
+    4486213U,	// VLD4LNqAsm_32
+    4355141U,	// VLD4LNqWB_fixed_Asm_16
+    4486213U,	// VLD4LNqWB_fixed_Asm_32
+    4392005U,	// VLD4LNqWB_register_Asm_16
+    4523077U,	// VLD4LNqWB_register_Asm_32
+    4760645U,	// VLD4d16
     0U,	// VLD4d16Pseudo
     0U,	// VLD4d16Pseudo_UPD
-    4826169U,	// VLD4d16_UPD
-    4891705U,	// VLD4d32
+    4826181U,	// VLD4d16_UPD
+    4891717U,	// VLD4d32
     0U,	// VLD4d32Pseudo
     0U,	// VLD4d32Pseudo_UPD
-    4957241U,	// VLD4d32_UPD
-    5022777U,	// VLD4d8
+    4957253U,	// VLD4d32_UPD
+    5022789U,	// VLD4d8
     0U,	// VLD4d8Pseudo
     0U,	// VLD4d8Pseudo_UPD
-    5088313U,	// VLD4d8_UPD
-    3561120825U,	// VLD4dAsm_16
-    3561251897U,	// VLD4dAsm_32
-    3559679033U,	// VLD4dAsm_8
-    3561120825U,	// VLD4dWB_fixed_Asm_16
-    3561251897U,	// VLD4dWB_fixed_Asm_32
-    3559679033U,	// VLD4dWB_fixed_Asm_8
-    3561124921U,	// VLD4dWB_register_Asm_16
-    3561255993U,	// VLD4dWB_register_Asm_32
-    3559683129U,	// VLD4dWB_register_Asm_8
-    4760633U,	// VLD4q16
+    5088325U,	// VLD4d8_UPD
+    1413637189U,	// VLD4dAsm_16
+    1413768261U,	// VLD4dAsm_32
+    1412195397U,	// VLD4dAsm_8
+    1413637189U,	// VLD4dWB_fixed_Asm_16
+    1413768261U,	// VLD4dWB_fixed_Asm_32
+    1412195397U,	// VLD4dWB_fixed_Asm_8
+    1413641285U,	// VLD4dWB_register_Asm_16
+    1413772357U,	// VLD4dWB_register_Asm_32
+    1412199493U,	// VLD4dWB_register_Asm_8
+    4760645U,	// VLD4q16
     0U,	// VLD4q16Pseudo_UPD
-    4826169U,	// VLD4q16_UPD
+    4826181U,	// VLD4q16_UPD
     0U,	// VLD4q16oddPseudo
     0U,	// VLD4q16oddPseudo_UPD
-    4891705U,	// VLD4q32
+    4891717U,	// VLD4q32
     0U,	// VLD4q32Pseudo_UPD
-    4957241U,	// VLD4q32_UPD
+    4957253U,	// VLD4q32_UPD
     0U,	// VLD4q32oddPseudo
     0U,	// VLD4q32oddPseudo_UPD
-    5022777U,	// VLD4q8
+    5022789U,	// VLD4q8
     0U,	// VLD4q8Pseudo_UPD
-    5088313U,	// VLD4q8_UPD
+    5088325U,	// VLD4q8_UPD
     0U,	// VLD4q8oddPseudo
     0U,	// VLD4q8oddPseudo_UPD
-    1581409337U,	// VLD4qAsm_16
-    1581540409U,	// VLD4qAsm_32
-    1579967545U,	// VLD4qAsm_8
-    3728892985U,	// VLD4qWB_fixed_Asm_16
-    3729024057U,	// VLD4qWB_fixed_Asm_32
-    3727451193U,	// VLD4qWB_fixed_Asm_8
-    507671609U,	// VLD4qWB_register_Asm_16
-    507802681U,	// VLD4qWB_register_Asm_32
-    506229817U,	// VLD4qWB_register_Asm_8
-    33572293U,	// VLDMDDB_UPD
-    34137U,	// VLDMDIA
-    33572185U,	// VLDMDIA_UPD
+    1581409349U,	// VLD4qAsm_16
+    1581540421U,	// VLD4qAsm_32
+    1579967557U,	// VLD4qAsm_8
+    1581409349U,	// VLD4qWB_fixed_Asm_16
+    1581540421U,	// VLD4qWB_fixed_Asm_32
+    1579967557U,	// VLD4qWB_fixed_Asm_8
+    507671621U,	// VLD4qWB_register_Asm_16
+    507802693U,	// VLD4qWB_register_Asm_32
+    506229829U,	// VLD4qWB_register_Asm_8
+    33572305U,	// VLDMDDB_UPD
+    34149U,	// VLDMDIA
+    33572197U,	// VLDMDIA_UPD
     0U,	// VLDMQIA
-    33572293U,	// VLDMSDB_UPD
-    34137U,	// VLDMSIA
-    33572185U,	// VLDMSIA_UPD
-    26990U,	// VLDRD
-    26990U,	// VLDRS
+    33572305U,	// VLDMSDB_UPD
+    34149U,	// VLDMSIA
+    33572197U,	// VLDMSIA_UPD
+    27002U,	// VLDRD
+    27002U,	// VLDRS
     33706566U,	// VMAXNMD
     33706258U,	// VMAXNMND
     33706258U,	// VMAXNMNQ
     33706258U,	// VMAXNMS
-    2249091863U,	// VMAXfd
-    2249091863U,	// VMAXfq
-    35416855U,	// VMAXsv16i8
-    35154711U,	// VMAXsv2i32
-    35285783U,	// VMAXsv4i16
-    35154711U,	// VMAXsv4i32
-    35285783U,	// VMAXsv8i16
-    35416855U,	// VMAXsv8i8
-    35810071U,	// VMAXuv16i8
-    35547927U,	// VMAXuv2i32
-    35678999U,	// VMAXuv4i16
-    35547927U,	// VMAXuv4i32
-    35678999U,	// VMAXuv8i16
-    35810071U,	// VMAXuv8i8
+    2249091875U,	// VMAXfd
+    2249091875U,	// VMAXfq
+    35416867U,	// VMAXsv16i8
+    35154723U,	// VMAXsv2i32
+    35285795U,	// VMAXsv4i16
+    35154723U,	// VMAXsv4i32
+    35285795U,	// VMAXsv8i16
+    35416867U,	// VMAXsv8i8
+    35810083U,	// VMAXuv16i8
+    35547939U,	// VMAXuv2i32
+    35679011U,	// VMAXuv4i16
+    35547939U,	// VMAXuv4i32
+    35679011U,	// VMAXuv8i16
+    35810083U,	// VMAXuv8i8
     33706554U,	// VMINNMD
     33706246U,	// VMINNMND
     33706246U,	// VMINNMNQ
     33706246U,	// VMINNMS
-    2249091286U,	// VMINfd
-    2249091286U,	// VMINfq
-    35416278U,	// VMINsv16i8
-    35154134U,	// VMINsv2i32
-    35285206U,	// VMINsv4i16
-    35154134U,	// VMINsv4i32
-    35285206U,	// VMINsv8i16
-    35416278U,	// VMINsv8i8
-    35809494U,	// VMINuv16i8
-    35547350U,	// VMINuv2i32
-    35678422U,	// VMINuv4i16
-    35547350U,	// VMINuv4i32
-    35678422U,	// VMINuv8i16
-    35809494U,	// VMINuv8i8
-    1175221625U,	// VMLAD
-    18417682U,	// VMLALslsv2i32
-    18548754U,	// VMLALslsv4i16
-    18810898U,	// VMLALsluv2i32
-    18941970U,	// VMLALsluv4i16
-    18380818U,	// VMLALsv2i64
-    18511890U,	// VMLALsv4i32
-    18642962U,	// VMLALsv8i16
-    18774034U,	// VMLALuv2i64
-    18905106U,	// VMLALuv4i32
-    19036178U,	// VMLALuv8i16
-    1175352697U,	// VMLAS
-    1175352697U,	// VMLAfd
-    1175352697U,	// VMLAfq
-    1175389561U,	// VMLAslfd
-    1175389561U,	// VMLAslfq
-    19334521U,	// VMLAslv2i32
-    19465593U,	// VMLAslv4i16
-    19334521U,	// VMLAslv4i32
-    19465593U,	// VMLAslv8i16
-    19559801U,	// VMLAv16i8
-    19297657U,	// VMLAv2i32
-    19428729U,	// VMLAv4i16
-    19297657U,	// VMLAv4i32
-    19428729U,	// VMLAv8i16
-    19559801U,	// VMLAv8i8
-    1175222747U,	// VMLSD
-    18417801U,	// VMLSLslsv2i32
-    18548873U,	// VMLSLslsv4i16
-    18811017U,	// VMLSLsluv2i32
-    18942089U,	// VMLSLsluv4i16
-    18380937U,	// VMLSLsv2i64
-    18512009U,	// VMLSLsv4i32
-    18643081U,	// VMLSLsv8i16
-    18774153U,	// VMLSLuv2i64
-    18905225U,	// VMLSLuv4i32
-    19036297U,	// VMLSLuv8i16
-    1175353819U,	// VMLSS
-    1175353819U,	// VMLSfd
-    1175353819U,	// VMLSfq
-    1175390683U,	// VMLSslfd
-    1175390683U,	// VMLSslfq
-    19335643U,	// VMLSslv2i32
-    19466715U,	// VMLSslv4i16
-    19335643U,	// VMLSslv4i32
-    19466715U,	// VMLSslv8i16
-    19560923U,	// VMLSv16i8
-    19298779U,	// VMLSv2i32
-    19429851U,	// VMLSv4i16
-    19298779U,	// VMLSv4i32
-    19429851U,	// VMLSv8i16
-    19560923U,	// VMLSv8i8
-    2248952550U,	// VMOVD
-    27366U,	// VMOVDRR
+    2249091298U,	// VMINfd
+    2249091298U,	// VMINfq
+    35416290U,	// VMINsv16i8
+    35154146U,	// VMINsv2i32
+    35285218U,	// VMINsv4i16
+    35154146U,	// VMINsv4i32
+    35285218U,	// VMINsv8i16
+    35416290U,	// VMINsv8i8
+    35809506U,	// VMINuv16i8
+    35547362U,	// VMINuv2i32
+    35678434U,	// VMINuv4i16
+    35547362U,	// VMINuv4i32
+    35678434U,	// VMINuv8i16
+    35809506U,	// VMINuv8i8
+    3322705285U,	// VMLAD
+    18417694U,	// VMLALslsv2i32
+    18548766U,	// VMLALslsv4i16
+    18810910U,	// VMLALsluv2i32
+    18941982U,	// VMLALsluv4i16
+    18380830U,	// VMLALsv2i64
+    18511902U,	// VMLALsv4i32
+    18642974U,	// VMLALsv8i16
+    18774046U,	// VMLALuv2i64
+    18905118U,	// VMLALuv4i32
+    19036190U,	// VMLALuv8i16
+    3322836357U,	// VMLAS
+    3322836357U,	// VMLAfd
+    3322836357U,	// VMLAfq
+    3322873221U,	// VMLAslfd
+    3322873221U,	// VMLAslfq
+    19334533U,	// VMLAslv2i32
+    19465605U,	// VMLAslv4i16
+    19334533U,	// VMLAslv4i32
+    19465605U,	// VMLAslv8i16
+    19559813U,	// VMLAv16i8
+    19297669U,	// VMLAv2i32
+    19428741U,	// VMLAv4i16
+    19297669U,	// VMLAv4i32
+    19428741U,	// VMLAv8i16
+    19559813U,	// VMLAv8i8
+    3322706407U,	// VMLSD
+    18417813U,	// VMLSLslsv2i32
+    18548885U,	// VMLSLslsv4i16
+    18811029U,	// VMLSLsluv2i32
+    18942101U,	// VMLSLsluv4i16
+    18380949U,	// VMLSLsv2i64
+    18512021U,	// VMLSLsv4i32
+    18643093U,	// VMLSLsv8i16
+    18774165U,	// VMLSLuv2i64
+    18905237U,	// VMLSLuv4i32
+    19036309U,	// VMLSLuv8i16
+    3322837479U,	// VMLSS
+    3322837479U,	// VMLSfd
+    3322837479U,	// VMLSfq
+    3322874343U,	// VMLSslfd
+    3322874343U,	// VMLSslfq
+    19335655U,	// VMLSslv2i32
+    19466727U,	// VMLSslv4i16
+    19335655U,	// VMLSslv4i32
+    19466727U,	// VMLSslv8i16
+    19560935U,	// VMLSv16i8
+    19298791U,	// VMLSv2i32
+    19429863U,	// VMLSv4i16
+    19298791U,	// VMLSv4i32
+    19429863U,	// VMLSv8i16
+    19560935U,	// VMLSv8i8
+    2248952562U,	// VMOVD
+    0U,	// VMOVD0
+    27378U,	// VMOVDRR
     0U,	// VMOVDcc
-    1108887716U,	// VMOVLsv2i64
-    1109018788U,	// VMOVLsv4i32
-    1109149860U,	// VMOVLsv8i16
-    1109280932U,	// VMOVLuv2i64
-    1109412004U,	// VMOVLuv4i32
-    1109543076U,	// VMOVLuv8i16
-    1109674282U,	// VMOVNv2i32
-    1109805354U,	// VMOVNv4i16
-    1109936426U,	// VMOVNv8i8
-    27366U,	// VMOVRRD
-    31462U,	// VMOVRRS
-    19174U,	// VMOVRS
-    2249083622U,	// VMOVS
-    19174U,	// VMOVSR
-    31462U,	// VMOVSRR
+    1108887728U,	// VMOVLsv2i64
+    1109018800U,	// VMOVLsv4i32
+    1109149872U,	// VMOVLsv8i16
+    1109280944U,	// VMOVLuv2i64
+    1109412016U,	// VMOVLuv4i32
+    1109543088U,	// VMOVLuv8i16
+    1109674294U,	// VMOVNv2i32
+    1109805366U,	// VMOVNv4i16
+    1109936438U,	// VMOVNv8i8
+    0U,	// VMOVQ0
+    27378U,	// VMOVRRD
+    31474U,	// VMOVRRS
+    19186U,	// VMOVRS
+    2249083634U,	// VMOVS
+    19186U,	// VMOVSR
+    31474U,	// VMOVSRR
     0U,	// VMOVScc
-    237652710U,	// VMOVv16i8
-    237259494U,	// VMOVv1i64
-    3322825446U,	// VMOVv2f32
-    237390566U,	// VMOVv2i32
-    237259494U,	// VMOVv2i64
-    3322825446U,	// VMOVv4f32
-    237521638U,	// VMOVv4i16
-    237390566U,	// VMOVv4i32
-    237521638U,	// VMOVv8i16
-    237652710U,	// VMOVv8i8
-    35314U,	// VMRS
-    1073777138U,	// VMRS_FPEXC
-    2147518962U,	// VMRS_FPINST
-    3221260786U,	// VMRS_FPINST2
-    35314U,	// VMRS_FPSID
-    1073777138U,	// VMRS_MVFR0
-    2147518962U,	// VMRS_MVFR1
-    3221260786U,	// VMRS_MVFR2
-    5147043U,	// VMSR
-    5278115U,	// VMSR_FPEXC
-    5409187U,	// VMSR_FPINST
-    5540259U,	// VMSR_FPINST2
-    5671331U,	// VMSR_FPSID
-    2248960159U,	// VMULD
+    237652722U,	// VMOVv16i8
+    237259506U,	// VMOVv1i64
+    3322825458U,	// VMOVv2f32
+    237390578U,	// VMOVv2i32
+    237259506U,	// VMOVv2i64
+    3322825458U,	// VMOVv4f32
+    237521650U,	// VMOVv4i16
+    237390578U,	// VMOVv4i32
+    237521650U,	// VMOVv8i16
+    237652722U,	// VMOVv8i8
+    2147518974U,	// VMRS
+    3221260798U,	// VMRS_FPEXC
+    35326U,	// VMRS_FPINST
+    1073777150U,	// VMRS_FPINST2
+    2147518974U,	// VMRS_FPSID
+    3221260798U,	// VMRS_MVFR0
+    35326U,	// VMRS_MVFR1
+    1073777150U,	// VMRS_MVFR2
+    5147055U,	// VMSR
+    5278127U,	// VMSR_FPEXC
+    5409199U,	// VMSR_FPINST
+    5540271U,	// VMSR_FPINST2
+    5671343U,	// VMSR_FPSID
+    2248960171U,	// VMULD
     33706650U,	// VMULLp64
-    5793910U,	// VMULLp8
-    35158134U,	// VMULLslsv2i32
-    35289206U,	// VMULLslsv4i16
-    35551350U,	// VMULLsluv2i32
-    35682422U,	// VMULLsluv4i16
-    35154038U,	// VMULLsv2i64
-    35285110U,	// VMULLsv4i32
-    35416182U,	// VMULLsv8i16
-    35547254U,	// VMULLuv2i64
-    35678326U,	// VMULLuv4i32
-    35809398U,	// VMULLuv8i16
-    2249091231U,	// VMULS
-    2249091231U,	// VMULfd
-    2249091231U,	// VMULfq
-    5793951U,	// VMULpd
-    5793951U,	// VMULpq
-    2249095327U,	// VMULslfd
-    2249095327U,	// VMULslfq
-    36075679U,	// VMULslv2i32
-    36206751U,	// VMULslv4i16
-    36075679U,	// VMULslv4i32
-    36206751U,	// VMULslv8i16
-    36333727U,	// VMULv16i8
-    36071583U,	// VMULv2i32
-    36202655U,	// VMULv4i16
-    36071583U,	// VMULv4i32
-    36202655U,	// VMULv8i16
-    36333727U,	// VMULv8i8
-    18718U,	// VMVNd
-    18718U,	// VMVNq
-    237390110U,	// VMVNv2i32
-    237521182U,	// VMVNv4i16
-    237390110U,	// VMVNv4i32
-    237521182U,	// VMVNv8i16
-    2248951640U,	// VNEGD
-    2249082712U,	// VNEGS
-    2249082712U,	// VNEGf32q
-    2249082712U,	// VNEGfd
-    1109018456U,	// VNEGs16d
-    1109018456U,	// VNEGs16q
-    1108887384U,	// VNEGs32d
-    1108887384U,	// VNEGs32q
-    1109149528U,	// VNEGs8d
-    1109149528U,	// VNEGs8q
-    1175221619U,	// VNMLAD
-    1175352691U,	// VNMLAS
-    1175222741U,	// VNMLSD
-    1175353813U,	// VNMLSS
-    2248960153U,	// VNMULD
-    2249091225U,	// VNMULS
-    26875U,	// VORNd
-    26875U,	// VORNq
-    27027U,	// VORRd
-    237398419U,	// VORRiv2i32
-    237529491U,	// VORRiv4i16
-    237398419U,	// VORRiv4i32
-    237529491U,	// VORRiv8i16
-    27027U,	// VORRq
-    1092380663U,	// VPADALsv16i8
-    1092118519U,	// VPADALsv2i32
-    1092249591U,	// VPADALsv4i16
-    1092118519U,	// VPADALsv4i32
-    1092249591U,	// VPADALsv8i16
-    1092380663U,	// VPADALsv8i8
-    1092773879U,	// VPADALuv16i8
-    1092511735U,	// VPADALuv2i32
-    1092642807U,	// VPADALuv4i16
-    1092511735U,	// VPADALuv4i32
-    1092642807U,	// VPADALuv8i16
-    1092773879U,	// VPADALuv8i8
-    1109149747U,	// VPADDLsv16i8
-    1108887603U,	// VPADDLsv2i32
-    1109018675U,	// VPADDLsv4i16
-    1108887603U,	// VPADDLsv4i32
-    1109018675U,	// VPADDLsv8i16
-    1109149747U,	// VPADDLsv8i8
-    1109542963U,	// VPADDLuv16i8
-    1109280819U,	// VPADDLuv2i32
-    1109411891U,	// VPADDLuv4i16
-    1109280819U,	// VPADDLuv4i32
-    1109411891U,	// VPADDLuv8i16
-    1109542963U,	// VPADDLuv8i8
-    2249090762U,	// VPADDf
-    36202186U,	// VPADDi16
-    36071114U,	// VPADDi32
-    36333258U,	// VPADDi8
-    2249091857U,	// VPMAXf
-    35285777U,	// VPMAXs16
-    35154705U,	// VPMAXs32
-    35416849U,	// VPMAXs8
-    35678993U,	// VPMAXu16
-    35547921U,	// VPMAXu32
-    35810065U,	// VPMAXu8
-    2249091280U,	// VPMINf
-    35285200U,	// VPMINs16
-    35154128U,	// VPMINs32
-    35416272U,	// VPMINs8
-    35678416U,	// VPMINu16
-    35547344U,	// VPMINu32
-    35809488U,	// VPMINu8
-    1109150138U,	// VQABSv16i8
-    1108887994U,	// VQABSv2i32
-    1109019066U,	// VQABSv4i16
-    1108887994U,	// VQABSv4i32
-    1109019066U,	// VQABSv8i16
-    1109150138U,	// VQABSv8i8
-    35415760U,	// VQADDsv16i8
-    39478992U,	// VQADDsv1i64
-    35153616U,	// VQADDsv2i32
-    39478992U,	// VQADDsv2i64
-    35284688U,	// VQADDsv4i16
-    35153616U,	// VQADDsv4i32
-    35284688U,	// VQADDsv8i16
-    35415760U,	// VQADDsv8i8
-    35808976U,	// VQADDuv16i8
-    39610064U,	// VQADDuv1i64
-    35546832U,	// VQADDuv2i32
-    39610064U,	// VQADDuv2i64
-    35677904U,	// VQADDuv4i16
-    35546832U,	// VQADDuv4i32
-    35677904U,	// VQADDuv8i16
-    35808976U,	// VQADDuv8i8
-    18417662U,	// VQDMLALslv2i32
-    18548734U,	// VQDMLALslv4i16
-    18380798U,	// VQDMLALv2i64
-    18511870U,	// VQDMLALv4i32
-    18417793U,	// VQDMLSLslv2i32
-    18548865U,	// VQDMLSLslv4i16
-    18380929U,	// VQDMLSLv2i64
-    18512001U,	// VQDMLSLv4i32
-    35157879U,	// VQDMULHslv2i32
-    35288951U,	// VQDMULHslv4i16
-    35157879U,	// VQDMULHslv4i32
-    35288951U,	// VQDMULHslv8i16
-    35153783U,	// VQDMULHv2i32
-    35284855U,	// VQDMULHv4i16
-    35153783U,	// VQDMULHv4i32
-    35284855U,	// VQDMULHv8i16
-    35158114U,	// VQDMULLslv2i32
-    35289186U,	// VQDMULLslv4i16
-    35154018U,	// VQDMULLv2i64
-    35285090U,	// VQDMULLv4i32
-    1113213206U,	// VQMOVNsuv2i32
-    1108887830U,	// VQMOVNsuv4i16
-    1109018902U,	// VQMOVNsuv8i8
-    1113213219U,	// VQMOVNsv2i32
-    1108887843U,	// VQMOVNsv4i16
-    1109018915U,	// VQMOVNsv8i8
-    1113344291U,	// VQMOVNuv2i32
-    1109281059U,	// VQMOVNuv4i16
-    1109412131U,	// VQMOVNuv8i8
-    1109149522U,	// VQNEGv16i8
-    1108887378U,	// VQNEGv2i32
-    1109018450U,	// VQNEGv4i16
-    1108887378U,	// VQNEGv4i32
-    1109018450U,	// VQNEGv8i16
-    1109149522U,	// VQNEGv8i8
-    35157887U,	// VQRDMULHslv2i32
-    35288959U,	// VQRDMULHslv4i16
-    35157887U,	// VQRDMULHslv4i32
-    35288959U,	// VQRDMULHslv8i16
-    35153791U,	// VQRDMULHv2i32
-    35284863U,	// VQRDMULHv4i16
-    35153791U,	// VQRDMULHv4i32
-    35284863U,	// VQRDMULHv8i16
-    35416138U,	// VQRSHLsv16i8
-    39479370U,	// VQRSHLsv1i64
-    35153994U,	// VQRSHLsv2i32
-    39479370U,	// VQRSHLsv2i64
-    35285066U,	// VQRSHLsv4i16
-    35153994U,	// VQRSHLsv4i32
-    35285066U,	// VQRSHLsv8i16
-    35416138U,	// VQRSHLsv8i8
-    35809354U,	// VQRSHLuv16i8
-    39610442U,	// VQRSHLuv1i64
-    35547210U,	// VQRSHLuv2i32
-    39610442U,	// VQRSHLuv2i64
-    35678282U,	// VQRSHLuv4i16
-    35547210U,	// VQRSHLuv4i32
-    35678282U,	// VQRSHLuv8i16
-    35809354U,	// VQRSHLuv8i8
-    39479526U,	// VQRSHRNsv2i32
-    35154150U,	// VQRSHRNsv4i16
-    35285222U,	// VQRSHRNsv8i8
-    39610598U,	// VQRSHRNuv2i32
-    35547366U,	// VQRSHRNuv4i16
-    35678438U,	// VQRSHRNuv8i8
-    39479565U,	// VQRSHRUNv2i32
-    35154189U,	// VQRSHRUNv4i16
-    35285261U,	// VQRSHRUNv8i8
-    35416132U,	// VQSHLsiv16i8
-    39479364U,	// VQSHLsiv1i64
-    35153988U,	// VQSHLsiv2i32
-    39479364U,	// VQSHLsiv2i64
-    35285060U,	// VQSHLsiv4i16
-    35153988U,	// VQSHLsiv4i32
-    35285060U,	// VQSHLsiv8i16
-    35416132U,	// VQSHLsiv8i8
-    35416780U,	// VQSHLsuv16i8
-    39480012U,	// VQSHLsuv1i64
-    35154636U,	// VQSHLsuv2i32
-    39480012U,	// VQSHLsuv2i64
-    35285708U,	// VQSHLsuv4i16
-    35154636U,	// VQSHLsuv4i32
-    35285708U,	// VQSHLsuv8i16
-    35416780U,	// VQSHLsuv8i8
-    35416132U,	// VQSHLsv16i8
-    39479364U,	// VQSHLsv1i64
-    35153988U,	// VQSHLsv2i32
-    39479364U,	// VQSHLsv2i64
-    35285060U,	// VQSHLsv4i16
-    35153988U,	// VQSHLsv4i32
-    35285060U,	// VQSHLsv8i16
-    35416132U,	// VQSHLsv8i8
-    35809348U,	// VQSHLuiv16i8
-    39610436U,	// VQSHLuiv1i64
-    35547204U,	// VQSHLuiv2i32
-    39610436U,	// VQSHLuiv2i64
-    35678276U,	// VQSHLuiv4i16
-    35547204U,	// VQSHLuiv4i32
-    35678276U,	// VQSHLuiv8i16
-    35809348U,	// VQSHLuiv8i8
-    35809348U,	// VQSHLuv16i8
-    39610436U,	// VQSHLuv1i64
-    35547204U,	// VQSHLuv2i32
-    39610436U,	// VQSHLuv2i64
-    35678276U,	// VQSHLuv4i16
-    35547204U,	// VQSHLuv4i32
-    35678276U,	// VQSHLuv8i16
-    35809348U,	// VQSHLuv8i8
-    39479519U,	// VQSHRNsv2i32
-    35154143U,	// VQSHRNsv4i16
-    35285215U,	// VQSHRNsv8i8
-    39610591U,	// VQSHRNuv2i32
-    35547359U,	// VQSHRNuv4i16
-    35678431U,	// VQSHRNuv8i8
-    39479557U,	// VQSHRUNv2i32
-    35154181U,	// VQSHRUNv4i16
-    35285253U,	// VQSHRUNv8i8
-    35415619U,	// VQSUBsv16i8
-    39478851U,	// VQSUBsv1i64
-    35153475U,	// VQSUBsv2i32
-    39478851U,	// VQSUBsv2i64
-    35284547U,	// VQSUBsv4i16
-    35153475U,	// VQSUBsv4i32
-    35284547U,	// VQSUBsv8i16
-    35415619U,	// VQSUBsv8i8
-    35808835U,	// VQSUBuv16i8
-    39609923U,	// VQSUBuv1i64
-    35546691U,	// VQSUBuv2i32
-    39609923U,	// VQSUBuv2i64
-    35677763U,	// VQSUBuv4i16
-    35546691U,	// VQSUBuv4i32
-    35677763U,	// VQSUBuv8i16
-    35808835U,	// VQSUBuv8i8
-    35940545U,	// VRADDHNv2i32
-    36071617U,	// VRADDHNv4i16
-    36202689U,	// VRADDHNv8i8
-    1109280564U,	// VRECPEd
-    2249082676U,	// VRECPEfd
-    2249082676U,	// VRECPEfq
-    1109280564U,	// VRECPEq
-    2249091563U,	// VRECPSfd
-    2249091563U,	// VRECPSfq
-    2901179U,	// VREV16d8
-    2901179U,	// VREV16q8
-    4342758U,	// VREV32d16
-    2900966U,	// VREV32d8
-    4342758U,	// VREV32q16
-    2900966U,	// VREV32q8
-    4342834U,	// VREV64d16
-    4473906U,	// VREV64d32
-    2901042U,	// VREV64d8
-    4342834U,	// VREV64q16
-    4473906U,	// VREV64q32
-    2901042U,	// VREV64q8
-    35415741U,	// VRHADDsv16i8
-    35153597U,	// VRHADDsv2i32
-    35284669U,	// VRHADDsv4i16
-    35153597U,	// VRHADDsv4i32
-    35284669U,	// VRHADDsv8i16
-    35415741U,	// VRHADDsv8i8
-    35808957U,	// VRHADDuv16i8
-    35546813U,	// VRHADDuv2i32
-    35677885U,	// VRHADDuv4i16
-    35546813U,	// VRHADDuv4i32
-    35677885U,	// VRHADDuv8i16
-    35808957U,	// VRHADDuv8i8
+    5793922U,	// VMULLp8
+    35158146U,	// VMULLslsv2i32
+    35289218U,	// VMULLslsv4i16
+    35551362U,	// VMULLsluv2i32
+    35682434U,	// VMULLsluv4i16
+    35154050U,	// VMULLsv2i64
+    35285122U,	// VMULLsv4i32
+    35416194U,	// VMULLsv8i16
+    35547266U,	// VMULLuv2i64
+    35678338U,	// VMULLuv4i32
+    35809410U,	// VMULLuv8i16
+    2249091243U,	// VMULS
+    2249091243U,	// VMULfd
+    2249091243U,	// VMULfq
+    5793963U,	// VMULpd
+    5793963U,	// VMULpq
+    2249095339U,	// VMULslfd
+    2249095339U,	// VMULslfq
+    36075691U,	// VMULslv2i32
+    36206763U,	// VMULslv4i16
+    36075691U,	// VMULslv4i32
+    36206763U,	// VMULslv8i16
+    36333739U,	// VMULv16i8
+    36071595U,	// VMULv2i32
+    36202667U,	// VMULv4i16
+    36071595U,	// VMULv4i32
+    36202667U,	// VMULv8i16
+    36333739U,	// VMULv8i8
+    18730U,	// VMVNd
+    18730U,	// VMVNq
+    237390122U,	// VMVNv2i32
+    237521194U,	// VMVNv4i16
+    237390122U,	// VMVNv4i32
+    237521194U,	// VMVNv8i16
+    2248951652U,	// VNEGD
+    2249082724U,	// VNEGS
+    2249082724U,	// VNEGf32q
+    2249082724U,	// VNEGfd
+    1109018468U,	// VNEGs16d
+    1109018468U,	// VNEGs16q
+    1108887396U,	// VNEGs32d
+    1108887396U,	// VNEGs32q
+    1109149540U,	// VNEGs8d
+    1109149540U,	// VNEGs8q
+    3322705279U,	// VNMLAD
+    3322836351U,	// VNMLAS
+    3322706401U,	// VNMLSD
+    3322837473U,	// VNMLSS
+    2248960165U,	// VNMULD
+    2249091237U,	// VNMULS
+    26887U,	// VORNd
+    26887U,	// VORNq
+    27039U,	// VORRd
+    237398431U,	// VORRiv2i32
+    237529503U,	// VORRiv4i16
+    237398431U,	// VORRiv4i32
+    237529503U,	// VORRiv8i16
+    27039U,	// VORRq
+    1092380675U,	// VPADALsv16i8
+    1092118531U,	// VPADALsv2i32
+    1092249603U,	// VPADALsv4i16
+    1092118531U,	// VPADALsv4i32
+    1092249603U,	// VPADALsv8i16
+    1092380675U,	// VPADALsv8i8
+    1092773891U,	// VPADALuv16i8
+    1092511747U,	// VPADALuv2i32
+    1092642819U,	// VPADALuv4i16
+    1092511747U,	// VPADALuv4i32
+    1092642819U,	// VPADALuv8i16
+    1092773891U,	// VPADALuv8i8
+    1109149759U,	// VPADDLsv16i8
+    1108887615U,	// VPADDLsv2i32
+    1109018687U,	// VPADDLsv4i16
+    1108887615U,	// VPADDLsv4i32
+    1109018687U,	// VPADDLsv8i16
+    1109149759U,	// VPADDLsv8i8
+    1109542975U,	// VPADDLuv16i8
+    1109280831U,	// VPADDLuv2i32
+    1109411903U,	// VPADDLuv4i16
+    1109280831U,	// VPADDLuv4i32
+    1109411903U,	// VPADDLuv8i16
+    1109542975U,	// VPADDLuv8i8
+    2249090774U,	// VPADDf
+    36202198U,	// VPADDi16
+    36071126U,	// VPADDi32
+    36333270U,	// VPADDi8
+    2249091869U,	// VPMAXf
+    35285789U,	// VPMAXs16
+    35154717U,	// VPMAXs32
+    35416861U,	// VPMAXs8
+    35679005U,	// VPMAXu16
+    35547933U,	// VPMAXu32
+    35810077U,	// VPMAXu8
+    2249091292U,	// VPMINf
+    35285212U,	// VPMINs16
+    35154140U,	// VPMINs32
+    35416284U,	// VPMINs8
+    35678428U,	// VPMINu16
+    35547356U,	// VPMINu32
+    35809500U,	// VPMINu8
+    1109150150U,	// VQABSv16i8
+    1108888006U,	// VQABSv2i32
+    1109019078U,	// VQABSv4i16
+    1108888006U,	// VQABSv4i32
+    1109019078U,	// VQABSv8i16
+    1109150150U,	// VQABSv8i8
+    35415772U,	// VQADDsv16i8
+    39479004U,	// VQADDsv1i64
+    35153628U,	// VQADDsv2i32
+    39479004U,	// VQADDsv2i64
+    35284700U,	// VQADDsv4i16
+    35153628U,	// VQADDsv4i32
+    35284700U,	// VQADDsv8i16
+    35415772U,	// VQADDsv8i8
+    35808988U,	// VQADDuv16i8
+    39610076U,	// VQADDuv1i64
+    35546844U,	// VQADDuv2i32
+    39610076U,	// VQADDuv2i64
+    35677916U,	// VQADDuv4i16
+    35546844U,	// VQADDuv4i32
+    35677916U,	// VQADDuv8i16
+    35808988U,	// VQADDuv8i8
+    18417674U,	// VQDMLALslv2i32
+    18548746U,	// VQDMLALslv4i16
+    18380810U,	// VQDMLALv2i64
+    18511882U,	// VQDMLALv4i32
+    18417805U,	// VQDMLSLslv2i32
+    18548877U,	// VQDMLSLslv4i16
+    18380941U,	// VQDMLSLv2i64
+    18512013U,	// VQDMLSLv4i32
+    35157891U,	// VQDMULHslv2i32
+    35288963U,	// VQDMULHslv4i16
+    35157891U,	// VQDMULHslv4i32
+    35288963U,	// VQDMULHslv8i16
+    35153795U,	// VQDMULHv2i32
+    35284867U,	// VQDMULHv4i16
+    35153795U,	// VQDMULHv4i32
+    35284867U,	// VQDMULHv8i16
+    35158126U,	// VQDMULLslv2i32
+    35289198U,	// VQDMULLslv4i16
+    35154030U,	// VQDMULLv2i64
+    35285102U,	// VQDMULLv4i32
+    1113213218U,	// VQMOVNsuv2i32
+    1108887842U,	// VQMOVNsuv4i16
+    1109018914U,	// VQMOVNsuv8i8
+    1113213231U,	// VQMOVNsv2i32
+    1108887855U,	// VQMOVNsv4i16
+    1109018927U,	// VQMOVNsv8i8
+    1113344303U,	// VQMOVNuv2i32
+    1109281071U,	// VQMOVNuv4i16
+    1109412143U,	// VQMOVNuv8i8
+    1109149534U,	// VQNEGv16i8
+    1108887390U,	// VQNEGv2i32
+    1109018462U,	// VQNEGv4i16
+    1108887390U,	// VQNEGv4i32
+    1109018462U,	// VQNEGv8i16
+    1109149534U,	// VQNEGv8i8
+    35157899U,	// VQRDMULHslv2i32
+    35288971U,	// VQRDMULHslv4i16
+    35157899U,	// VQRDMULHslv4i32
+    35288971U,	// VQRDMULHslv8i16
+    35153803U,	// VQRDMULHv2i32
+    35284875U,	// VQRDMULHv4i16
+    35153803U,	// VQRDMULHv4i32
+    35284875U,	// VQRDMULHv8i16
+    35416150U,	// VQRSHLsv16i8
+    39479382U,	// VQRSHLsv1i64
+    35154006U,	// VQRSHLsv2i32
+    39479382U,	// VQRSHLsv2i64
+    35285078U,	// VQRSHLsv4i16
+    35154006U,	// VQRSHLsv4i32
+    35285078U,	// VQRSHLsv8i16
+    35416150U,	// VQRSHLsv8i8
+    35809366U,	// VQRSHLuv16i8
+    39610454U,	// VQRSHLuv1i64
+    35547222U,	// VQRSHLuv2i32
+    39610454U,	// VQRSHLuv2i64
+    35678294U,	// VQRSHLuv4i16
+    35547222U,	// VQRSHLuv4i32
+    35678294U,	// VQRSHLuv8i16
+    35809366U,	// VQRSHLuv8i8
+    39479538U,	// VQRSHRNsv2i32
+    35154162U,	// VQRSHRNsv4i16
+    35285234U,	// VQRSHRNsv8i8
+    39610610U,	// VQRSHRNuv2i32
+    35547378U,	// VQRSHRNuv4i16
+    35678450U,	// VQRSHRNuv8i8
+    39479577U,	// VQRSHRUNv2i32
+    35154201U,	// VQRSHRUNv4i16
+    35285273U,	// VQRSHRUNv8i8
+    35416144U,	// VQSHLsiv16i8
+    39479376U,	// VQSHLsiv1i64
+    35154000U,	// VQSHLsiv2i32
+    39479376U,	// VQSHLsiv2i64
+    35285072U,	// VQSHLsiv4i16
+    35154000U,	// VQSHLsiv4i32
+    35285072U,	// VQSHLsiv8i16
+    35416144U,	// VQSHLsiv8i8
+    35416792U,	// VQSHLsuv16i8
+    39480024U,	// VQSHLsuv1i64
+    35154648U,	// VQSHLsuv2i32
+    39480024U,	// VQSHLsuv2i64
+    35285720U,	// VQSHLsuv4i16
+    35154648U,	// VQSHLsuv4i32
+    35285720U,	// VQSHLsuv8i16
+    35416792U,	// VQSHLsuv8i8
+    35416144U,	// VQSHLsv16i8
+    39479376U,	// VQSHLsv1i64
+    35154000U,	// VQSHLsv2i32
+    39479376U,	// VQSHLsv2i64
+    35285072U,	// VQSHLsv4i16
+    35154000U,	// VQSHLsv4i32
+    35285072U,	// VQSHLsv8i16
+    35416144U,	// VQSHLsv8i8
+    35809360U,	// VQSHLuiv16i8
+    39610448U,	// VQSHLuiv1i64
+    35547216U,	// VQSHLuiv2i32
+    39610448U,	// VQSHLuiv2i64
+    35678288U,	// VQSHLuiv4i16
+    35547216U,	// VQSHLuiv4i32
+    35678288U,	// VQSHLuiv8i16
+    35809360U,	// VQSHLuiv8i8
+    35809360U,	// VQSHLuv16i8
+    39610448U,	// VQSHLuv1i64
+    35547216U,	// VQSHLuv2i32
+    39610448U,	// VQSHLuv2i64
+    35678288U,	// VQSHLuv4i16
+    35547216U,	// VQSHLuv4i32
+    35678288U,	// VQSHLuv8i16
+    35809360U,	// VQSHLuv8i8
+    39479531U,	// VQSHRNsv2i32
+    35154155U,	// VQSHRNsv4i16
+    35285227U,	// VQSHRNsv8i8
+    39610603U,	// VQSHRNuv2i32
+    35547371U,	// VQSHRNuv4i16
+    35678443U,	// VQSHRNuv8i8
+    39479569U,	// VQSHRUNv2i32
+    35154193U,	// VQSHRUNv4i16
+    35285265U,	// VQSHRUNv8i8
+    35415631U,	// VQSUBsv16i8
+    39478863U,	// VQSUBsv1i64
+    35153487U,	// VQSUBsv2i32
+    39478863U,	// VQSUBsv2i64
+    35284559U,	// VQSUBsv4i16
+    35153487U,	// VQSUBsv4i32
+    35284559U,	// VQSUBsv8i16
+    35415631U,	// VQSUBsv8i8
+    35808847U,	// VQSUBuv16i8
+    39609935U,	// VQSUBuv1i64
+    35546703U,	// VQSUBuv2i32
+    39609935U,	// VQSUBuv2i64
+    35677775U,	// VQSUBuv4i16
+    35546703U,	// VQSUBuv4i32
+    35677775U,	// VQSUBuv8i16
+    35808847U,	// VQSUBuv8i8
+    35940557U,	// VRADDHNv2i32
+    36071629U,	// VRADDHNv4i16
+    36202701U,	// VRADDHNv8i8
+    1109280576U,	// VRECPEd
+    2249082688U,	// VRECPEfd
+    2249082688U,	// VRECPEfq
+    1109280576U,	// VRECPEq
+    2249091575U,	// VRECPSfd
+    2249091575U,	// VRECPSfq
+    2901191U,	// VREV16d8
+    2901191U,	// VREV16q8
+    4342770U,	// VREV32d16
+    2900978U,	// VREV32d8
+    4342770U,	// VREV32q16
+    2900978U,	// VREV32q8
+    4342846U,	// VREV64d16
+    4473918U,	// VREV64d32
+    2901054U,	// VREV64d8
+    4342846U,	// VREV64q16
+    4473918U,	// VREV64q32
+    2901054U,	// VREV64q8
+    35415753U,	// VRHADDsv16i8
+    35153609U,	// VRHADDsv2i32
+    35284681U,	// VRHADDsv4i16
+    35153609U,	// VRHADDsv4i32
+    35284681U,	// VRHADDsv8i16
+    35415753U,	// VRHADDsv8i8
+    35808969U,	// VRHADDuv16i8
+    35546825U,	// VRHADDuv2i32
+    35677897U,	// VRHADDuv4i16
+    35546825U,	// VRHADDuv4i32
+    35677897U,	// VRHADDuv8i16
+    35808969U,	// VRHADDuv8i8
     1107448354U,	// VRINTAD
     1107448046U,	// VRINTAND
     1107448046U,	// VRINTANQ
@@ -1755,76 +1707,76 @@
     1107448118U,	// VRINTPND
     1107448118U,	// VRINTPNQ
     1107448118U,	// VRINTPS
-    2248952232U,	// VRINTRD
-    2249083304U,	// VRINTRS
-    2248952773U,	// VRINTXD
+    2248952244U,	// VRINTRD
+    2249083316U,	// VRINTRS
+    2248952785U,	// VRINTXD
     1107448166U,	// VRINTXND
     1107448166U,	// VRINTXNQ
-    2249083845U,	// VRINTXS
-    2248952785U,	// VRINTZD
+    2249083857U,	// VRINTXS
+    2248952797U,	// VRINTZD
     1107448178U,	// VRINTZND
     1107448178U,	// VRINTZNQ
-    2249083857U,	// VRINTZS
-    35416145U,	// VRSHLsv16i8
-    39479377U,	// VRSHLsv1i64
-    35154001U,	// VRSHLsv2i32
-    39479377U,	// VRSHLsv2i64
-    35285073U,	// VRSHLsv4i16
-    35154001U,	// VRSHLsv4i32
-    35285073U,	// VRSHLsv8i16
-    35416145U,	// VRSHLsv8i8
-    35809361U,	// VRSHLuv16i8
-    39610449U,	// VRSHLuv1i64
-    35547217U,	// VRSHLuv2i32
-    39610449U,	// VRSHLuv2i64
-    35678289U,	// VRSHLuv4i16
-    35547217U,	// VRSHLuv4i32
-    35678289U,	// VRSHLuv8i16
-    35809361U,	// VRSHLuv8i8
-    35940590U,	// VRSHRNv2i32
-    36071662U,	// VRSHRNv4i16
-    36202734U,	// VRSHRNv8i8
-    35416435U,	// VRSHRsv16i8
-    39479667U,	// VRSHRsv1i64
-    35154291U,	// VRSHRsv2i32
-    39479667U,	// VRSHRsv2i64
-    35285363U,	// VRSHRsv4i16
-    35154291U,	// VRSHRsv4i32
-    35285363U,	// VRSHRsv8i16
-    35416435U,	// VRSHRsv8i8
-    35809651U,	// VRSHRuv16i8
-    39610739U,	// VRSHRuv1i64
-    35547507U,	// VRSHRuv2i32
-    39610739U,	// VRSHRuv2i64
-    35678579U,	// VRSHRuv4i16
-    35547507U,	// VRSHRuv4i32
-    35678579U,	// VRSHRuv8i16
-    35809651U,	// VRSHRuv8i8
-    1109280577U,	// VRSQRTEd
-    2249082689U,	// VRSQRTEfd
-    2249082689U,	// VRSQRTEfq
-    1109280577U,	// VRSQRTEq
-    2249091585U,	// VRSQRTSfd
-    2249091585U,	// VRSQRTSfq
-    18642313U,	// VRSRAsv16i8
-    22705545U,	// VRSRAsv1i64
-    18380169U,	// VRSRAsv2i32
-    22705545U,	// VRSRAsv2i64
-    18511241U,	// VRSRAsv4i16
-    18380169U,	// VRSRAsv4i32
-    18511241U,	// VRSRAsv8i16
-    18642313U,	// VRSRAsv8i8
-    19035529U,	// VRSRAuv16i8
-    22836617U,	// VRSRAuv1i64
-    18773385U,	// VRSRAuv2i32
-    22836617U,	// VRSRAuv2i64
-    18904457U,	// VRSRAuv4i16
-    18773385U,	// VRSRAuv4i32
-    18904457U,	// VRSRAuv8i16
-    19035529U,	// VRSRAuv8i8
-    35940530U,	// VRSUBHNv2i32
-    36071602U,	// VRSUBHNv4i16
-    36202674U,	// VRSUBHNv8i8
+    2249083869U,	// VRINTZS
+    35416157U,	// VRSHLsv16i8
+    39479389U,	// VRSHLsv1i64
+    35154013U,	// VRSHLsv2i32
+    39479389U,	// VRSHLsv2i64
+    35285085U,	// VRSHLsv4i16
+    35154013U,	// VRSHLsv4i32
+    35285085U,	// VRSHLsv8i16
+    35416157U,	// VRSHLsv8i8
+    35809373U,	// VRSHLuv16i8
+    39610461U,	// VRSHLuv1i64
+    35547229U,	// VRSHLuv2i32
+    39610461U,	// VRSHLuv2i64
+    35678301U,	// VRSHLuv4i16
+    35547229U,	// VRSHLuv4i32
+    35678301U,	// VRSHLuv8i16
+    35809373U,	// VRSHLuv8i8
+    35940602U,	// VRSHRNv2i32
+    36071674U,	// VRSHRNv4i16
+    36202746U,	// VRSHRNv8i8
+    35416447U,	// VRSHRsv16i8
+    39479679U,	// VRSHRsv1i64
+    35154303U,	// VRSHRsv2i32
+    39479679U,	// VRSHRsv2i64
+    35285375U,	// VRSHRsv4i16
+    35154303U,	// VRSHRsv4i32
+    35285375U,	// VRSHRsv8i16
+    35416447U,	// VRSHRsv8i8
+    35809663U,	// VRSHRuv16i8
+    39610751U,	// VRSHRuv1i64
+    35547519U,	// VRSHRuv2i32
+    39610751U,	// VRSHRuv2i64
+    35678591U,	// VRSHRuv4i16
+    35547519U,	// VRSHRuv4i32
+    35678591U,	// VRSHRuv8i16
+    35809663U,	// VRSHRuv8i8
+    1109280589U,	// VRSQRTEd
+    2249082701U,	// VRSQRTEfd
+    2249082701U,	// VRSQRTEfq
+    1109280589U,	// VRSQRTEq
+    2249091597U,	// VRSQRTSfd
+    2249091597U,	// VRSQRTSfq
+    18642325U,	// VRSRAsv16i8
+    22705557U,	// VRSRAsv1i64
+    18380181U,	// VRSRAsv2i32
+    22705557U,	// VRSRAsv2i64
+    18511253U,	// VRSRAsv4i16
+    18380181U,	// VRSRAsv4i32
+    18511253U,	// VRSRAsv8i16
+    18642325U,	// VRSRAsv8i8
+    19035541U,	// VRSRAuv16i8
+    22836629U,	// VRSRAuv1i64
+    18773397U,	// VRSRAuv2i32
+    22836629U,	// VRSRAuv2i64
+    18904469U,	// VRSRAuv4i16
+    18773397U,	// VRSRAuv4i32
+    18904469U,	// VRSRAuv8i16
+    19035541U,	// VRSRAuv8i8
+    35940542U,	// VRSUBHNv2i32
+    36071614U,	// VRSUBHNv4i16
+    36202686U,	// VRSUBHNv8i8
     33706614U,	// VSELEQD
     33706306U,	// VSELEQS
     33706542U,	// VSELGED
@@ -1833,664 +1785,665 @@
     33706330U,	// VSELGTS
     33706626U,	// VSELVSD
     33706318U,	// VSELVSS
-    4356838U,	// VSETLNi16
-    4487910U,	// VSETLNi32
-    2915046U,	// VSETLNi8
-    36202588U,	// VSHLLi16
-    36071516U,	// VSHLLi32
-    36333660U,	// VSHLLi8
-    35154012U,	// VSHLLsv2i64
-    35285084U,	// VSHLLsv4i32
-    35416156U,	// VSHLLsv8i16
-    35547228U,	// VSHLLuv2i64
-    35678300U,	// VSHLLuv4i32
-    35809372U,	// VSHLLuv8i16
-    36333655U,	// VSHLiv16i8
-    35940439U,	// VSHLiv1i64
-    36071511U,	// VSHLiv2i32
-    35940439U,	// VSHLiv2i64
-    36202583U,	// VSHLiv4i16
-    36071511U,	// VSHLiv4i32
-    36202583U,	// VSHLiv8i16
-    36333655U,	// VSHLiv8i8
-    35416151U,	// VSHLsv16i8
-    39479383U,	// VSHLsv1i64
-    35154007U,	// VSHLsv2i32
-    39479383U,	// VSHLsv2i64
-    35285079U,	// VSHLsv4i16
-    35154007U,	// VSHLsv4i32
-    35285079U,	// VSHLsv8i16
-    35416151U,	// VSHLsv8i8
-    35809367U,	// VSHLuv16i8
-    39610455U,	// VSHLuv1i64
-    35547223U,	// VSHLuv2i32
-    39610455U,	// VSHLuv2i64
-    35678295U,	// VSHLuv4i16
-    35547223U,	// VSHLuv4i32
-    35678295U,	// VSHLuv8i16
-    35809367U,	// VSHLuv8i8
-    35940597U,	// VSHRNv2i32
-    36071669U,	// VSHRNv4i16
-    36202741U,	// VSHRNv8i8
-    35416441U,	// VSHRsv16i8
-    39479673U,	// VSHRsv1i64
-    35154297U,	// VSHRsv2i32
-    39479673U,	// VSHRsv2i64
-    35285369U,	// VSHRsv4i16
-    35154297U,	// VSHRsv4i32
-    35285369U,	// VSHRsv8i16
-    35416441U,	// VSHRsv8i8
-    35809657U,	// VSHRuv16i8
-    39610745U,	// VSHRuv1i64
-    35547513U,	// VSHRuv2i32
-    39610745U,	// VSHRuv2i64
-    35678585U,	// VSHRuv4i16
-    35547513U,	// VSHRuv4i32
-    35678585U,	// VSHRuv8i16
-    35809657U,	// VSHRuv8i8
-    6187695U,	// VSHTOD
-    6318767U,	// VSHTOS
-    274877103U,	// VSITOD
-    272517807U,	// VSITOS
-    2914257U,	// VSLIv16i8
-    4618193U,	// VSLIv1i64
-    4487121U,	// VSLIv2i32
-    4618193U,	// VSLIv2i64
-    4356049U,	// VSLIv4i16
-    4487121U,	// VSLIv4i32
-    4356049U,	// VSLIv8i16
-    2914257U,	// VSLIv8i8
-    1180854959U,	// VSLTOD
-    1178495663U,	// VSLTOS
-    2248952451U,	// VSQRTD
-    2249083523U,	// VSQRTS
-    18642319U,	// VSRAsv16i8
-    22705551U,	// VSRAsv1i64
-    18380175U,	// VSRAsv2i32
-    22705551U,	// VSRAsv2i64
-    18511247U,	// VSRAsv4i16
-    18380175U,	// VSRAsv4i32
-    18511247U,	// VSRAsv8i16
-    18642319U,	// VSRAsv8i8
-    19035535U,	// VSRAuv16i8
-    22836623U,	// VSRAuv1i64
-    18773391U,	// VSRAuv2i32
-    22836623U,	// VSRAuv2i64
-    18904463U,	// VSRAuv4i16
-    18773391U,	// VSRAuv4i32
-    18904463U,	// VSRAuv8i16
-    19035535U,	// VSRAuv8i8
-    2914262U,	// VSRIv16i8
-    4618198U,	// VSRIv1i64
-    4487126U,	// VSRIv2i32
-    4618198U,	// VSRIv2i64
-    4356054U,	// VSRIv4i16
-    4487126U,	// VSRIv4i32
-    4356054U,	// VSRIv8i16
-    2914262U,	// VSRIv8i8
-    1095267297U,	// VST1LNd16
-    1598596065U,	// VST1LNd16_UPD
-    1095398369U,	// VST1LNd32
-    1598727137U,	// VST1LNd32_UPD
-    1095529441U,	// VST1LNd8
-    1598858209U,	// VST1LNd8_UPD
-    4355041U,	// VST1LNdAsm_16
-    4486113U,	// VST1LNdAsm_32
-    2913249U,	// VST1LNdAsm_8
-    4355041U,	// VST1LNdWB_fixed_Asm_16
-    4486113U,	// VST1LNdWB_fixed_Asm_32
-    2913249U,	// VST1LNdWB_fixed_Asm_8
-    4391905U,	// VST1LNdWB_register_Asm_16
-    4522977U,	// VST1LNdWB_register_Asm_32
-    2950113U,	// VST1LNdWB_register_Asm_8
+    2151840498U,	// VSETLNi16
+    2151971570U,	// VSETLNi32
+    2150398706U,	// VSETLNi8
+    36202600U,	// VSHLLi16
+    36071528U,	// VSHLLi32
+    36333672U,	// VSHLLi8
+    35154024U,	// VSHLLsv2i64
+    35285096U,	// VSHLLsv4i32
+    35416168U,	// VSHLLsv8i16
+    35547240U,	// VSHLLuv2i64
+    35678312U,	// VSHLLuv4i32
+    35809384U,	// VSHLLuv8i16
+    36333667U,	// VSHLiv16i8
+    35940451U,	// VSHLiv1i64
+    36071523U,	// VSHLiv2i32
+    35940451U,	// VSHLiv2i64
+    36202595U,	// VSHLiv4i16
+    36071523U,	// VSHLiv4i32
+    36202595U,	// VSHLiv8i16
+    36333667U,	// VSHLiv8i8
+    35416163U,	// VSHLsv16i8
+    39479395U,	// VSHLsv1i64
+    35154019U,	// VSHLsv2i32
+    39479395U,	// VSHLsv2i64
+    35285091U,	// VSHLsv4i16
+    35154019U,	// VSHLsv4i32
+    35285091U,	// VSHLsv8i16
+    35416163U,	// VSHLsv8i8
+    35809379U,	// VSHLuv16i8
+    39610467U,	// VSHLuv1i64
+    35547235U,	// VSHLuv2i32
+    39610467U,	// VSHLuv2i64
+    35678307U,	// VSHLuv4i16
+    35547235U,	// VSHLuv4i32
+    35678307U,	// VSHLuv8i16
+    35809379U,	// VSHLuv8i8
+    35940609U,	// VSHRNv2i32
+    36071681U,	// VSHRNv4i16
+    36202753U,	// VSHRNv8i8
+    35416453U,	// VSHRsv16i8
+    39479685U,	// VSHRsv1i64
+    35154309U,	// VSHRsv2i32
+    39479685U,	// VSHRsv2i64
+    35285381U,	// VSHRsv4i16
+    35154309U,	// VSHRsv4i32
+    35285381U,	// VSHRsv8i16
+    35416453U,	// VSHRsv8i8
+    35809669U,	// VSHRuv16i8
+    39610757U,	// VSHRuv1i64
+    35547525U,	// VSHRuv2i32
+    39610757U,	// VSHRuv2i64
+    35678597U,	// VSHRuv4i16
+    35547525U,	// VSHRuv4i32
+    35678597U,	// VSHRuv8i16
+    35809669U,	// VSHRuv8i8
+    6187707U,	// VSHTOD
+    6318779U,	// VSHTOS
+    274877115U,	// VSITOD
+    272517819U,	// VSITOS
+    2914269U,	// VSLIv16i8
+    4618205U,	// VSLIv1i64
+    4487133U,	// VSLIv2i32
+    4618205U,	// VSLIv2i64
+    4356061U,	// VSLIv4i16
+    4487133U,	// VSLIv4i32
+    4356061U,	// VSLIv8i16
+    2914269U,	// VSLIv8i8
+    3328338619U,	// VSLTOD
+    3325979323U,	// VSLTOS
+    2248952463U,	// VSQRTD
+    2249083535U,	// VSQRTS
+    18642331U,	// VSRAsv16i8
+    22705563U,	// VSRAsv1i64
+    18380187U,	// VSRAsv2i32
+    22705563U,	// VSRAsv2i64
+    18511259U,	// VSRAsv4i16
+    18380187U,	// VSRAsv4i32
+    18511259U,	// VSRAsv8i16
+    18642331U,	// VSRAsv8i8
+    19035547U,	// VSRAuv16i8
+    22836635U,	// VSRAuv1i64
+    18773403U,	// VSRAuv2i32
+    22836635U,	// VSRAuv2i64
+    18904475U,	// VSRAuv4i16
+    18773403U,	// VSRAuv4i32
+    18904475U,	// VSRAuv8i16
+    19035547U,	// VSRAuv8i8
+    2914274U,	// VSRIv16i8
+    4618210U,	// VSRIv1i64
+    4487138U,	// VSRIv2i32
+    4618210U,	// VSRIv2i64
+    4356066U,	// VSRIv4i16
+    4487138U,	// VSRIv4i32
+    4356066U,	// VSRIv8i16
+    2914274U,	// VSRIv8i8
+    3242750957U,	// VST1LNd16
+    3746079725U,	// VST1LNd16_UPD
+    3242882029U,	// VST1LNd32
+    3746210797U,	// VST1LNd32_UPD
+    3243013101U,	// VST1LNd8
+    3746341869U,	// VST1LNd8_UPD
+    4355053U,	// VST1LNdAsm_16
+    4486125U,	// VST1LNdAsm_32
+    2913261U,	// VST1LNdAsm_8
+    4355053U,	// VST1LNdWB_fixed_Asm_16
+    4486125U,	// VST1LNdWB_fixed_Asm_32
+    2913261U,	// VST1LNdWB_fixed_Asm_8
+    4391917U,	// VST1LNdWB_register_Asm_16
+    4522989U,	// VST1LNdWB_register_Asm_32
+    2950125U,	// VST1LNdWB_register_Asm_8
     0U,	// VST1LNq16Pseudo
     0U,	// VST1LNq16Pseudo_UPD
     0U,	// VST1LNq32Pseudo
     0U,	// VST1LNq32Pseudo_UPD
     0U,	// VST1LNq8Pseudo
     0U,	// VST1LNq8Pseudo_UPD
-    541221857U,	// VST1d16
-    557999073U,	// VST1d16Q
-    574780385U,	// VST1d16Qwb_fixed
-    591594465U,	// VST1d16Qwb_register
-    608330721U,	// VST1d16T
-    625112033U,	// VST1d16Twb_fixed
-    641926113U,	// VST1d16Twb_register
-    658666465U,	// VST1d16wb_fixed
-    675480545U,	// VST1d16wb_register
-    541352929U,	// VST1d32
-    558130145U,	// VST1d32Q
-    574911457U,	// VST1d32Qwb_fixed
-    591725537U,	// VST1d32Qwb_register
-    608461793U,	// VST1d32T
-    625243105U,	// VST1d32Twb_fixed
-    642057185U,	// VST1d32Twb_register
-    658797537U,	// VST1d32wb_fixed
-    675611617U,	// VST1d32wb_register
-    541484001U,	// VST1d64
-    558261217U,	// VST1d64Q
+    541221869U,	// VST1d16
+    557999085U,	// VST1d16Q
+    574780397U,	// VST1d16Qwb_fixed
+    591594477U,	// VST1d16Qwb_register
+    608330733U,	// VST1d16T
+    625112045U,	// VST1d16Twb_fixed
+    641926125U,	// VST1d16Twb_register
+    658666477U,	// VST1d16wb_fixed
+    675480557U,	// VST1d16wb_register
+    541352941U,	// VST1d32
+    558130157U,	// VST1d32Q
+    574911469U,	// VST1d32Qwb_fixed
+    591725549U,	// VST1d32Qwb_register
+    608461805U,	// VST1d32T
+    625243117U,	// VST1d32Twb_fixed
+    642057197U,	// VST1d32Twb_register
+    658797549U,	// VST1d32wb_fixed
+    675611629U,	// VST1d32wb_register
+    541484013U,	// VST1d64
+    558261229U,	// VST1d64Q
     0U,	// VST1d64QPseudo
     0U,	// VST1d64QPseudoWB_fixed
     0U,	// VST1d64QPseudoWB_register
-    575042529U,	// VST1d64Qwb_fixed
-    591856609U,	// VST1d64Qwb_register
-    608592865U,	// VST1d64T
+    575042541U,	// VST1d64Qwb_fixed
+    591856621U,	// VST1d64Qwb_register
+    608592877U,	// VST1d64T
     0U,	// VST1d64TPseudo
     0U,	// VST1d64TPseudoWB_fixed
     0U,	// VST1d64TPseudoWB_register
-    625374177U,	// VST1d64Twb_fixed
-    642188257U,	// VST1d64Twb_register
-    658928609U,	// VST1d64wb_fixed
-    675742689U,	// VST1d64wb_register
-    539780065U,	// VST1d8
-    556557281U,	// VST1d8Q
-    573338593U,	// VST1d8Qwb_fixed
-    590152673U,	// VST1d8Qwb_register
-    606888929U,	// VST1d8T
-    623670241U,	// VST1d8Twb_fixed
-    640484321U,	// VST1d8Twb_register
-    657224673U,	// VST1d8wb_fixed
-    674038753U,	// VST1d8wb_register
-    692216801U,	// VST1q16
-    708998113U,	// VST1q16wb_fixed
-    725812193U,	// VST1q16wb_register
-    692347873U,	// VST1q32
-    709129185U,	// VST1q32wb_fixed
-    725943265U,	// VST1q32wb_register
-    692478945U,	// VST1q64
-    709260257U,	// VST1q64wb_fixed
-    726074337U,	// VST1q64wb_register
-    690775009U,	// VST1q8
-    707556321U,	// VST1q8wb_fixed
-    724370401U,	// VST1q8wb_register
-    1095304221U,	// VST2LNd16
+    625374189U,	// VST1d64Twb_fixed
+    642188269U,	// VST1d64Twb_register
+    658928621U,	// VST1d64wb_fixed
+    675742701U,	// VST1d64wb_register
+    539780077U,	// VST1d8
+    556557293U,	// VST1d8Q
+    573338605U,	// VST1d8Qwb_fixed
+    590152685U,	// VST1d8Qwb_register
+    606888941U,	// VST1d8T
+    623670253U,	// VST1d8Twb_fixed
+    640484333U,	// VST1d8Twb_register
+    657224685U,	// VST1d8wb_fixed
+    674038765U,	// VST1d8wb_register
+    692216813U,	// VST1q16
+    708998125U,	// VST1q16wb_fixed
+    725812205U,	// VST1q16wb_register
+    692347885U,	// VST1q32
+    709129197U,	// VST1q32wb_fixed
+    725943277U,	// VST1q32wb_register
+    692478957U,	// VST1q64
+    709260269U,	// VST1q64wb_fixed
+    726074349U,	// VST1q64wb_register
+    690775021U,	// VST1q8
+    707556333U,	// VST1q8wb_fixed
+    724370413U,	// VST1q8wb_register
+    3242787881U,	// VST2LNd16
     0U,	// VST2LNd16Pseudo
     0U,	// VST2LNd16Pseudo_UPD
-    1598649373U,	// VST2LNd16_UPD
-    1095435293U,	// VST2LNd32
+    3746133033U,	// VST2LNd16_UPD
+    3242918953U,	// VST2LNd32
     0U,	// VST2LNd32Pseudo
     0U,	// VST2LNd32Pseudo_UPD
-    1598780445U,	// VST2LNd32_UPD
-    1095566365U,	// VST2LNd8
+    3746264105U,	// VST2LNd32_UPD
+    3243050025U,	// VST2LNd8
     0U,	// VST2LNd8Pseudo
     0U,	// VST2LNd8Pseudo_UPD
-    1598911517U,	// VST2LNd8_UPD
-    4355101U,	// VST2LNdAsm_16
-    4486173U,	// VST2LNdAsm_32
-    2913309U,	// VST2LNdAsm_8
-    4355101U,	// VST2LNdWB_fixed_Asm_16
-    4486173U,	// VST2LNdWB_fixed_Asm_32
-    2913309U,	// VST2LNdWB_fixed_Asm_8
-    4391965U,	// VST2LNdWB_register_Asm_16
-    4523037U,	// VST2LNdWB_register_Asm_32
-    2950173U,	// VST2LNdWB_register_Asm_8
-    1095304221U,	// VST2LNq16
+    3746395177U,	// VST2LNd8_UPD
+    4355113U,	// VST2LNdAsm_16
+    4486185U,	// VST2LNdAsm_32
+    2913321U,	// VST2LNdAsm_8
+    4355113U,	// VST2LNdWB_fixed_Asm_16
+    4486185U,	// VST2LNdWB_fixed_Asm_32
+    2913321U,	// VST2LNdWB_fixed_Asm_8
+    4391977U,	// VST2LNdWB_register_Asm_16
+    4523049U,	// VST2LNdWB_register_Asm_32
+    2950185U,	// VST2LNdWB_register_Asm_8
+    3242787881U,	// VST2LNq16
     0U,	// VST2LNq16Pseudo
     0U,	// VST2LNq16Pseudo_UPD
-    1598649373U,	// VST2LNq16_UPD
-    1095435293U,	// VST2LNq32
+    3746133033U,	// VST2LNq16_UPD
+    3242918953U,	// VST2LNq32
     0U,	// VST2LNq32Pseudo
     0U,	// VST2LNq32Pseudo_UPD
-    1598780445U,	// VST2LNq32_UPD
-    4355101U,	// VST2LNqAsm_16
-    4486173U,	// VST2LNqAsm_32
-    4355101U,	// VST2LNqWB_fixed_Asm_16
-    4486173U,	// VST2LNqWB_fixed_Asm_32
-    4391965U,	// VST2LNqWB_register_Asm_16
-    4523037U,	// VST2LNqWB_register_Asm_32
-    742548509U,	// VST2b16
-    759329821U,	// VST2b16wb_fixed
-    776143901U,	// VST2b16wb_register
-    742679581U,	// VST2b32
-    759460893U,	// VST2b32wb_fixed
-    776274973U,	// VST2b32wb_register
-    741106717U,	// VST2b8
-    757888029U,	// VST2b8wb_fixed
-    774702109U,	// VST2b8wb_register
-    692216861U,	// VST2d16
-    708998173U,	// VST2d16wb_fixed
-    725812253U,	// VST2d16wb_register
-    692347933U,	// VST2d32
-    709129245U,	// VST2d32wb_fixed
-    725943325U,	// VST2d32wb_register
-    690775069U,	// VST2d8
-    707556381U,	// VST2d8wb_fixed
-    724370461U,	// VST2d8wb_register
-    557999133U,	// VST2q16
+    3746264105U,	// VST2LNq32_UPD
+    4355113U,	// VST2LNqAsm_16
+    4486185U,	// VST2LNqAsm_32
+    4355113U,	// VST2LNqWB_fixed_Asm_16
+    4486185U,	// VST2LNqWB_fixed_Asm_32
+    4391977U,	// VST2LNqWB_register_Asm_16
+    4523049U,	// VST2LNqWB_register_Asm_32
+    742548521U,	// VST2b16
+    759329833U,	// VST2b16wb_fixed
+    776143913U,	// VST2b16wb_register
+    742679593U,	// VST2b32
+    759460905U,	// VST2b32wb_fixed
+    776274985U,	// VST2b32wb_register
+    741106729U,	// VST2b8
+    757888041U,	// VST2b8wb_fixed
+    774702121U,	// VST2b8wb_register
+    692216873U,	// VST2d16
+    708998185U,	// VST2d16wb_fixed
+    725812265U,	// VST2d16wb_register
+    692347945U,	// VST2d32
+    709129257U,	// VST2d32wb_fixed
+    725943337U,	// VST2d32wb_register
+    690775081U,	// VST2d8
+    707556393U,	// VST2d8wb_fixed
+    724370473U,	// VST2d8wb_register
+    557999145U,	// VST2q16
     0U,	// VST2q16Pseudo
     0U,	// VST2q16PseudoWB_fixed
     0U,	// VST2q16PseudoWB_register
-    574780445U,	// VST2q16wb_fixed
-    591594525U,	// VST2q16wb_register
-    558130205U,	// VST2q32
+    574780457U,	// VST2q16wb_fixed
+    591594537U,	// VST2q16wb_register
+    558130217U,	// VST2q32
     0U,	// VST2q32Pseudo
     0U,	// VST2q32PseudoWB_fixed
     0U,	// VST2q32PseudoWB_register
-    574911517U,	// VST2q32wb_fixed
-    591725597U,	// VST2q32wb_register
-    556557341U,	// VST2q8
+    574911529U,	// VST2q32wb_fixed
+    591725609U,	// VST2q32wb_register
+    556557353U,	// VST2q8
     0U,	// VST2q8Pseudo
     0U,	// VST2q8PseudoWB_fixed
     0U,	// VST2q8PseudoWB_register
-    573338653U,	// VST2q8wb_fixed
-    590152733U,	// VST2q8wb_register
-    1095279661U,	// VST3LNd16
+    573338665U,	// VST2q8wb_fixed
+    590152745U,	// VST2q8wb_register
+    3242763321U,	// VST3LNd16
     0U,	// VST3LNd16Pseudo
     0U,	// VST3LNd16Pseudo_UPD
-    1598661677U,	// VST3LNd16_UPD
-    1095410733U,	// VST3LNd32
+    3746145337U,	// VST3LNd16_UPD
+    3242894393U,	// VST3LNd32
     0U,	// VST3LNd32Pseudo
     0U,	// VST3LNd32Pseudo_UPD
-    1598792749U,	// VST3LNd32_UPD
-    1095541805U,	// VST3LNd8
+    3746276409U,	// VST3LNd32_UPD
+    3243025465U,	// VST3LNd8
     0U,	// VST3LNd8Pseudo
     0U,	// VST3LNd8Pseudo_UPD
-    1598923821U,	// VST3LNd8_UPD
-    4355117U,	// VST3LNdAsm_16
-    4486189U,	// VST3LNdAsm_32
-    2913325U,	// VST3LNdAsm_8
-    4355117U,	// VST3LNdWB_fixed_Asm_16
-    4486189U,	// VST3LNdWB_fixed_Asm_32
-    2913325U,	// VST3LNdWB_fixed_Asm_8
-    4391981U,	// VST3LNdWB_register_Asm_16
-    4523053U,	// VST3LNdWB_register_Asm_32
-    2950189U,	// VST3LNdWB_register_Asm_8
-    1095279661U,	// VST3LNq16
+    3746407481U,	// VST3LNd8_UPD
+    4355129U,	// VST3LNdAsm_16
+    4486201U,	// VST3LNdAsm_32
+    2913337U,	// VST3LNdAsm_8
+    4355129U,	// VST3LNdWB_fixed_Asm_16
+    4486201U,	// VST3LNdWB_fixed_Asm_32
+    2913337U,	// VST3LNdWB_fixed_Asm_8
+    4391993U,	// VST3LNdWB_register_Asm_16
+    4523065U,	// VST3LNdWB_register_Asm_32
+    2950201U,	// VST3LNdWB_register_Asm_8
+    3242763321U,	// VST3LNq16
     0U,	// VST3LNq16Pseudo
     0U,	// VST3LNq16Pseudo_UPD
-    1598661677U,	// VST3LNq16_UPD
-    1095410733U,	// VST3LNq32
+    3746145337U,	// VST3LNq16_UPD
+    3242894393U,	// VST3LNq32
     0U,	// VST3LNq32Pseudo
     0U,	// VST3LNq32Pseudo_UPD
-    1598792749U,	// VST3LNq32_UPD
-    4355117U,	// VST3LNqAsm_16
-    4486189U,	// VST3LNqAsm_32
-    4355117U,	// VST3LNqWB_fixed_Asm_16
-    4486189U,	// VST3LNqWB_fixed_Asm_32
-    4391981U,	// VST3LNqWB_register_Asm_16
-    4523053U,	// VST3LNqWB_register_Asm_32
-    21562413U,	// VST3d16
+    3746276409U,	// VST3LNq32_UPD
+    4355129U,	// VST3LNqAsm_16
+    4486201U,	// VST3LNqAsm_32
+    4355129U,	// VST3LNqWB_fixed_Asm_16
+    4486201U,	// VST3LNqWB_fixed_Asm_32
+    4391993U,	// VST3LNqWB_register_Asm_16
+    4523065U,	// VST3LNqWB_register_Asm_32
+    21562425U,	// VST3d16
     0U,	// VST3d16Pseudo
     0U,	// VST3d16Pseudo_UPD
-    524907565U,	// VST3d16_UPD
-    21693485U,	// VST3d32
+    524907577U,	// VST3d16_UPD
+    21693497U,	// VST3d32
     0U,	// VST3d32Pseudo
     0U,	// VST3d32Pseudo_UPD
-    525038637U,	// VST3d32_UPD
-    21824557U,	// VST3d8
+    525038649U,	// VST3d32_UPD
+    21824569U,	// VST3d8
     0U,	// VST3d8Pseudo
     0U,	// VST3d8Pseudo_UPD
-    525169709U,	// VST3d8_UPD
-    3577898029U,	// VST3dAsm_16
-    3578029101U,	// VST3dAsm_32
-    3576456237U,	// VST3dAsm_8
-    3577898029U,	// VST3dWB_fixed_Asm_16
-    3578029101U,	// VST3dWB_fixed_Asm_32
-    3576456237U,	// VST3dWB_fixed_Asm_8
-    3577902125U,	// VST3dWB_register_Asm_16
-    3578033197U,	// VST3dWB_register_Asm_32
-    3576460333U,	// VST3dWB_register_Asm_8
-    21562413U,	// VST3q16
+    525169721U,	// VST3d8_UPD
+    1430414393U,	// VST3dAsm_16
+    1430545465U,	// VST3dAsm_32
+    1428972601U,	// VST3dAsm_8
+    1430414393U,	// VST3dWB_fixed_Asm_16
+    1430545465U,	// VST3dWB_fixed_Asm_32
+    1428972601U,	// VST3dWB_fixed_Asm_8
+    1430418489U,	// VST3dWB_register_Asm_16
+    1430549561U,	// VST3dWB_register_Asm_32
+    1428976697U,	// VST3dWB_register_Asm_8
+    21562425U,	// VST3q16
     0U,	// VST3q16Pseudo_UPD
-    524907565U,	// VST3q16_UPD
+    524907577U,	// VST3q16_UPD
     0U,	// VST3q16oddPseudo
     0U,	// VST3q16oddPseudo_UPD
-    21693485U,	// VST3q32
+    21693497U,	// VST3q32
     0U,	// VST3q32Pseudo_UPD
-    525038637U,	// VST3q32_UPD
+    525038649U,	// VST3q32_UPD
     0U,	// VST3q32oddPseudo
     0U,	// VST3q32oddPseudo_UPD
-    21824557U,	// VST3q8
+    21824569U,	// VST3q8
     0U,	// VST3q8Pseudo_UPD
-    525169709U,	// VST3q8_UPD
+    525169721U,	// VST3q8_UPD
     0U,	// VST3q8oddPseudo
     0U,	// VST3q8oddPseudo_UPD
-    1531077677U,	// VST3qAsm_16
-    1531208749U,	// VST3qAsm_32
-    1529635885U,	// VST3qAsm_8
-    3678561325U,	// VST3qWB_fixed_Asm_16
-    3678692397U,	// VST3qWB_fixed_Asm_32
-    3677119533U,	// VST3qWB_fixed_Asm_8
-    457339949U,	// VST3qWB_register_Asm_16
-    457471021U,	// VST3qWB_register_Asm_32
-    455898157U,	// VST3qWB_register_Asm_8
-    1095332926U,	// VST4LNd16
+    1531077689U,	// VST3qAsm_16
+    1531208761U,	// VST3qAsm_32
+    1529635897U,	// VST3qAsm_8
+    1531077689U,	// VST3qWB_fixed_Asm_16
+    1531208761U,	// VST3qWB_fixed_Asm_32
+    1529635897U,	// VST3qWB_fixed_Asm_8
+    457339961U,	// VST3qWB_register_Asm_16
+    457471033U,	// VST3qWB_register_Asm_32
+    455898169U,	// VST3qWB_register_Asm_8
+    3242816586U,	// VST4LNd16
     0U,	// VST4LNd16Pseudo
     0U,	// VST4LNd16Pseudo_UPD
-    1598653502U,	// VST4LNd16_UPD
-    1095463998U,	// VST4LNd32
+    3746137162U,	// VST4LNd16_UPD
+    3242947658U,	// VST4LNd32
     0U,	// VST4LNd32Pseudo
     0U,	// VST4LNd32Pseudo_UPD
-    1598784574U,	// VST4LNd32_UPD
-    1095595070U,	// VST4LNd8
+    3746268234U,	// VST4LNd32_UPD
+    3243078730U,	// VST4LNd8
     0U,	// VST4LNd8Pseudo
     0U,	// VST4LNd8Pseudo_UPD
-    1598915646U,	// VST4LNd8_UPD
-    4355134U,	// VST4LNdAsm_16
-    4486206U,	// VST4LNdAsm_32
-    2913342U,	// VST4LNdAsm_8
-    4355134U,	// VST4LNdWB_fixed_Asm_16
-    4486206U,	// VST4LNdWB_fixed_Asm_32
-    2913342U,	// VST4LNdWB_fixed_Asm_8
-    4391998U,	// VST4LNdWB_register_Asm_16
-    4523070U,	// VST4LNdWB_register_Asm_32
-    2950206U,	// VST4LNdWB_register_Asm_8
-    1095332926U,	// VST4LNq16
+    3746399306U,	// VST4LNd8_UPD
+    4355146U,	// VST4LNdAsm_16
+    4486218U,	// VST4LNdAsm_32
+    2913354U,	// VST4LNdAsm_8
+    4355146U,	// VST4LNdWB_fixed_Asm_16
+    4486218U,	// VST4LNdWB_fixed_Asm_32
+    2913354U,	// VST4LNdWB_fixed_Asm_8
+    4392010U,	// VST4LNdWB_register_Asm_16
+    4523082U,	// VST4LNdWB_register_Asm_32
+    2950218U,	// VST4LNdWB_register_Asm_8
+    3242816586U,	// VST4LNq16
     0U,	// VST4LNq16Pseudo
     0U,	// VST4LNq16Pseudo_UPD
-    1598653502U,	// VST4LNq16_UPD
-    1095463998U,	// VST4LNq32
+    3746137162U,	// VST4LNq16_UPD
+    3242947658U,	// VST4LNq32
     0U,	// VST4LNq32Pseudo
     0U,	// VST4LNq32Pseudo_UPD
-    1598784574U,	// VST4LNq32_UPD
-    4355134U,	// VST4LNqAsm_16
-    4486206U,	// VST4LNqAsm_32
-    4355134U,	// VST4LNqWB_fixed_Asm_16
-    4486206U,	// VST4LNqWB_fixed_Asm_32
-    4391998U,	// VST4LNqWB_register_Asm_16
-    4523070U,	// VST4LNqWB_register_Asm_32
-    21537854U,	// VST4d16
+    3746268234U,	// VST4LNq32_UPD
+    4355146U,	// VST4LNqAsm_16
+    4486218U,	// VST4LNqAsm_32
+    4355146U,	// VST4LNqWB_fixed_Asm_16
+    4486218U,	// VST4LNqWB_fixed_Asm_32
+    4392010U,	// VST4LNqWB_register_Asm_16
+    4523082U,	// VST4LNqWB_register_Asm_32
+    21537866U,	// VST4d16
     0U,	// VST4d16Pseudo
     0U,	// VST4d16Pseudo_UPD
-    524919870U,	// VST4d16_UPD
-    21668926U,	// VST4d32
+    524919882U,	// VST4d16_UPD
+    21668938U,	// VST4d32
     0U,	// VST4d32Pseudo
     0U,	// VST4d32Pseudo_UPD
-    525050942U,	// VST4d32_UPD
-    21799998U,	// VST4d8
+    525050954U,	// VST4d32_UPD
+    21800010U,	// VST4d8
     0U,	// VST4d8Pseudo
     0U,	// VST4d8Pseudo_UPD
-    525182014U,	// VST4d8_UPD
-    3561120830U,	// VST4dAsm_16
-    3561251902U,	// VST4dAsm_32
-    3559679038U,	// VST4dAsm_8
-    3561120830U,	// VST4dWB_fixed_Asm_16
-    3561251902U,	// VST4dWB_fixed_Asm_32
-    3559679038U,	// VST4dWB_fixed_Asm_8
-    3561124926U,	// VST4dWB_register_Asm_16
-    3561255998U,	// VST4dWB_register_Asm_32
-    3559683134U,	// VST4dWB_register_Asm_8
-    21537854U,	// VST4q16
+    525182026U,	// VST4d8_UPD
+    1413637194U,	// VST4dAsm_16
+    1413768266U,	// VST4dAsm_32
+    1412195402U,	// VST4dAsm_8
+    1413637194U,	// VST4dWB_fixed_Asm_16
+    1413768266U,	// VST4dWB_fixed_Asm_32
+    1412195402U,	// VST4dWB_fixed_Asm_8
+    1413641290U,	// VST4dWB_register_Asm_16
+    1413772362U,	// VST4dWB_register_Asm_32
+    1412199498U,	// VST4dWB_register_Asm_8
+    21537866U,	// VST4q16
     0U,	// VST4q16Pseudo_UPD
-    524919870U,	// VST4q16_UPD
+    524919882U,	// VST4q16_UPD
     0U,	// VST4q16oddPseudo
     0U,	// VST4q16oddPseudo_UPD
-    21668926U,	// VST4q32
+    21668938U,	// VST4q32
     0U,	// VST4q32Pseudo_UPD
-    525050942U,	// VST4q32_UPD
+    525050954U,	// VST4q32_UPD
     0U,	// VST4q32oddPseudo
     0U,	// VST4q32oddPseudo_UPD
-    21799998U,	// VST4q8
+    21800010U,	// VST4q8
     0U,	// VST4q8Pseudo_UPD
-    525182014U,	// VST4q8_UPD
+    525182026U,	// VST4q8_UPD
     0U,	// VST4q8oddPseudo
     0U,	// VST4q8oddPseudo_UPD
-    1581409342U,	// VST4qAsm_16
-    1581540414U,	// VST4qAsm_32
-    1579967550U,	// VST4qAsm_8
-    3728892990U,	// VST4qWB_fixed_Asm_16
-    3729024062U,	// VST4qWB_fixed_Asm_32
-    3727451198U,	// VST4qWB_fixed_Asm_8
-    507671614U,	// VST4qWB_register_Asm_16
-    507802686U,	// VST4qWB_register_Asm_32
-    506229822U,	// VST4qWB_register_Asm_8
-    33572300U,	// VSTMDDB_UPD
-    34144U,	// VSTMDIA
-    33572192U,	// VSTMDIA_UPD
+    1581409354U,	// VST4qAsm_16
+    1581540426U,	// VST4qAsm_32
+    1579967562U,	// VST4qAsm_8
+    1581409354U,	// VST4qWB_fixed_Asm_16
+    1581540426U,	// VST4qWB_fixed_Asm_32
+    1579967562U,	// VST4qWB_fixed_Asm_8
+    507671626U,	// VST4qWB_register_Asm_16
+    507802698U,	// VST4qWB_register_Asm_32
+    506229834U,	// VST4qWB_register_Asm_8
+    33572312U,	// VSTMDDB_UPD
+    34156U,	// VSTMDIA
+    33572204U,	// VSTMDIA_UPD
     0U,	// VSTMQIA
-    33572300U,	// VSTMSDB_UPD
-    34144U,	// VSTMSIA
-    33572192U,	// VSTMSIA_UPD
-    27055U,	// VSTRD
-    27055U,	// VSTRS
-    2248959561U,	// VSUBD
-    35940538U,	// VSUBHNv2i32
-    36071610U,	// VSUBHNv4i16
-    36202682U,	// VSUBHNv8i8
-    35153949U,	// VSUBLsv2i64
-    35285021U,	// VSUBLsv4i32
-    35416093U,	// VSUBLsv8i16
-    35547165U,	// VSUBLuv2i64
-    35678237U,	// VSUBLuv4i32
-    35809309U,	// VSUBLuv8i16
-    2249090633U,	// VSUBS
-    35154667U,	// VSUBWsv2i64
-    35285739U,	// VSUBWsv4i32
-    35416811U,	// VSUBWsv8i16
-    35547883U,	// VSUBWuv2i64
-    35678955U,	// VSUBWuv4i32
-    35810027U,	// VSUBWuv8i16
-    2249090633U,	// VSUBfd
-    2249090633U,	// VSUBfq
-    36333129U,	// VSUBv16i8
-    35939913U,	// VSUBv1i64
-    36070985U,	// VSUBv2i32
-    35939913U,	// VSUBv2i64
-    36202057U,	// VSUBv4i16
-    36070985U,	// VSUBv4i32
-    36202057U,	// VSUBv8i16
-    36333129U,	// VSUBv8i8
-    31052U,	// VSWPd
-    31052U,	// VSWPq
-    2910232U,	// VTBL1
-    2910232U,	// VTBL2
-    2910232U,	// VTBL3
+    33572312U,	// VSTMSDB_UPD
+    34156U,	// VSTMSIA
+    33572204U,	// VSTMSIA_UPD
+    27067U,	// VSTRD
+    27067U,	// VSTRS
+    2248959573U,	// VSUBD
+    35940550U,	// VSUBHNv2i32
+    36071622U,	// VSUBHNv4i16
+    36202694U,	// VSUBHNv8i8
+    35153961U,	// VSUBLsv2i64
+    35285033U,	// VSUBLsv4i32
+    35416105U,	// VSUBLsv8i16
+    35547177U,	// VSUBLuv2i64
+    35678249U,	// VSUBLuv4i32
+    35809321U,	// VSUBLuv8i16
+    2249090645U,	// VSUBS
+    35154679U,	// VSUBWsv2i64
+    35285751U,	// VSUBWsv4i32
+    35416823U,	// VSUBWsv8i16
+    35547895U,	// VSUBWuv2i64
+    35678967U,	// VSUBWuv4i32
+    35810039U,	// VSUBWuv8i16
+    2249090645U,	// VSUBfd
+    2249090645U,	// VSUBfq
+    36333141U,	// VSUBv16i8
+    35939925U,	// VSUBv1i64
+    36070997U,	// VSUBv2i32
+    35939925U,	// VSUBv2i64
+    36202069U,	// VSUBv4i16
+    36070997U,	// VSUBv4i32
+    36202069U,	// VSUBv8i16
+    36333141U,	// VSUBv8i8
+    31064U,	// VSWPd
+    31064U,	// VSWPq
+    2910244U,	// VTBL1
+    2910244U,	// VTBL2
+    2910244U,	// VTBL3
     0U,	// VTBL3Pseudo
-    2910232U,	// VTBL4
+    2910244U,	// VTBL4
     0U,	// VTBL4Pseudo
-    2915144U,	// VTBX1
-    2915144U,	// VTBX2
-    2915144U,	// VTBX3
+    2915156U,	// VTBX1
+    2915156U,	// VTBX2
+    2915156U,	// VTBX3
     0U,	// VTBX3Pseudo
-    2915144U,	// VTBX4
+    2915156U,	// VTBX4
     0U,	// VTBX4Pseudo
-    6580911U,	// VTOSHD
-    6711983U,	// VTOSHS
-    275270068U,	// VTOSIRD
-    272255412U,	// VTOSIRS
-    275270319U,	// VTOSIZD
-    272255663U,	// VTOSIZS
-    1181248175U,	// VTOSLD
-    1178233519U,	// VTOSLS
-    6974127U,	// VTOUHD
-    7105199U,	// VTOUHS
-    275663284U,	// VTOUIRD
-    272386484U,	// VTOUIRS
-    275663535U,	// VTOUIZD
-    272386735U,	// VTOUIZS
-    1181641391U,	// VTOULD
-    1178364591U,	// VTOULS
-    4356352U,	// VTRNd16
-    4487424U,	// VTRNd32
-    2914560U,	// VTRNd8
-    4356352U,	// VTRNq16
-    4487424U,	// VTRNq32
-    2914560U,	// VTRNq8
-    2910862U,	// VTSTv16i8
-    4483726U,	// VTSTv2i32
-    4352654U,	// VTSTv4i16
-    4483726U,	// VTSTv4i32
-    4352654U,	// VTSTv8i16
-    2910862U,	// VTSTv8i8
-    7367343U,	// VUHTOD
-    7498415U,	// VUHTOS
-    276056751U,	// VUITOD
-    272648879U,	// VUITOS
-    1182034607U,	// VULTOD
-    1178626735U,	// VULTOS
-    4356433U,	// VUZPd16
-    2914641U,	// VUZPd8
-    4356433U,	// VUZPq16
-    4487505U,	// VUZPq32
-    2914641U,	// VUZPq8
-    4356409U,	// VZIPd16
-    2914617U,	// VZIPd8
-    4356409U,	// VZIPq16
-    4487481U,	// VZIPq32
-    2914617U,	// VZIPq8
-    34119U,	// sysLDMDA
-    33572167U,	// sysLDMDA_UPD
-    34246U,	// sysLDMDB
-    33572294U,	// sysLDMDB_UPD
-    34986U,	// sysLDMIA
-    33573034U,	// sysLDMIA_UPD
-    34265U,	// sysLDMIB
-    33572313U,	// sysLDMIB_UPD
-    34125U,	// sysSTMDA
-    33572173U,	// sysSTMDA_UPD
-    34253U,	// sysSTMDB
-    33572301U,	// sysSTMDB_UPD
-    34990U,	// sysSTMIA
-    33573038U,	// sysSTMIA_UPD
-    34271U,	// sysSTMIB
-    33572319U,	// sysSTMIB_UPD
+    6580923U,	// VTOSHD
+    6711995U,	// VTOSHS
+    275270080U,	// VTOSIRD
+    272255424U,	// VTOSIRS
+    275270331U,	// VTOSIZD
+    272255675U,	// VTOSIZS
+    3328731835U,	// VTOSLD
+    3325717179U,	// VTOSLS
+    6974139U,	// VTOUHD
+    7105211U,	// VTOUHS
+    275663296U,	// VTOUIRD
+    272386496U,	// VTOUIRS
+    275663547U,	// VTOUIZD
+    272386747U,	// VTOUIZS
+    3329125051U,	// VTOULD
+    3325848251U,	// VTOULS
+    4356364U,	// VTRNd16
+    4487436U,	// VTRNd32
+    2914572U,	// VTRNd8
+    4356364U,	// VTRNq16
+    4487436U,	// VTRNq32
+    2914572U,	// VTRNq8
+    2910874U,	// VTSTv16i8
+    4483738U,	// VTSTv2i32
+    4352666U,	// VTSTv4i16
+    4483738U,	// VTSTv4i32
+    4352666U,	// VTSTv8i16
+    2910874U,	// VTSTv8i8
+    7367355U,	// VUHTOD
+    7498427U,	// VUHTOS
+    276056763U,	// VUITOD
+    272648891U,	// VUITOS
+    3329518267U,	// VULTOD
+    3326110395U,	// VULTOS
+    4356445U,	// VUZPd16
+    2914653U,	// VUZPd8
+    4356445U,	// VUZPq16
+    4487517U,	// VUZPq32
+    2914653U,	// VUZPq8
+    4356421U,	// VZIPd16
+    2914629U,	// VZIPd8
+    4356421U,	// VZIPq16
+    4487493U,	// VZIPq32
+    2914629U,	// VZIPq8
+    0U,	// WIN__CHKSTK
+    34131U,	// sysLDMDA
+    33572179U,	// sysLDMDA_UPD
+    34258U,	// sysLDMDB
+    33572306U,	// sysLDMDB_UPD
+    34998U,	// sysLDMIA
+    33573046U,	// sysLDMIA_UPD
+    34277U,	// sysLDMIB
+    33572325U,	// sysLDMIB_UPD
+    34137U,	// sysSTMDA
+    33572185U,	// sysSTMDA_UPD
+    34265U,	// sysSTMDB
+    33572313U,	// sysSTMDB_UPD
+    35002U,	// sysSTMIA
+    33573050U,	// sysSTMIA_UPD
+    34283U,	// sysSTMIB
+    33572331U,	// sysSTMIB_UPD
     0U,	// t2ABS
-    5756U,	// t2ADCri
-    7739004U,	// t2ADCrr
-    7743100U,	// t2ADCrs
+    5768U,	// t2ADCri
+    7739016U,	// t2ADCrr
+    7743112U,	// t2ADCrs
     0U,	// t2ADDSri
     0U,	// t2ADDSrr
     0U,	// t2ADDSrs
-    7739065U,	// t2ADDri
-    27378U,	// t2ADDri12
-    7739065U,	// t2ADDrr
-    7743161U,	// t2ADDrs
-    7752042U,	// t2ADR
-    5870U,	// t2ANDri
-    7739118U,	// t2ANDrr
-    7743214U,	// t2ANDrs
-    7739800U,	// t2ASRri
-    7739800U,	// t2ASRrr
-    1081509271U,	// t2B
-    26244U,	// t2BFC
-    30665U,	// t2BFI
-    5769U,	// t2BICri
-    7739017U,	// t2BICrr
-    7743113U,	// t2BICrs
+    7739077U,	// t2ADDri
+    27390U,	// t2ADDri12
+    7739077U,	// t2ADDrr
+    7743173U,	// t2ADDrs
+    7752054U,	// t2ADR
+    5882U,	// t2ANDri
+    7739130U,	// t2ANDrr
+    7743226U,	// t2ANDrs
+    7739812U,	// t2ASRri
+    7739812U,	// t2ASRrr
+    1081509283U,	// t2B
+    26256U,	// t2BFC
+    30677U,	// t2BFI
+    5781U,	// t2BICri
+    7739029U,	// t2BICrr
+    7743125U,	// t2BICrs
     0U,	// t2BR_JT
-    1073776603U,	// t2BXJ
-    1081509271U,	// t2Bcc
-    2197858613U,	// t2CDP
-    2197857287U,	// t2CDP2
-    433035U,	// t2CLREX
-    19405U,	// t2CLZ
-    7751899U,	// t2CMNri
-    7751899U,	// t2CMNzrr
-    7760091U,	// t2CMNzrs
-    7751999U,	// t2CMPri
-    7751999U,	// t2CMPrr
-    7760191U,	// t2CMPrs
-    414521U,	// t2CPS1p
-    1165412846U,	// t2CPS2p
-    83937774U,	// t2CPS3p
+    1073776615U,	// t2BXJ
+    1081509283U,	// t2Bcc
+    2197858625U,	// t2CDP
+    2197857299U,	// t2CDP2
+    433047U,	// t2CLREX
+    19417U,	// t2CLZ
+    7751911U,	// t2CMNri
+    7751911U,	// t2CMNzrr
+    7760103U,	// t2CMNzrs
+    7752011U,	// t2CMPri
+    7752011U,	// t2CMPrr
+    7760203U,	// t2CMPrs
+    414526U,	// t2CPS1p
+    1165412858U,	// t2CPS2p
+    83937786U,	// t2CPS3p
     33706710U,	// t2CRC32B
     33706718U,	// t2CRC32CB
-    33706777U,	// t2CRC32CH
-    33706839U,	// t2CRC32CW
-    33706769U,	// t2CRC32H
-    33706831U,	// t2CRC32W
-    1073776462U,	// t2DBG
-    431067U,	// t2DCPS1
-    431127U,	// t2DCPS2
-    431143U,	// t2DCPS3
-    788563434U,	// t2DMB
-    788563453U,	// t2DSB
-    6534U,	// t2EORri
-    7739782U,	// t2EORrr
-    7743878U,	// t2EORrs
-    1081510521U,	// t2HINT
-    805340673U,	// t2ISB
-    117504615U,	// t2IT
+    33706782U,	// t2CRC32CH
+    33706851U,	// t2CRC32CW
+    33706774U,	// t2CRC32H
+    33706843U,	// t2CRC32W
+    1073776474U,	// t2DBG
+    431079U,	// t2DCPS1
+    431139U,	// t2DCPS2
+    431155U,	// t2DCPS3
+    788563446U,	// t2DMB
+    788563465U,	// t2DSB
+    6546U,	// t2EORri
+    7739794U,	// t2EORrr
+    7743890U,	// t2EORrs
+    1081510533U,	// t2HINT
+    805340685U,	// t2ISB
+    117504627U,	// t2IT
     0U,	// t2Int_eh_sjlj_setjmp
     0U,	// t2Int_eh_sjlj_setjmp_nofp
-    17731U,	// t2LDA
-    17812U,	// t2LDAB
-    19321U,	// t2LDAEX
-    18012U,	// t2LDAEXB
-    26376U,	// t2LDAEXD
-    18349U,	// t2LDAEXH
-    18269U,	// t2LDAH
-    50362335U,	// t2LDC2L_OFFSET
-    50362335U,	// t2LDC2L_OPTION
-    50362335U,	// t2LDC2L_POST
-    50362335U,	// t2LDC2L_PRE
-    50361325U,	// t2LDC2_OFFSET
-    50361325U,	// t2LDC2_OPTION
-    50361325U,	// t2LDC2_POST
-    50361325U,	// t2LDC2_PRE
-    50362403U,	// t2LDCL_OFFSET
-    50362403U,	// t2LDCL_OPTION
-    50362403U,	// t2LDCL_POST
-    50362403U,	// t2LDCL_PRE
-    50361984U,	// t2LDC_OFFSET
-    50361984U,	// t2LDC_OPTION
-    50361984U,	// t2LDC_POST
-    50361984U,	// t2LDC_PRE
-    34246U,	// t2LDMDB
-    33572294U,	// t2LDMDB_UPD
-    7768234U,	// t2LDMIA
+    17743U,	// t2LDA
+    17824U,	// t2LDAB
+    19333U,	// t2LDAEX
+    18024U,	// t2LDAEXB
+    26388U,	// t2LDAEXD
+    18361U,	// t2LDAEXH
+    18281U,	// t2LDAH
+    3271587819U,	// t2LDC2L_OFFSET
+    3271587819U,	// t2LDC2L_OPTION
+    3271587819U,	// t2LDC2L_POST
+    3271587819U,	// t2LDC2L_PRE
+    3271586809U,	// t2LDC2_OFFSET
+    3271586809U,	// t2LDC2_OPTION
+    3271586809U,	// t2LDC2_POST
+    3271586809U,	// t2LDC2_PRE
+    3271587887U,	// t2LDCL_OFFSET
+    3271587887U,	// t2LDCL_OPTION
+    3271587887U,	// t2LDCL_POST
+    3271587887U,	// t2LDCL_PRE
+    3271587468U,	// t2LDC_OFFSET
+    3271587468U,	// t2LDC_OPTION
+    3271587468U,	// t2LDC_POST
+    3271587468U,	// t2LDC_PRE
+    34258U,	// t2LDMDB
+    33572306U,	// t2LDMDB_UPD
+    7768246U,	// t2LDMIA
     0U,	// t2LDMIA_RET
-    41306282U,	// t2LDMIA_UPD
-    27188U,	// t2LDRBT
-    30195U,	// t2LDRB_POST
-    30195U,	// t2LDRB_PRE
-    7759347U,	// t2LDRBi12
-    26099U,	// t2LDRBi8
-    7751155U,	// t2LDRBpci
-    280051U,	// t2LDRBpcrel
-    7763443U,	// t2LDRBs
-    67314U,	// t2LDRD_POST
-    67314U,	// t2LDRD_PRE
-    30450U,	// t2LDRDi8
-    27525U,	// t2LDREX
-    18026U,	// t2LDREXB
-    26390U,	// t2LDREXD
-    18363U,	// t2LDREXH
-    27218U,	// t2LDRHT
-    30600U,	// t2LDRH_POST
-    30600U,	// t2LDRH_PRE
-    7759752U,	// t2LDRHi12
-    26504U,	// t2LDRHi8
-    7751560U,	// t2LDRHpci
-    280456U,	// t2LDRHpcrel
-    7763848U,	// t2LDRHs
-    27200U,	// t2LDRSBT
-    30213U,	// t2LDRSB_POST
-    30213U,	// t2LDRSB_PRE
-    7759365U,	// t2LDRSBi12
-    26117U,	// t2LDRSBi8
-    7751173U,	// t2LDRSBpci
-    280069U,	// t2LDRSBpcrel
-    7763461U,	// t2LDRSBs
-    27230U,	// t2LDRSHT
-    30610U,	// t2LDRSH_POST
-    30610U,	// t2LDRSH_PRE
-    7759762U,	// t2LDRSHi12
-    26514U,	// t2LDRSHi8
-    7751570U,	// t2LDRSHpci
-    280466U,	// t2LDRSHpcrel
-    7763858U,	// t2LDRSHs
-    27262U,	// t2LDRT
-    31087U,	// t2LDR_POST
-    31087U,	// t2LDR_PRE
-    7760239U,	// t2LDRi12
-    26991U,	// t2LDRi8
-    7752047U,	// t2LDRpci
+    41306294U,	// t2LDMIA_UPD
+    27200U,	// t2LDRBT
+    30207U,	// t2LDRB_POST
+    30207U,	// t2LDRB_PRE
+    7759359U,	// t2LDRBi12
+    26111U,	// t2LDRBi8
+    7751167U,	// t2LDRBpci
+    280063U,	// t2LDRBpcrel
+    7763455U,	// t2LDRBs
+    67326U,	// t2LDRD_POST
+    67326U,	// t2LDRD_PRE
+    30462U,	// t2LDRDi8
+    27537U,	// t2LDREX
+    18038U,	// t2LDREXB
+    26402U,	// t2LDREXD
+    18375U,	// t2LDREXH
+    27230U,	// t2LDRHT
+    30612U,	// t2LDRH_POST
+    30612U,	// t2LDRH_PRE
+    7759764U,	// t2LDRHi12
+    26516U,	// t2LDRHi8
+    7751572U,	// t2LDRHpci
+    280468U,	// t2LDRHpcrel
+    7763860U,	// t2LDRHs
+    27212U,	// t2LDRSBT
+    30225U,	// t2LDRSB_POST
+    30225U,	// t2LDRSB_PRE
+    7759377U,	// t2LDRSBi12
+    26129U,	// t2LDRSBi8
+    7751185U,	// t2LDRSBpci
+    280081U,	// t2LDRSBpcrel
+    7763473U,	// t2LDRSBs
+    27242U,	// t2LDRSHT
+    30622U,	// t2LDRSH_POST
+    30622U,	// t2LDRSH_PRE
+    7759774U,	// t2LDRSHi12
+    26526U,	// t2LDRSHi8
+    7751582U,	// t2LDRSHpci
+    280478U,	// t2LDRSHpcrel
+    7763870U,	// t2LDRSHs
+    27274U,	// t2LDRT
+    31099U,	// t2LDR_POST
+    31099U,	// t2LDR_PRE
+    7760251U,	// t2LDRi12
+    27003U,	// t2LDRi8
+    7752059U,	// t2LDRpci
     0U,	// t2LDRpci_pic
-    280943U,	// t2LDRpcrel
-    7764335U,	// t2LDRs
+    280955U,	// t2LDRpcrel
+    7764347U,	// t2LDRs
     0U,	// t2LEApcrel
     0U,	// t2LEApcrelJT
-    7739525U,	// t2LSLri
-    7739525U,	// t2LSLrr
-    7739807U,	// t2LSRri
-    7739807U,	// t2LSRrr
-    2197858662U,	// t2MCR
-    2197857292U,	// t2MCR2
-    2197883278U,	// t2MCRR
-    2197881873U,	// t2MCRR2
-    30063U,	// t2MLA
-    31185U,	// t2MLS
+    7739537U,	// t2LSLri
+    7739537U,	// t2LSLrr
+    7739819U,	// t2LSRri
+    7739819U,	// t2LSRrr
+    2197858674U,	// t2MCR
+    2197857304U,	// t2MCR2
+    2197883290U,	// t2MCRR
+    2197881885U,	// t2MCRR2
+    30075U,	// t2MLA
+    31197U,	// t2MLS
     0U,	// t2MOVCCasr
     0U,	// t2MOVCCi
     0U,	// t2MOVCCi16
@@ -2499,362 +2452,364 @@
     0U,	// t2MOVCClsr
     0U,	// t2MOVCCr
     0U,	// t2MOVCCror
-    289289U,	// t2MOVSsi
-    293385U,	// t2MOVSsr
-    27316U,	// t2MOVTi16
+    289301U,	// t2MOVSsi
+    293397U,	// t2MOVSsr
+    27328U,	// t2MOVTi16
     0U,	// t2MOVTi16_ga_pcrel
     0U,	// t2MOV_ga_pcrel
-    7805671U,	// t2MOVi
-    19196U,	// t2MOVi16
+    7805683U,	// t2MOVi
+    19208U,	// t2MOVi16
     0U,	// t2MOVi16_ga_pcrel
     0U,	// t2MOVi32imm
-    7805671U,	// t2MOVr
-    289511U,	// t2MOVsi
-    293607U,	// t2MOVsr
-    7752183U,	// t2MOVsra_flag
-    7752188U,	// t2MOVsrl_flag
-    201369233U,	// t2MRC
-    201368562U,	// t2MRC2
-    2197882517U,	// t2MRRC
-    2197881847U,	// t2MRRC2
-    2147518963U,	// t2MRS_AR
-    18931U,	// t2MRS_M
-    3221260787U,	// t2MRSsys_AR
-    218122660U,	// t2MSR_AR
-    218122660U,	// t2MSR_M
-    26773U,	// t2MUL
+    7805683U,	// t2MOVr
+    289523U,	// t2MOVsi
+    293619U,	// t2MOVsr
+    7752195U,	// t2MOVsra_flag
+    7752200U,	// t2MOVsrl_flag
+    201369245U,	// t2MRC
+    201368574U,	// t2MRC2
+    2197882529U,	// t2MRRC
+    2197881859U,	// t2MRRC2
+    35327U,	// t2MRS_AR
+    18943U,	// t2MRS_M
+    1073777151U,	// t2MRSsys_AR
+    218122672U,	// t2MSR_AR
+    218122672U,	// t2MSR_M
+    26785U,	// t2MUL
     0U,	// t2MVNCCi
-    71967U,	// t2MVNi
-    7805215U,	// t2MVNr
-    7739679U,	// t2MVNs
-    6396U,	// t2ORNri
-    6396U,	// t2ORNrr
-    10492U,	// t2ORNrs
-    6548U,	// t2ORRri
-    7739796U,	// t2ORRrr
-    7743892U,	// t2ORRrs
-    31263U,	// t2PKHBT
-    30226U,	// t2PKHTB
-    822102775U,	// t2PLDWi12
-    838879991U,	// t2PLDWi8
-    855665399U,	// t2PLDWs
-    822101730U,	// t2PLDi12
-    838878946U,	// t2PLDi8
-    872449762U,	// t2PLDpci
-    855664354U,	// t2PLDs
-    822101965U,	// t2PLIi12
-    838879181U,	// t2PLIi8
-    872449997U,	// t2PLIpci
-    855664589U,	// t2PLIs
-    26321U,	// t2QADD
-    25752U,	// t2QADD16
-    25855U,	// t2QADD8
-    27574U,	// t2QASX
-    26295U,	// t2QDADD
-    26167U,	// t2QDSUB
-    27433U,	// t2QSAX
-    26180U,	// t2QSUB
-    25714U,	// t2QSUB16
-    25816U,	// t2QSUB8
-    19045U,	// t2RBIT
-    7752403U,	// t2REV
-    7750844U,	// t2REV16
-    7751581U,	// t2REVSH
-    1073776063U,	// t2RFEDB
-    3221259711U,	// t2RFEDBW
-    1073775955U,	// t2RFEIA
-    3221259603U,	// t2RFEIAW
-    7739786U,	// t2RORri
-    7739786U,	// t2RORrr
-    72613U,	// t2RRX
+    71979U,	// t2MVNi
+    7805227U,	// t2MVNr
+    7739691U,	// t2MVNs
+    6408U,	// t2ORNri
+    6408U,	// t2ORNrr
+    10504U,	// t2ORNrs
+    6560U,	// t2ORRri
+    7739808U,	// t2ORRrr
+    7743904U,	// t2ORRrs
+    31275U,	// t2PKHBT
+    30238U,	// t2PKHTB
+    822102787U,	// t2PLDWi12
+    838880003U,	// t2PLDWi8
+    855665411U,	// t2PLDWs
+    822101742U,	// t2PLDi12
+    838878958U,	// t2PLDi8
+    872449774U,	// t2PLDpci
+    855664366U,	// t2PLDs
+    822101977U,	// t2PLIi12
+    838879193U,	// t2PLIi8
+    872450009U,	// t2PLIpci
+    855664601U,	// t2PLIs
+    26333U,	// t2QADD
+    25764U,	// t2QADD16
+    25867U,	// t2QADD8
+    27586U,	// t2QASX
+    26307U,	// t2QDADD
+    26179U,	// t2QDSUB
+    27445U,	// t2QSAX
+    26192U,	// t2QSUB
+    25726U,	// t2QSUB16
+    25828U,	// t2QSUB8
+    19057U,	// t2RBIT
+    7752415U,	// t2REV
+    7750856U,	// t2REV16
+    7751593U,	// t2REVSH
+    1073776075U,	// t2RFEDB
+    1073776075U,	// t2RFEDBW
+    1073775967U,	// t2RFEIA
+    1073775967U,	// t2RFEIAW
+    7739798U,	// t2RORri
+    7739798U,	// t2RORrr
+    72625U,	// t2RRX
     0U,	// t2RSBSri
     0U,	// t2RSBSrs
-    7738887U,	// t2RSBri
-    5639U,	// t2RSBrr
-    9735U,	// t2RSBrs
-    25759U,	// t2SADD16
-    25861U,	// t2SADD8
-    27579U,	// t2SASX
-    5752U,	// t2SBCri
-    7739000U,	// t2SBCrr
-    7743096U,	// t2SBCrs
-    31639U,	// t2SBFX
-    27351U,	// t2SDIV
-    26688U,	// t2SEL
-    25735U,	// t2SHADD16
-    25840U,	// t2SHADD8
-    27561U,	// t2SHASX
-    27420U,	// t2SHSAX
-    25697U,	// t2SHSUB16
-    25801U,	// t2SHSUB8
-    1073776269U,	// t2SMC
-    30117U,	// t2SMLABB
-    31256U,	// t2SMLABT
-    30374U,	// t2SMLAD
-    31565U,	// t2SMLADX
-    43014U,	// t2SMLAL
-    30124U,	// t2SMLALBB
-    31269U,	// t2SMLALBT
-    30427U,	// t2SMLALD
-    31579U,	// t2SMLALDX
-    30232U,	// t2SMLALTB
-    31386U,	// t2SMLALTT
-    30219U,	// t2SMLATB
-    31379U,	// t2SMLATT
-    30286U,	// t2SMLAWB
-    31417U,	// t2SMLAWT
-    30460U,	// t2SMLSD
-    31595U,	// t2SMLSDX
-    30438U,	// t2SMLSLD
-    31587U,	// t2SMLSLDX
-    30061U,	// t2SMMLA
-    31071U,	// t2SMMLAR
-    31183U,	// t2SMMLS
-    31132U,	// t2SMMLSR
-    26771U,	// t2SMMUL
-    27006U,	// t2SMMULR
-    26284U,	// t2SMUAD
-    27476U,	// t2SMUADX
-    26036U,	// t2SMULBB
-    27181U,	// t2SMULBT
-    30826U,	// t2SMULL
-    26144U,	// t2SMULTB
-    27298U,	// t2SMULTT
-    26197U,	// t2SMULWB
-    27328U,	// t2SMULWT
-    26370U,	// t2SMUSD
-    27506U,	// t2SMUSDX
-    7898579U,	// t2SRSDB
-    8029651U,	// t2SRSDB_UPD
-    7898471U,	// t2SRSIA
-    8029543U,	// t2SRSIA_UPD
-    31246U,	// t2SSAT
-    25773U,	// t2SSAT16
-    27438U,	// t2SSAX
-    25721U,	// t2SSUB16
-    25822U,	// t2SSUB8
-    50362341U,	// t2STC2L_OFFSET
-    50362341U,	// t2STC2L_OPTION
-    50362341U,	// t2STC2L_POST
-    50362341U,	// t2STC2L_PRE
-    50361341U,	// t2STC2_OFFSET
-    50361341U,	// t2STC2_OPTION
-    50361341U,	// t2STC2_POST
-    50361341U,	// t2STC2_PRE
-    50362408U,	// t2STCL_OFFSET
-    50362408U,	// t2STCL_OPTION
-    50362408U,	// t2STCL_POST
-    50362408U,	// t2STCL_PRE
-    50362014U,	// t2STC_OFFSET
-    50362014U,	// t2STC_OPTION
-    50362014U,	// t2STC_POST
-    50362014U,	// t2STC_PRE
-    18575U,	// t2STL
-    17893U,	// t2STLB
-    27519U,	// t2STLEX
-    26211U,	// t2STLEXB
-    30479U,	// t2STLEXD
-    26548U,	// t2STLEXH
-    18290U,	// t2STLH
-    34253U,	// t2STMDB
-    33572301U,	// t2STMDB_UPD
-    7768238U,	// t2STMIA
-    41306286U,	// t2STMIA_UPD
-    27194U,	// t2STRBT
-    33584632U,	// t2STRB_POST
-    33584632U,	// t2STRB_PRE
+    7738899U,	// t2RSBri
+    5651U,	// t2RSBrr
+    9747U,	// t2RSBrs
+    25771U,	// t2SADD16
+    25873U,	// t2SADD8
+    27591U,	// t2SASX
+    5764U,	// t2SBCri
+    7739012U,	// t2SBCrr
+    7743108U,	// t2SBCrs
+    31651U,	// t2SBFX
+    27363U,	// t2SDIV
+    26700U,	// t2SEL
+    25747U,	// t2SHADD16
+    25852U,	// t2SHADD8
+    27573U,	// t2SHASX
+    27432U,	// t2SHSAX
+    25709U,	// t2SHSUB16
+    25813U,	// t2SHSUB8
+    1073776281U,	// t2SMC
+    30129U,	// t2SMLABB
+    31268U,	// t2SMLABT
+    30386U,	// t2SMLAD
+    31577U,	// t2SMLADX
+    43026U,	// t2SMLAL
+    30136U,	// t2SMLALBB
+    31281U,	// t2SMLALBT
+    30439U,	// t2SMLALD
+    31591U,	// t2SMLALDX
+    30244U,	// t2SMLALTB
+    31398U,	// t2SMLALTT
+    30231U,	// t2SMLATB
+    31391U,	// t2SMLATT
+    30298U,	// t2SMLAWB
+    31429U,	// t2SMLAWT
+    30472U,	// t2SMLSD
+    31607U,	// t2SMLSDX
+    30450U,	// t2SMLSLD
+    31599U,	// t2SMLSLDX
+    30073U,	// t2SMMLA
+    31083U,	// t2SMMLAR
+    31195U,	// t2SMMLS
+    31144U,	// t2SMMLSR
+    26783U,	// t2SMMUL
+    27018U,	// t2SMMULR
+    26296U,	// t2SMUAD
+    27488U,	// t2SMUADX
+    26048U,	// t2SMULBB
+    27193U,	// t2SMULBT
+    30838U,	// t2SMULL
+    26156U,	// t2SMULTB
+    27310U,	// t2SMULTT
+    26209U,	// t2SMULWB
+    27340U,	// t2SMULWT
+    26382U,	// t2SMUSD
+    27518U,	// t2SMUSDX
+    7898591U,	// t2SRSDB
+    8029663U,	// t2SRSDB_UPD
+    7898483U,	// t2SRSIA
+    8029555U,	// t2SRSIA_UPD
+    31258U,	// t2SSAT
+    25785U,	// t2SSAT16
+    27450U,	// t2SSAX
+    25733U,	// t2SSUB16
+    25834U,	// t2SSUB8
+    3271587825U,	// t2STC2L_OFFSET
+    3271587825U,	// t2STC2L_OPTION
+    3271587825U,	// t2STC2L_POST
+    3271587825U,	// t2STC2L_PRE
+    3271586825U,	// t2STC2_OFFSET
+    3271586825U,	// t2STC2_OPTION
+    3271586825U,	// t2STC2_POST
+    3271586825U,	// t2STC2_PRE
+    3271587892U,	// t2STCL_OFFSET
+    3271587892U,	// t2STCL_OPTION
+    3271587892U,	// t2STCL_POST
+    3271587892U,	// t2STCL_PRE
+    3271587498U,	// t2STC_OFFSET
+    3271587498U,	// t2STC_OPTION
+    3271587498U,	// t2STC_POST
+    3271587498U,	// t2STC_PRE
+    18587U,	// t2STL
+    17905U,	// t2STLB
+    27531U,	// t2STLEX
+    26223U,	// t2STLEXB
+    30491U,	// t2STLEXD
+    26560U,	// t2STLEXH
+    18302U,	// t2STLH
+    34265U,	// t2STMDB
+    33572313U,	// t2STMDB_UPD
+    7768250U,	// t2STMIA
+    41306298U,	// t2STMIA_UPD
+    27206U,	// t2STRBT
+    33584644U,	// t2STRB_POST
+    33584644U,	// t2STRB_PRE
     0U,	// t2STRB_preidx
-    7759352U,	// t2STRBi12
-    26104U,	// t2STRBi8
-    7763448U,	// t2STRBs
-    33621751U,	// t2STRD_POST
-    33621751U,	// t2STRD_PRE
-    30455U,	// t2STRDi8
-    31633U,	// t2STREX
-    26225U,	// t2STREXB
-    30493U,	// t2STREXD
-    26562U,	// t2STREXH
-    27224U,	// t2STRHT
-    33585037U,	// t2STRH_POST
-    33585037U,	// t2STRH_PRE
+    7759364U,	// t2STRBi12
+    26116U,	// t2STRBi8
+    7763460U,	// t2STRBs
+    33621763U,	// t2STRD_POST
+    33621763U,	// t2STRD_PRE
+    30467U,	// t2STRDi8
+    31645U,	// t2STREX
+    26237U,	// t2STREXB
+    30505U,	// t2STREXD
+    26574U,	// t2STREXH
+    27236U,	// t2STRHT
+    33585049U,	// t2STRH_POST
+    33585049U,	// t2STRH_PRE
     0U,	// t2STRH_preidx
-    7759757U,	// t2STRHi12
-    26509U,	// t2STRHi8
-    7763853U,	// t2STRHs
-    27273U,	// t2STRT
-    33585584U,	// t2STR_POST
-    33585584U,	// t2STR_PRE
+    7759769U,	// t2STRHi12
+    26521U,	// t2STRHi8
+    7763865U,	// t2STRHs
+    27285U,	// t2STRT
+    33585596U,	// t2STR_POST
+    33585596U,	// t2STR_PRE
     0U,	// t2STR_preidx
-    7760304U,	// t2STRi12
-    27056U,	// t2STRi8
-    7764400U,	// t2STRs
-    8161733U,	// t2SUBS_PC_LR
+    7760316U,	// t2STRi12
+    27068U,	// t2STRi8
+    7764412U,	// t2STRs
+    8161745U,	// t2SUBS_PC_LR
     0U,	// t2SUBSri
     0U,	// t2SUBSrr
     0U,	// t2SUBSrs
-    7738937U,	// t2SUBri
-    27372U,	// t2SUBri12
-    7738937U,	// t2SUBrr
-    7743033U,	// t2SUBrs
-    30105U,	// t2SXTAB
-    29763U,	// t2SXTAB16
-    30562U,	// t2SXTAH
-    7759405U,	// t2SXTB
-    25683U,	// t2SXTB16
-    7759779U,	// t2SXTH
-    889210299U,	// t2TBB
+    7738949U,	// t2SUBri
+    27384U,	// t2SUBri12
+    7738949U,	// t2SUBrr
+    7743045U,	// t2SUBrs
+    30117U,	// t2SXTAB
+    29775U,	// t2SXTAB16
+    30574U,	// t2SXTAH
+    7759417U,	// t2SXTB
+    25695U,	// t2SXTB16
+    7759791U,	// t2SXTH
+    889210311U,	// t2TBB
     0U,	// t2TBB_JT
-    905987950U,	// t2TBH
+    905987962U,	// t2TBH
     0U,	// t2TBH_JT
-    7752027U,	// t2TEQri
-    7752027U,	// t2TEQrr
-    7760219U,	// t2TEQrs
-    7752335U,	// t2TSTri
-    7752335U,	// t2TSTrr
-    7760527U,	// t2TSTrs
-    25766U,	// t2UADD16
-    25867U,	// t2UADD8
-    27584U,	// t2UASX
-    31644U,	// t2UBFX
-    27356U,	// t2UDIV
-    25743U,	// t2UHADD16
-    25847U,	// t2UHADD8
-    27567U,	// t2UHASX
-    27426U,	// t2UHSAX
-    25705U,	// t2UHSUB16
-    25808U,	// t2UHSUB8
-    30699U,	// t2UMAAL
-    43020U,	// t2UMLAL
-    30832U,	// t2UMULL
-    25751U,	// t2UQADD16
-    25854U,	// t2UQADD8
-    27573U,	// t2UQASX
-    27432U,	// t2UQSAX
-    25713U,	// t2UQSUB16
-    25815U,	// t2UQSUB8
-    25834U,	// t2USAD8
-    29890U,	// t2USADA8
-    31251U,	// t2USAT
-    25780U,	// t2USAT16
-    27443U,	// t2USAX
-    25728U,	// t2USUB16
-    25828U,	// t2USUB8
-    30111U,	// t2UXTAB
-    29771U,	// t2UXTAB16
-    30568U,	// t2UXTAH
-    7759410U,	// t2UXTB
-    25690U,	// t2UXTB16
-    7759784U,	// t2UXTH
-    931120764U,	// tADC
-    26297U,	// tADDhirr
-    25151161U,	// tADDi3
-    931120825U,	// tADDi8
-    26297U,	// tADDrSP
-    26297U,	// tADDrSPi
-    25151161U,	// tADDrr
-    26297U,	// tADDspi
-    26297U,	// tADDspr
+    7752039U,	// t2TEQri
+    7752039U,	// t2TEQrr
+    7760231U,	// t2TEQrs
+    7752347U,	// t2TSTri
+    7752347U,	// t2TSTrr
+    7760539U,	// t2TSTrs
+    25778U,	// t2UADD16
+    25879U,	// t2UADD8
+    27596U,	// t2UASX
+    31656U,	// t2UBFX
+    414548U,	// t2UDF
+    27368U,	// t2UDIV
+    25755U,	// t2UHADD16
+    25859U,	// t2UHADD8
+    27579U,	// t2UHASX
+    27438U,	// t2UHSAX
+    25717U,	// t2UHSUB16
+    25820U,	// t2UHSUB8
+    30711U,	// t2UMAAL
+    43032U,	// t2UMLAL
+    30844U,	// t2UMULL
+    25763U,	// t2UQADD16
+    25866U,	// t2UQADD8
+    27585U,	// t2UQASX
+    27444U,	// t2UQSAX
+    25725U,	// t2UQSUB16
+    25827U,	// t2UQSUB8
+    25846U,	// t2USAD8
+    29902U,	// t2USADA8
+    31263U,	// t2USAT
+    25792U,	// t2USAT16
+    27455U,	// t2USAX
+    25740U,	// t2USUB16
+    25840U,	// t2USUB8
+    30123U,	// t2UXTAB
+    29783U,	// t2UXTAB16
+    30580U,	// t2UXTAH
+    7759422U,	// t2UXTB
+    25702U,	// t2UXTB16
+    7759796U,	// t2UXTH
+    931120776U,	// tADC
+    26309U,	// tADDhirr
+    25151173U,	// tADDi3
+    931120837U,	// tADDi8
+    26309U,	// tADDrSP
+    26309U,	// tADDrSPi
+    25151173U,	// tADDrr
+    26309U,	// tADDspi
+    26309U,	// tADDspr
     0U,	// tADJCALLSTACKDOWN
     0U,	// tADJCALLSTACKUP
-    18794U,	// tADR
-    931120878U,	// tAND
-    25151896U,	// tASRri
-    931121560U,	// tASRrr
-    1073776023U,	// tB
-    931120777U,	// tBIC
-    414537U,	// tBKPT
-    1090557978U,	// tBL
-    1090558881U,	// tBLXi
-    1090558881U,	// tBLXr
+    18806U,	// tADR
+    931120890U,	// tAND
+    25151908U,	// tASRri
+    931121572U,	// tASRrr
+    1073776035U,	// tB
+    931120789U,	// tBIC
+    414542U,	// tBKPT
+    1090557990U,	// tBL
+    1090558893U,	// tBLXi
+    1090558893U,	// tBLXr
     0U,	// tBRIND
     0U,	// tBR_JTr
-    1073777469U,	// tBX
+    1073777481U,	// tBX
     0U,	// tBX_CALL
     0U,	// tBX_RET
     0U,	// tBX_RET_vararg
-    1073776023U,	// tBcc
+    1073776035U,	// tBcc
     0U,	// tBfar
-    1107448692U,	// tCBNZ
-    1107448687U,	// tCBZ
-    18651U,	// tCMNz
-    18751U,	// tCMPhir
-    18751U,	// tCMPi8
-    18751U,	// tCMPr
-    1157941742U,	// tCPS
-    931121542U,	// tEOR
-    1073777273U,	// tHINT
-    414532U,	// tHLT
+    1107448704U,	// tCBNZ
+    1107448699U,	// tCBZ
+    18663U,	// tCMNz
+    18763U,	// tCMPhir
+    18763U,	// tCMPi8
+    18763U,	// tCMPr
+    1157941754U,	// tCPS
+    931121554U,	// tEOR
+    1073777285U,	// tHINT
+    414537U,	// tHLT
     0U,	// tInt_eh_sjlj_longjmp
     0U,	// tInt_eh_sjlj_setjmp
-    34986U,	// tLDMIA
+    34998U,	// tLDMIA
     0U,	// tLDMIA_UPD
-    26099U,	// tLDRBi
-    26099U,	// tLDRBr
-    26504U,	// tLDRHi
-    26504U,	// tLDRHr
+    26111U,	// tLDRBi
+    26111U,	// tLDRBr
+    26516U,	// tLDRHi
+    26516U,	// tLDRHr
     0U,	// tLDRLIT_ga_abs
     0U,	// tLDRLIT_ga_pcrel
-    26117U,	// tLDRSB
-    26514U,	// tLDRSH
-    26991U,	// tLDRi
-    18799U,	// tLDRpci
+    26129U,	// tLDRSB
+    26526U,	// tLDRSH
+    27003U,	// tLDRi
+    18811U,	// tLDRpci
     0U,	// tLDRpci_pic
-    26991U,	// tLDRr
-    26991U,	// tLDRspi
+    27003U,	// tLDRr
+    27003U,	// tLDRspi
     0U,	// tLEApcrel
     0U,	// tLEApcrelJT
-    25151621U,	// tLSLri
-    931121285U,	// tLSLrr
-    25151903U,	// tLSRri
-    931121567U,	// tLSRrr
+    25151633U,	// tLSLri
+    931121297U,	// tLSLrr
+    25151915U,	// tLSRri
+    931121579U,	// tLSRrr
     0U,	// tMOVCCr_pseudo
-    1107448638U,	// tMOVSr
-    276941543U,	// tMOVi8
-    19175U,	// tMOVr
-    25151637U,	// tMUL
-    276941087U,	// tMVN
-    931121556U,	// tORR
+    1107448643U,	// tMOVSr
+    276941555U,	// tMOVi8
+    19187U,	// tMOVr
+    25151649U,	// tMUL
+    276941099U,	// tMVN
+    931121568U,	// tORR
     0U,	// tPICADD
-    939563331U,	// tPOP
+    939563343U,	// tPOP
     0U,	// tPOP_RET
-    939562904U,	// tPUSH
-    19155U,	// tREV
-    17596U,	// tREV16
-    18333U,	// tREVSH
-    931121546U,	// tROR
-    260163079U,	// tRSB
-    931120760U,	// tSBC
+    939562916U,	// tPUSH
+    19167U,	// tREV
+    17608U,	// tREV16
+    18345U,	// tREVSH
+    931121558U,	// tROR
+    260163091U,	// tRSB
+    931120772U,	// tSBC
     86793U,	// tSETEND
-    33573038U,	// tSTMIA_UPD
-    26104U,	// tSTRBi
-    26104U,	// tSTRBr
-    26509U,	// tSTRHi
-    26509U,	// tSTRHr
-    27056U,	// tSTRi
-    27056U,	// tSTRr
-    27056U,	// tSTRspi
-    25151033U,	// tSUBi3
-    931120697U,	// tSUBi8
-    25151033U,	// tSUBrr
-    26169U,	// tSUBspi
-    1073776290U,	// tSVC
-    17965U,	// tSXTB
-    18339U,	// tSXTH
+    33573050U,	// tSTMIA_UPD
+    26116U,	// tSTRBi
+    26116U,	// tSTRBr
+    26521U,	// tSTRHi
+    26521U,	// tSTRHr
+    27068U,	// tSTRi
+    27068U,	// tSTRr
+    27068U,	// tSTRspi
+    25151045U,	// tSUBi3
+    931120709U,	// tSUBi8
+    25151045U,	// tSUBrr
+    26181U,	// tSUBspi
+    1073776302U,	// tSVC
+    17977U,	// tSXTB
+    18351U,	// tSXTH
     0U,	// tTAILJMPd
     0U,	// tTAILJMPdND
     0U,	// tTAILJMPr
     0U,	// tTPsoft
-    2352U,	// tTRAP
-    19087U,	// tTST
-    17970U,	// tUXTB
-    18344U,	// tUXTH
+    2364U,	// tTRAP
+    19099U,	// tTST
+    414481U,	// tUDF
+    17982U,	// tUXTB
+    18356U,	// tUXTH
     0U
   };
 
   static const uint32_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -2871,6 +2826,7 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// ABS
     0U,	// ADCri
     0U,	// ADCrr
@@ -2897,56 +2853,6 @@
     0U,	// ANDrsr
     0U,	// ASRi
     0U,	// ASRr
-    0U,	// ATOMIC_CMP_SWAP_I16
-    0U,	// ATOMIC_CMP_SWAP_I32
-    0U,	// ATOMIC_CMP_SWAP_I64
-    0U,	// ATOMIC_CMP_SWAP_I8
-    0U,	// ATOMIC_LOAD_ADD_I16
-    0U,	// ATOMIC_LOAD_ADD_I32
-    0U,	// ATOMIC_LOAD_ADD_I64
-    0U,	// ATOMIC_LOAD_ADD_I8
-    0U,	// ATOMIC_LOAD_AND_I16
-    0U,	// ATOMIC_LOAD_AND_I32
-    0U,	// ATOMIC_LOAD_AND_I64
-    0U,	// ATOMIC_LOAD_AND_I8
-    0U,	// ATOMIC_LOAD_I64
-    0U,	// ATOMIC_LOAD_MAX_I16
-    0U,	// ATOMIC_LOAD_MAX_I32
-    0U,	// ATOMIC_LOAD_MAX_I64
-    0U,	// ATOMIC_LOAD_MAX_I8
-    0U,	// ATOMIC_LOAD_MIN_I16
-    0U,	// ATOMIC_LOAD_MIN_I32
-    0U,	// ATOMIC_LOAD_MIN_I64
-    0U,	// ATOMIC_LOAD_MIN_I8
-    0U,	// ATOMIC_LOAD_NAND_I16
-    0U,	// ATOMIC_LOAD_NAND_I32
-    0U,	// ATOMIC_LOAD_NAND_I64
-    0U,	// ATOMIC_LOAD_NAND_I8
-    0U,	// ATOMIC_LOAD_OR_I16
-    0U,	// ATOMIC_LOAD_OR_I32
-    0U,	// ATOMIC_LOAD_OR_I64
-    0U,	// ATOMIC_LOAD_OR_I8
-    0U,	// ATOMIC_LOAD_SUB_I16
-    0U,	// ATOMIC_LOAD_SUB_I32
-    0U,	// ATOMIC_LOAD_SUB_I64
-    0U,	// ATOMIC_LOAD_SUB_I8
-    0U,	// ATOMIC_LOAD_UMAX_I16
-    0U,	// ATOMIC_LOAD_UMAX_I32
-    0U,	// ATOMIC_LOAD_UMAX_I64
-    0U,	// ATOMIC_LOAD_UMAX_I8
-    0U,	// ATOMIC_LOAD_UMIN_I16
-    0U,	// ATOMIC_LOAD_UMIN_I32
-    0U,	// ATOMIC_LOAD_UMIN_I64
-    0U,	// ATOMIC_LOAD_UMIN_I8
-    0U,	// ATOMIC_LOAD_XOR_I16
-    0U,	// ATOMIC_LOAD_XOR_I32
-    0U,	// ATOMIC_LOAD_XOR_I64
-    0U,	// ATOMIC_LOAD_XOR_I8
-    0U,	// ATOMIC_STORE_I64
-    0U,	// ATOMIC_SWAP_I16
-    0U,	// ATOMIC_SWAP_I32
-    0U,	// ATOMIC_SWAP_I64
-    0U,	// ATOMIC_SWAP_I8
     0U,	// B
     0U,	// BCCZi64
     0U,	// BCCi64
@@ -3024,7 +2930,7 @@
     72U,	// LDAB
     72U,	// LDAEX
     72U,	// LDAEXB
-    1U,	// LDAEXD
+    0U,	// LDAEXD
     72U,	// LDAEXH
     72U,	// LDAH
     0U,	// LDC2L_OFFSET
@@ -3035,14 +2941,14 @@
     1U,	// LDC2_OPTION
     1U,	// LDC2_POST
     0U,	// LDC2_PRE
-    82U,	// LDCL_OFFSET
-    49242U,	// LDCL_OPTION
-    65626U,	// LDCL_POST
-    98U,	// LDCL_PRE
-    82U,	// LDC_OFFSET
-    49242U,	// LDC_OPTION
-    65626U,	// LDC_POST
-    98U,	// LDC_PRE
+    81U,	// LDCL_OFFSET
+    49241U,	// LDCL_OPTION
+    65625U,	// LDCL_POST
+    97U,	// LDCL_PRE
+    81U,	// LDC_OFFSET
+    49241U,	// LDC_OPTION
+    65625U,	// LDC_POST
+    97U,	// LDC_PRE
     1088U,	// LDMDA
     57U,	// LDMDA_UPD
     1088U,	// LDMDB
@@ -3062,12 +2968,11 @@
     120U,	// LDRBi12
     128U,	// LDRBrs
     98304U,	// LDRD
-    2U,	// LDRD_PAIR
     1163264U,	// LDRD_POST
     131072U,	// LDRD_PRE
     72U,	// LDREX
     72U,	// LDREXB
-    1U,	// LDREXD
+    0U,	// LDREXD
     72U,	// LDREXH
     136U,	// LDRH
     147544U,	// LDRHTi
@@ -3292,14 +3197,14 @@
     1U,	// STC2_OPTION
     1U,	// STC2_POST
     0U,	// STC2_PRE
-    82U,	// STCL_OFFSET
-    49242U,	// STCL_OPTION
-    65626U,	// STCL_POST
-    98U,	// STCL_PRE
-    82U,	// STC_OFFSET
-    49242U,	// STC_OPTION
-    65626U,	// STC_POST
-    98U,	// STC_PRE
+    81U,	// STCL_OFFSET
+    49241U,	// STCL_OPTION
+    65625U,	// STCL_POST
+    97U,	// STCL_PRE
+    81U,	// STC_OFFSET
+    49241U,	// STC_OPTION
+    65625U,	// STC_POST
+    97U,	// STC_PRE
     72U,	// STL
     72U,	// STLB
     229376U,	// STLEX
@@ -3327,7 +3232,6 @@
     0U,	// STRBr_preidx
     128U,	// STRBrs
     98304U,	// STRD
-    2U,	// STRD_PAIR
     1163288U,	// STRD_POST
     131096U,	// STRD_PRE
     229376U,	// STREX
@@ -3388,6 +3292,7 @@
     0U,	// UADD8
     0U,	// UASX
     34603008U,	// UBFX
+    0U,	// UDF
     0U,	// UDIV
     0U,	// UHADD16
     0U,	// UHADD8
@@ -3520,14 +3425,14 @@
     1048U,	// VCEQv4i32
     1048U,	// VCEQv8i16
     1048U,	// VCEQv8i8
-    3U,	// VCEQzv16i8
+    2U,	// VCEQzv16i8
     184U,	// VCEQzv2f32
-    3U,	// VCEQzv2i32
+    2U,	// VCEQzv2i32
     184U,	// VCEQzv4f32
-    3U,	// VCEQzv4i16
-    3U,	// VCEQzv4i32
-    3U,	// VCEQzv8i16
-    3U,	// VCEQzv8i8
+    2U,	// VCEQzv4i16
+    2U,	// VCEQzv4i32
+    2U,	// VCEQzv8i16
+    2U,	// VCEQzv8i8
     247328U,	// VCGEfd
     247328U,	// VCGEfq
     1048U,	// VCGEsv16i8
@@ -3542,14 +3447,14 @@
     1048U,	// VCGEuv4i32
     1048U,	// VCGEuv8i16
     1048U,	// VCGEuv8i8
-    3U,	// VCGEzv16i8
+    2U,	// VCGEzv16i8
     184U,	// VCGEzv2f32
-    3U,	// VCGEzv2i32
+    2U,	// VCGEzv2i32
     184U,	// VCGEzv4f32
-    3U,	// VCGEzv4i16
-    3U,	// VCGEzv4i32
-    3U,	// VCGEzv8i16
-    3U,	// VCGEzv8i8
+    2U,	// VCGEzv4i16
+    2U,	// VCGEzv4i32
+    2U,	// VCGEzv8i16
+    2U,	// VCGEzv8i8
     247328U,	// VCGTfd
     247328U,	// VCGTfq
     1048U,	// VCGTsv16i8
@@ -3564,36 +3469,36 @@
     1048U,	// VCGTuv4i32
     1048U,	// VCGTuv8i16
     1048U,	// VCGTuv8i8
-    3U,	// VCGTzv16i8
+    2U,	// VCGTzv16i8
     184U,	// VCGTzv2f32
-    3U,	// VCGTzv2i32
+    2U,	// VCGTzv2i32
     184U,	// VCGTzv4f32
-    3U,	// VCGTzv4i16
-    3U,	// VCGTzv4i32
-    3U,	// VCGTzv8i16
-    3U,	// VCGTzv8i8
-    3U,	// VCLEzv16i8
+    2U,	// VCGTzv4i16
+    2U,	// VCGTzv4i32
+    2U,	// VCGTzv8i16
+    2U,	// VCGTzv8i8
+    2U,	// VCLEzv16i8
     184U,	// VCLEzv2f32
-    3U,	// VCLEzv2i32
+    2U,	// VCLEzv2i32
     184U,	// VCLEzv4f32
-    3U,	// VCLEzv4i16
-    3U,	// VCLEzv4i32
-    3U,	// VCLEzv8i16
-    3U,	// VCLEzv8i8
+    2U,	// VCLEzv4i16
+    2U,	// VCLEzv4i32
+    2U,	// VCLEzv8i16
+    2U,	// VCLEzv8i8
     0U,	// VCLSv16i8
     0U,	// VCLSv2i32
     0U,	// VCLSv4i16
     0U,	// VCLSv4i32
     0U,	// VCLSv8i16
     0U,	// VCLSv8i8
-    3U,	// VCLTzv16i8
+    2U,	// VCLTzv16i8
     184U,	// VCLTzv2f32
-    3U,	// VCLTzv2i32
+    2U,	// VCLTzv2i32
     184U,	// VCLTzv4f32
-    3U,	// VCLTzv4i16
-    3U,	// VCLTzv4i32
-    3U,	// VCLTzv8i16
-    3U,	// VCLTzv8i8
+    2U,	// VCLTzv4i16
+    2U,	// VCLTzv4i32
+    2U,	// VCLTzv8i16
+    2U,	// VCLTzv8i8
     0U,	// VCLZv16i8
     0U,	// VCLZv2i32
     0U,	// VCLZv4i16
@@ -3657,19 +3562,19 @@
     0U,	// VCVTf2sq
     0U,	// VCVTf2ud
     0U,	// VCVTf2uq
-    59U,	// VCVTf2xsd
-    59U,	// VCVTf2xsq
-    59U,	// VCVTf2xud
-    59U,	// VCVTf2xuq
+    58U,	// VCVTf2xsd
+    58U,	// VCVTf2xsq
+    58U,	// VCVTf2xud
+    58U,	// VCVTf2xuq
     0U,	// VCVTh2f
     0U,	// VCVTs2fd
     0U,	// VCVTs2fq
     0U,	// VCVTu2fd
     0U,	// VCVTu2fq
-    59U,	// VCVTxs2fd
-    59U,	// VCVTxs2fq
-    59U,	// VCVTxu2fd
-    59U,	// VCVTxu2fq
+    58U,	// VCVTxs2fd
+    58U,	// VCVTxs2fq
+    58U,	// VCVTxu2fd
+    58U,	// VCVTxu2fq
     247328U,	// VDIVD
     247328U,	// VDIVS
     1024U,	// VDUP16d
@@ -3693,18 +3598,18 @@
     17825792U,	// VEXTq32
     17825792U,	// VEXTq64
     17825792U,	// VEXTq8
-    249379U,	// VFMAD
-    249379U,	// VFMAS
-    249379U,	// VFMAfd
-    249379U,	// VFMAfq
-    249379U,	// VFMSD
-    249379U,	// VFMSS
-    249379U,	// VFMSfd
-    249379U,	// VFMSfq
-    249379U,	// VFNMAD
-    249379U,	// VFNMAS
-    249379U,	// VFNMSD
-    249379U,	// VFNMSS
+    249378U,	// VFMAD
+    249378U,	// VFMAS
+    249378U,	// VFMAfd
+    249378U,	// VFMAfq
+    249378U,	// VFMSD
+    249378U,	// VFMSS
+    249378U,	// VFMSfd
+    249378U,	// VFMSfq
+    249378U,	// VFNMAD
+    249378U,	// VFNMAS
+    249378U,	// VFNMSD
+    249378U,	// VFNMSS
     3072U,	// VGETLNi32
     3U,	// VGETLNs16
     3U,	// VGETLNs8
@@ -3735,29 +3640,29 @@
     1048U,	// VHSUBuv8i16
     1048U,	// VHSUBuv8i8
     59U,	// VLD1DUPd16
-    196U,	// VLD1DUPd16wb_fixed
-    4132U,	// VLD1DUPd16wb_register
+    195U,	// VLD1DUPd16wb_fixed
+    4131U,	// VLD1DUPd16wb_register
     59U,	// VLD1DUPd32
-    196U,	// VLD1DUPd32wb_fixed
-    4132U,	// VLD1DUPd32wb_register
+    195U,	// VLD1DUPd32wb_fixed
+    4131U,	// VLD1DUPd32wb_register
     59U,	// VLD1DUPd8
-    196U,	// VLD1DUPd8wb_fixed
-    4132U,	// VLD1DUPd8wb_register
+    195U,	// VLD1DUPd8wb_fixed
+    4131U,	// VLD1DUPd8wb_register
     59U,	// VLD1DUPq16
-    196U,	// VLD1DUPq16wb_fixed
-    4132U,	// VLD1DUPq16wb_register
+    195U,	// VLD1DUPq16wb_fixed
+    4131U,	// VLD1DUPq16wb_register
     59U,	// VLD1DUPq32
-    196U,	// VLD1DUPq32wb_fixed
-    4132U,	// VLD1DUPq32wb_register
+    195U,	// VLD1DUPq32wb_fixed
+    4131U,	// VLD1DUPq32wb_register
     59U,	// VLD1DUPq8
-    196U,	// VLD1DUPq8wb_fixed
-    4132U,	// VLD1DUPq8wb_register
-    283340U,	// VLD1LNd16
-    299732U,	// VLD1LNd16_UPD
-    283340U,	// VLD1LNd32
-    299732U,	// VLD1LNd32_UPD
-    283340U,	// VLD1LNd8
-    299732U,	// VLD1LNd8_UPD
+    195U,	// VLD1DUPq8wb_fixed
+    4131U,	// VLD1DUPq8wb_register
+    283339U,	// VLD1LNd16
+    299731U,	// VLD1LNd16_UPD
+    283339U,	// VLD1LNd32
+    299731U,	// VLD1LNd32_UPD
+    283339U,	// VLD1LNd8
+    299731U,	// VLD1LNd8_UPD
     1240U,	// VLD1LNdAsm_16
     1240U,	// VLD1LNdAsm_32
     1240U,	// VLD1LNdAsm_8
@@ -3775,88 +3680,88 @@
     0U,	// VLD1LNq8Pseudo_UPD
     59U,	// VLD1d16
     59U,	// VLD1d16Q
-    196U,	// VLD1d16Qwb_fixed
-    4132U,	// VLD1d16Qwb_register
+    195U,	// VLD1d16Qwb_fixed
+    4131U,	// VLD1d16Qwb_register
     59U,	// VLD1d16T
-    196U,	// VLD1d16Twb_fixed
-    4132U,	// VLD1d16Twb_register
-    196U,	// VLD1d16wb_fixed
-    4132U,	// VLD1d16wb_register
+    195U,	// VLD1d16Twb_fixed
+    4131U,	// VLD1d16Twb_register
+    195U,	// VLD1d16wb_fixed
+    4131U,	// VLD1d16wb_register
     59U,	// VLD1d32
     59U,	// VLD1d32Q
-    196U,	// VLD1d32Qwb_fixed
-    4132U,	// VLD1d32Qwb_register
+    195U,	// VLD1d32Qwb_fixed
+    4131U,	// VLD1d32Qwb_register
     59U,	// VLD1d32T
-    196U,	// VLD1d32Twb_fixed
-    4132U,	// VLD1d32Twb_register
-    196U,	// VLD1d32wb_fixed
-    4132U,	// VLD1d32wb_register
+    195U,	// VLD1d32Twb_fixed
+    4131U,	// VLD1d32Twb_register
+    195U,	// VLD1d32wb_fixed
+    4131U,	// VLD1d32wb_register
     59U,	// VLD1d64
     59U,	// VLD1d64Q
     0U,	// VLD1d64QPseudo
     0U,	// VLD1d64QPseudoWB_fixed
     0U,	// VLD1d64QPseudoWB_register
-    196U,	// VLD1d64Qwb_fixed
-    4132U,	// VLD1d64Qwb_register
+    195U,	// VLD1d64Qwb_fixed
+    4131U,	// VLD1d64Qwb_register
     59U,	// VLD1d64T
     0U,	// VLD1d64TPseudo
     0U,	// VLD1d64TPseudoWB_fixed
     0U,	// VLD1d64TPseudoWB_register
-    196U,	// VLD1d64Twb_fixed
-    4132U,	// VLD1d64Twb_register
-    196U,	// VLD1d64wb_fixed
-    4132U,	// VLD1d64wb_register
+    195U,	// VLD1d64Twb_fixed
+    4131U,	// VLD1d64Twb_register
+    195U,	// VLD1d64wb_fixed
+    4131U,	// VLD1d64wb_register
     59U,	// VLD1d8
     59U,	// VLD1d8Q
-    196U,	// VLD1d8Qwb_fixed
-    4132U,	// VLD1d8Qwb_register
+    195U,	// VLD1d8Qwb_fixed
+    4131U,	// VLD1d8Qwb_register
     59U,	// VLD1d8T
-    196U,	// VLD1d8Twb_fixed
-    4132U,	// VLD1d8Twb_register
-    196U,	// VLD1d8wb_fixed
-    4132U,	// VLD1d8wb_register
+    195U,	// VLD1d8Twb_fixed
+    4131U,	// VLD1d8Twb_register
+    195U,	// VLD1d8wb_fixed
+    4131U,	// VLD1d8wb_register
     59U,	// VLD1q16
-    196U,	// VLD1q16wb_fixed
-    4132U,	// VLD1q16wb_register
+    195U,	// VLD1q16wb_fixed
+    4131U,	// VLD1q16wb_register
     59U,	// VLD1q32
-    196U,	// VLD1q32wb_fixed
-    4132U,	// VLD1q32wb_register
+    195U,	// VLD1q32wb_fixed
+    4131U,	// VLD1q32wb_register
     59U,	// VLD1q64
-    196U,	// VLD1q64wb_fixed
-    4132U,	// VLD1q64wb_register
+    195U,	// VLD1q64wb_fixed
+    4131U,	// VLD1q64wb_register
     59U,	// VLD1q8
-    196U,	// VLD1q8wb_fixed
-    4132U,	// VLD1q8wb_register
+    195U,	// VLD1q8wb_fixed
+    4131U,	// VLD1q8wb_register
     59U,	// VLD2DUPd16
-    196U,	// VLD2DUPd16wb_fixed
-    4132U,	// VLD2DUPd16wb_register
+    195U,	// VLD2DUPd16wb_fixed
+    4131U,	// VLD2DUPd16wb_register
     59U,	// VLD2DUPd16x2
-    196U,	// VLD2DUPd16x2wb_fixed
-    4132U,	// VLD2DUPd16x2wb_register
+    195U,	// VLD2DUPd16x2wb_fixed
+    4131U,	// VLD2DUPd16x2wb_register
     59U,	// VLD2DUPd32
-    196U,	// VLD2DUPd32wb_fixed
-    4132U,	// VLD2DUPd32wb_register
+    195U,	// VLD2DUPd32wb_fixed
+    4131U,	// VLD2DUPd32wb_register
     59U,	// VLD2DUPd32x2
-    196U,	// VLD2DUPd32x2wb_fixed
-    4132U,	// VLD2DUPd32x2wb_register
+    195U,	// VLD2DUPd32x2wb_fixed
+    4131U,	// VLD2DUPd32x2wb_register
     59U,	// VLD2DUPd8
-    196U,	// VLD2DUPd8wb_fixed
-    4132U,	// VLD2DUPd8wb_register
+    195U,	// VLD2DUPd8wb_fixed
+    4131U,	// VLD2DUPd8wb_register
     59U,	// VLD2DUPd8x2
-    196U,	// VLD2DUPd8x2wb_fixed
-    4132U,	// VLD2DUPd8x2wb_register
-    333524U,	// VLD2LNd16
+    195U,	// VLD2DUPd8x2wb_fixed
+    4131U,	// VLD2DUPd8x2wb_register
+    333523U,	// VLD2LNd16
     0U,	// VLD2LNd16Pseudo
     0U,	// VLD2LNd16Pseudo_UPD
-    350436U,	// VLD2LNd16_UPD
-    333524U,	// VLD2LNd32
+    350435U,	// VLD2LNd16_UPD
+    333523U,	// VLD2LNd32
     0U,	// VLD2LNd32Pseudo
     0U,	// VLD2LNd32Pseudo_UPD
-    350436U,	// VLD2LNd32_UPD
-    333524U,	// VLD2LNd8
+    350435U,	// VLD2LNd32_UPD
+    333523U,	// VLD2LNd8
     0U,	// VLD2LNd8Pseudo
     0U,	// VLD2LNd8Pseudo_UPD
-    350436U,	// VLD2LNd8_UPD
+    350435U,	// VLD2LNd8_UPD
     1240U,	// VLD2LNdAsm_16
     1240U,	// VLD2LNdAsm_32
     1240U,	// VLD2LNdAsm_8
@@ -3866,14 +3771,14 @@
     311512U,	// VLD2LNdWB_register_Asm_16
     311512U,	// VLD2LNdWB_register_Asm_32
     311512U,	// VLD2LNdWB_register_Asm_8
-    333524U,	// VLD2LNq16
+    333523U,	// VLD2LNq16
     0U,	// VLD2LNq16Pseudo
     0U,	// VLD2LNq16Pseudo_UPD
-    350436U,	// VLD2LNq16_UPD
-    333524U,	// VLD2LNq32
+    350435U,	// VLD2LNq16_UPD
+    333523U,	// VLD2LNq32
     0U,	// VLD2LNq32Pseudo
     0U,	// VLD2LNq32Pseudo_UPD
-    350436U,	// VLD2LNq32_UPD
+    350435U,	// VLD2LNq32_UPD
     1240U,	// VLD2LNqAsm_16
     1240U,	// VLD2LNqAsm_32
     5336U,	// VLD2LNqWB_fixed_Asm_16
@@ -3881,41 +3786,41 @@
     311512U,	// VLD2LNqWB_register_Asm_16
     311512U,	// VLD2LNqWB_register_Asm_32
     59U,	// VLD2b16
-    196U,	// VLD2b16wb_fixed
-    4132U,	// VLD2b16wb_register
+    195U,	// VLD2b16wb_fixed
+    4131U,	// VLD2b16wb_register
     59U,	// VLD2b32
-    196U,	// VLD2b32wb_fixed
-    4132U,	// VLD2b32wb_register
+    195U,	// VLD2b32wb_fixed
+    4131U,	// VLD2b32wb_register
     59U,	// VLD2b8
-    196U,	// VLD2b8wb_fixed
-    4132U,	// VLD2b8wb_register
+    195U,	// VLD2b8wb_fixed
+    4131U,	// VLD2b8wb_register
     59U,	// VLD2d16
-    196U,	// VLD2d16wb_fixed
-    4132U,	// VLD2d16wb_register
+    195U,	// VLD2d16wb_fixed
+    4131U,	// VLD2d16wb_register
     59U,	// VLD2d32
-    196U,	// VLD2d32wb_fixed
-    4132U,	// VLD2d32wb_register
+    195U,	// VLD2d32wb_fixed
+    4131U,	// VLD2d32wb_register
     59U,	// VLD2d8
-    196U,	// VLD2d8wb_fixed
-    4132U,	// VLD2d8wb_register
+    195U,	// VLD2d8wb_fixed
+    4131U,	// VLD2d8wb_register
     59U,	// VLD2q16
     0U,	// VLD2q16Pseudo
     0U,	// VLD2q16PseudoWB_fixed
     0U,	// VLD2q16PseudoWB_register
-    196U,	// VLD2q16wb_fixed
-    4132U,	// VLD2q16wb_register
+    195U,	// VLD2q16wb_fixed
+    4131U,	// VLD2q16wb_register
     59U,	// VLD2q32
     0U,	// VLD2q32Pseudo
     0U,	// VLD2q32PseudoWB_fixed
     0U,	// VLD2q32PseudoWB_register
-    196U,	// VLD2q32wb_fixed
-    4132U,	// VLD2q32wb_register
+    195U,	// VLD2q32wb_fixed
+    4131U,	// VLD2q32wb_register
     59U,	// VLD2q8
     0U,	// VLD2q8Pseudo
     0U,	// VLD2q8PseudoWB_fixed
     0U,	// VLD2q8PseudoWB_register
-    196U,	// VLD2q8wb_fixed
-    4132U,	// VLD2q8wb_register
+    195U,	// VLD2q8wb_fixed
+    4131U,	// VLD2q8wb_register
     6892U,	// VLD3DUPd16
     0U,	// VLD3DUPd16Pseudo
     0U,	// VLD3DUPd16Pseudo_UPD
@@ -3952,18 +3857,18 @@
     1184U,	// VLD3DUPqWB_register_Asm_16
     1184U,	// VLD3DUPqWB_register_Asm_32
     1184U,	// VLD3DUPqWB_register_Asm_8
-    383204U,	// VLD3LNd16
+    383203U,	// VLD3LNd16
     0U,	// VLD3LNd16Pseudo
     0U,	// VLD3LNd16Pseudo_UPD
-    398068U,	// VLD3LNd16_UPD
-    383204U,	// VLD3LNd32
+    398067U,	// VLD3LNd16_UPD
+    383203U,	// VLD3LNd32
     0U,	// VLD3LNd32Pseudo
     0U,	// VLD3LNd32Pseudo_UPD
-    398068U,	// VLD3LNd32_UPD
-    383204U,	// VLD3LNd8
+    398067U,	// VLD3LNd32_UPD
+    383203U,	// VLD3LNd8
     0U,	// VLD3LNd8Pseudo
     0U,	// VLD3LNd8Pseudo_UPD
-    398068U,	// VLD3LNd8_UPD
+    398067U,	// VLD3LNd8_UPD
     1240U,	// VLD3LNdAsm_16
     1240U,	// VLD3LNdAsm_32
     1240U,	// VLD3LNdAsm_8
@@ -3973,14 +3878,14 @@
     311512U,	// VLD3LNdWB_register_Asm_16
     311512U,	// VLD3LNdWB_register_Asm_32
     311512U,	// VLD3LNdWB_register_Asm_8
-    383204U,	// VLD3LNq16
+    383203U,	// VLD3LNq16
     0U,	// VLD3LNq16Pseudo
     0U,	// VLD3LNq16Pseudo_UPD
-    398068U,	// VLD3LNq16_UPD
-    383204U,	// VLD3LNq32
+    398067U,	// VLD3LNq16_UPD
+    383203U,	// VLD3LNq32
     0U,	// VLD3LNq32Pseudo
     0U,	// VLD3LNq32Pseudo_UPD
-    398068U,	// VLD3LNq32_UPD
+    398067U,	// VLD3LNq32_UPD
     1240U,	// VLD3LNqAsm_16
     1240U,	// VLD3LNqAsm_32
     5336U,	// VLD3LNqWB_fixed_Asm_16
@@ -4068,18 +3973,18 @@
     1184U,	// VLD4DUPqWB_register_Asm_16
     1184U,	// VLD4DUPqWB_register_Asm_32
     1184U,	// VLD4DUPqWB_register_Asm_8
-    93591284U,	// VLD4LNd16
+    93591283U,	// VLD4LNd16
     0U,	// VLD4LNd16Pseudo
     0U,	// VLD4LNd16Pseudo_UPD
-    260U,	// VLD4LNd16_UPD
-    93591284U,	// VLD4LNd32
+    259U,	// VLD4LNd16_UPD
+    93591283U,	// VLD4LNd32
     0U,	// VLD4LNd32Pseudo
     0U,	// VLD4LNd32Pseudo_UPD
-    260U,	// VLD4LNd32_UPD
-    93591284U,	// VLD4LNd8
+    259U,	// VLD4LNd32_UPD
+    93591283U,	// VLD4LNd8
     0U,	// VLD4LNd8Pseudo
     0U,	// VLD4LNd8Pseudo_UPD
-    260U,	// VLD4LNd8_UPD
+    259U,	// VLD4LNd8_UPD
     1240U,	// VLD4LNdAsm_16
     1240U,	// VLD4LNdAsm_32
     1240U,	// VLD4LNdAsm_8
@@ -4089,14 +3994,14 @@
     311512U,	// VLD4LNdWB_register_Asm_16
     311512U,	// VLD4LNdWB_register_Asm_32
     311512U,	// VLD4LNdWB_register_Asm_8
-    93591284U,	// VLD4LNq16
+    93591283U,	// VLD4LNq16
     0U,	// VLD4LNq16Pseudo
     0U,	// VLD4LNq16Pseudo_UPD
-    260U,	// VLD4LNq16_UPD
-    93591284U,	// VLD4LNq32
+    259U,	// VLD4LNq16_UPD
+    93591283U,	// VLD4LNq32
     0U,	// VLD4LNq32Pseudo
     0U,	// VLD4LNq32Pseudo_UPD
-    260U,	// VLD4LNq32_UPD
+    259U,	// VLD4LNq32_UPD
     1240U,	// VLD4LNqAsm_16
     1240U,	// VLD4LNqAsm_32
     5336U,	// VLD4LNqWB_fixed_Asm_16
@@ -4193,7 +4098,7 @@
     1048U,	// VMINuv4i32
     1048U,	// VMINuv8i16
     1048U,	// VMINuv8i8
-    249379U,	// VMLAD
+    249378U,	// VMLAD
     8352U,	// VMLALslsv2i32
     8352U,	// VMLALslsv4i16
     8352U,	// VMLALsluv2i32
@@ -4204,11 +4109,11 @@
     1184U,	// VMLALuv2i64
     1184U,	// VMLALuv4i32
     1184U,	// VMLALuv8i16
-    249379U,	// VMLAS
-    249379U,	// VMLAfd
-    249379U,	// VMLAfq
-    413219U,	// VMLAslfd
-    413219U,	// VMLAslfq
+    249378U,	// VMLAS
+    249378U,	// VMLAfd
+    249378U,	// VMLAfq
+    413218U,	// VMLAslfd
+    413218U,	// VMLAslfq
     8352U,	// VMLAslv2i32
     8352U,	// VMLAslv4i16
     8352U,	// VMLAslv4i32
@@ -4219,7 +4124,7 @@
     1184U,	// VMLAv4i32
     1184U,	// VMLAv8i16
     1184U,	// VMLAv8i8
-    249379U,	// VMLSD
+    249378U,	// VMLSD
     8352U,	// VMLSLslsv2i32
     8352U,	// VMLSLslsv4i16
     8352U,	// VMLSLsluv2i32
@@ -4230,11 +4135,11 @@
     1184U,	// VMLSLuv2i64
     1184U,	// VMLSLuv4i32
     1184U,	// VMLSLuv8i16
-    249379U,	// VMLSS
-    249379U,	// VMLSfd
-    249379U,	// VMLSfq
-    413219U,	// VMLSslfd
-    413219U,	// VMLSslfq
+    249378U,	// VMLSS
+    249378U,	// VMLSfd
+    249378U,	// VMLSfq
+    413218U,	// VMLSslfd
+    413218U,	// VMLSslfq
     8352U,	// VMLSslv2i32
     8352U,	// VMLSslv4i16
     8352U,	// VMLSslv4i32
@@ -4246,6 +4151,7 @@
     1184U,	// VMLSv8i16
     1184U,	// VMLSv8i8
     56U,	// VMOVD
+    0U,	// VMOVD0
     0U,	// VMOVDRR
     0U,	// VMOVDcc
     0U,	// VMOVLsv2i64
@@ -4257,6 +4163,7 @@
     0U,	// VMOVNv2i32
     0U,	// VMOVNv4i16
     0U,	// VMOVNv8i8
+    0U,	// VMOVQ0
     0U,	// VMOVRRD
     17825792U,	// VMOVRRS
     1024U,	// VMOVRS
@@ -4274,12 +4181,12 @@
     0U,	// VMOVv4i32
     0U,	// VMOVv8i16
     0U,	// VMOVv8i8
-    5U,	// VMRS
-    5U,	// VMRS_FPEXC
+    4U,	// VMRS
+    4U,	// VMRS_FPEXC
     5U,	// VMRS_FPINST
     5U,	// VMRS_FPINST2
-    6U,	// VMRS_FPSID
-    6U,	// VMRS_MVFR0
+    5U,	// VMRS_FPSID
+    5U,	// VMRS_MVFR0
     6U,	// VMRS_MVFR1
     6U,	// VMRS_MVFR2
     0U,	// VMSR
@@ -4333,10 +4240,10 @@
     0U,	// VNEGs32q
     0U,	// VNEGs8d
     0U,	// VNEGs8q
-    249379U,	// VNMLAD
-    249379U,	// VNMLAS
-    249379U,	// VNMLSD
-    249379U,	// VNMLSS
+    249378U,	// VNMLAD
+    249378U,	// VNMLAS
+    249378U,	// VNMLSD
+    249378U,	// VNMLSS
     247328U,	// VNMULD
     247328U,	// VNMULS
     0U,	// VORNd
@@ -4671,9 +4578,9 @@
     1048U,	// VSELGTS
     1048U,	// VSELVSD
     1048U,	// VSELVSS
-    7U,	// VSETLNi16
-    7U,	// VSETLNi32
-    7U,	// VSETLNi8
+    6U,	// VSETLNi16
+    6U,	// VSETLNi32
+    6U,	// VSETLNi8
     1048U,	// VSHLLi16
     1048U,	// VSHLLi32
     1048U,	// VSHLLi8
@@ -4738,8 +4645,8 @@
     262168U,	// VSLIv4i32
     262168U,	// VSLIv8i16
     262168U,	// VSLIv8i8
-    7U,	// VSLTOD
-    7U,	// VSLTOS
+    6U,	// VSLTOD
+    6U,	// VSLTOS
     56U,	// VSQRTD
     56U,	// VSQRTS
     1184U,	// VSRAsv16i8
@@ -4766,12 +4673,12 @@
     262168U,	// VSRIv4i32
     262168U,	// VSRIv8i16
     262168U,	// VSRIv8i8
-    276U,	// VST1LNd16
-    10769180U,	// VST1LNd16_UPD
-    276U,	// VST1LNd32
-    10769180U,	// VST1LNd32_UPD
-    276U,	// VST1LNd8
-    10769180U,	// VST1LNd8_UPD
+    275U,	// VST1LNd16
+    10769179U,	// VST1LNd16_UPD
+    275U,	// VST1LNd32
+    10769179U,	// VST1LNd32_UPD
+    275U,	// VST1LNd8
+    10769179U,	// VST1LNd8_UPD
     1240U,	// VST1LNdAsm_16
     1240U,	// VST1LNdAsm_32
     1240U,	// VST1LNdAsm_8
@@ -4841,18 +4748,18 @@
     0U,	// VST1q8
     0U,	// VST1q8wb_fixed
     0U,	// VST1q8wb_register
-    110368460U,	// VST2LNd16
+    110368459U,	// VST2LNd16
     0U,	// VST2LNd16Pseudo
     0U,	// VST2LNd16Pseudo_UPD
-    448212U,	// VST2LNd16_UPD
-    110368460U,	// VST2LNd32
+    448211U,	// VST2LNd16_UPD
+    110368459U,	// VST2LNd32
     0U,	// VST2LNd32Pseudo
     0U,	// VST2LNd32Pseudo_UPD
-    448212U,	// VST2LNd32_UPD
-    110368460U,	// VST2LNd8
+    448211U,	// VST2LNd32_UPD
+    110368459U,	// VST2LNd8
     0U,	// VST2LNd8Pseudo
     0U,	// VST2LNd8Pseudo_UPD
-    448212U,	// VST2LNd8_UPD
+    448211U,	// VST2LNd8_UPD
     1240U,	// VST2LNdAsm_16
     1240U,	// VST2LNdAsm_32
     1240U,	// VST2LNdAsm_8
@@ -4862,14 +4769,14 @@
     311512U,	// VST2LNdWB_register_Asm_16
     311512U,	// VST2LNdWB_register_Asm_32
     311512U,	// VST2LNdWB_register_Asm_8
-    110368460U,	// VST2LNq16
+    110368459U,	// VST2LNq16
     0U,	// VST2LNq16Pseudo
     0U,	// VST2LNq16Pseudo_UPD
-    448212U,	// VST2LNq16_UPD
-    110368460U,	// VST2LNq32
+    448211U,	// VST2LNq16_UPD
+    110368459U,	// VST2LNq32
     0U,	// VST2LNq32Pseudo
     0U,	// VST2LNq32Pseudo_UPD
-    448212U,	// VST2LNq32_UPD
+    448211U,	// VST2LNq32_UPD
     1240U,	// VST2LNqAsm_16
     1240U,	// VST2LNqAsm_32
     5336U,	// VST2LNqWB_fixed_Asm_16
@@ -4912,18 +4819,18 @@
     0U,	// VST2q8PseudoWB_register
     0U,	// VST2q8wb_fixed
     0U,	// VST2q8wb_register
-    127145756U,	// VST3LNd16
+    127145755U,	// VST3LNd16
     0U,	// VST3LNd16Pseudo
     0U,	// VST3LNd16Pseudo_UPD
-    292U,	// VST3LNd16_UPD
-    127145756U,	// VST3LNd32
+    291U,	// VST3LNd16_UPD
+    127145755U,	// VST3LNd32
     0U,	// VST3LNd32Pseudo
     0U,	// VST3LNd32Pseudo_UPD
-    292U,	// VST3LNd32_UPD
-    127145756U,	// VST3LNd8
+    291U,	// VST3LNd32_UPD
+    127145755U,	// VST3LNd8
     0U,	// VST3LNd8Pseudo
     0U,	// VST3LNd8Pseudo_UPD
-    292U,	// VST3LNd8_UPD
+    291U,	// VST3LNd8_UPD
     1240U,	// VST3LNdAsm_16
     1240U,	// VST3LNdAsm_32
     1240U,	// VST3LNdAsm_8
@@ -4933,14 +4840,14 @@
     311512U,	// VST3LNdWB_register_Asm_16
     311512U,	// VST3LNdWB_register_Asm_32
     311512U,	// VST3LNdWB_register_Asm_8
-    127145756U,	// VST3LNq16
+    127145755U,	// VST3LNq16
     0U,	// VST3LNq16Pseudo
     0U,	// VST3LNq16Pseudo_UPD
-    292U,	// VST3LNq16_UPD
-    127145756U,	// VST3LNq32
+    291U,	// VST3LNq16_UPD
+    127145755U,	// VST3LNq32
     0U,	// VST3LNq32Pseudo
     0U,	// VST3LNq32Pseudo_UPD
-    292U,	// VST3LNq32_UPD
+    291U,	// VST3LNq32_UPD
     1240U,	// VST3LNqAsm_16
     1240U,	// VST3LNqAsm_32
     5336U,	// VST3LNqWB_fixed_Asm_16
@@ -4992,18 +4899,18 @@
     1184U,	// VST3qWB_register_Asm_16
     1184U,	// VST3qWB_register_Asm_32
     1184U,	// VST3qWB_register_Asm_8
-    160700116U,	// VST4LNd16
+    160700115U,	// VST4LNd16
     0U,	// VST4LNd16Pseudo
     0U,	// VST4LNd16Pseudo_UPD
-    9956U,	// VST4LNd16_UPD
-    160700116U,	// VST4LNd32
+    9955U,	// VST4LNd16_UPD
+    160700115U,	// VST4LNd32
     0U,	// VST4LNd32Pseudo
     0U,	// VST4LNd32Pseudo_UPD
-    9956U,	// VST4LNd32_UPD
-    160700116U,	// VST4LNd8
+    9955U,	// VST4LNd32_UPD
+    160700115U,	// VST4LNd8
     0U,	// VST4LNd8Pseudo
     0U,	// VST4LNd8Pseudo_UPD
-    9956U,	// VST4LNd8_UPD
+    9955U,	// VST4LNd8_UPD
     1240U,	// VST4LNdAsm_16
     1240U,	// VST4LNdAsm_32
     1240U,	// VST4LNdAsm_8
@@ -5013,14 +4920,14 @@
     311512U,	// VST4LNdWB_register_Asm_16
     311512U,	// VST4LNdWB_register_Asm_32
     311512U,	// VST4LNdWB_register_Asm_8
-    160700116U,	// VST4LNq16
+    160700115U,	// VST4LNq16
     0U,	// VST4LNq16Pseudo
     0U,	// VST4LNq16Pseudo_UPD
-    9956U,	// VST4LNq16_UPD
-    160700116U,	// VST4LNq32
+    9955U,	// VST4LNq16_UPD
+    160700115U,	// VST4LNq32
     0U,	// VST4LNq32Pseudo
     0U,	// VST4LNq32Pseudo_UPD
-    9956U,	// VST4LNq32_UPD
+    9955U,	// VST4LNq32_UPD
     1240U,	// VST4LNqAsm_16
     1240U,	// VST4LNqAsm_32
     5336U,	// VST4LNqWB_fixed_Asm_16
@@ -5128,16 +5035,16 @@
     0U,	// VTOSIRS
     0U,	// VTOSIZD
     0U,	// VTOSIZS
-    7U,	// VTOSLD
-    7U,	// VTOSLS
+    6U,	// VTOSLD
+    6U,	// VTOSLS
     0U,	// VTOUHD
     0U,	// VTOUHS
     0U,	// VTOUIRD
     0U,	// VTOUIRS
     0U,	// VTOUIZD
     0U,	// VTOUIZS
-    7U,	// VTOULD
-    7U,	// VTOULS
+    6U,	// VTOULD
+    6U,	// VTOULS
     1024U,	// VTRNd16
     1024U,	// VTRNd32
     1024U,	// VTRNd8
@@ -5154,8 +5061,8 @@
     0U,	// VUHTOS
     0U,	// VUITOD
     0U,	// VUITOS
-    7U,	// VULTOD
-    7U,	// VULTOS
+    6U,	// VULTOD
+    6U,	// VULTOS
     1024U,	// VUZPd16
     1024U,	// VUZPd8
     1024U,	// VUZPq16
@@ -5166,6 +5073,7 @@
     1024U,	// VZIPq16
     1024U,	// VZIPq32
     1024U,	// VZIPq8
+    0U,	// WIN__CHKSTK
     10304U,	// sysLDMDA
     369U,	// sysLDMDA_UPD
     10304U,	// sysLDMDB
@@ -5248,22 +5156,22 @@
     229376U,	// t2LDAEXD
     72U,	// t2LDAEXH
     72U,	// t2LDAH
-    82U,	// t2LDC2L_OFFSET
-    49242U,	// t2LDC2L_OPTION
-    65626U,	// t2LDC2L_POST
-    98U,	// t2LDC2L_PRE
-    82U,	// t2LDC2_OFFSET
-    49242U,	// t2LDC2_OPTION
-    65626U,	// t2LDC2_POST
-    98U,	// t2LDC2_PRE
-    82U,	// t2LDCL_OFFSET
-    49242U,	// t2LDCL_OPTION
-    65626U,	// t2LDCL_POST
-    98U,	// t2LDCL_PRE
-    82U,	// t2LDC_OFFSET
-    49242U,	// t2LDC_OPTION
-    65626U,	// t2LDC_POST
-    98U,	// t2LDC_PRE
+    81U,	// t2LDC2L_OFFSET
+    49241U,	// t2LDC2L_OPTION
+    65625U,	// t2LDC2L_POST
+    97U,	// t2LDC2L_PRE
+    81U,	// t2LDC2_OFFSET
+    49241U,	// t2LDC2_OPTION
+    65625U,	// t2LDC2_POST
+    97U,	// t2LDC2_PRE
+    81U,	// t2LDCL_OFFSET
+    49241U,	// t2LDCL_OPTION
+    65625U,	// t2LDCL_POST
+    97U,	// t2LDCL_PRE
+    81U,	// t2LDC_OFFSET
+    49241U,	// t2LDC_OPTION
+    65625U,	// t2LDC_POST
+    97U,	// t2LDC_PRE
     1088U,	// t2LDMDB
     57U,	// t2LDMDB_UPD
     1088U,	// t2LDMIA
@@ -5471,22 +5379,22 @@
     0U,	// t2SSAX
     0U,	// t2SSUB16
     0U,	// t2SSUB8
-    82U,	// t2STC2L_OFFSET
-    49242U,	// t2STC2L_OPTION
-    65626U,	// t2STC2L_POST
-    98U,	// t2STC2L_PRE
-    82U,	// t2STC2_OFFSET
-    49242U,	// t2STC2_OPTION
-    65626U,	// t2STC2_POST
-    98U,	// t2STC2_PRE
-    82U,	// t2STCL_OFFSET
-    49242U,	// t2STCL_OPTION
-    65626U,	// t2STCL_POST
-    98U,	// t2STCL_PRE
-    82U,	// t2STC_OFFSET
-    49242U,	// t2STC_OPTION
-    65626U,	// t2STC_POST
-    98U,	// t2STC_PRE
+    81U,	// t2STC2L_OFFSET
+    49241U,	// t2STC2L_OPTION
+    65625U,	// t2STC2L_POST
+    97U,	// t2STC2L_PRE
+    81U,	// t2STC2_OFFSET
+    49241U,	// t2STC2_OPTION
+    65625U,	// t2STC2_POST
+    97U,	// t2STC2_PRE
+    81U,	// t2STCL_OFFSET
+    49241U,	// t2STCL_OPTION
+    65625U,	// t2STCL_POST
+    97U,	// t2STCL_PRE
+    81U,	// t2STC_OFFSET
+    49241U,	// t2STC_OPTION
+    65625U,	// t2STC_POST
+    97U,	// t2STC_PRE
     72U,	// t2STL
     72U,	// t2STLB
     229376U,	// t2STLEX
@@ -5554,6 +5462,7 @@
     0U,	// t2UADD8
     0U,	// t2UASX
     34603008U,	// t2UBFX
+    0U,	// t2UDF
     0U,	// t2UDIV
     0U,	// t2UHADD16
     0U,	// t2UHADD8
@@ -5684,6 +5593,7 @@
     0U,	// tTPsoft
     0U,	// tTRAP
     1024U,	// tTST
+    0U,	// tUDF
     1024U,	// tUXTB
     1024U,	// tUXTH
     0U
@@ -5762,376 +5672,378 @@
   /* 766 */ 'i', 's', 'b', 9, 0,
   /* 771 */ 'p', 'l', 'd', 9, 0,
   /* 776 */ 's', 'e', 't', 'e', 'n', 'd', 9, 0,
-  /* 784 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
-  /* 792 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
-  /* 801 */ 'p', 'l', 'i', 9, 0,
-  /* 806 */ 'l', 'd', 'c', '2', 'l', 9, 0,
-  /* 813 */ 's', 't', 'c', '2', 'l', 9, 0,
-  /* 820 */ 'b', 'l', 9, 0,
-  /* 824 */ 'c', 'p', 's', 9, 0,
-  /* 829 */ 'm', 'o', 'v', 's', 9, 0,
-  /* 835 */ 'h', 'l', 't', 9, 0,
-  /* 840 */ 'b', 'k', 'p', 't', 9, 0,
-  /* 846 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
-  /* 854 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
-  /* 863 */ 'p', 'l', 'd', 'w', 9, 0,
-  /* 869 */ 'b', 'x', 9, 0,
-  /* 873 */ 'b', 'l', 'x', 9, 0,
-  /* 878 */ 'c', 'b', 'z', 9, 0,
-  /* 883 */ 'c', 'b', 'n', 'z', 9, 0,
-  /* 889 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', '!', ',', 32, 0,
-  /* 901 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', '!', ',', 32, 0,
-  /* 913 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', '!', ',', 32, 0,
-  /* 925 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', '!', ',', 32, 0,
-  /* 937 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', ',', 32, 0,
-  /* 948 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', ',', 32, 0,
-  /* 959 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', ',', 32, 0,
-  /* 970 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', ',', 32, 0,
-  /* 981 */ 'v', 'l', 'd', '1', 0,
-  /* 986 */ 'd', 'c', 'p', 's', '1', 0,
-  /* 992 */ 'v', 's', 't', '1', 0,
-  /* 997 */ 'v', 'r', 'e', 'v', '3', '2', 0,
-  /* 1004 */ 'l', 'd', 'c', '2', 0,
-  /* 1009 */ 'm', 'r', 'c', '2', 0,
-  /* 1014 */ 'm', 'r', 'r', 'c', '2', 0,
-  /* 1020 */ 's', 't', 'c', '2', 0,
-  /* 1025 */ 'v', 'l', 'd', '2', 0,
-  /* 1030 */ 'c', 'd', 'p', '2', 0,
-  /* 1035 */ 'm', 'c', 'r', '2', 0,
-  /* 1040 */ 'm', 'c', 'r', 'r', '2', 0,
-  /* 1046 */ 'd', 'c', 'p', 's', '2', 0,
-  /* 1052 */ 'v', 's', 't', '2', 0,
-  /* 1057 */ 'v', 'l', 'd', '3', 0,
-  /* 1062 */ 'd', 'c', 'p', 's', '3', 0,
-  /* 1068 */ 'v', 's', 't', '3', 0,
-  /* 1073 */ 'v', 'r', 'e', 'v', '6', '4', 0,
-  /* 1080 */ 'v', 'l', 'd', '4', 0,
-  /* 1085 */ 'v', 's', 't', '4', 0,
-  /* 1090 */ 's', 'x', 't', 'a', 'b', '1', '6', 0,
-  /* 1098 */ 'u', 'x', 't', 'a', 'b', '1', '6', 0,
-  /* 1106 */ 's', 'x', 't', 'b', '1', '6', 0,
-  /* 1113 */ 'u', 'x', 't', 'b', '1', '6', 0,
-  /* 1120 */ 's', 'h', 's', 'u', 'b', '1', '6', 0,
-  /* 1128 */ 'u', 'h', 's', 'u', 'b', '1', '6', 0,
-  /* 1136 */ 'u', 'q', 's', 'u', 'b', '1', '6', 0,
-  /* 1144 */ 's', 's', 'u', 'b', '1', '6', 0,
-  /* 1151 */ 'u', 's', 'u', 'b', '1', '6', 0,
-  /* 1158 */ 's', 'h', 'a', 'd', 'd', '1', '6', 0,
-  /* 1166 */ 'u', 'h', 'a', 'd', 'd', '1', '6', 0,
-  /* 1174 */ 'u', 'q', 'a', 'd', 'd', '1', '6', 0,
-  /* 1182 */ 's', 'a', 'd', 'd', '1', '6', 0,
-  /* 1189 */ 'u', 'a', 'd', 'd', '1', '6', 0,
-  /* 1196 */ 's', 's', 'a', 't', '1', '6', 0,
-  /* 1203 */ 'u', 's', 'a', 't', '1', '6', 0,
-  /* 1210 */ 'v', 'r', 'e', 'v', '1', '6', 0,
-  /* 1217 */ 'u', 's', 'a', 'd', 'a', '8', 0,
-  /* 1224 */ 's', 'h', 's', 'u', 'b', '8', 0,
-  /* 1231 */ 'u', 'h', 's', 'u', 'b', '8', 0,
-  /* 1238 */ 'u', 'q', 's', 'u', 'b', '8', 0,
-  /* 1245 */ 's', 's', 'u', 'b', '8', 0,
-  /* 1251 */ 'u', 's', 'u', 'b', '8', 0,
-  /* 1257 */ 'u', 's', 'a', 'd', '8', 0,
-  /* 1263 */ 's', 'h', 'a', 'd', 'd', '8', 0,
-  /* 1270 */ 'u', 'h', 'a', 'd', 'd', '8', 0,
-  /* 1277 */ 'u', 'q', 'a', 'd', 'd', '8', 0,
-  /* 1284 */ 's', 'a', 'd', 'd', '8', 0,
-  /* 1290 */ 'u', 'a', 'd', 'd', '8', 0,
-  /* 1296 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 1309 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 1316 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 1326 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 1341 */ 'v', 'a', 'b', 'a', 0,
-  /* 1346 */ 'l', 'd', 'a', 0,
-  /* 1350 */ 'l', 'd', 'm', 'd', 'a', 0,
-  /* 1356 */ 's', 't', 'm', 'd', 'a', 0,
-  /* 1362 */ 'r', 'f', 'e', 'i', 'a', 0,
-  /* 1368 */ 'v', 'l', 'd', 'm', 'i', 'a', 0,
-  /* 1375 */ 'v', 's', 't', 'm', 'i', 'a', 0,
-  /* 1382 */ 's', 'r', 's', 'i', 'a', 0,
-  /* 1388 */ 's', 'm', 'm', 'l', 'a', 0,
-  /* 1394 */ 'v', 'n', 'm', 'l', 'a', 0,
-  /* 1400 */ 'v', 'm', 'l', 'a', 0,
-  /* 1405 */ 'v', 'f', 'm', 'a', 0,
-  /* 1410 */ 'v', 'f', 'n', 'm', 'a', 0,
-  /* 1416 */ 'v', 'r', 's', 'r', 'a', 0,
-  /* 1422 */ 'v', 's', 'r', 'a', 0,
-  /* 1427 */ 'l', 'd', 'a', 'b', 0,
-  /* 1432 */ 's', 'x', 't', 'a', 'b', 0,
-  /* 1438 */ 'u', 'x', 't', 'a', 'b', 0,
-  /* 1444 */ 's', 'm', 'l', 'a', 'b', 'b', 0,
-  /* 1451 */ 's', 'm', 'l', 'a', 'l', 'b', 'b', 0,
-  /* 1459 */ 's', 'm', 'u', 'l', 'b', 'b', 0,
-  /* 1466 */ 't', 'b', 'b', 0,
-  /* 1470 */ 'r', 'f', 'e', 'd', 'b', 0,
-  /* 1476 */ 'v', 'l', 'd', 'm', 'd', 'b', 0,
-  /* 1483 */ 'v', 's', 't', 'm', 'd', 'b', 0,
-  /* 1490 */ 's', 'r', 's', 'd', 'b', 0,
-  /* 1496 */ 'l', 'd', 'm', 'i', 'b', 0,
-  /* 1502 */ 's', 't', 'm', 'i', 'b', 0,
-  /* 1508 */ 's', 't', 'l', 'b', 0,
-  /* 1513 */ 'd', 'm', 'b', 0,
-  /* 1517 */ 's', 'w', 'p', 'b', 0,
-  /* 1522 */ 'l', 'd', 'r', 'b', 0,
-  /* 1527 */ 's', 't', 'r', 'b', 0,
-  /* 1532 */ 'd', 's', 'b', 0,
-  /* 1536 */ 'i', 's', 'b', 0,
-  /* 1540 */ 'l', 'd', 'r', 's', 'b', 0,
-  /* 1546 */ 's', 'm', 'l', 'a', 't', 'b', 0,
-  /* 1553 */ 'p', 'k', 'h', 't', 'b', 0,
-  /* 1559 */ 's', 'm', 'l', 'a', 'l', 't', 'b', 0,
-  /* 1567 */ 's', 'm', 'u', 'l', 't', 'b', 0,
-  /* 1574 */ 'v', 'c', 'v', 't', 'b', 0,
-  /* 1580 */ 's', 'x', 't', 'b', 0,
-  /* 1585 */ 'u', 'x', 't', 'b', 0,
-  /* 1590 */ 'q', 'd', 's', 'u', 'b', 0,
-  /* 1596 */ 'v', 'h', 's', 'u', 'b', 0,
-  /* 1602 */ 'v', 'q', 's', 'u', 'b', 0,
-  /* 1608 */ 'v', 's', 'u', 'b', 0,
-  /* 1613 */ 's', 'm', 'l', 'a', 'w', 'b', 0,
-  /* 1620 */ 's', 'm', 'u', 'l', 'w', 'b', 0,
-  /* 1627 */ 'l', 'd', 'a', 'e', 'x', 'b', 0,
-  /* 1634 */ 's', 't', 'l', 'e', 'x', 'b', 0,
-  /* 1641 */ 'l', 'd', 'r', 'e', 'x', 'b', 0,
-  /* 1648 */ 's', 't', 'r', 'e', 'x', 'b', 0,
-  /* 1655 */ 's', 'b', 'c', 0,
-  /* 1659 */ 'a', 'd', 'c', 0,
-  /* 1663 */ 'l', 'd', 'c', 0,
-  /* 1667 */ 'b', 'f', 'c', 0,
-  /* 1671 */ 'v', 'b', 'i', 'c', 0,
-  /* 1676 */ 's', 'm', 'c', 0,
-  /* 1680 */ 'm', 'r', 'c', 0,
-  /* 1684 */ 'm', 'r', 'r', 'c', 0,
-  /* 1689 */ 'r', 's', 'c', 0,
-  /* 1693 */ 's', 't', 'c', 0,
-  /* 1697 */ 's', 'v', 'c', 0,
-  /* 1701 */ 's', 'm', 'l', 'a', 'd', 0,
-  /* 1707 */ 's', 'm', 'u', 'a', 'd', 0,
-  /* 1713 */ 'v', 'a', 'b', 'd', 0,
-  /* 1718 */ 'q', 'd', 'a', 'd', 'd', 0,
-  /* 1724 */ 'v', 'r', 'h', 'a', 'd', 'd', 0,
-  /* 1731 */ 'v', 'h', 'a', 'd', 'd', 0,
-  /* 1737 */ 'v', 'p', 'a', 'd', 'd', 0,
-  /* 1743 */ 'v', 'q', 'a', 'd', 'd', 0,
-  /* 1749 */ 'v', 'a', 'd', 'd', 0,
-  /* 1754 */ 's', 'm', 'l', 'a', 'l', 'd', 0,
-  /* 1761 */ 'p', 'l', 'd', 0,
-  /* 1765 */ 's', 'm', 'l', 's', 'l', 'd', 0,
-  /* 1772 */ 'v', 'a', 'n', 'd', 0,
-  /* 1777 */ 'l', 'd', 'r', 'd', 0,
-  /* 1782 */ 's', 't', 'r', 'd', 0,
-  /* 1787 */ 's', 'm', 'l', 's', 'd', 0,
-  /* 1793 */ 's', 'm', 'u', 's', 'd', 0,
-  /* 1799 */ 'l', 'd', 'a', 'e', 'x', 'd', 0,
-  /* 1806 */ 's', 't', 'l', 'e', 'x', 'd', 0,
-  /* 1813 */ 'l', 'd', 'r', 'e', 'x', 'd', 0,
-  /* 1820 */ 's', 't', 'r', 'e', 'x', 'd', 0,
-  /* 1827 */ 'v', 'a', 'c', 'g', 'e', 0,
-  /* 1833 */ 'v', 'c', 'g', 'e', 0,
-  /* 1838 */ 'v', 'c', 'l', 'e', 0,
-  /* 1843 */ 'v', 'r', 'e', 'c', 'p', 'e', 0,
-  /* 1850 */ 'v', 'c', 'm', 'p', 'e', 0,
-  /* 1856 */ 'v', 'r', 's', 'q', 'r', 't', 'e', 0,
-  /* 1864 */ 'v', 'b', 'i', 'f', 0,
-  /* 1869 */ 'd', 'b', 'g', 0,
-  /* 1873 */ 'v', 'q', 'n', 'e', 'g', 0,
-  /* 1879 */ 'v', 'n', 'e', 'g', 0,
-  /* 1884 */ 'l', 'd', 'a', 'h', 0,
-  /* 1889 */ 's', 'x', 't', 'a', 'h', 0,
-  /* 1895 */ 'u', 'x', 't', 'a', 'h', 0,
-  /* 1901 */ 't', 'b', 'h', 0,
-  /* 1905 */ 's', 't', 'l', 'h', 0,
-  /* 1910 */ 'v', 'q', 'd', 'm', 'u', 'l', 'h', 0,
-  /* 1918 */ 'v', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 0,
-  /* 1927 */ 'l', 'd', 'r', 'h', 0,
-  /* 1932 */ 's', 't', 'r', 'h', 0,
-  /* 1937 */ 'l', 'd', 'r', 's', 'h', 0,
-  /* 1943 */ 'p', 'u', 's', 'h', 0,
-  /* 1948 */ 'r', 'e', 'v', 's', 'h', 0,
-  /* 1954 */ 's', 'x', 't', 'h', 0,
-  /* 1959 */ 'u', 'x', 't', 'h', 0,
-  /* 1964 */ 'l', 'd', 'a', 'e', 'x', 'h', 0,
-  /* 1971 */ 's', 't', 'l', 'e', 'x', 'h', 0,
-  /* 1978 */ 'l', 'd', 'r', 'e', 'x', 'h', 0,
-  /* 1985 */ 's', 't', 'r', 'e', 'x', 'h', 0,
-  /* 1992 */ 'b', 'f', 'i', 0,
-  /* 1996 */ 'p', 'l', 'i', 0,
-  /* 2000 */ 'v', 's', 'l', 'i', 0,
-  /* 2005 */ 'v', 's', 'r', 'i', 0,
-  /* 2010 */ 'b', 'x', 'j', 0,
-  /* 2014 */ 'l', 'd', 'c', '2', 'l', 0,
-  /* 2020 */ 's', 't', 'c', '2', 'l', 0,
-  /* 2026 */ 'u', 'm', 'a', 'a', 'l', 0,
-  /* 2032 */ 'v', 'a', 'b', 'a', 'l', 0,
-  /* 2038 */ 'v', 'p', 'a', 'd', 'a', 'l', 0,
-  /* 2045 */ 'v', 'q', 'd', 'm', 'l', 'a', 'l', 0,
-  /* 2053 */ 's', 'm', 'l', 'a', 'l', 0,
-  /* 2059 */ 'u', 'm', 'l', 'a', 'l', 0,
-  /* 2065 */ 'v', 'm', 'l', 'a', 'l', 0,
-  /* 2071 */ 'v', 't', 'b', 'l', 0,
-  /* 2076 */ 'v', 's', 'u', 'b', 'l', 0,
-  /* 2082 */ 'l', 'd', 'c', 'l', 0,
-  /* 2087 */ 's', 't', 'c', 'l', 0,
-  /* 2092 */ 'v', 'a', 'b', 'd', 'l', 0,
-  /* 2098 */ 'v', 'p', 'a', 'd', 'd', 'l', 0,
-  /* 2105 */ 'v', 'a', 'd', 'd', 'l', 0,
-  /* 2111 */ 's', 'e', 'l', 0,
-  /* 2115 */ 'v', 'q', 's', 'h', 'l', 0,
-  /* 2121 */ 'v', 'q', 'r', 's', 'h', 'l', 0,
-  /* 2128 */ 'v', 'r', 's', 'h', 'l', 0,
-  /* 2134 */ 'v', 's', 'h', 'l', 0,
-  /* 2139 */ 'v', 's', 'h', 'l', 'l', 0,
-  /* 2145 */ 'v', 'q', 'd', 'm', 'u', 'l', 'l', 0,
-  /* 2153 */ 's', 'm', 'u', 'l', 'l', 0,
-  /* 2159 */ 'u', 'm', 'u', 'l', 'l', 0,
-  /* 2165 */ 'v', 'm', 'u', 'l', 'l', 0,
-  /* 2171 */ 'v', 'b', 's', 'l', 0,
-  /* 2176 */ 'v', 'q', 'd', 'm', 'l', 's', 'l', 0,
-  /* 2184 */ 'v', 'm', 'l', 's', 'l', 0,
-  /* 2190 */ 's', 't', 'l', 0,
-  /* 2194 */ 's', 'm', 'm', 'u', 'l', 0,
-  /* 2200 */ 'v', 'n', 'm', 'u', 'l', 0,
-  /* 2206 */ 'v', 'm', 'u', 'l', 0,
-  /* 2211 */ 'v', 'm', 'o', 'v', 'l', 0,
-  /* 2217 */ 'l', 'd', 'm', 0,
-  /* 2221 */ 's', 't', 'm', 0,
-  /* 2225 */ 'v', 'r', 's', 'u', 'b', 'h', 'n', 0,
-  /* 2233 */ 'v', 's', 'u', 'b', 'h', 'n', 0,
-  /* 2240 */ 'v', 'r', 'a', 'd', 'd', 'h', 'n', 0,
-  /* 2248 */ 'v', 'a', 'd', 'd', 'h', 'n', 0,
-  /* 2255 */ 'v', 'p', 'm', 'i', 'n', 0,
-  /* 2261 */ 'v', 'm', 'i', 'n', 0,
-  /* 2266 */ 'c', 'm', 'n', 0,
-  /* 2270 */ 'v', 'q', 's', 'h', 'r', 'n', 0,
-  /* 2277 */ 'v', 'q', 'r', 's', 'h', 'r', 'n', 0,
-  /* 2285 */ 'v', 'r', 's', 'h', 'r', 'n', 0,
-  /* 2292 */ 'v', 's', 'h', 'r', 'n', 0,
-  /* 2298 */ 'v', 'o', 'r', 'n', 0,
-  /* 2303 */ 'v', 't', 'r', 'n', 0,
-  /* 2308 */ 'v', 'q', 's', 'h', 'r', 'u', 'n', 0,
-  /* 2316 */ 'v', 'q', 'r', 's', 'h', 'r', 'u', 'n', 0,
-  /* 2325 */ 'v', 'q', 'm', 'o', 'v', 'u', 'n', 0,
-  /* 2333 */ 'v', 'm', 'v', 'n', 0,
-  /* 2338 */ 'v', 'q', 'm', 'o', 'v', 'n', 0,
-  /* 2345 */ 'v', 'm', 'o', 'v', 'n', 0,
-  /* 2351 */ 't', 'r', 'a', 'p', 0,
-  /* 2356 */ 'c', 'd', 'p', 0,
-  /* 2360 */ 'v', 'z', 'i', 'p', 0,
-  /* 2365 */ 'v', 'c', 'm', 'p', 0,
-  /* 2370 */ 'p', 'o', 'p', 0,
-  /* 2374 */ 'v', 'd', 'u', 'p', 0,
-  /* 2379 */ 'v', 's', 'w', 'p', 0,
-  /* 2384 */ 'v', 'u', 'z', 'p', 0,
-  /* 2389 */ 'v', 'c', 'e', 'q', 0,
-  /* 2394 */ 't', 'e', 'q', 0,
-  /* 2398 */ 's', 'm', 'm', 'l', 'a', 'r', 0,
-  /* 2405 */ 'm', 'c', 'r', 0,
-  /* 2409 */ 'a', 'd', 'r', 0,
-  /* 2413 */ 'v', 'l', 'd', 'r', 0,
-  /* 2418 */ 'v', 'r', 's', 'h', 'r', 0,
-  /* 2424 */ 'v', 's', 'h', 'r', 0,
-  /* 2429 */ 's', 'm', 'm', 'u', 'l', 'r', 0,
-  /* 2436 */ 'v', 'e', 'o', 'r', 0,
-  /* 2441 */ 'r', 'o', 'r', 0,
-  /* 2445 */ 'm', 'c', 'r', 'r', 0,
-  /* 2450 */ 'v', 'o', 'r', 'r', 0,
-  /* 2455 */ 'a', 's', 'r', 0,
-  /* 2459 */ 's', 'm', 'm', 'l', 's', 'r', 0,
-  /* 2466 */ 'v', 'm', 's', 'r', 0,
-  /* 2471 */ 'v', 'r', 'i', 'n', 't', 'r', 0,
-  /* 2478 */ 'v', 's', 't', 'r', 0,
-  /* 2483 */ 'v', 'c', 'v', 't', 'r', 0,
-  /* 2489 */ 'v', 'q', 'a', 'b', 's', 0,
-  /* 2495 */ 'v', 'a', 'b', 's', 0,
-  /* 2500 */ 's', 'u', 'b', 's', 0,
-  /* 2505 */ 'v', 'c', 'l', 's', 0,
-  /* 2510 */ 's', 'm', 'm', 'l', 's', 0,
-  /* 2516 */ 'v', 'n', 'm', 'l', 's', 0,
-  /* 2522 */ 'v', 'm', 'l', 's', 0,
-  /* 2527 */ 'v', 'f', 'm', 's', 0,
-  /* 2532 */ 'v', 'f', 'n', 'm', 's', 0,
-  /* 2538 */ 'v', 'r', 'e', 'c', 'p', 's', 0,
-  /* 2545 */ 'v', 'm', 'r', 's', 0,
-  /* 2550 */ 'a', 's', 'r', 's', 0,
-  /* 2555 */ 'l', 's', 'r', 's', 0,
-  /* 2560 */ 'v', 'r', 's', 'q', 'r', 't', 's', 0,
-  /* 2568 */ 'm', 'o', 'v', 's', 0,
-  /* 2573 */ 's', 's', 'a', 't', 0,
-  /* 2578 */ 'u', 's', 'a', 't', 0,
-  /* 2583 */ 's', 'm', 'l', 'a', 'b', 't', 0,
-  /* 2590 */ 'p', 'k', 'h', 'b', 't', 0,
-  /* 2596 */ 's', 'm', 'l', 'a', 'l', 'b', 't', 0,
-  /* 2604 */ 's', 'm', 'u', 'l', 'b', 't', 0,
-  /* 2611 */ 'l', 'd', 'r', 'b', 't', 0,
-  /* 2617 */ 's', 't', 'r', 'b', 't', 0,
-  /* 2623 */ 'l', 'd', 'r', 's', 'b', 't', 0,
-  /* 2630 */ 'v', 'a', 'c', 'g', 't', 0,
-  /* 2636 */ 'v', 'c', 'g', 't', 0,
-  /* 2641 */ 'l', 'd', 'r', 'h', 't', 0,
-  /* 2647 */ 's', 't', 'r', 'h', 't', 0,
-  /* 2653 */ 'l', 'd', 'r', 's', 'h', 't', 0,
-  /* 2660 */ 'r', 'b', 'i', 't', 0,
-  /* 2665 */ 'v', 'b', 'i', 't', 0,
-  /* 2670 */ 'v', 'c', 'l', 't', 0,
-  /* 2675 */ 'v', 'c', 'n', 't', 0,
-  /* 2680 */ 'h', 'i', 'n', 't', 0,
-  /* 2685 */ 'l', 'd', 'r', 't', 0,
-  /* 2690 */ 'v', 's', 'q', 'r', 't', 0,
-  /* 2696 */ 's', 't', 'r', 't', 0,
-  /* 2701 */ 'v', 't', 's', 't', 0,
-  /* 2706 */ 's', 'm', 'l', 'a', 't', 't', 0,
-  /* 2713 */ 's', 'm', 'l', 'a', 'l', 't', 't', 0,
-  /* 2721 */ 's', 'm', 'u', 'l', 't', 't', 0,
-  /* 2728 */ 'v', 'c', 'v', 't', 't', 0,
-  /* 2734 */ 'v', 'c', 'v', 't', 0,
-  /* 2739 */ 'm', 'o', 'v', 't', 0,
-  /* 2744 */ 's', 'm', 'l', 'a', 'w', 't', 0,
-  /* 2751 */ 's', 'm', 'u', 'l', 'w', 't', 0,
-  /* 2758 */ 'v', 'e', 'x', 't', 0,
-  /* 2763 */ 'v', 'q', 's', 'h', 'l', 'u', 0,
-  /* 2770 */ 'r', 'e', 'v', 0,
-  /* 2774 */ 's', 'd', 'i', 'v', 0,
-  /* 2779 */ 'u', 'd', 'i', 'v', 0,
-  /* 2784 */ 'v', 'd', 'i', 'v', 0,
-  /* 2789 */ 'v', 'm', 'o', 'v', 0,
-  /* 2794 */ 'v', 's', 'u', 'b', 'w', 0,
-  /* 2800 */ 'v', 'a', 'd', 'd', 'w', 0,
-  /* 2806 */ 'p', 'l', 'd', 'w', 0,
-  /* 2811 */ 'm', 'o', 'v', 'w', 0,
-  /* 2816 */ 'f', 'l', 'd', 'm', 'i', 'a', 'x', 0,
-  /* 2824 */ 'f', 's', 't', 'm', 'i', 'a', 'x', 0,
-  /* 2832 */ 'v', 'p', 'm', 'a', 'x', 0,
-  /* 2838 */ 'v', 'm', 'a', 'x', 0,
-  /* 2843 */ 's', 'h', 's', 'a', 'x', 0,
-  /* 2849 */ 'u', 'h', 's', 'a', 'x', 0,
-  /* 2855 */ 'u', 'q', 's', 'a', 'x', 0,
-  /* 2861 */ 's', 's', 'a', 'x', 0,
-  /* 2866 */ 'u', 's', 'a', 'x', 0,
-  /* 2871 */ 'f', 'l', 'd', 'm', 'd', 'b', 'x', 0,
-  /* 2879 */ 'f', 's', 't', 'm', 'd', 'b', 'x', 0,
-  /* 2887 */ 'v', 't', 'b', 'x', 0,
-  /* 2892 */ 's', 'm', 'l', 'a', 'd', 'x', 0,
-  /* 2899 */ 's', 'm', 'u', 'a', 'd', 'x', 0,
-  /* 2906 */ 's', 'm', 'l', 'a', 'l', 'd', 'x', 0,
-  /* 2914 */ 's', 'm', 'l', 's', 'l', 'd', 'x', 0,
-  /* 2922 */ 's', 'm', 'l', 's', 'd', 'x', 0,
-  /* 2929 */ 's', 'm', 'u', 's', 'd', 'x', 0,
-  /* 2936 */ 'l', 'd', 'a', 'e', 'x', 0,
-  /* 2942 */ 's', 't', 'l', 'e', 'x', 0,
-  /* 2948 */ 'l', 'd', 'r', 'e', 'x', 0,
-  /* 2954 */ 'c', 'l', 'r', 'e', 'x', 0,
-  /* 2960 */ 's', 't', 'r', 'e', 'x', 0,
-  /* 2966 */ 's', 'b', 'f', 'x', 0,
-  /* 2971 */ 'u', 'b', 'f', 'x', 0,
-  /* 2976 */ 'b', 'l', 'x', 0,
-  /* 2980 */ 'r', 'r', 'x', 0,
-  /* 2984 */ 's', 'h', 'a', 's', 'x', 0,
-  /* 2990 */ 'u', 'h', 'a', 's', 'x', 0,
-  /* 2996 */ 'u', 'q', 'a', 's', 'x', 0,
-  /* 3002 */ 's', 'a', 's', 'x', 0,
-  /* 3007 */ 'u', 'a', 's', 'x', 0,
-  /* 3012 */ 'v', 'r', 'i', 'n', 't', 'x', 0,
-  /* 3019 */ 'v', 'c', 'l', 'z', 0,
-  /* 3024 */ 'v', 'r', 'i', 'n', 't', 'z', 0,
+  /* 784 */ 'u', 'd', 'f', 9, 0,
+  /* 789 */ 'c', 'r', 'c', '3', '2', 'h', 9, 0,
+  /* 797 */ 'c', 'r', 'c', '3', '2', 'c', 'h', 9, 0,
+  /* 806 */ 'p', 'l', 'i', 9, 0,
+  /* 811 */ 'l', 'd', 'c', '2', 'l', 9, 0,
+  /* 818 */ 's', 't', 'c', '2', 'l', 9, 0,
+  /* 825 */ 'b', 'l', 9, 0,
+  /* 829 */ 'c', 'p', 's', 9, 0,
+  /* 834 */ 'm', 'o', 'v', 's', 9, 0,
+  /* 840 */ 'h', 'l', 't', 9, 0,
+  /* 845 */ 'b', 'k', 'p', 't', 9, 0,
+  /* 851 */ 'u', 'd', 'f', '.', 'w', 9, 0,
+  /* 858 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
+  /* 866 */ 'c', 'r', 'c', '3', '2', 'c', 'w', 9, 0,
+  /* 875 */ 'p', 'l', 'd', 'w', 9, 0,
+  /* 881 */ 'b', 'x', 9, 0,
+  /* 885 */ 'b', 'l', 'x', 9, 0,
+  /* 890 */ 'c', 'b', 'z', 9, 0,
+  /* 895 */ 'c', 'b', 'n', 'z', 9, 0,
+  /* 901 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', '!', ',', 32, 0,
+  /* 913 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', '!', ',', 32, 0,
+  /* 925 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', '!', ',', 32, 0,
+  /* 937 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', '!', ',', 32, 0,
+  /* 949 */ 's', 'r', 's', 'd', 'a', 9, 's', 'p', ',', 32, 0,
+  /* 960 */ 's', 'r', 's', 'i', 'a', 9, 's', 'p', ',', 32, 0,
+  /* 971 */ 's', 'r', 's', 'd', 'b', 9, 's', 'p', ',', 32, 0,
+  /* 982 */ 's', 'r', 's', 'i', 'b', 9, 's', 'p', ',', 32, 0,
+  /* 993 */ 'v', 'l', 'd', '1', 0,
+  /* 998 */ 'd', 'c', 'p', 's', '1', 0,
+  /* 1004 */ 'v', 's', 't', '1', 0,
+  /* 1009 */ 'v', 'r', 'e', 'v', '3', '2', 0,
+  /* 1016 */ 'l', 'd', 'c', '2', 0,
+  /* 1021 */ 'm', 'r', 'c', '2', 0,
+  /* 1026 */ 'm', 'r', 'r', 'c', '2', 0,
+  /* 1032 */ 's', 't', 'c', '2', 0,
+  /* 1037 */ 'v', 'l', 'd', '2', 0,
+  /* 1042 */ 'c', 'd', 'p', '2', 0,
+  /* 1047 */ 'm', 'c', 'r', '2', 0,
+  /* 1052 */ 'm', 'c', 'r', 'r', '2', 0,
+  /* 1058 */ 'd', 'c', 'p', 's', '2', 0,
+  /* 1064 */ 'v', 's', 't', '2', 0,
+  /* 1069 */ 'v', 'l', 'd', '3', 0,
+  /* 1074 */ 'd', 'c', 'p', 's', '3', 0,
+  /* 1080 */ 'v', 's', 't', '3', 0,
+  /* 1085 */ 'v', 'r', 'e', 'v', '6', '4', 0,
+  /* 1092 */ 'v', 'l', 'd', '4', 0,
+  /* 1097 */ 'v', 's', 't', '4', 0,
+  /* 1102 */ 's', 'x', 't', 'a', 'b', '1', '6', 0,
+  /* 1110 */ 'u', 'x', 't', 'a', 'b', '1', '6', 0,
+  /* 1118 */ 's', 'x', 't', 'b', '1', '6', 0,
+  /* 1125 */ 'u', 'x', 't', 'b', '1', '6', 0,
+  /* 1132 */ 's', 'h', 's', 'u', 'b', '1', '6', 0,
+  /* 1140 */ 'u', 'h', 's', 'u', 'b', '1', '6', 0,
+  /* 1148 */ 'u', 'q', 's', 'u', 'b', '1', '6', 0,
+  /* 1156 */ 's', 's', 'u', 'b', '1', '6', 0,
+  /* 1163 */ 'u', 's', 'u', 'b', '1', '6', 0,
+  /* 1170 */ 's', 'h', 'a', 'd', 'd', '1', '6', 0,
+  /* 1178 */ 'u', 'h', 'a', 'd', 'd', '1', '6', 0,
+  /* 1186 */ 'u', 'q', 'a', 'd', 'd', '1', '6', 0,
+  /* 1194 */ 's', 'a', 'd', 'd', '1', '6', 0,
+  /* 1201 */ 'u', 'a', 'd', 'd', '1', '6', 0,
+  /* 1208 */ 's', 's', 'a', 't', '1', '6', 0,
+  /* 1215 */ 'u', 's', 'a', 't', '1', '6', 0,
+  /* 1222 */ 'v', 'r', 'e', 'v', '1', '6', 0,
+  /* 1229 */ 'u', 's', 'a', 'd', 'a', '8', 0,
+  /* 1236 */ 's', 'h', 's', 'u', 'b', '8', 0,
+  /* 1243 */ 'u', 'h', 's', 'u', 'b', '8', 0,
+  /* 1250 */ 'u', 'q', 's', 'u', 'b', '8', 0,
+  /* 1257 */ 's', 's', 'u', 'b', '8', 0,
+  /* 1263 */ 'u', 's', 'u', 'b', '8', 0,
+  /* 1269 */ 'u', 's', 'a', 'd', '8', 0,
+  /* 1275 */ 's', 'h', 'a', 'd', 'd', '8', 0,
+  /* 1282 */ 'u', 'h', 'a', 'd', 'd', '8', 0,
+  /* 1289 */ 'u', 'q', 'a', 'd', 'd', '8', 0,
+  /* 1296 */ 's', 'a', 'd', 'd', '8', 0,
+  /* 1302 */ 'u', 'a', 'd', 'd', '8', 0,
+  /* 1308 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 1321 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 1328 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 1338 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 1353 */ 'v', 'a', 'b', 'a', 0,
+  /* 1358 */ 'l', 'd', 'a', 0,
+  /* 1362 */ 'l', 'd', 'm', 'd', 'a', 0,
+  /* 1368 */ 's', 't', 'm', 'd', 'a', 0,
+  /* 1374 */ 'r', 'f', 'e', 'i', 'a', 0,
+  /* 1380 */ 'v', 'l', 'd', 'm', 'i', 'a', 0,
+  /* 1387 */ 'v', 's', 't', 'm', 'i', 'a', 0,
+  /* 1394 */ 's', 'r', 's', 'i', 'a', 0,
+  /* 1400 */ 's', 'm', 'm', 'l', 'a', 0,
+  /* 1406 */ 'v', 'n', 'm', 'l', 'a', 0,
+  /* 1412 */ 'v', 'm', 'l', 'a', 0,
+  /* 1417 */ 'v', 'f', 'm', 'a', 0,
+  /* 1422 */ 'v', 'f', 'n', 'm', 'a', 0,
+  /* 1428 */ 'v', 'r', 's', 'r', 'a', 0,
+  /* 1434 */ 'v', 's', 'r', 'a', 0,
+  /* 1439 */ 'l', 'd', 'a', 'b', 0,
+  /* 1444 */ 's', 'x', 't', 'a', 'b', 0,
+  /* 1450 */ 'u', 'x', 't', 'a', 'b', 0,
+  /* 1456 */ 's', 'm', 'l', 'a', 'b', 'b', 0,
+  /* 1463 */ 's', 'm', 'l', 'a', 'l', 'b', 'b', 0,
+  /* 1471 */ 's', 'm', 'u', 'l', 'b', 'b', 0,
+  /* 1478 */ 't', 'b', 'b', 0,
+  /* 1482 */ 'r', 'f', 'e', 'd', 'b', 0,
+  /* 1488 */ 'v', 'l', 'd', 'm', 'd', 'b', 0,
+  /* 1495 */ 'v', 's', 't', 'm', 'd', 'b', 0,
+  /* 1502 */ 's', 'r', 's', 'd', 'b', 0,
+  /* 1508 */ 'l', 'd', 'm', 'i', 'b', 0,
+  /* 1514 */ 's', 't', 'm', 'i', 'b', 0,
+  /* 1520 */ 's', 't', 'l', 'b', 0,
+  /* 1525 */ 'd', 'm', 'b', 0,
+  /* 1529 */ 's', 'w', 'p', 'b', 0,
+  /* 1534 */ 'l', 'd', 'r', 'b', 0,
+  /* 1539 */ 's', 't', 'r', 'b', 0,
+  /* 1544 */ 'd', 's', 'b', 0,
+  /* 1548 */ 'i', 's', 'b', 0,
+  /* 1552 */ 'l', 'd', 'r', 's', 'b', 0,
+  /* 1558 */ 's', 'm', 'l', 'a', 't', 'b', 0,
+  /* 1565 */ 'p', 'k', 'h', 't', 'b', 0,
+  /* 1571 */ 's', 'm', 'l', 'a', 'l', 't', 'b', 0,
+  /* 1579 */ 's', 'm', 'u', 'l', 't', 'b', 0,
+  /* 1586 */ 'v', 'c', 'v', 't', 'b', 0,
+  /* 1592 */ 's', 'x', 't', 'b', 0,
+  /* 1597 */ 'u', 'x', 't', 'b', 0,
+  /* 1602 */ 'q', 'd', 's', 'u', 'b', 0,
+  /* 1608 */ 'v', 'h', 's', 'u', 'b', 0,
+  /* 1614 */ 'v', 'q', 's', 'u', 'b', 0,
+  /* 1620 */ 'v', 's', 'u', 'b', 0,
+  /* 1625 */ 's', 'm', 'l', 'a', 'w', 'b', 0,
+  /* 1632 */ 's', 'm', 'u', 'l', 'w', 'b', 0,
+  /* 1639 */ 'l', 'd', 'a', 'e', 'x', 'b', 0,
+  /* 1646 */ 's', 't', 'l', 'e', 'x', 'b', 0,
+  /* 1653 */ 'l', 'd', 'r', 'e', 'x', 'b', 0,
+  /* 1660 */ 's', 't', 'r', 'e', 'x', 'b', 0,
+  /* 1667 */ 's', 'b', 'c', 0,
+  /* 1671 */ 'a', 'd', 'c', 0,
+  /* 1675 */ 'l', 'd', 'c', 0,
+  /* 1679 */ 'b', 'f', 'c', 0,
+  /* 1683 */ 'v', 'b', 'i', 'c', 0,
+  /* 1688 */ 's', 'm', 'c', 0,
+  /* 1692 */ 'm', 'r', 'c', 0,
+  /* 1696 */ 'm', 'r', 'r', 'c', 0,
+  /* 1701 */ 'r', 's', 'c', 0,
+  /* 1705 */ 's', 't', 'c', 0,
+  /* 1709 */ 's', 'v', 'c', 0,
+  /* 1713 */ 's', 'm', 'l', 'a', 'd', 0,
+  /* 1719 */ 's', 'm', 'u', 'a', 'd', 0,
+  /* 1725 */ 'v', 'a', 'b', 'd', 0,
+  /* 1730 */ 'q', 'd', 'a', 'd', 'd', 0,
+  /* 1736 */ 'v', 'r', 'h', 'a', 'd', 'd', 0,
+  /* 1743 */ 'v', 'h', 'a', 'd', 'd', 0,
+  /* 1749 */ 'v', 'p', 'a', 'd', 'd', 0,
+  /* 1755 */ 'v', 'q', 'a', 'd', 'd', 0,
+  /* 1761 */ 'v', 'a', 'd', 'd', 0,
+  /* 1766 */ 's', 'm', 'l', 'a', 'l', 'd', 0,
+  /* 1773 */ 'p', 'l', 'd', 0,
+  /* 1777 */ 's', 'm', 'l', 's', 'l', 'd', 0,
+  /* 1784 */ 'v', 'a', 'n', 'd', 0,
+  /* 1789 */ 'l', 'd', 'r', 'd', 0,
+  /* 1794 */ 's', 't', 'r', 'd', 0,
+  /* 1799 */ 's', 'm', 'l', 's', 'd', 0,
+  /* 1805 */ 's', 'm', 'u', 's', 'd', 0,
+  /* 1811 */ 'l', 'd', 'a', 'e', 'x', 'd', 0,
+  /* 1818 */ 's', 't', 'l', 'e', 'x', 'd', 0,
+  /* 1825 */ 'l', 'd', 'r', 'e', 'x', 'd', 0,
+  /* 1832 */ 's', 't', 'r', 'e', 'x', 'd', 0,
+  /* 1839 */ 'v', 'a', 'c', 'g', 'e', 0,
+  /* 1845 */ 'v', 'c', 'g', 'e', 0,
+  /* 1850 */ 'v', 'c', 'l', 'e', 0,
+  /* 1855 */ 'v', 'r', 'e', 'c', 'p', 'e', 0,
+  /* 1862 */ 'v', 'c', 'm', 'p', 'e', 0,
+  /* 1868 */ 'v', 'r', 's', 'q', 'r', 't', 'e', 0,
+  /* 1876 */ 'v', 'b', 'i', 'f', 0,
+  /* 1881 */ 'd', 'b', 'g', 0,
+  /* 1885 */ 'v', 'q', 'n', 'e', 'g', 0,
+  /* 1891 */ 'v', 'n', 'e', 'g', 0,
+  /* 1896 */ 'l', 'd', 'a', 'h', 0,
+  /* 1901 */ 's', 'x', 't', 'a', 'h', 0,
+  /* 1907 */ 'u', 'x', 't', 'a', 'h', 0,
+  /* 1913 */ 't', 'b', 'h', 0,
+  /* 1917 */ 's', 't', 'l', 'h', 0,
+  /* 1922 */ 'v', 'q', 'd', 'm', 'u', 'l', 'h', 0,
+  /* 1930 */ 'v', 'q', 'r', 'd', 'm', 'u', 'l', 'h', 0,
+  /* 1939 */ 'l', 'd', 'r', 'h', 0,
+  /* 1944 */ 's', 't', 'r', 'h', 0,
+  /* 1949 */ 'l', 'd', 'r', 's', 'h', 0,
+  /* 1955 */ 'p', 'u', 's', 'h', 0,
+  /* 1960 */ 'r', 'e', 'v', 's', 'h', 0,
+  /* 1966 */ 's', 'x', 't', 'h', 0,
+  /* 1971 */ 'u', 'x', 't', 'h', 0,
+  /* 1976 */ 'l', 'd', 'a', 'e', 'x', 'h', 0,
+  /* 1983 */ 's', 't', 'l', 'e', 'x', 'h', 0,
+  /* 1990 */ 'l', 'd', 'r', 'e', 'x', 'h', 0,
+  /* 1997 */ 's', 't', 'r', 'e', 'x', 'h', 0,
+  /* 2004 */ 'b', 'f', 'i', 0,
+  /* 2008 */ 'p', 'l', 'i', 0,
+  /* 2012 */ 'v', 's', 'l', 'i', 0,
+  /* 2017 */ 'v', 's', 'r', 'i', 0,
+  /* 2022 */ 'b', 'x', 'j', 0,
+  /* 2026 */ 'l', 'd', 'c', '2', 'l', 0,
+  /* 2032 */ 's', 't', 'c', '2', 'l', 0,
+  /* 2038 */ 'u', 'm', 'a', 'a', 'l', 0,
+  /* 2044 */ 'v', 'a', 'b', 'a', 'l', 0,
+  /* 2050 */ 'v', 'p', 'a', 'd', 'a', 'l', 0,
+  /* 2057 */ 'v', 'q', 'd', 'm', 'l', 'a', 'l', 0,
+  /* 2065 */ 's', 'm', 'l', 'a', 'l', 0,
+  /* 2071 */ 'u', 'm', 'l', 'a', 'l', 0,
+  /* 2077 */ 'v', 'm', 'l', 'a', 'l', 0,
+  /* 2083 */ 'v', 't', 'b', 'l', 0,
+  /* 2088 */ 'v', 's', 'u', 'b', 'l', 0,
+  /* 2094 */ 'l', 'd', 'c', 'l', 0,
+  /* 2099 */ 's', 't', 'c', 'l', 0,
+  /* 2104 */ 'v', 'a', 'b', 'd', 'l', 0,
+  /* 2110 */ 'v', 'p', 'a', 'd', 'd', 'l', 0,
+  /* 2117 */ 'v', 'a', 'd', 'd', 'l', 0,
+  /* 2123 */ 's', 'e', 'l', 0,
+  /* 2127 */ 'v', 'q', 's', 'h', 'l', 0,
+  /* 2133 */ 'v', 'q', 'r', 's', 'h', 'l', 0,
+  /* 2140 */ 'v', 'r', 's', 'h', 'l', 0,
+  /* 2146 */ 'v', 's', 'h', 'l', 0,
+  /* 2151 */ 'v', 's', 'h', 'l', 'l', 0,
+  /* 2157 */ 'v', 'q', 'd', 'm', 'u', 'l', 'l', 0,
+  /* 2165 */ 's', 'm', 'u', 'l', 'l', 0,
+  /* 2171 */ 'u', 'm', 'u', 'l', 'l', 0,
+  /* 2177 */ 'v', 'm', 'u', 'l', 'l', 0,
+  /* 2183 */ 'v', 'b', 's', 'l', 0,
+  /* 2188 */ 'v', 'q', 'd', 'm', 'l', 's', 'l', 0,
+  /* 2196 */ 'v', 'm', 'l', 's', 'l', 0,
+  /* 2202 */ 's', 't', 'l', 0,
+  /* 2206 */ 's', 'm', 'm', 'u', 'l', 0,
+  /* 2212 */ 'v', 'n', 'm', 'u', 'l', 0,
+  /* 2218 */ 'v', 'm', 'u', 'l', 0,
+  /* 2223 */ 'v', 'm', 'o', 'v', 'l', 0,
+  /* 2229 */ 'l', 'd', 'm', 0,
+  /* 2233 */ 's', 't', 'm', 0,
+  /* 2237 */ 'v', 'r', 's', 'u', 'b', 'h', 'n', 0,
+  /* 2245 */ 'v', 's', 'u', 'b', 'h', 'n', 0,
+  /* 2252 */ 'v', 'r', 'a', 'd', 'd', 'h', 'n', 0,
+  /* 2260 */ 'v', 'a', 'd', 'd', 'h', 'n', 0,
+  /* 2267 */ 'v', 'p', 'm', 'i', 'n', 0,
+  /* 2273 */ 'v', 'm', 'i', 'n', 0,
+  /* 2278 */ 'c', 'm', 'n', 0,
+  /* 2282 */ 'v', 'q', 's', 'h', 'r', 'n', 0,
+  /* 2289 */ 'v', 'q', 'r', 's', 'h', 'r', 'n', 0,
+  /* 2297 */ 'v', 'r', 's', 'h', 'r', 'n', 0,
+  /* 2304 */ 'v', 's', 'h', 'r', 'n', 0,
+  /* 2310 */ 'v', 'o', 'r', 'n', 0,
+  /* 2315 */ 'v', 't', 'r', 'n', 0,
+  /* 2320 */ 'v', 'q', 's', 'h', 'r', 'u', 'n', 0,
+  /* 2328 */ 'v', 'q', 'r', 's', 'h', 'r', 'u', 'n', 0,
+  /* 2337 */ 'v', 'q', 'm', 'o', 'v', 'u', 'n', 0,
+  /* 2345 */ 'v', 'm', 'v', 'n', 0,
+  /* 2350 */ 'v', 'q', 'm', 'o', 'v', 'n', 0,
+  /* 2357 */ 'v', 'm', 'o', 'v', 'n', 0,
+  /* 2363 */ 't', 'r', 'a', 'p', 0,
+  /* 2368 */ 'c', 'd', 'p', 0,
+  /* 2372 */ 'v', 'z', 'i', 'p', 0,
+  /* 2377 */ 'v', 'c', 'm', 'p', 0,
+  /* 2382 */ 'p', 'o', 'p', 0,
+  /* 2386 */ 'v', 'd', 'u', 'p', 0,
+  /* 2391 */ 'v', 's', 'w', 'p', 0,
+  /* 2396 */ 'v', 'u', 'z', 'p', 0,
+  /* 2401 */ 'v', 'c', 'e', 'q', 0,
+  /* 2406 */ 't', 'e', 'q', 0,
+  /* 2410 */ 's', 'm', 'm', 'l', 'a', 'r', 0,
+  /* 2417 */ 'm', 'c', 'r', 0,
+  /* 2421 */ 'a', 'd', 'r', 0,
+  /* 2425 */ 'v', 'l', 'd', 'r', 0,
+  /* 2430 */ 'v', 'r', 's', 'h', 'r', 0,
+  /* 2436 */ 'v', 's', 'h', 'r', 0,
+  /* 2441 */ 's', 'm', 'm', 'u', 'l', 'r', 0,
+  /* 2448 */ 'v', 'e', 'o', 'r', 0,
+  /* 2453 */ 'r', 'o', 'r', 0,
+  /* 2457 */ 'm', 'c', 'r', 'r', 0,
+  /* 2462 */ 'v', 'o', 'r', 'r', 0,
+  /* 2467 */ 'a', 's', 'r', 0,
+  /* 2471 */ 's', 'm', 'm', 'l', 's', 'r', 0,
+  /* 2478 */ 'v', 'm', 's', 'r', 0,
+  /* 2483 */ 'v', 'r', 'i', 'n', 't', 'r', 0,
+  /* 2490 */ 'v', 's', 't', 'r', 0,
+  /* 2495 */ 'v', 'c', 'v', 't', 'r', 0,
+  /* 2501 */ 'v', 'q', 'a', 'b', 's', 0,
+  /* 2507 */ 'v', 'a', 'b', 's', 0,
+  /* 2512 */ 's', 'u', 'b', 's', 0,
+  /* 2517 */ 'v', 'c', 'l', 's', 0,
+  /* 2522 */ 's', 'm', 'm', 'l', 's', 0,
+  /* 2528 */ 'v', 'n', 'm', 'l', 's', 0,
+  /* 2534 */ 'v', 'm', 'l', 's', 0,
+  /* 2539 */ 'v', 'f', 'm', 's', 0,
+  /* 2544 */ 'v', 'f', 'n', 'm', 's', 0,
+  /* 2550 */ 'v', 'r', 'e', 'c', 'p', 's', 0,
+  /* 2557 */ 'v', 'm', 'r', 's', 0,
+  /* 2562 */ 'a', 's', 'r', 's', 0,
+  /* 2567 */ 'l', 's', 'r', 's', 0,
+  /* 2572 */ 'v', 'r', 's', 'q', 'r', 't', 's', 0,
+  /* 2580 */ 'm', 'o', 'v', 's', 0,
+  /* 2585 */ 's', 's', 'a', 't', 0,
+  /* 2590 */ 'u', 's', 'a', 't', 0,
+  /* 2595 */ 's', 'm', 'l', 'a', 'b', 't', 0,
+  /* 2602 */ 'p', 'k', 'h', 'b', 't', 0,
+  /* 2608 */ 's', 'm', 'l', 'a', 'l', 'b', 't', 0,
+  /* 2616 */ 's', 'm', 'u', 'l', 'b', 't', 0,
+  /* 2623 */ 'l', 'd', 'r', 'b', 't', 0,
+  /* 2629 */ 's', 't', 'r', 'b', 't', 0,
+  /* 2635 */ 'l', 'd', 'r', 's', 'b', 't', 0,
+  /* 2642 */ 'v', 'a', 'c', 'g', 't', 0,
+  /* 2648 */ 'v', 'c', 'g', 't', 0,
+  /* 2653 */ 'l', 'd', 'r', 'h', 't', 0,
+  /* 2659 */ 's', 't', 'r', 'h', 't', 0,
+  /* 2665 */ 'l', 'd', 'r', 's', 'h', 't', 0,
+  /* 2672 */ 'r', 'b', 'i', 't', 0,
+  /* 2677 */ 'v', 'b', 'i', 't', 0,
+  /* 2682 */ 'v', 'c', 'l', 't', 0,
+  /* 2687 */ 'v', 'c', 'n', 't', 0,
+  /* 2692 */ 'h', 'i', 'n', 't', 0,
+  /* 2697 */ 'l', 'd', 'r', 't', 0,
+  /* 2702 */ 'v', 's', 'q', 'r', 't', 0,
+  /* 2708 */ 's', 't', 'r', 't', 0,
+  /* 2713 */ 'v', 't', 's', 't', 0,
+  /* 2718 */ 's', 'm', 'l', 'a', 't', 't', 0,
+  /* 2725 */ 's', 'm', 'l', 'a', 'l', 't', 't', 0,
+  /* 2733 */ 's', 'm', 'u', 'l', 't', 't', 0,
+  /* 2740 */ 'v', 'c', 'v', 't', 't', 0,
+  /* 2746 */ 'v', 'c', 'v', 't', 0,
+  /* 2751 */ 'm', 'o', 'v', 't', 0,
+  /* 2756 */ 's', 'm', 'l', 'a', 'w', 't', 0,
+  /* 2763 */ 's', 'm', 'u', 'l', 'w', 't', 0,
+  /* 2770 */ 'v', 'e', 'x', 't', 0,
+  /* 2775 */ 'v', 'q', 's', 'h', 'l', 'u', 0,
+  /* 2782 */ 'r', 'e', 'v', 0,
+  /* 2786 */ 's', 'd', 'i', 'v', 0,
+  /* 2791 */ 'u', 'd', 'i', 'v', 0,
+  /* 2796 */ 'v', 'd', 'i', 'v', 0,
+  /* 2801 */ 'v', 'm', 'o', 'v', 0,
+  /* 2806 */ 'v', 's', 'u', 'b', 'w', 0,
+  /* 2812 */ 'v', 'a', 'd', 'd', 'w', 0,
+  /* 2818 */ 'p', 'l', 'd', 'w', 0,
+  /* 2823 */ 'm', 'o', 'v', 'w', 0,
+  /* 2828 */ 'f', 'l', 'd', 'm', 'i', 'a', 'x', 0,
+  /* 2836 */ 'f', 's', 't', 'm', 'i', 'a', 'x', 0,
+  /* 2844 */ 'v', 'p', 'm', 'a', 'x', 0,
+  /* 2850 */ 'v', 'm', 'a', 'x', 0,
+  /* 2855 */ 's', 'h', 's', 'a', 'x', 0,
+  /* 2861 */ 'u', 'h', 's', 'a', 'x', 0,
+  /* 2867 */ 'u', 'q', 's', 'a', 'x', 0,
+  /* 2873 */ 's', 's', 'a', 'x', 0,
+  /* 2878 */ 'u', 's', 'a', 'x', 0,
+  /* 2883 */ 'f', 'l', 'd', 'm', 'd', 'b', 'x', 0,
+  /* 2891 */ 'f', 's', 't', 'm', 'd', 'b', 'x', 0,
+  /* 2899 */ 'v', 't', 'b', 'x', 0,
+  /* 2904 */ 's', 'm', 'l', 'a', 'd', 'x', 0,
+  /* 2911 */ 's', 'm', 'u', 'a', 'd', 'x', 0,
+  /* 2918 */ 's', 'm', 'l', 'a', 'l', 'd', 'x', 0,
+  /* 2926 */ 's', 'm', 'l', 's', 'l', 'd', 'x', 0,
+  /* 2934 */ 's', 'm', 'l', 's', 'd', 'x', 0,
+  /* 2941 */ 's', 'm', 'u', 's', 'd', 'x', 0,
+  /* 2948 */ 'l', 'd', 'a', 'e', 'x', 0,
+  /* 2954 */ 's', 't', 'l', 'e', 'x', 0,
+  /* 2960 */ 'l', 'd', 'r', 'e', 'x', 0,
+  /* 2966 */ 'c', 'l', 'r', 'e', 'x', 0,
+  /* 2972 */ 's', 't', 'r', 'e', 'x', 0,
+  /* 2978 */ 's', 'b', 'f', 'x', 0,
+  /* 2983 */ 'u', 'b', 'f', 'x', 0,
+  /* 2988 */ 'b', 'l', 'x', 0,
+  /* 2992 */ 'r', 'r', 'x', 0,
+  /* 2996 */ 's', 'h', 'a', 's', 'x', 0,
+  /* 3002 */ 'u', 'h', 'a', 's', 'x', 0,
+  /* 3008 */ 'u', 'q', 'a', 's', 'x', 0,
+  /* 3014 */ 's', 'a', 's', 'x', 0,
+  /* 3019 */ 'u', 'a', 's', 'x', 0,
+  /* 3024 */ 'v', 'r', 'i', 'n', 't', 'x', 0,
+  /* 3031 */ 'v', 'c', 'l', 'z', 0,
+  /* 3036 */ 'v', 'r', 'i', 'n', 't', 'z', 0,
   };
 #endif
 
@@ -6328,7 +6240,8 @@
     break;
   case 4:
     // BX_RET
-    SStream_concat0(O, "\tlr"); 
+    SStream_concat0(O, "\tlr");
+	ARM_addReg(MI, ARM_REG_LR);
     return;
     break;
   case 5:
@@ -6338,17 +6251,21 @@
     break;
   case 6:
     // FCONSTD, VABSD, VADDD, VCMPD, VCMPED, VCMPEZD, VCMPZD, VDIVD, VFMAD, V...
-    SStream_concat0(O, ".f64\t"); 
+    SStream_concat0(O, ".f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64);
     printOperand(MI, 0, O); 
     break;
   case 7:
     // FCONSTS, VABDfd, VABDfq, VABSS, VABSfd, VABSfq, VACGEd, VACGEq, VACGTd...
-    SStream_concat0(O, ".f32\t"); 
+    SStream_concat0(O, ".f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32);
     printOperand(MI, 0, O); 
     break;
   case 8:
     // FMSTAT
-    SStream_concat0(O, "\tAPSR_nzcv, fpscr"); 
+    SStream_concat0(O, "\tAPSR_nzcv, fpscr"); 	// qq
+	ARM_addReg(MI, ARM_REG_APSR_NZCV);
+	ARM_addReg(MI, ARM_REG_FPSCR);
     return;
     break;
   case 9:
@@ -6358,7 +6275,9 @@
     break;
   case 10:
     // MOVPCLR
-    SStream_concat0(O, "\tpc, lr"); 
+    SStream_concat0(O, "\tpc, lr");
+	ARM_addReg(MI, ARM_REG_PC);
+	ARM_addReg(MI, ARM_REG_LR);
     return;
     break;
   case 11:
@@ -6368,71 +6287,83 @@
     break;
   case 12:
     // VABALsv2i64, VABAsv2i32, VABAsv4i32, VABDLsv2i64, VABDsv2i32, VABDsv4i...
-    SStream_concat0(O, ".s32\t"); 
+    SStream_concat0(O, ".s32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 13:
     // VABALsv4i32, VABAsv4i16, VABAsv8i16, VABDLsv4i32, VABDsv4i16, VABDsv8i...
-    SStream_concat0(O, ".s16\t"); 
+    SStream_concat0(O, ".s16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 14:
     // VABALsv8i16, VABAsv16i8, VABAsv8i8, VABDLsv8i16, VABDsv16i8, VABDsv8i8...
-    SStream_concat0(O, ".s8\t"); 
+    SStream_concat0(O, ".s8\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S8);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 15:
     // VABALuv2i64, VABAuv2i32, VABAuv4i32, VABDLuv2i64, VABDuv2i32, VABDuv4i...
-    SStream_concat0(O, ".u32\t"); 
+    SStream_concat0(O, ".u32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 16:
     // VABALuv4i32, VABAuv4i16, VABAuv8i16, VABDLuv4i32, VABDuv4i16, VABDuv8i...
-    SStream_concat0(O, ".u16\t"); 
+    SStream_concat0(O, ".u16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 17:
     // VABALuv8i16, VABAuv16i8, VABAuv8i8, VABDLuv8i16, VABDuv16i8, VABDuv8i8...
-    SStream_concat0(O, ".u8\t"); 
+    SStream_concat0(O, ".u8\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U8);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 18:
     // VADDHNv2i32, VADDv1i64, VADDv2i64, VMOVNv2i32, VMOVv1i64, VMOVv2i64, V...
-    SStream_concat0(O, ".i64\t"); 
+    SStream_concat0(O, ".i64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_I64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 19:
     // VADDHNv4i16, VADDv2i32, VADDv4i32, VBICiv2i32, VBICiv4i32, VCEQv2i32, ...
-    SStream_concat0(O, ".i32\t"); 
+    SStream_concat0(O, ".i32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_I32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 20:
     // VADDHNv8i8, VADDv4i16, VADDv8i16, VBICiv4i16, VBICiv8i16, VCEQv4i16, V...
-    SStream_concat0(O, ".i16\t"); 
+    SStream_concat0(O, ".i16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_I16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 21:
     // VADDv16i8, VADDv8i8, VCEQv16i8, VCEQv8i8, VCEQzv16i8, VCEQzv8i8, VCLZv...
-    SStream_concat0(O, ".i8\t"); 
+    SStream_concat0(O, ".i8\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_I8);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 22:
     // VCNTd, VCNTq, VDUP8d, VDUP8q, VDUPLN8d, VDUPLN8q, VEXTd8, VEXTq8, VLD1...
-    SStream_concat0(O, ".8\t"); 
+    SStream_concat0(O, ".8\t");
+	ARM_addVectorDataSize(MI, 8);
     break;
   case 23:
     // VCVTBDH, VCVTTDH
-    SStream_concat0(O, ".f16.f64\t"); 
+    SStream_concat0(O, ".f16.f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F16F64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6440,7 +6371,8 @@
     break;
   case 24:
     // VCVTBHD, VCVTTHD
-    SStream_concat0(O, ".f64.f16\t"); 
+    SStream_concat0(O, ".f64.f16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64F16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6448,7 +6380,8 @@
     break;
   case 25:
     // VCVTBHS, VCVTTHS, VCVTh2f
-    SStream_concat0(O, ".f32.f16\t"); 
+    SStream_concat0(O, ".f32.f16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32F16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6456,7 +6389,8 @@
     break;
   case 26:
     // VCVTBSH, VCVTTSH, VCVTf2h
-    SStream_concat0(O, ".f16.f32\t"); 
+    SStream_concat0(O, ".f16.f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F16F32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6464,7 +6398,8 @@
     break;
   case 27:
     // VCVTDS
-    SStream_concat0(O, ".f64.f32\t"); 
+    SStream_concat0(O, ".f64.f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64F32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6472,7 +6407,8 @@
     break;
   case 28:
     // VCVTSD
-    SStream_concat0(O, ".f32.f64\t"); 
+    SStream_concat0(O, ".f32.f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32F64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6480,89 +6416,105 @@
     break;
   case 29:
     // VCVTf2sd, VCVTf2sq, VCVTf2xsd, VCVTf2xsq, VTOSIRS, VTOSIZS, VTOSLS
-    SStream_concat0(O, ".s32.f32\t"); 
+    SStream_concat0(O, ".s32.f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S32F32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 30:
     // VCVTf2ud, VCVTf2uq, VCVTf2xud, VCVTf2xuq, VTOUIRS, VTOUIZS, VTOULS
-    SStream_concat0(O, ".u32.f32\t"); 
+    SStream_concat0(O, ".u32.f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U32F32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 31:
     // VCVTs2fd, VCVTs2fq, VCVTxs2fd, VCVTxs2fq, VSITOS, VSLTOS
-    SStream_concat0(O, ".f32.s32\t"); 
+    SStream_concat0(O, ".f32.s32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32S32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 32:
     // VCVTu2fd, VCVTu2fq, VCVTxu2fd, VCVTxu2fq, VUITOS, VULTOS
-    SStream_concat0(O, ".f32.u32\t"); 
+    SStream_concat0(O, ".f32.u32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32U32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 33:
     // VDUP16d, VDUP16q, VDUPLN16d, VDUPLN16q, VEXTd16, VEXTq16, VLD1DUPd16, ...
-    SStream_concat0(O, ".16\t"); 
+    SStream_concat0(O, ".16\t");
+	ARM_addVectorDataSize(MI, 16);
     break;
   case 34:
     // VDUP32d, VDUP32q, VDUPLN32d, VDUPLN32q, VEXTd32, VEXTq32, VGETLNi32, V...
-    SStream_concat0(O, ".32\t"); 
+    SStream_concat0(O, ".32\t");
+	ARM_addVectorDataSize(MI, 32);
     break;
   case 35:
     // VEXTq64, VLD1d64, VLD1d64Q, VLD1d64Qwb_fixed, VLD1d64Qwb_register, VLD...
-    SStream_concat0(O, ".64\t"); 
+    SStream_concat0(O, ".64\t");
+	ARM_addVectorDataSize(MI, 64);
     break;
   case 36:
     // VLD1LNd16, VLD1LNd16_UPD, VLD2LNd16, VLD2LNd16_UPD, VLD2LNq16, VLD2LNq...
-    SStream_concat0(O, ".16\t{"); 
+    SStream_concat0(O, ".16\t{");
+	ARM_addVectorDataSize(MI, 16);
     break;
   case 37:
     // VLD1LNd32, VLD1LNd32_UPD, VLD2LNd32, VLD2LNd32_UPD, VLD2LNq32, VLD2LNq...
-    SStream_concat0(O, ".32\t{"); 
+    SStream_concat0(O, ".32\t{");
+	ARM_addVectorDataSize(MI, 32);
     break;
   case 38:
     // VLD1LNd8, VLD1LNd8_UPD, VLD2LNd8, VLD2LNd8_UPD, VLD3DUPd8, VLD3DUPd8_U...
-    SStream_concat0(O, ".8\t{"); 
+    SStream_concat0(O, ".8\t{");
+	ARM_addVectorDataSize(MI, 8);
     break;
   case 39:
     // VMSR
-    SStream_concat0(O, "\tfpscr, "); 
+    SStream_concat0(O, "\tfpscr, ");
+	ARM_addReg(MI, ARM_REG_FPSCR);
     printOperand(MI, 0, O); 
     return;
     break;
   case 40:
     // VMSR_FPEXC
-    SStream_concat0(O, "\tfpexc, "); 
+    SStream_concat0(O, "\tfpexc, ");
+	ARM_addReg(MI, ARM_REG_FPEXC);
     printOperand(MI, 0, O); 
     return;
     break;
   case 41:
     // VMSR_FPINST
-    SStream_concat0(O, "\tfpinst, "); 
+    SStream_concat0(O, "\tfpinst, ");
+	ARM_addReg(MI, ARM_REG_FPINST);
     printOperand(MI, 0, O); 
     return;
     break;
   case 42:
     // VMSR_FPINST2
-    SStream_concat0(O, "\tfpinst2, "); 
+    SStream_concat0(O, "\tfpinst2, ");
+	ARM_addReg(MI, ARM_REG_FPINST2);
     printOperand(MI, 0, O); 
     return;
     break;
   case 43:
     // VMSR_FPSID
-    SStream_concat0(O, "\tfpsid, "); 
+    SStream_concat0(O, "\tfpsid, ");
+	ARM_addReg(MI, ARM_REG_FPSID);
     printOperand(MI, 0, O); 
     return;
     break;
   case 44:
     // VMULLp8, VMULpd, VMULpq
-    SStream_concat0(O, ".p8\t"); 
+    SStream_concat0(O, ".p8\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_P8);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6572,19 +6524,22 @@
     break;
   case 45:
     // VQADDsv1i64, VQADDsv2i64, VQMOVNsuv2i32, VQMOVNsv2i32, VQRSHLsv1i64, V...
-    SStream_concat0(O, ".s64\t"); 
+    SStream_concat0(O, ".s64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 46:
     // VQADDuv1i64, VQADDuv2i64, VQMOVNuv2i32, VQRSHLuv1i64, VQRSHLuv2i64, VQ...
-    SStream_concat0(O, ".u64\t"); 
+    SStream_concat0(O, ".u64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     break;
   case 47:
     // VSHTOD
-    SStream_concat0(O, ".f64.s16\t"); 
+    SStream_concat0(O, ".f64.s16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64S16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6594,7 +6549,8 @@
     break;
   case 48:
     // VSHTOS
-    SStream_concat0(O, ".f32.s16\t"); 
+    SStream_concat0(O, ".f32.s16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32S16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6604,14 +6560,16 @@
     break;
   case 49:
     // VSITOD, VSLTOD
-    SStream_concat0(O, ".f64.s32\t"); 
+    SStream_concat0(O, ".f64.s32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64S32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 50:
     // VTOSHD
-    SStream_concat0(O, ".s16.f64\t"); 
+    SStream_concat0(O, ".s16.f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S16F64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6621,7 +6579,8 @@
     break;
   case 51:
     // VTOSHS
-    SStream_concat0(O, ".s16.f32\t"); 
+    SStream_concat0(O, ".s16.f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S16F32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6631,14 +6590,16 @@
     break;
   case 52:
     // VTOSIRD, VTOSIZD, VTOSLD
-    SStream_concat0(O, ".s32.f64\t"); 
+    SStream_concat0(O, ".s32.f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_S32F64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 53:
     // VTOUHD
-    SStream_concat0(O, ".u16.f64\t"); 
+    SStream_concat0(O, ".u16.f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U16F64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6648,7 +6609,8 @@
     break;
   case 54:
     // VTOUHS
-    SStream_concat0(O, ".u16.f32\t"); 
+    SStream_concat0(O, ".u16.f32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U16F32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6658,14 +6620,16 @@
     break;
   case 55:
     // VTOUIRD, VTOUIZD, VTOULD
-    SStream_concat0(O, ".u32.f64\t"); 
+    SStream_concat0(O, ".u32.f64\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_U32F64);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
     break;
   case 56:
     // VUHTOD
-    SStream_concat0(O, ".f64.u16\t"); 
+    SStream_concat0(O, ".f64.u16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64U16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6675,7 +6639,8 @@
     break;
   case 57:
     // VUHTOS
-    SStream_concat0(O, ".f32.u16\t"); 
+    SStream_concat0(O, ".f32.u16\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F32U16);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6685,7 +6650,8 @@
     break;
   case 58:
     // VUITOD, VULTOD
-    SStream_concat0(O, ".f64.u32\t"); 
+    SStream_concat0(O, ".f64.u32\t");
+	ARM_addVectorDataType(MI, ARM_VECTORDATA_F64U32);
     printOperand(MI, 0, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -6696,19 +6662,23 @@
     break;
   case 60:
     // t2SRSDB, t2SRSIA
-    SStream_concat0(O, "\tsp, "); 
+    SStream_concat0(O, "\tsp, ");
+	ARM_addReg(MI, ARM_REG_SP);
     printOperand(MI, 0, O); 
     return;
     break;
   case 61:
     // t2SRSDB_UPD, t2SRSIA_UPD
-    SStream_concat0(O, "\tsp!, "); 
+    SStream_concat0(O, "\tsp!, ");
+	ARM_addReg(MI, ARM_REG_SP);
     printOperand(MI, 0, O); 
     return;
     break;
   case 62:
     // t2SUBS_PC_LR
-    SStream_concat0(O, "\tpc, lr, "); 
+    SStream_concat0(O, "\tpc, lr, ");
+	ARM_addReg(MI, ARM_REG_PC);
+	ARM_addReg(MI, ARM_REG_LR);
     printOperand(MI, 0, O); 
     return;
     break;
@@ -6776,9 +6746,11 @@
     return;
     break;
   case 8:
-    // LDAEXD, LDRD_PAIR, LDREXD, STRD_PAIR
+    // LDAEXD, LDREXD
     printGPRPairOperand(MI, 0, O, MRI); 
     SStream_concat0(O, ", "); 
+    printAddrMode7Operand(MI, 1, O); 
+    return;
     break;
   case 9:
     // LDC2L_OFFSET, LDC2_OFFSET, STC2L_OFFSET, STC2_OFFSET
@@ -6825,8 +6797,8 @@
     break;
   case 15:
     // VCMPEZD, VCMPEZS, VCMPZD, VCMPZS, tRSB
-    SStream_concat0(O, ", #0");
-    op_addImm(MI, 0);
+    SStream_concat0(O, ", #0"); 
+	op_addImm(MI, 0);
     return;
     break;
   case 16:
@@ -7086,7 +7058,7 @@
   }
 
 
-  // Fragment 3 encoded into 5 bits for 30 unique commands.
+  // Fragment 3 encoded into 5 bits for 28 unique commands.
   //printf("Frag-3: %"PRIu64"\n", (Bits >> 30) & 31);
   switch ((Bits >> 30) & 31) {
   default:   // unreachable.
@@ -7113,128 +7085,128 @@
     printRegisterList(MI, 4, O); 
     break;
   case 5:
-    // LDAEXD, LDREXD
-    printAddrMode7Operand(MI, 1, O); 
-    return;
-    break;
-  case 6:
     // LDC2L_OPTION, LDC2_OPTION, STC2L_OPTION, STC2_OPTION
     printCoprocOptionImm(MI, 3, O); 
     return;
     break;
-  case 7:
+  case 6:
     // LDC2L_POST, LDC2_POST, STC2L_POST, STC2_POST
     printPostIdxImm8s4Operand(MI, 3, O); 
     return;
     break;
-  case 8:
+  case 7:
     // LDCL_OFFSET, LDCL_OPTION, LDCL_POST, LDCL_PRE, LDC_OFFSET, LDC_OPTION,...
     printCImmediate(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
+  case 8:
+    // MRS, t2MRS_AR
+    SStream_concat0(O, ", apsr");
+	ARM_addReg(MI, ARM_REG_APSR);
+    return;
+    break;
   case 9:
-    // LDRD_PAIR, STRD_PAIR
-    printAddrMode3Operand(MI, 1, O, false); 
+    // MRSsys, t2MRSsys_AR
+    SStream_concat0(O, ", spsr");
+	ARM_addReg(MI, ARM_REG_SPSR);
     return;
     break;
   case 10:
-    // MRS, t2MRS_AR
-    SStream_concat0(O, ", apsr"); 
+    // VCEQzv16i8, VCEQzv2i32, VCEQzv4i16, VCEQzv4i32, VCEQzv8i16, VCEQzv8i8,...
+    SStream_concat0(O, ", #0"); 
+	op_addImm(MI, 0);
     return;
     break;
   case 11:
-    // MRSsys, t2MRSsys_AR
-    SStream_concat0(O, ", spsr"); 
-    return;
-    break;
-  case 12:
-    // VCEQzv16i8, VCEQzv2i32, VCEQzv4i16, VCEQzv4i32, VCEQzv8i16, VCEQzv8i8,...
-    SStream_concat0(O, ", #0");
-    op_addImm(MI, 0);
-    return;
-    break;
-  case 13:
     // VCVTf2xsd, VCVTf2xsq, VCVTf2xud, VCVTf2xuq, VCVTxs2fd, VCVTxs2fq, VCVT...
     printOperand(MI, 2, O); 
     break;
-  case 14:
+  case 12:
     // VGETLNs16, VGETLNs8, VGETLNu16, VGETLNu8
     printVectorIndex(MI, 2, O); 
     return;
     break;
-  case 15:
+  case 13:
     // VLD1DUPd16, VLD1DUPd32, VLD1DUPd8, VLD1DUPq16, VLD1DUPq32, VLD1DUPq8, ...
     printAddrMode6Operand(MI, 1, O); 
     break;
-  case 16:
+  case 14:
     // VLD1DUPd16wb_fixed, VLD1DUPd16wb_register, VLD1DUPd32wb_fixed, VLD1DUP...
     printAddrMode6Operand(MI, 2, O); 
     break;
-  case 17:
+  case 15:
     // VLD1LNd16, VLD1LNd16_UPD, VLD1LNd32, VLD1LNd32_UPD, VLD1LNd8, VLD1LNd8...
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     break;
-  case 18:
+  case 16:
     // VLD3DUPd16, VLD3DUPd16_UPD, VLD3DUPd32, VLD3DUPd32_UPD, VLD3DUPd8, VLD...
     SStream_concat0(O, "[], "); 
     printOperand(MI, 1, O); 
     SStream_concat0(O, "[], "); 
     printOperand(MI, 2, O); 
     break;
-  case 19:
+  case 17:
     // VLD3DUPdWB_fixed_Asm_16, VLD3DUPdWB_fixed_Asm_32, VLD3DUPdWB_fixed_Asm...
     SStream_concat0(O, "!"); 
     return;
     break;
-  case 20:
+  case 18:
     // VMRS
-    SStream_concat0(O, ", fpscr"); 
+    SStream_concat0(O, ", fpscr");
+	ARM_addReg(MI, ARM_REG_FPSCR);
+    return;
+    break;
+  case 19:
+    // VMRS_FPEXC
+    SStream_concat0(O, ", fpexc");
+	ARM_addReg(MI, ARM_REG_FPEXC);
+    return;
+    break;
+  case 20:
+    // VMRS_FPINST
+    SStream_concat0(O, ", fpinst");
+	ARM_addReg(MI, ARM_REG_FPINST);
     return;
     break;
   case 21:
-    // VMRS_FPEXC
-    SStream_concat0(O, ", fpexc"); 
+    // VMRS_FPINST2
+    SStream_concat0(O, ", fpinst2");
+	ARM_addReg(MI, ARM_REG_FPINST2);
     return;
     break;
   case 22:
-    // VMRS_FPINST
-    SStream_concat0(O, ", fpinst"); 
+    // VMRS_FPSID
+    SStream_concat0(O, ", fpsid");
+	ARM_addReg(MI, ARM_REG_FPSID);
     return;
     break;
   case 23:
-    // VMRS_FPINST2
-    SStream_concat0(O, ", fpinst2"); 
+    // VMRS_MVFR0
+    SStream_concat0(O, ", mvfr0");
+	ARM_addReg(MI, ARM_REG_MVFR0);
     return;
     break;
   case 24:
-    // VMRS_FPSID
-    SStream_concat0(O, ", fpsid"); 
+    // VMRS_MVFR1
+    SStream_concat0(O, ", mvfr1");
+	ARM_addReg(MI, ARM_REG_MVFR1);
     return;
     break;
   case 25:
-    // VMRS_MVFR0
-    SStream_concat0(O, ", mvfr0"); 
+    // VMRS_MVFR2
+    SStream_concat0(O, ", mvfr2");
+	ARM_addReg(MI, ARM_REG_MVFR2);
     return;
     break;
   case 26:
-    // VMRS_MVFR1
-    SStream_concat0(O, ", mvfr1"); 
-    return;
-    break;
-  case 27:
-    // VMRS_MVFR2
-    SStream_concat0(O, ", mvfr2"); 
-    return;
-    break;
-  case 28:
     // VSETLNi16, VSETLNi32, VSETLNi8
     printVectorIndex(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 2, O); 
     return;
     break;
-  case 29:
+  case 27:
     // VSLTOD, VSLTOS, VTOSLD, VTOSLS, VTOULD, VTOULS, VULTOD, VULTOS
     printFBits32(MI, 2, O); 
     return;
@@ -7367,8 +7339,8 @@
     break;
   case 23:
     // VCEQzv2f32, VCEQzv4f32, VCGEzv2f32, VCGEzv4f32, VCGTzv2f32, VCGTzv4f32...
-    SStream_concat0(O, ", #0");
-    op_addImm(MI, 0);
+    SStream_concat0(O, ", #0"); 
+	op_addImm(MI, 0);
     return;
     break;
   case 24:
@@ -7392,7 +7364,7 @@
     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
     printNoHashImmediate(MI, 8, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     break;
   case 29:
     // VLD3DUPd16, VLD3DUPd16_UPD, VLD3DUPd32, VLD3DUPd32_UPD, VLD3DUPd8, VLD...
@@ -7402,16 +7374,16 @@
     // VLD3LNd16_UPD, VLD3LNd32_UPD, VLD3LNd8_UPD, VLD3LNq16_UPD, VLD3LNq32_U...
     printNoHashImmediate(MI, 10, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 1, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 10, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 2, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 10, O); 
     break;
   case 31:
@@ -7424,25 +7396,25 @@
     // VLD4LNd16_UPD, VLD4LNd32_UPD, VLD4LNd8_UPD, VLD4LNq16_UPD, VLD4LNq32_U...
     printNoHashImmediate(MI, 12, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 1, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 12, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 2, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 12, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 3, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 12, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 5, O); 
     printAddrMode6OffsetOperand(MI, 7, O); 
     return;
@@ -7456,7 +7428,7 @@
     // VST1LNd16, VST1LNd32, VST1LNd8
     printNoHashImmediate(MI, 3, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 0, O); 
     return;
     break;
@@ -7468,19 +7440,19 @@
     // VST3LNd16_UPD, VST3LNd32_UPD, VST3LNd8_UPD, VST3LNq16_UPD, VST3LNq32_U...
     printNoHashImmediate(MI, 7, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 5, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 7, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 6, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 7, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 1, O); 
     printAddrMode6OffsetOperand(MI, 3, O); 
     return;
@@ -7549,7 +7521,8 @@
     break;
   case 46:
     // sysLDMDA_UPD, sysLDMDB_UPD, sysLDMIA_UPD, sysLDMIB_UPD, sysSTMDA_UPD, ...
-    SStream_concat0(O, " ^"); 
+    SStream_concat0(O, " ^");
+	ARM_addUserMode(MI);
     return;
     break;
   case 47:
@@ -7685,7 +7658,7 @@
   case 9:
     // VLD1LNd16, VLD1LNd16_UPD, VLD1LNd32, VLD1LNd32_UPD, VLD1LNd8, VLD1LNd8...
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     break;
   case 10:
     // VLD1LNdWB_fixed_Asm_16, VLD1LNdWB_fixed_Asm_32, VLD1LNdWB_fixed_Asm_8,...
@@ -7695,13 +7668,13 @@
   case 11:
     // VLD2LNd16, VLD2LNd32, VLD2LNd8, VLD2LNq16, VLD2LNq32, VLD4LNd16, VLD4L...
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     break;
   case 12:
     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
     printOperand(MI, 1, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 8, O); 
     break;
   case 13:
@@ -7740,29 +7713,30 @@
     // VST4LNd16_UPD, VST4LNd32_UPD, VST4LNd8_UPD, VST4LNq16_UPD, VST4LNq32_U...
     printOperand(MI, 5, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 8, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 6, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 8, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 7, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 8, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 1, O); 
     printAddrMode6OffsetOperand(MI, 3, O); 
     return;
     break;
   case 20:
     // sysLDMDA, sysLDMDB, sysLDMIA, sysLDMIB, sysSTMDA, sysSTMDB, sysSTMIA, ...
-    SStream_concat0(O, " ^"); 
+    SStream_concat0(O, " ^");
+	ARM_addUserMode(MI);
     return;
     break;
   case 21:
@@ -7772,8 +7746,8 @@
     break;
   case 22:
     // t2MOVsra_flag, t2MOVsrl_flag
-    SStream_concat0(O, ", #1");
-    op_addImm(MI, 1);
+    SStream_concat0(O, ", #1"); 
+	op_addImm(MI, 1);
     return;
     break;
   }
@@ -7882,17 +7856,17 @@
     // VLD2LNd16, VLD2LNd32, VLD2LNd8, VLD2LNq16, VLD2LNq32
     printOperand(MI, 1, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 6, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 2, O); 
     return;
     break;
   case 21:
     // VLD2LNd16_UPD, VLD2LNd32_UPD, VLD2LNd8_UPD, VLD2LNq16_UPD, VLD2LNq32_U...
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 3, O); 
     printAddrMode6OffsetOperand(MI, 5, O); 
     return;
@@ -7905,13 +7879,13 @@
   case 23:
     // VLD3LNd16, VLD3LNd32, VLD3LNd8, VLD3LNq16, VLD3LNq32
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 2, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 8, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 3, O); 
     return;
     break;
@@ -7935,10 +7909,10 @@
     // VST2LNd16_UPD, VST2LNd32_UPD, VST2LNd8_UPD, VST2LNq16_UPD, VST2LNq32_U...
     printOperand(MI, 5, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 6, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 1, O); 
     printAddrMode6OffsetOperand(MI, 3, O); 
     return;
@@ -8040,7 +8014,7 @@
   case 9:
     // VLD4LNd16, VLD4LNd32, VLD4LNd8, VLD4LNq16, VLD4LNq32, VST2LNd16, VST2L...
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     break;
   case 10:
     // VST1LNd16_UPD, VST1LNd32_UPD, VST1LNd8_UPD
@@ -8088,7 +8062,7 @@
     // VLD4LNd16, VLD4LNd32, VLD4LNd8, VLD4LNq16, VLD4LNq32
     printNoHashImmediate(MI, 10, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 4, O); 
     return;
     break;
@@ -8096,7 +8070,7 @@
     // VST2LNd16, VST2LNd32, VST2LNd8, VST2LNq16, VST2LNq32
     printNoHashImmediate(MI, 4, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 0, O); 
     return;
     break;
@@ -8104,13 +8078,13 @@
     // VST3LNd16, VST3LNd32, VST3LNd8, VST3LNq16, VST3LNq32
     printNoHashImmediate(MI, 5, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 4, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 5, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 0, O); 
     return;
     break;
@@ -8123,19 +8097,19 @@
     // VST4LNd16, VST4LNd32, VST4LNd8, VST4LNq16, VST4LNq32
     printNoHashImmediate(MI, 6, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 4, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 6, O); 
     SStream_concat0(O, "], "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printOperand(MI, 5, O); 
     SStream_concat0(O, "["); 
-    set_mem_access(MI, true);
+	set_mem_access(MI, true);
     printNoHashImmediate(MI, 6, O); 
     SStream_concat0(O, "]}, "); 
-    set_mem_access(MI, false);
+	set_mem_access(MI, false);
     printAddrMode6Operand(MI, 0, O); 
     return;
     break;
@@ -8182,7 +8156,6 @@
     printAddrMode6Operand(MI, 4, O); 
     return;
   }
-
 }
 
 
@@ -8655,13 +8628,116 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
-static bool printAliasInstr(MCInst *MI, SStream *OS, void *info)
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printPredicateOperand(MI, OpIdx, OS);
+    break;
+  case 1:
+    printSBitModifierOperand(MI, OpIdx, OS);
+    break;
+  case 2:
+    printFPImmOperand(MI, OpIdx, OS);
+    break;
+  case 3:
+    printRegisterList(MI, OpIdx, OS);
+    break;
+  case 4:
+    printPImmediate(MI, OpIdx, OS);
+    break;
+  case 5:
+    printCImmediate(MI, OpIdx, OS);
+    break;
+  case 6:
+    printImmPlusOneOperand(MI, OpIdx, OS);
+    break;
+  case 7:
+    printAddrMode5Operand(MI, OpIdx, OS, false);
+    break;
+  case 8:
+    printNEONModImmOperand(MI, OpIdx, OS);
+    break;
+  case 9:
+    printT2SOOperand(MI, OpIdx, OS);
+    break;
+  case 10:
+    printAdrLabelOperand<0>(MI, OpIdx, OS, 0);
+    break;
+  case 11:
+    printThumbSRImm(MI, OpIdx, OS);
+    break;
+  case 12:
+    printAddrModeImm12Operand(MI, OpIdx, OS, false);
+    break;
+  case 13:
+    printThumbLdrLabelOperand(MI, OpIdx, OS);
+    break;
+  case 14:
+    printT2AddrModeSoRegOperand(MI, OpIdx, OS);
+    break;
+  case 15:
+    printRotImmOperand(MI, OpIdx, OS);
+    break;
+  case 16:
+    printCPSIMod(MI, OpIdx, OS);
+    break;
+  }
+}
+
+static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
+  char *tmp, *AsmMnem, *AsmOps, *c;
+  int OpIdx, PrintMethodIdx;
   MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return false;
+  default: return NULL;
+  case ARM_ANDri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (ANDri rGPR:$Rd, rGPR:$Rn, so_imm_not:$imm, pred:$p, cc_out:$s)
+      AsmString = "bic$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (ANDri rGPR:$Rdn, rGPR:$Rdn, so_imm_not:$imm, pred:$p, cc_out:$s)
+      AsmString = "bic$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_BICri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (BICri rGPR:$Rd, rGPR:$Rn, so_imm_not:$imm, pred:$p, cc_out:$s)
+      AsmString = "and$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (BICri rGPR:$Rdn, rGPR:$Rdn, so_imm_not:$imm, pred:$p, cc_out:$s)
+      AsmString = "and$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
   case ARM_BKPT:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -8670,7 +8746,25 @@
       AsmString = "bkpt";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_CMNri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (CMNri rGPR:$Rd, so_imm_neg:$imm, pred:$p)
+      AsmString = "cmp$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_CMPri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (CMPri rGPR:$Rd, so_imm_neg:$imm, pred:$p)
+      AsmString = "cmn$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
   case ARM_DMB:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -8679,7 +8773,7 @@
       AsmString = "dmb";
       break;
     }
-    return false;
+    return NULL;
   case ARM_DSB:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -8688,7 +8782,76 @@
       AsmString = "dsb";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_FCONSTD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0)) {
+      // (FCONSTD DPR:$Dd, vfp_f64imm:$val, pred:$p)
+      AsmString = "fconstd$\xFF\x03\x01} $\x01, $\xFF\x02\x03";
+      break;
+    }
+    return NULL;
+  case ARM_FCONSTS:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0)) {
+      // (FCONSTS SPR:$Sd, vfp_f32imm:$val, pred:$p)
+      AsmString = "fconsts$\xFF\x03\x01} $\x01, $\xFF\x02\x03";
+      break;
+    }
+    return NULL;
+  case ARM_FMSTAT:
+    if (MCInst_getNumOperands(MI) == 2) {
+      // (FMSTAT pred:$p)
+      AsmString = "fmstat$\xFF\x01\x01}";
+      break;
+    }
+    return NULL;
+  case ARM_HINT:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (HINT 0, pred:$p)
+      AsmString = "nop$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1) {
+      // (HINT 1, pred:$p)
+      AsmString = "yield$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2) {
+      // (HINT 2, pred:$p)
+      AsmString = "wfe$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 3) {
+      // (HINT 3, pred:$p)
+      AsmString = "wfi$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4) {
+      // (HINT 4, pred:$p)
+      AsmString = "sev$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 5) {
+      // (HINT 5, pred:$p)
+      AsmString = "sevl$\xFF\x02\x01";
+      break;
+    }
+    return NULL;
   case ARM_ISB:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -8697,7 +8860,1051 @@
       AsmString = "isb";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_LDMIA_UPD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == ARM_SP) {
+      // (LDMIA_UPD SP, pred:$p, reglist:$regs)
+      AsmString = "pop$\xFF\x02\x01} $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_MCR:
+    if (MCInst_getNumOperands(MI) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (MCR p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn, c_imm:$CRm, 0, pred:$p)
+      AsmString = "mcr$\xFF\x07\x01} $\xFF\x01\x05, $\x02, $\x03, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_MCR2:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (MCR2 p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn, c_imm:$CRm, 0)
+      AsmString = "mcr2 $\xFF\x01\x05, $\x02, $\x03, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_MLA:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 3)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 3)) {
+      // (MLA GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, GPRnopc:$Ra, pred:$p, cc_out:$s)
+      AsmString = "mla$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\x03, $\x04";
+      break;
+    }
+    return NULL;
+  case ARM_MOVi:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (MOVi rGPR:$Rd, so_imm_not:$imm, pred:$p, cc_out:$s)
+      AsmString = "mvn$\xFF\x05\x02}$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_MOVi16:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (MOVi16 GPR:$Rd, imm0_65535_expr:$imm, pred:$p)
+      AsmString = "mov$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_MRC:
+    if (MCInst_getNumOperands(MI) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRwithAPSRRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (MRC GPRwithAPSR:$Rt, p_imm:$cop, imm0_7:$opc1, c_imm:$CRn, c_imm:$CRm, 0, pred:$p)
+      AsmString = "mrc$\xFF\x07\x01} $\xFF\x02\x05, $\x03, $\x01, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_MRC2:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRwithAPSRRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (MRC2 GPRwithAPSR:$Rt, p_imm:$cop, imm0_7:$opc1, c_imm:$CRn, c_imm:$CRm, 0)
+      AsmString = "mrc2 $\xFF\x02\x05, $\x03, $\x01, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_MRS:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (MRS GPRnopc:$Rd, pred:$p)
+      AsmString = "mrs$\xFF\x02\x01} $\x01, cpsr";
+      break;
+    }
+    return NULL;
+  case ARM_MUL:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2)) {
+      // (MUL GPRnopc:$Rd, GPRnopc:$Rn, GPRnopc:$Rm, pred:$p, cc_out:$s)
+      AsmString = "mul$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_MVNi:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (MVNi rGPR:$Rd, so_imm_not:$imm, pred:$p, cc_out:$s)
+      AsmString = "mov$\xFF\x05\x02}$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_RSBri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (RSBri GPR:$Rd, GPR:$Rm, 0, pred:$p, cc_out:$s)
+      AsmString = "neg$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_SMLAL:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 3)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 3)) {
+      // (SMLAL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "smlal$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\x03, $\x04";
+      break;
+    }
+    return NULL;
+  case ARM_SMULL:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 3)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 3)) {
+      // (SMULL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "smull$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\x03, $\x04";
+      break;
+    }
+    return NULL;
+  case ARM_SRSDA:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSDA imm0_31:$mode)
+      AsmString = "srsda $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_SRSDA_UPD:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSDA_UPD imm0_31:$mode)
+      AsmString = "srsda $\x01!";
+      break;
+    }
+    return NULL;
+  case ARM_SRSDB:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSDB imm0_31:$mode)
+      AsmString = "srsdb $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_SRSDB_UPD:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSDB_UPD imm0_31:$mode)
+      AsmString = "srsdb $\x01!";
+      break;
+    }
+    return NULL;
+  case ARM_SRSIA:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSIA imm0_31:$mode)
+      AsmString = "srsia $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_SRSIA_UPD:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSIA_UPD imm0_31:$mode)
+      AsmString = "srsia $\x01!";
+      break;
+    }
+    return NULL;
+  case ARM_SRSIB:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSIB imm0_31:$mode)
+      AsmString = "srsib $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_SRSIB_UPD:
+    if (MCInst_getNumOperands(MI) == 1) {
+      // (SRSIB_UPD imm0_31:$mode)
+      AsmString = "srsib $\x01!";
+      break;
+    }
+    return NULL;
+  case ARM_SSAT:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (SSAT GPRnopc:$Rd, imm1_32:$sat_imm, GPRnopc:$Rn, 0, pred:$p)
+      AsmString = "ssat$\xFF\x05\x01} $\x01, $\xFF\x02\x07, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_STMDB_UPD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == ARM_SP) {
+      // (STMDB_UPD SP, pred:$p, reglist:$regs)
+      AsmString = "push$\xFF\x02\x01} $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_SUBri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1)) {
+      // (SUBri GPR:$Rd, GPR:$Rn, so_imm_neg:$imm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (SUBri GPR:$Rd, GPR:$Rd, so_imm_neg:$imm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_SXTAB:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (SXTAB GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "sxtab$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_SXTAB16:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (SXTAB16 GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "sxtab16$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_SXTAH:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (SXTAH GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "sxtah$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_SXTB:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (SXTB GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "sxtb$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_SXTB16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (SXTB16 GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "sxtb16$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_SXTH:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (SXTH GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "sxth$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_UMLAL:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 3)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 3)) {
+      // (UMLAL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "umlal$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\x03, $\x04";
+      break;
+    }
+    return NULL;
+  case ARM_UMULL:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 3)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 3)) {
+      // (UMULL GPR:$RdLo, GPR:$RdHi, GPR:$Rn, GPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "umull$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\x03, $\x04";
+      break;
+    }
+    return NULL;
+  case ARM_USAT:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (USAT GPRnopc:$Rd, imm0_31:$sat_imm, GPRnopc:$Rn, 0, pred:$p)
+      AsmString = "usat$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_UXTAB:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (UXTAB GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "uxtab$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_UXTAB16:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (UXTAB16 GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "uxtab16$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_UXTAH:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (UXTAH GPRnopc:$Rd, GPR:$Rn, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "uxtah$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_UXTB:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (UXTB GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "uxtb$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_UXTB16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (UXTB16 GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "uxtb16$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_UXTH:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (UXTH GPRnopc:$Rd, GPRnopc:$Rm, 0, pred:$p)
+      AsmString = "uxth$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VACGEd:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VACGEd DPR:$Vd, DPR:$Vm, DPR:$Vn, pred:$p)
+      AsmString = "vacle$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (VACGEd DPR:$Vd, DPR:$Vm, DPR:$Vd, pred:$p)
+      AsmString = "vacle$\xFF\x04\x01}.f32 $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VACGEq:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VACGEq QPR:$Vd, QPR:$Vm, QPR:$Vn, pred:$p)
+      AsmString = "vacle$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (VACGEq QPR:$Vd, QPR:$Vm, QPR:$Vd, pred:$p)
+      AsmString = "vacle$\xFF\x04\x01}.f32 $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VACGTd:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VACGTd DPR:$Vd, DPR:$Vm, DPR:$Vn, pred:$p)
+      AsmString = "vaclt$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (VACGTd DPR:$Vd, DPR:$Vm, DPR:$Vd, pred:$p)
+      AsmString = "vaclt$\xFF\x04\x01}.f32 $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VACGTq:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VACGTq QPR:$Vd, QPR:$Vm, QPR:$Vn, pred:$p)
+      AsmString = "vaclt$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (VACGTq QPR:$Vd, QPR:$Vm, QPR:$Vd, pred:$p)
+      AsmString = "vaclt$\xFF\x04\x01}.f32 $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VADDD:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VADDD DPR:$Dd, DPR:$Dn, DPR:$Dm, pred:$p)
+      AsmString = "faddd$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_VADDS:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 2)) {
+      // (VADDS SPR:$Sd, SPR:$Sn, SPR:$Sm, pred:$p)
+      AsmString = "fadds$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEfd:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEfd DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEfq:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEfq QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEsv16i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEsv16i8 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.s8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEsv2i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEsv2i32 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.s32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEsv4i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEsv4i16 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.s16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEsv4i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEsv4i32 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.s32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEsv8i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEsv8i16 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.s16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEsv8i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEsv8i8 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.s8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEuv16i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEuv16i8 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.u8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEuv2i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEuv2i32 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.u32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEuv4i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEuv4i16 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.u16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEuv4i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEuv4i32 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.u32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEuv8i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGEuv8i16 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.u16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGEuv8i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGEuv8i8 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vcle$\xFF\x04\x01}.u8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTfd:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTfd DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTfq:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTfq QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.f32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTsv16i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTsv16i8 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.s8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTsv2i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTsv2i32 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.s32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTsv4i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTsv4i16 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.s16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTsv4i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTsv4i32 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.s32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTsv8i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTsv8i16 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.s16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTsv8i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTsv8i8 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.s8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTuv16i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTuv16i8 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.u8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTuv2i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTuv2i32 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.u32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTuv4i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTuv4i16 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.u16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTuv4i32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTuv4i32 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.u32 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTuv8i16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 2)) {
+      // (VCGTuv8i16 QPR:$Qd, QPR:$Qm, QPR:$Qn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.u16 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCGTuv8i8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VCGTuv8i8 DPR:$Dd, DPR:$Dm, DPR:$Dn, pred:$p)
+      AsmString = "vclt$\xFF\x04\x01}.u8 $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VCMPZD:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0)) {
+      // (VCMPZD DPR:$val, pred:$p)
+      AsmString = "fcmpzd$\xFF\x02\x01} $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_VCMPZS:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0)) {
+      // (VCMPZS SPR:$val, pred:$p)
+      AsmString = "fcmpzs$\xFF\x02\x01} $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_VLDRD:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0)) {
+      // (VLDRD DPR:$Dd, addrmode5:$addr, pred:$p)
+      AsmString = "vldr$\xFF\x04\x01}.64 $\x01, $\xFF\x02\x08";
+      break;
+    }
+    return NULL;
+  case ARM_VLDRS:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0)) {
+      // (VLDRS SPR:$Sd, addrmode5:$addr, pred:$p)
+      AsmString = "vldr$\xFF\x04\x01}.32 $\x01, $\xFF\x02\x08";
+      break;
+    }
+    return NULL;
+  case ARM_VMOVDRR:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2)) {
+      // (VMOVDRR DPR:$Dn, GPR:$Rt, GPR:$Rt2, pred:$p)
+      AsmString = "vmov$\xFF\x04\x01}.f64 $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_VMOVRRD:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VMOVRRD GPR:$Rt, GPR:$Rt2, DPR:$Dn, pred:$p)
+      AsmString = "vmov$\xFF\x04\x01}.f64 $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_VMOVS:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
+      // (VMOVS SPR:$Sd, SPR:$Sm, pred:$p)
+      AsmString = "vmov$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VMVNv2i32:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0)) {
+      // (VMVNv2i32 DPR:$Vd, nImmVMOVI32Neg:$imm, pred:$p)
+      AsmString = "vmov$\xFF\x03\x01}.i32 $\x01, $\xFF\x02\x09";
+      break;
+    }
+    return NULL;
+  case ARM_VMVNv4i32:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 0)) {
+      // (VMVNv4i32 QPR:$Vd, nImmVMOVI32Neg:$imm, pred:$p)
+      AsmString = "vmov$\xFF\x03\x01}.i32 $\x01, $\xFF\x02\x09";
+      break;
+    }
+    return NULL;
   case ARM_VRINTAD:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8705,10 +9912,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTAD DPR:$Dd, DPR:$Dm)
-      AsmString = "vrinta.f64.f64	$Dd, $\x02";
+      AsmString = "vrinta.f64.f64	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTAND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8716,10 +9923,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTAND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrinta.f32.f32	$Dd, $\x02";
+      AsmString = "vrinta.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTANQ:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8727,10 +9934,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1)) {
       // (VRINTANQ QPR:$Qd, QPR:$Qm)
-      AsmString = "vrinta.f32.f32	$Qd, $\x02";
+      AsmString = "vrinta.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTAS:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8738,10 +9945,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
       // (VRINTAS SPR:$Sd, SPR:$Sm)
-      AsmString = "vrinta.f32.f32	$Sd, $\x02";
+      AsmString = "vrinta.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTMD:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8749,10 +9956,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTMD DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintm.f64.f64	$Dd, $\x02";
+      AsmString = "vrintm.f64.f64	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTMND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8760,10 +9967,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTMND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintm.f32.f32	$Dd, $\x02";
+      AsmString = "vrintm.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTMNQ:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8771,10 +9978,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1)) {
       // (VRINTMNQ QPR:$Qd, QPR:$Qm)
-      AsmString = "vrintm.f32.f32	$Qd, $\x02";
+      AsmString = "vrintm.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTMS:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8782,10 +9989,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
       // (VRINTMS SPR:$Sd, SPR:$Sm)
-      AsmString = "vrintm.f32.f32	$Sd, $\x02";
+      AsmString = "vrintm.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8793,10 +10000,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintn.f64.f64	$Dd, $\x02";
+      AsmString = "vrintn.f64.f64	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTNND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8804,10 +10011,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTNND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintn.f32.f32	$Dd, $\x02";
+      AsmString = "vrintn.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTNNQ:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8815,10 +10022,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1)) {
       // (VRINTNNQ QPR:$Qd, QPR:$Qm)
-      AsmString = "vrintn.f32.f32	$Qd, $\x02";
+      AsmString = "vrintn.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTNS:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8826,10 +10033,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
       // (VRINTNS SPR:$Sd, SPR:$Sm)
-      AsmString = "vrintn.f32.f32	$Sd, $\x02";
+      AsmString = "vrintn.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTPD:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8837,10 +10044,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTPD DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintp.f64.f64	$Dd, $\x02";
+      AsmString = "vrintp.f64.f64	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTPND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8848,10 +10055,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTPND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintp.f32.f32	$Dd, $\x02";
+      AsmString = "vrintp.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTPNQ:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8859,10 +10066,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1)) {
       // (VRINTPNQ QPR:$Qd, QPR:$Qm)
-      AsmString = "vrintp.f32.f32	$Qd, $\x02";
+      AsmString = "vrintp.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTPS:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8870,10 +10077,43 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
       // (VRINTPS SPR:$Sd, SPR:$Sm)
-      AsmString = "vrintp.f32.f32	$Sd, $\x02";
+      AsmString = "vrintp.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_VRINTRD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
+      // (VRINTRD DPR:$Dd, DPR:$Dm, pred:$p)
+      AsmString = "vrintr$\xFF\x03\x01.f64.f64	$\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VRINTRS:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
+      // (VRINTRS SPR:$Sd, SPR:$Sm, pred:$p)
+      AsmString = "vrintr$\xFF\x03\x01.f32.f32	$\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VRINTXD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
+      // (VRINTXD DPR:$Dd, DPR:$Dm, pred:$p)
+      AsmString = "vrintx$\xFF\x03\x01.f64.f64	$\x01, $\x02";
+      break;
+    }
+    return NULL;
   case ARM_VRINTXND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8881,10 +10121,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTXND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintx.f32.f32	$Dd, $\x02";
+      AsmString = "vrintx.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTXNQ:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8892,10 +10132,32 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1)) {
       // (VRINTXNQ QPR:$Qd, QPR:$Qm)
-      AsmString = "vrintx.f32.f32	$Qd, $\x02";
+      AsmString = "vrintx.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_VRINTXS:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
+      // (VRINTXS SPR:$Sd, SPR:$Sm, pred:$p)
+      AsmString = "vrintx$\xFF\x03\x01.f32.f32	$\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VRINTZD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
+      // (VRINTZD DPR:$Dd, DPR:$Dm, pred:$p)
+      AsmString = "vrintz$\xFF\x03\x01.f64.f64	$\x01, $\x02";
+      break;
+    }
+    return NULL;
   case ARM_VRINTZND:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8903,10 +10165,10 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
       // (VRINTZND DPR:$Dd, DPR:$Dm)
-      AsmString = "vrintz.f32.f32	$Dd, $\x02";
+      AsmString = "vrintz.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
   case ARM_VRINTZNQ:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
@@ -8914,10 +10176,1466 @@
         MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
         GETREGCLASS_CONTAIN(ARM_QPRRegClassID, 1)) {
       // (VRINTZNQ QPR:$Qd, QPR:$Qm)
-      AsmString = "vrintz.f32.f32	$Qd, $\x02";
+      AsmString = "vrintz.f32.f32	$\x01, $\x02";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_VRINTZS:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
+      // (VRINTZS SPR:$Sd, SPR:$Sm, pred:$p)
+      AsmString = "vrintz$\xFF\x03\x01.f32.f32	$\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VSETLNi32:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (VSETLNi32 DPR:$Dd, GPR:$Rn, 1, pred:$p)
+      AsmString = "fmdhr$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (VSETLNi32 DPR:$Dd, GPR:$Rn, 0, pred:$p)
+      AsmString = "fmdlr$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VSQRTD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1)) {
+      // (VSQRTD DPR:$Dd, DPR:$Dm, pred:$p)
+      AsmString = "vsqrt$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VSQRTS:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1)) {
+      // (VSQRTS SPR:$Sd, SPR:$Sm, pred:$p)
+      AsmString = "vsqrt$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_VSTRD:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0)) {
+      // (VSTRD DPR:$Dd, addrmode5:$addr, pred:$p)
+      AsmString = "vstr$\xFF\x04\x01}.64 $\x01, $\xFF\x02\x08";
+      break;
+    }
+    return NULL;
+  case ARM_VSTRS:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0)) {
+      // (VSTRS SPR:$Sd, addrmode5:$addr, pred:$p)
+      AsmString = "vstr$\xFF\x04\x01}.32 $\x01, $\xFF\x02\x08";
+      break;
+    }
+    return NULL;
+  case ARM_VSUBD:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_DPRRegClassID, 2)) {
+      // (VSUBD DPR:$Dd, DPR:$Dn, DPR:$Dm, pred:$p)
+      AsmString = "fsubd$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_VSUBS:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_SPRRegClassID, 2)) {
+      // (VSUBS SPR:$Sd, SPR:$Sn, SPR:$Sm, pred:$p)
+      AsmString = "fsubs$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADCrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ADCrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "adc$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADCrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2ADCrs rGPR:$Rd, rGPR:$Rn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "adc$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADDri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1)) {
+      // (t2ADDri GPRnopc:$Rd, GPRnopc:$Rn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2ADDri GPRnopc:$Rdn, GPRnopc:$Rdn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADDri12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 1)) {
+      // (t2ADDri12 GPRnopc:$Rd, GPR:$Rn, imm0_4095:$imm, pred:$p)
+      AsmString = "add$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2ADDri12 GPRnopc:$Rdn, GPRnopc:$Rdn, imm0_4095:$imm, pred:$p)
+      AsmString = "add$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADDrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ADDrr GPRnopc:$Rd, GPRnopc:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0)) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ADDrr GPRnopc:$Rdn, GPRnopc:$Rdn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADDrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1)) {
+      // (t2ADDrs GPRnopc:$Rd, GPRnopc:$Rn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2ADDrs GPRnopc:$Rdn, GPRnopc:$Rdn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "add$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2ADR:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2ADR rGPR:$Rd, t2adrlabel:$addr, pred:$p)
+      AsmString = "adr$\xFF\x03\x01} $\x01, $\xFF\x02\x0B";
+      break;
+    }
+    return NULL;
+  case ARM_t2ANDrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ANDrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "and$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ANDrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2ANDrs rGPR:$Rd, rGPR:$Rn, t2_so_reg:$shift, pred:$p, cc_out:$s)
+      AsmString = "and$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2ASRri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2ASRri rGPR:$Rd, rGPR:$Rn, imm_sr:$imm, pred:$p, cc_out:$s)
+      AsmString = "asr$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\xFF\x03\x0C";
+      break;
+    }
+    return NULL;
+  case ARM_t2ASRrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ASRrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "asr$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2BICrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2BICrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "bic$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2BICrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2BICrs rGPR:$Rd, rGPR:$Rn, t2_so_reg:$shift, pred:$p, cc_out:$s)
+      AsmString = "bic$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2CMNri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2CMNri GPRnopc:$Rn, t2_so_imm:$imm, pred:$p)
+      AsmString = "cmn$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2CMNri rGPR:$Rd, t2_so_imm_neg:$imm, pred:$p)
+      AsmString = "cmp$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2CMNzrr:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2CMNzrr GPRnopc:$Rn, rGPR:$Rm, pred:$p)
+      AsmString = "cmn$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2CMNzrs:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2CMNzrs GPRnopc:$Rn, t2_so_reg:$shift, pred:$p)
+      AsmString = "cmn$\xFF\x04\x01} $\x01, $\xFF\x02\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2CMPri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2CMPri rGPR:$Rd, t2_so_imm_neg:$imm, pred:$p)
+      AsmString = "cmn$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2CMPri GPRnopc:$Rn, t2_so_imm:$imm, pred:$p)
+      AsmString = "cmp$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2CMPrs:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2CMPrs GPRnopc:$Rn, t2_so_reg:$shift, pred:$p)
+      AsmString = "cmp$\xFF\x04\x01} $\x01, $\xFF\x02\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2DMB:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
+      // (t2DMB 15, pred:$p)
+      AsmString = "dmb$\xFF\x02\x01}";
+      break;
+    }
+    return NULL;
+  case ARM_t2DSB:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
+      // (t2DSB 15, pred:$p)
+      AsmString = "dsb$\xFF\x02\x01}";
+      break;
+    }
+    return NULL;
+  case ARM_t2EORri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2EORri rGPR:$Rd, rGPR:$Rn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "eor$\xFF\x06\x02}$\xFF\x04\x01}.w $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2EORrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2EORrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "eor$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2EORrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2EORrs rGPR:$Rd, rGPR:$Rn, t2_so_reg:$shift, pred:$p, cc_out:$s)
+      AsmString = "eor$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2HINT:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2HINT imm0_239:$imm, pred:$p)
+      AsmString = "hint$\xFF\x02\x01 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (t2HINT 0, pred:$p)
+      AsmString = "nop$\xFF\x02\x01.w";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1) {
+      // (t2HINT 1, pred:$p)
+      AsmString = "yield$\xFF\x02\x01.w";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2) {
+      // (t2HINT 2, pred:$p)
+      AsmString = "wfe$\xFF\x02\x01.w";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 3) {
+      // (t2HINT 3, pred:$p)
+      AsmString = "wfi$\xFF\x02\x01.w";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4) {
+      // (t2HINT 4, pred:$p)
+      AsmString = "sev$\xFF\x02\x01.w";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 5) {
+      // (t2HINT 5, pred:$p)
+      AsmString = "sevl$\xFF\x02\x01.w";
+      break;
+    }
+    return NULL;
+  case ARM_t2ISB:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15) {
+      // (t2ISB 15, pred:$p)
+      AsmString = "isb$\xFF\x02\x01}";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDMDB:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2LDMDB GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "ldmdb$\xFF\x02\x01}.w $\x01, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDMDB_UPD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2LDMDB_UPD GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "ldmdb$\xFF\x02\x01}.w $\x01!, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDMIA:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2LDMIA GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "ldm$\xFF\x02\x01} $\x01, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDMIA_UPD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2LDMIA_UPD GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "ldm$\xFF\x02\x01} $\x01!, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRBi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRBi12 rGPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "ldrb$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRBpci:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRBpci rGPR:$Rt, t2ldrlabel:$addr, pred:$p)
+      AsmString = "ldrb$\xFF\x03\x01} $\x01, $\xFF\x02\x0E";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRBpcrel:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2LDRBpcrel GPRnopc:$Rt, t2ldr_pcrel_imm12:$addr, pred:$p)
+      AsmString = "ldrb$\xFF\x03\x01}.w $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRBs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRBs rGPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "ldrb$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRHi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRHi12 rGPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "ldrh$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRHpci:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRHpci rGPR:$Rt, t2ldrlabel:$addr, pred:$p)
+      AsmString = "ldrh$\xFF\x03\x01} $\x01, $\xFF\x02\x0E";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRHpcrel:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2LDRHpcrel GPRnopc:$Rt, t2ldr_pcrel_imm12:$addr, pred:$p)
+      AsmString = "ldrh$\xFF\x03\x01}.w $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRHs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRHs rGPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "ldrh$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSBi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRSBi12 rGPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "ldrsb$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSBpci:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRSBpci rGPR:$Rt, t2ldrlabel:$addr, pred:$p)
+      AsmString = "ldrsb$\xFF\x03\x01} $\x01, $\xFF\x02\x0E";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSBpcrel:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2LDRSBpcrel GPRnopc:$Rt, t2ldr_pcrel_imm12:$addr, pred:$p)
+      AsmString = "ldrsb$\xFF\x03\x01}.w $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSBs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRSBs rGPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "ldrsb$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSHi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRSHi12 rGPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "ldrsh$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSHpci:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRSHpci rGPR:$Rt, t2ldrlabel:$addr, pred:$p)
+      AsmString = "ldrsh$\xFF\x03\x01} $\x01, $\xFF\x02\x0E";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSHpcrel:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2LDRSHpcrel GPRnopc:$Rt, t2ldr_pcrel_imm12:$addr, pred:$p)
+      AsmString = "ldrsh$\xFF\x03\x01}.w $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRSHs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2LDRSHs rGPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "ldrsh$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2LDRi12 GPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "ldr$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRpci:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2LDRpci GPRnopc:$Rt, t2ldrlabel:$addr, pred:$p)
+      AsmString = "ldr$\xFF\x03\x01} $\x01, $\xFF\x02\x0E";
+      break;
+    }
+    return NULL;
+  case ARM_t2LDRs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2LDRs GPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "ldr$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2LSLri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2LSLri rGPR:$Rd, rGPR:$Rn, imm0_31:$imm, pred:$p, cc_out:$s)
+      AsmString = "lsl$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2LSLrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2LSLrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "lsl$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2LSRri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2LSRri rGPR:$Rd, rGPR:$Rn, imm_sr:$imm, pred:$p, cc_out:$s)
+      AsmString = "lsr$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\xFF\x03\x0C";
+      break;
+    }
+    return NULL;
+  case ARM_t2LSRrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2LSRrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "lsr$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2MCR:
+    if (MCInst_getNumOperands(MI) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (t2MCR p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn, c_imm:$CRm, 0, pred:$p)
+      AsmString = "mcr$\xFF\x07\x01} $\xFF\x01\x05, $\x02, $\x03, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_t2MCR2:
+    if (MCInst_getNumOperands(MI) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (t2MCR2 p_imm:$cop, imm0_7:$opc1, GPR:$Rt, c_imm:$CRn, c_imm:$CRm, 0, pred:$p)
+      AsmString = "mcr2$\xFF\x07\x01} $\xFF\x01\x05, $\x02, $\x03, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_t2MOVi16:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2MOVi16 rGPR:$Rd, imm256_65535_expr:$imm, pred:$p)
+      AsmString = "mov$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2MRC:
+    if (MCInst_getNumOperands(MI) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRwithAPSRRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (t2MRC GPRwithAPSR:$Rt, p_imm:$cop, imm0_7:$opc1, c_imm:$CRn, c_imm:$CRm, 0, pred:$p)
+      AsmString = "mrc$\xFF\x07\x01} $\xFF\x02\x05, $\x03, $\x01, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_t2MRC2:
+    if (MCInst_getNumOperands(MI) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRwithAPSRRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 5)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 5)) == 0) {
+      // (t2MRC2 GPRwithAPSR:$Rt, p_imm:$cop, imm0_7:$opc1, c_imm:$CRn, c_imm:$CRm, 0, pred:$p)
+      AsmString = "mrc2$\xFF\x07\x01} $\xFF\x02\x05, $\x03, $\x01, $\xFF\x04\x06, $\xFF\x05\x06";
+      break;
+    }
+    return NULL;
+  case ARM_t2MRS_AR:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2MRS_AR GPR:$Rd, pred:$p)
+      AsmString = "mrs$\xFF\x02\x01} $\x01, cpsr";
+      break;
+    }
+    return NULL;
+  case ARM_t2MUL:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2MUL rGPR:$Rn, rGPR:$Rm, rGPR:$Rn, pred:$p)
+      AsmString = "mul$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2MVNi:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2MVNi rGPR:$Rd, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "mvn$\xFF\x05\x02}$\xFF\x03\x01}.w $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2MVNr:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2MVNr rGPR:$Rd, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "mvn$\xFF\x05\x02}$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2MVNs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2MVNs rGPR:$Rd, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "mvn$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\xFF\x02\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2ORNri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2ORNri rGPR:$Rdn, rGPR:$Rdn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "orn$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ORNrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0)) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ORNrr rGPR:$Rdn, rGPR:$Rdn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "orn$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ORNrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2ORNrs rGPR:$Rdn, rGPR:$Rdn, t2_so_reg:$shift, pred:$p, cc_out:$s)
+      AsmString = "orn$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2ORRri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2ORRri rGPR:$Rd, rGPR:$Rn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "orr$\xFF\x06\x02}$\xFF\x04\x01}.w $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ORRrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2ORRrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "orr$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2ORRrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2ORRrs rGPR:$Rd, rGPR:$Rn, t2_so_reg:$shift, pred:$p, cc_out:$s)
+      AsmString = "orr$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2PLDpci:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2PLDpci t2ldr_pcrel_imm12:$addr, pred:$p)
+      AsmString = "pld$\xFF\x02\x01} $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_t2PLIpci:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2PLIpci t2ldr_pcrel_imm12:$addr, pred:$p)
+      AsmString = "pli$\xFF\x02\x01} $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_t2REV:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2REV rGPR:$Rd, rGPR:$Rm, pred:$p)
+      AsmString = "rev$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2REV16:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2REV16 rGPR:$Rd, rGPR:$Rm, pred:$p)
+      AsmString = "rev16$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2REVSH:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2REVSH rGPR:$Rd, rGPR:$Rm, pred:$p)
+      AsmString = "revsh$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2RORri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2RORri rGPR:$Rd, rGPR:$Rn, imm0_31:$imm, pred:$p, cc_out:$s)
+      AsmString = "ror$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2RORrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2RORrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "ror$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2RSBri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2RSBri rGPR:$Rd, rGPR:$Rn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "rsb$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2RSBri rGPR:$Rdn, rGPR:$Rdn, t2_so_imm:$imm, pred:$p, cc_out:$s)
+      AsmString = "rsb$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (t2RSBri rGPR:$Rd, rGPR:$Rm, 0, pred:$p, cc_out:$s)
+      AsmString = "neg$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2RSBrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0)) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2RSBrr rGPR:$Rdn, rGPR:$Rdn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "rsb$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2RSBrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2RSBrs rGPR:$Rdn, rGPR:$Rdn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "rsb$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2SBCrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2SBCrr rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "sbc$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2SBCrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2SBCrs rGPR:$Rd, rGPR:$Rn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "sbc$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2SRSDB:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2SRSDB imm0_31:$mode, pred:$p)
+      AsmString = "srsdb$\xFF\x02\x01} $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_t2SRSDB_UPD:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2SRSDB_UPD imm0_31:$mode, pred:$p)
+      AsmString = "srsdb$\xFF\x02\x01} $\x01!";
+      break;
+    }
+    return NULL;
+  case ARM_t2SRSIA:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2SRSIA imm0_31:$mode, pred:$p)
+      AsmString = "srsia$\xFF\x02\x01} $\x01";
+      break;
+    }
+    return NULL;
+  case ARM_t2SRSIA_UPD:
+    if (MCInst_getNumOperands(MI) == 3) {
+      // (t2SRSIA_UPD imm0_31:$mode, pred:$p)
+      AsmString = "srsia$\xFF\x02\x01} $\x01!";
+      break;
+    }
+    return NULL;
+  case ARM_t2SSAT:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2SSAT rGPR:$Rd, imm1_32:$sat_imm, rGPR:$Rn, 0, pred:$p)
+      AsmString = "ssat$\xFF\x05\x01} $\x01, $\xFF\x02\x07, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2STMDB:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2STMDB GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "stmdb$\xFF\x02\x01}.w $\x01, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2STMDB_UPD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2STMDB_UPD GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "stmdb$\xFF\x02\x01}.w $\x01!, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2STMIA_UPD:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2STMIA_UPD GPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "stm$\xFF\x02\x01} $\x01!, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_t2STRBi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2STRBi12 rGPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "strb$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2STRBs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2STRBs rGPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "strb$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2STRHi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2STRHi12 rGPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "strh$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2STRHs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0)) {
+      // (t2STRHs rGPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "strh$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2STRi12:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2STRi12 GPR:$Rt, t2addrmode_imm12:$addr, pred:$p)
+      AsmString = "str$\xFF\x04\x01} $\x01, $\xFF\x02\x0D";
+      break;
+    }
+    return NULL;
+  case ARM_t2STRs:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRRegClassID, 0)) {
+      // (t2STRs GPR:$Rt, t2addrmode_so_reg:$addr, pred:$p)
+      AsmString = "str$\xFF\x05\x01} $\x01, $\xFF\x02\x0F";
+      break;
+    }
+    return NULL;
+  case ARM_t2SUBrr:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2)) {
+      // (t2SUBrr GPRnopc:$Rd, GPRnopc:$Rn, rGPR:$Rm, pred:$p, cc_out:$s)
+      AsmString = "sub$\xFF\x06\x02}$\xFF\x04\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2SUBrs:
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 1)) {
+      // (t2SUBrs GPRnopc:$Rd, GPRnopc:$Rn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "sub$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\x02, $\xFF\x03\x0A";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (t2SUBrs GPRnopc:$Rdn, GPRnopc:$Rdn, t2_so_reg:$ShiftedRm, pred:$p, cc_out:$s)
+      AsmString = "sub$\xFF\x07\x02}$\xFF\x05\x01} $\x01, $\xFF\x03\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2SXTAB:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2SXTAB rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, 0, pred:$p)
+      AsmString = "sxtab$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2SXTAB16:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2SXTAB16 rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, 0, pred:$p)
+      AsmString = "sxtab16$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2SXTAH:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2SXTAH rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, 0, pred:$p)
+      AsmString = "sxtah$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2SXTB:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2SXTB rGPR:$Rd, rGPR:$Rm, rot_imm:$rot, pred:$p)
+      AsmString = "sxtb$\xFF\x04\x01} $\x01, $\x02$\xFF\x03\x10";
+      break;
+    }
+    return NULL;
+  case ARM_t2SXTB16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (t2SXTB16 rGPR:$Rd, rGPR:$Rm, 0, pred:$p)
+      AsmString = "sxtb16$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2SXTB16 rGPR:$Rd, rGPR:$Rm, rot_imm:$rot, pred:$p)
+      AsmString = "sxtb16$\xFF\x04\x01} $\x01, $\x02$\xFF\x03\x10";
+      break;
+    }
+    return NULL;
+  case ARM_t2SXTH:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2SXTH rGPR:$Rd, rGPR:$Rm, rot_imm:$rot, pred:$p)
+      AsmString = "sxth$\xFF\x04\x01} $\x01, $\x02$\xFF\x03\x10";
+      break;
+    }
+    return NULL;
+  case ARM_t2TEQri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2TEQri GPRnopc:$Rn, t2_so_imm:$imm, pred:$p)
+      AsmString = "teq$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2TEQrr:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2TEQrr GPRnopc:$Rn, rGPR:$Rm, pred:$p)
+      AsmString = "teq$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2TEQrs:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2TEQrs GPRnopc:$Rn, t2_so_reg:$shift, pred:$p)
+      AsmString = "teq$\xFF\x04\x01} $\x01, $\xFF\x02\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2TSTri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2TSTri GPRnopc:$Rn, t2_so_imm:$imm, pred:$p)
+      AsmString = "tst$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2TSTrr:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2TSTrr GPRnopc:$Rn, rGPR:$Rm, pred:$p)
+      AsmString = "tst$\xFF\x03\x01} $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case ARM_t2TSTrs:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_GPRnopcRegClassID, 0)) {
+      // (t2TSTrs GPRnopc:$Rn, t2_so_reg:$shift, pred:$p)
+      AsmString = "tst$\xFF\x04\x01} $\x01, $\xFF\x02\x0A";
+      break;
+    }
+    return NULL;
+  case ARM_t2USAT:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2USAT rGPR:$Rd, imm0_31:$sat_imm, rGPR:$Rn, 0, pred:$p)
+      AsmString = "usat$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2UXTAB:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2UXTAB rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, 0, pred:$p)
+      AsmString = "uxtab$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2UXTAB16:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2UXTAB16 rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, 0, pred:$p)
+      AsmString = "uxtab16$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2UXTAH:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (t2UXTAH rGPR:$Rd, rGPR:$Rn, rGPR:$Rm, 0, pred:$p)
+      AsmString = "uxtah$\xFF\x05\x01} $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_t2UXTB:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2UXTB rGPR:$Rd, rGPR:$Rm, rot_imm:$rot, pred:$p)
+      AsmString = "uxtb$\xFF\x04\x01} $\x01, $\x02$\xFF\x03\x10";
+      break;
+    }
+    return NULL;
+  case ARM_t2UXTB16:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (t2UXTB16 rGPR:$Rd, rGPR:$Rm, 0, pred:$p)
+      AsmString = "uxtb16$\xFF\x04\x01} $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2UXTB16 rGPR:$Rd, rGPR:$Rm, rot_imm:$rot, pred:$p)
+      AsmString = "uxtb16$\xFF\x04\x01} $\x01, $\x02$\xFF\x03\x10";
+      break;
+    }
+    return NULL;
+  case ARM_t2UXTH:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(ARM_rGPRRegClassID, 1)) {
+      // (t2UXTH rGPR:$Rd, rGPR:$Rm, rot_imm:$rot, pred:$p)
+      AsmString = "uxth$\xFF\x04\x01} $\x01, $\x02$\xFF\x03\x10";
+      break;
+    }
+    return NULL;
+  case ARM_tASRri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (tASRri tGPR:$Rdm, cc_out:$s, tGPR:$Rdm, imm_sr:$imm, pred:$p)
+      AsmString = "asr$\xFF\x02\x02}$\xFF\x05\x01} $\x01, $\xFF\x04\x0C";
+      break;
+    }
+    return NULL;
   case ARM_tBKPT:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -8926,7 +11644,96 @@
       AsmString = "bkpt";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_tHINT:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (tHINT 0, pred:$p)
+      AsmString = "nop$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1) {
+      // (tHINT 1, pred:$p)
+      AsmString = "yield$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2) {
+      // (tHINT 2, pred:$p)
+      AsmString = "wfe$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 3) {
+      // (tHINT 3, pred:$p)
+      AsmString = "wfi$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4) {
+      // (tHINT 4, pred:$p)
+      AsmString = "sev$\xFF\x02\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 5) {
+      // (tHINT 5, pred:$p)
+      AsmString = "sevl$\xFF\x02\x01";
+      break;
+    }
+    return NULL;
+  case ARM_tLDMIA:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0)) {
+      // (tLDMIA tGPR:$Rn, pred:$p, reglist:$regs)
+      AsmString = "ldm$\xFF\x02\x01} $\x01!, $\xFF\x04\x04";
+      break;
+    }
+    return NULL;
+  case ARM_tLSLri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (tLSLri tGPR:$Rdm, cc_out:$s, tGPR:$Rdm, imm0_31:$imm, pred:$p)
+      AsmString = "lsl$\xFF\x02\x02}$\xFF\x05\x01} $\x01, $\x04";
+      break;
+    }
+    return NULL;
+  case ARM_tLSRri:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (tLSRri tGPR:$Rdm, cc_out:$s, tGPR:$Rdm, imm_sr:$imm, pred:$p)
+      AsmString = "lsr$\xFF\x02\x02}$\xFF\x05\x01} $\x01, $\xFF\x04\x0C";
+      break;
+    }
+    return NULL;
+  case ARM_tMOVi8:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == ARM_CPSR &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 14 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 0) {
+      // (tMOVi8 tGPR:$Rdn, CPSR, imm0_255:$imm, 14, 0)
+      AsmString = "movs $\x01, $\x03";
+      break;
+    }
+    return NULL;
   case ARM_tMOVr:
     if (MCInst_getNumOperands(MI) == 4 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == ARM_R8 &&
@@ -8939,31 +11746,69 @@
       AsmString = "nop";
       break;
     }
-    return false;
+    return NULL;
+  case ARM_tMUL:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 2)) {
+      // (tMUL tGPR:$Rdm, s_cc_out:$s, tGPR:$Rn, pred:$p)
+      AsmString = "mul$\xFF\x02\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_tRSB:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(ARM_tGPRRegClassID, 2)) {
+      // (tRSB tGPR:$Rd, s_cc_out:$s, tGPR:$Rm, pred:$p)
+      AsmString = "neg$\xFF\x02\x02}$\xFF\x04\x01} $\x01, $\x03";
+      break;
+    }
+    return NULL;
+  case ARM_tSUBspi:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == ARM_SP) {
+      // (tSUBspi SP, t_imm0_508s4_neg:$imm, pred:$p)
+      AsmString = "add$\xFF\x03\x01} sp, $\x02";
+      break;
+    }
+    return NULL;
   }
 
-  char *tmp = cs_strdup(AsmString), *AsmMnem, *AsmOps;
+  tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
+
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
-    char *c;
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
     }
   }
-  cs_mem_free(tmp);
-  return true;
+  return tmp;
 }
 
 #endif // PRINT_ALIAS_INSTR
diff --git a/arch/ARM/ARMGenDisassemblerTables.inc b/arch/ARM/ARMGenDisassemblerTables.inc
index 18ce53b..024b68a 100644
--- a/arch/ARM/ARMGenDisassemblerTables.inc
+++ b/arch/ARM/ARMGenDisassemblerTables.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 #include "../../MCInst.h"
 #include "../../LEB128.h"
@@ -26,9 +26,9 @@
 
 static uint8_t DecoderTableARM32[] = {
 /* 0 */       MCD_OPC_ExtractField, 25, 3,  // Inst{27-25} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 184, 11, // Skip to: 3007
+/* 3 */       MCD_OPC_FilterValue, 0, 160, 11, // Skip to: 2983
 /* 7 */       MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10 */      MCD_OPC_FilterValue, 0, 24, 6, // Skip to: 1574
+/* 10 */      MCD_OPC_FilterValue, 0, 9, 6, // Skip to: 1559
 /* 14 */      MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
 /* 17 */      MCD_OPC_FilterValue, 0, 80, 1, // Skip to: 357
 /* 21 */      MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
@@ -37,1836 +37,1842 @@
 /* 31 */      MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 55
 /* 35 */      MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 48
 /* 39 */      MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 48
-/* 45 */      MCD_OPC_Decode, 40, 0, // Opcode: ANDrr
-/* 48 */      MCD_OPC_CheckPredicate, 0, 158, 29, // Skip to: 7634
-/* 52 */      MCD_OPC_Decode, 41, 1, // Opcode: ANDrsi
+/* 45 */      MCD_OPC_Decode, 41, 0, // Opcode: ANDrr
+/* 48 */      MCD_OPC_CheckPredicate, 0, 142, 29, // Skip to: 7618
+/* 52 */      MCD_OPC_Decode, 42, 1, // Opcode: ANDrsi
 /* 55 */      MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 81
 /* 59 */      MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 73
 /* 63 */      MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 73
-/* 69 */      MCD_OPC_Decode, 249, 3, 0, // Opcode: SUBrr
-/* 73 */      MCD_OPC_CheckPredicate, 0, 133, 29, // Skip to: 7634
-/* 77 */      MCD_OPC_Decode, 250, 3, 1, // Opcode: SUBrsi
+/* 69 */      MCD_OPC_Decode, 198, 3, 0, // Opcode: SUBrr
+/* 73 */      MCD_OPC_CheckPredicate, 0, 117, 29, // Skip to: 7618
+/* 77 */      MCD_OPC_Decode, 199, 3, 1, // Opcode: SUBrsi
 /* 81 */      MCD_OPC_FilterValue, 2, 20, 0, // Skip to: 105
 /* 85 */      MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 98
 /* 89 */      MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 98
-/* 95 */      MCD_OPC_Decode, 29, 0, // Opcode: ADDrr
-/* 98 */      MCD_OPC_CheckPredicate, 0, 108, 29, // Skip to: 7634
-/* 102 */     MCD_OPC_Decode, 30, 1, // Opcode: ADDrsi
-/* 105 */     MCD_OPC_FilterValue, 3, 101, 29, // Skip to: 7634
+/* 95 */      MCD_OPC_Decode, 30, 0, // Opcode: ADDrr
+/* 98 */      MCD_OPC_CheckPredicate, 0, 92, 29, // Skip to: 7618
+/* 102 */     MCD_OPC_Decode, 31, 1, // Opcode: ADDrsi
+/* 105 */     MCD_OPC_FilterValue, 3, 85, 29, // Skip to: 7618
 /* 109 */     MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 123
 /* 113 */     MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 123
-/* 119 */     MCD_OPC_Decode, 229, 2, 0, // Opcode: SBCrr
-/* 123 */     MCD_OPC_CheckPredicate, 0, 83, 29, // Skip to: 7634
-/* 127 */     MCD_OPC_Decode, 230, 2, 1, // Opcode: SBCrsi
-/* 131 */     MCD_OPC_FilterValue, 1, 75, 29, // Skip to: 7634
+/* 119 */     MCD_OPC_Decode, 179, 2, 0, // Opcode: SBCrr
+/* 123 */     MCD_OPC_CheckPredicate, 0, 67, 29, // Skip to: 7618
+/* 127 */     MCD_OPC_Decode, 180, 2, 1, // Opcode: SBCrsi
+/* 131 */     MCD_OPC_FilterValue, 1, 59, 29, // Skip to: 7618
 /* 135 */     MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
 /* 138 */     MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 191
 /* 142 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
 /* 145 */     MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 156
-/* 149 */     MCD_OPC_CheckPredicate, 0, 57, 29, // Skip to: 7634
-/* 153 */     MCD_OPC_Decode, 42, 2, // Opcode: ANDrsr
+/* 149 */     MCD_OPC_CheckPredicate, 0, 41, 29, // Skip to: 7618
+/* 153 */     MCD_OPC_Decode, 43, 2, // Opcode: ANDrsr
 /* 156 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 168
-/* 160 */     MCD_OPC_CheckPredicate, 0, 46, 29, // Skip to: 7634
-/* 164 */     MCD_OPC_Decode, 251, 3, 2, // Opcode: SUBrsr
+/* 160 */     MCD_OPC_CheckPredicate, 0, 30, 29, // Skip to: 7618
+/* 164 */     MCD_OPC_Decode, 200, 3, 2, // Opcode: SUBrsr
 /* 168 */     MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 179
-/* 172 */     MCD_OPC_CheckPredicate, 0, 34, 29, // Skip to: 7634
-/* 176 */     MCD_OPC_Decode, 31, 2, // Opcode: ADDrsr
-/* 179 */     MCD_OPC_FilterValue, 3, 27, 29, // Skip to: 7634
-/* 183 */     MCD_OPC_CheckPredicate, 0, 23, 29, // Skip to: 7634
-/* 187 */     MCD_OPC_Decode, 231, 2, 3, // Opcode: SBCrsr
-/* 191 */     MCD_OPC_FilterValue, 1, 15, 29, // Skip to: 7634
+/* 172 */     MCD_OPC_CheckPredicate, 0, 18, 29, // Skip to: 7618
+/* 176 */     MCD_OPC_Decode, 32, 2, // Opcode: ADDrsr
+/* 179 */     MCD_OPC_FilterValue, 3, 11, 29, // Skip to: 7618
+/* 183 */     MCD_OPC_CheckPredicate, 0, 7, 29, // Skip to: 7618
+/* 187 */     MCD_OPC_Decode, 181, 2, 3, // Opcode: SBCrsr
+/* 191 */     MCD_OPC_FilterValue, 1, 255, 28, // Skip to: 7618
 /* 195 */     MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
 /* 198 */     MCD_OPC_FilterValue, 0, 62, 0, // Skip to: 264
 /* 202 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
 /* 205 */     MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 222
-/* 209 */     MCD_OPC_CheckPredicate, 1, 253, 28, // Skip to: 7634
+/* 209 */     MCD_OPC_CheckPredicate, 1, 237, 28, // Skip to: 7618
 /* 213 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 218 */     MCD_OPC_Decode, 160, 2, 4, // Opcode: MUL
+/* 218 */     MCD_OPC_Decode, 238, 1, 4, // Opcode: MUL
 /* 222 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 240
-/* 226 */     MCD_OPC_CheckPredicate, 1, 236, 28, // Skip to: 7634
-/* 230 */     MCD_OPC_CheckField, 20, 1, 0, 230, 28, // Skip to: 7634
-/* 236 */     MCD_OPC_Decode, 159, 4, 5, // Opcode: UMAAL
+/* 226 */     MCD_OPC_CheckPredicate, 1, 220, 28, // Skip to: 7618
+/* 230 */     MCD_OPC_CheckField, 20, 1, 0, 214, 28, // Skip to: 7618
+/* 236 */     MCD_OPC_Decode, 237, 3, 5, // Opcode: UMAAL
 /* 240 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 252
-/* 244 */     MCD_OPC_CheckPredicate, 1, 218, 28, // Skip to: 7634
-/* 248 */     MCD_OPC_Decode, 162, 4, 6, // Opcode: UMULL
-/* 252 */     MCD_OPC_FilterValue, 3, 210, 28, // Skip to: 7634
-/* 256 */     MCD_OPC_CheckPredicate, 1, 206, 28, // Skip to: 7634
-/* 260 */     MCD_OPC_Decode, 155, 3, 6, // Opcode: SMULL
+/* 244 */     MCD_OPC_CheckPredicate, 1, 202, 28, // Skip to: 7618
+/* 248 */     MCD_OPC_Decode, 240, 3, 6, // Opcode: UMULL
+/* 252 */     MCD_OPC_FilterValue, 3, 194, 28, // Skip to: 7618
+/* 256 */     MCD_OPC_CheckPredicate, 1, 190, 28, // Skip to: 7618
+/* 260 */     MCD_OPC_Decode, 233, 2, 6, // Opcode: SMULL
 /* 264 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 295
 /* 268 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 271 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 283
-/* 275 */     MCD_OPC_CheckPredicate, 0, 187, 28, // Skip to: 7634
-/* 279 */     MCD_OPC_Decode, 229, 3, 7, // Opcode: STRH_POST
-/* 283 */     MCD_OPC_FilterValue, 1, 179, 28, // Skip to: 7634
-/* 287 */     MCD_OPC_CheckPredicate, 0, 175, 28, // Skip to: 7634
-/* 291 */     MCD_OPC_Decode, 220, 1, 7, // Opcode: LDRH_POST
+/* 275 */     MCD_OPC_CheckPredicate, 0, 171, 28, // Skip to: 7618
+/* 279 */     MCD_OPC_Decode, 178, 3, 7, // Opcode: STRH_POST
+/* 283 */     MCD_OPC_FilterValue, 1, 163, 28, // Skip to: 7618
+/* 287 */     MCD_OPC_CheckPredicate, 0, 159, 28, // Skip to: 7618
+/* 291 */     MCD_OPC_Decode, 170, 1, 7, // Opcode: LDRH_POST
 /* 295 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 326
 /* 299 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 302 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 314
-/* 306 */     MCD_OPC_CheckPredicate, 0, 156, 28, // Skip to: 7634
-/* 310 */     MCD_OPC_Decode, 211, 1, 7, // Opcode: LDRD_POST
-/* 314 */     MCD_OPC_FilterValue, 1, 148, 28, // Skip to: 7634
-/* 318 */     MCD_OPC_CheckPredicate, 0, 144, 28, // Skip to: 7634
-/* 322 */     MCD_OPC_Decode, 228, 1, 7, // Opcode: LDRSB_POST
-/* 326 */     MCD_OPC_FilterValue, 3, 136, 28, // Skip to: 7634
+/* 306 */     MCD_OPC_CheckPredicate, 0, 140, 28, // Skip to: 7618
+/* 310 */     MCD_OPC_Decode, 161, 1, 7, // Opcode: LDRD_POST
+/* 314 */     MCD_OPC_FilterValue, 1, 132, 28, // Skip to: 7618
+/* 318 */     MCD_OPC_CheckPredicate, 0, 128, 28, // Skip to: 7618
+/* 322 */     MCD_OPC_Decode, 178, 1, 7, // Opcode: LDRSB_POST
+/* 326 */     MCD_OPC_FilterValue, 3, 120, 28, // Skip to: 7618
 /* 330 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 333 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 345
-/* 337 */     MCD_OPC_CheckPredicate, 0, 125, 28, // Skip to: 7634
-/* 341 */     MCD_OPC_Decode, 220, 3, 7, // Opcode: STRD_POST
-/* 345 */     MCD_OPC_FilterValue, 1, 117, 28, // Skip to: 7634
-/* 349 */     MCD_OPC_CheckPredicate, 0, 113, 28, // Skip to: 7634
-/* 353 */     MCD_OPC_Decode, 233, 1, 7, // Opcode: LDRSH_POST
-/* 357 */     MCD_OPC_FilterValue, 1, 105, 28, // Skip to: 7634
+/* 337 */     MCD_OPC_CheckPredicate, 0, 109, 28, // Skip to: 7618
+/* 341 */     MCD_OPC_Decode, 169, 3, 7, // Opcode: STRD_POST
+/* 345 */     MCD_OPC_FilterValue, 1, 101, 28, // Skip to: 7618
+/* 349 */     MCD_OPC_CheckPredicate, 0, 97, 28, // Skip to: 7618
+/* 353 */     MCD_OPC_Decode, 183, 1, 7, // Opcode: LDRSH_POST
+/* 357 */     MCD_OPC_FilterValue, 1, 89, 28, // Skip to: 7618
 /* 361 */     MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 364 */     MCD_OPC_FilterValue, 0, 172, 1, // Skip to: 796
+/* 364 */     MCD_OPC_FilterValue, 0, 166, 1, // Skip to: 790
 /* 368 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 371 */     MCD_OPC_FilterValue, 0, 99, 1, // Skip to: 730
+/* 371 */     MCD_OPC_FilterValue, 0, 93, 1, // Skip to: 724
 /* 375 */     MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 378 */     MCD_OPC_FilterValue, 0, 25, 1, // Skip to: 663
+/* 378 */     MCD_OPC_FilterValue, 0, 20, 1, // Skip to: 658
 /* 382 */     MCD_OPC_ExtractField, 28, 4,  // Inst{31-28} ...
-/* 385 */     MCD_OPC_FilterValue, 14, 59, 0, // Skip to: 448
+/* 385 */     MCD_OPC_FilterValue, 14, 57, 0, // Skip to: 446
 /* 389 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 392 */     MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 420
-/* 396 */     MCD_OPC_CheckPredicate, 2, 149, 0, // Skip to: 549
-/* 400 */     MCD_OPC_CheckField, 6, 2, 1, 143, 0, // Skip to: 549
-/* 406 */     MCD_OPC_CheckField, 4, 1, 0, 137, 0, // Skip to: 549
+/* 392 */     MCD_OPC_FilterValue, 0, 23, 0, // Skip to: 419
+/* 396 */     MCD_OPC_CheckPredicate, 2, 144, 0, // Skip to: 544
+/* 400 */     MCD_OPC_CheckField, 6, 2, 1, 138, 0, // Skip to: 544
+/* 406 */     MCD_OPC_CheckField, 4, 1, 0, 132, 0, // Skip to: 544
 /* 412 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
-/* 416 */     MCD_OPC_Decode, 138, 1, 8, // Opcode: CRC32B
-/* 420 */     MCD_OPC_FilterValue, 1, 125, 0, // Skip to: 549
-/* 424 */     MCD_OPC_CheckPredicate, 2, 121, 0, // Skip to: 549
-/* 428 */     MCD_OPC_CheckField, 6, 2, 1, 115, 0, // Skip to: 549
-/* 434 */     MCD_OPC_CheckField, 4, 1, 0, 109, 0, // Skip to: 549
-/* 440 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
-/* 444 */     MCD_OPC_Decode, 139, 1, 8, // Opcode: CRC32CB
-/* 448 */     MCD_OPC_FilterValue, 15, 97, 0, // Skip to: 549
-/* 452 */     MCD_OPC_ExtractField, 10, 8,  // Inst{17-10} ...
-/* 455 */     MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 479
-/* 459 */     MCD_OPC_CheckPredicate, 0, 86, 0, // Skip to: 549
-/* 463 */     MCD_OPC_CheckField, 9, 1, 0, 80, 0, // Skip to: 549
-/* 469 */     MCD_OPC_CheckField, 0, 5, 0, 74, 0, // Skip to: 549
-/* 475 */     MCD_OPC_Decode, 136, 1, 9, // Opcode: CPS2p
-/* 479 */     MCD_OPC_FilterValue, 64, 26, 0, // Skip to: 509
-/* 483 */     MCD_OPC_CheckPredicate, 0, 62, 0, // Skip to: 549
-/* 487 */     MCD_OPC_CheckField, 18, 2, 0, 56, 0, // Skip to: 549
-/* 493 */     MCD_OPC_CheckField, 6, 3, 0, 50, 0, // Skip to: 549
-/* 499 */     MCD_OPC_CheckField, 0, 5, 0, 44, 0, // Skip to: 549
-/* 505 */     MCD_OPC_Decode, 235, 2, 10, // Opcode: SETEND
-/* 509 */     MCD_OPC_FilterValue, 128, 1, 35, 0, // Skip to: 549
-/* 514 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 517 */     MCD_OPC_FilterValue, 0, 28, 0, // Skip to: 549
-/* 521 */     MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 541
-/* 525 */     MCD_OPC_CheckField, 18, 2, 0, 10, 0, // Skip to: 541
-/* 531 */     MCD_OPC_CheckField, 6, 3, 0, 4, 0, // Skip to: 541
-/* 537 */     MCD_OPC_Decode, 135, 1, 9, // Opcode: CPS1p
-/* 541 */     MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 549
-/* 545 */     MCD_OPC_Decode, 137, 1, 9, // Opcode: CPS3p
-/* 549 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 552 */     MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 588
-/* 556 */     MCD_OPC_CheckPredicate, 0, 150, 3, // Skip to: 1478
-/* 560 */     MCD_OPC_CheckField, 16, 1, 1, 144, 3, // Skip to: 1478
-/* 566 */     MCD_OPC_CheckField, 9, 1, 0, 138, 3, // Skip to: 1478
-/* 572 */     MCD_OPC_CheckField, 4, 1, 0, 132, 3, // Skip to: 1478
-/* 578 */     MCD_OPC_SoftFail, 143, 26 /* 0xD0F */, 128, 128, 56 /* 0xE0000 */,
-/* 584 */     MCD_OPC_Decode, 156, 2, 11, // Opcode: MRS
-/* 588 */     MCD_OPC_FilterValue, 1, 18, 0, // Skip to: 610
-/* 592 */     MCD_OPC_CheckPredicate, 0, 114, 3, // Skip to: 1478
-/* 596 */     MCD_OPC_CheckField, 4, 1, 1, 108, 3, // Skip to: 1478
-/* 602 */     MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 606 */     MCD_OPC_Decode, 188, 2, 12, // Opcode: QADD
-/* 610 */     MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 645
-/* 614 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 617 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 629
-/* 621 */     MCD_OPC_CheckPredicate, 3, 85, 3, // Skip to: 1478
-/* 625 */     MCD_OPC_Decode, 253, 2, 13, // Opcode: SMLABB
-/* 629 */     MCD_OPC_FilterValue, 1, 77, 3, // Skip to: 1478
-/* 633 */     MCD_OPC_CheckPredicate, 4, 73, 3, // Skip to: 1478
-/* 637 */     MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 641 */     MCD_OPC_Decode, 253, 3, 14, // Opcode: SWP
-/* 645 */     MCD_OPC_FilterValue, 3, 61, 3, // Skip to: 1478
-/* 649 */     MCD_OPC_CheckPredicate, 3, 57, 3, // Skip to: 1478
-/* 653 */     MCD_OPC_CheckField, 4, 1, 0, 51, 3, // Skip to: 1478
-/* 659 */     MCD_OPC_Decode, 254, 2, 13, // Opcode: SMLABT
-/* 663 */     MCD_OPC_FilterValue, 1, 43, 3, // Skip to: 1478
-/* 667 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 670 */     MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 694
-/* 674 */     MCD_OPC_CheckPredicate, 5, 32, 3, // Skip to: 1478
-/* 678 */     MCD_OPC_CheckField, 28, 4, 14, 26, 3, // Skip to: 1478
-/* 684 */     MCD_OPC_CheckField, 4, 1, 1, 20, 3, // Skip to: 1478
-/* 690 */     MCD_OPC_Decode, 161, 1, 15, // Opcode: HLT
-/* 694 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 712
-/* 698 */     MCD_OPC_CheckPredicate, 3, 8, 3, // Skip to: 1478
-/* 702 */     MCD_OPC_CheckField, 4, 1, 0, 2, 3, // Skip to: 1478
-/* 708 */     MCD_OPC_Decode, 137, 3, 13, // Opcode: SMLATB
-/* 712 */     MCD_OPC_FilterValue, 3, 250, 2, // Skip to: 1478
-/* 716 */     MCD_OPC_CheckPredicate, 3, 246, 2, // Skip to: 1478
-/* 720 */     MCD_OPC_CheckField, 4, 1, 0, 240, 2, // Skip to: 1478
-/* 726 */     MCD_OPC_Decode, 138, 3, 13, // Opcode: SMLATT
-/* 730 */     MCD_OPC_FilterValue, 1, 232, 2, // Skip to: 1478
-/* 734 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 737 */     MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 773
-/* 741 */     MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 760
-/* 745 */     MCD_OPC_CheckField, 5, 7, 0, 9, 0, // Skip to: 760
-/* 751 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 756 */     MCD_OPC_Decode, 145, 4, 16, // Opcode: TSTrr
-/* 760 */     MCD_OPC_CheckPredicate, 0, 202, 2, // Skip to: 1478
-/* 764 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 769 */     MCD_OPC_Decode, 146, 4, 17, // Opcode: TSTrsi
-/* 773 */     MCD_OPC_FilterValue, 1, 189, 2, // Skip to: 1478
-/* 777 */     MCD_OPC_CheckPredicate, 0, 185, 2, // Skip to: 1478
-/* 781 */     MCD_OPC_CheckField, 7, 1, 0, 179, 2, // Skip to: 1478
-/* 787 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 792 */     MCD_OPC_Decode, 147, 4, 18, // Opcode: TSTrsr
-/* 796 */     MCD_OPC_FilterValue, 1, 1, 1, // Skip to: 1057
-/* 800 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 803 */     MCD_OPC_FilterValue, 0, 169, 0, // Skip to: 976
-/* 807 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 810 */     MCD_OPC_FilterValue, 0, 126, 0, // Skip to: 940
-/* 814 */     MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
-/* 817 */     MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 841
-/* 821 */     MCD_OPC_CheckPredicate, 0, 141, 2, // Skip to: 1478
-/* 825 */     MCD_OPC_CheckField, 9, 1, 0, 135, 2, // Skip to: 1478
-/* 831 */     MCD_OPC_SoftFail, 143, 26 /* 0xD0F */, 128, 128, 60 /* 0xF0000 */,
-/* 837 */     MCD_OPC_Decode, 157, 2, 11, // Opcode: MRSsys
-/* 841 */     MCD_OPC_FilterValue, 2, 47, 0, // Skip to: 892
-/* 845 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 848 */     MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 870
-/* 852 */     MCD_OPC_CheckPredicate, 2, 110, 2, // Skip to: 1478
-/* 856 */     MCD_OPC_CheckField, 28, 4, 14, 104, 2, // Skip to: 1478
-/* 862 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
-/* 866 */     MCD_OPC_Decode, 143, 1, 8, // Opcode: CRC32W
-/* 870 */     MCD_OPC_FilterValue, 1, 92, 2, // Skip to: 1478
-/* 874 */     MCD_OPC_CheckPredicate, 2, 88, 2, // Skip to: 1478
-/* 878 */     MCD_OPC_CheckField, 28, 4, 14, 82, 2, // Skip to: 1478
-/* 884 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
-/* 888 */     MCD_OPC_Decode, 141, 1, 8, // Opcode: CRC32CW
-/* 892 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 904
-/* 896 */     MCD_OPC_CheckPredicate, 3, 66, 2, // Skip to: 1478
-/* 900 */     MCD_OPC_Decode, 130, 3, 19, // Opcode: SMLALBB
-/* 904 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 916
-/* 908 */     MCD_OPC_CheckPredicate, 3, 54, 2, // Skip to: 1478
-/* 912 */     MCD_OPC_Decode, 134, 3, 19, // Opcode: SMLALTB
-/* 916 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 928
-/* 920 */     MCD_OPC_CheckPredicate, 3, 42, 2, // Skip to: 1478
-/* 924 */     MCD_OPC_Decode, 131, 3, 19, // Opcode: SMLALBT
-/* 928 */     MCD_OPC_FilterValue, 7, 34, 2, // Skip to: 1478
-/* 932 */     MCD_OPC_CheckPredicate, 3, 30, 2, // Skip to: 1478
-/* 936 */     MCD_OPC_Decode, 135, 3, 19, // Opcode: SMLALTT
-/* 940 */     MCD_OPC_FilterValue, 1, 22, 2, // Skip to: 1478
-/* 944 */     MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 963
-/* 948 */     MCD_OPC_CheckField, 5, 7, 0, 9, 0, // Skip to: 963
-/* 954 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 959 */     MCD_OPC_Decode, 130, 1, 16, // Opcode: CMPrr
-/* 963 */     MCD_OPC_CheckPredicate, 0, 255, 1, // Skip to: 1478
-/* 967 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 972 */     MCD_OPC_Decode, 131, 1, 17, // Opcode: CMPrsi
-/* 976 */     MCD_OPC_FilterValue, 1, 242, 1, // Skip to: 1478
-/* 980 */     MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 983 */     MCD_OPC_FilterValue, 0, 42, 0, // Skip to: 1029
-/* 987 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 990 */     MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 1012
-/* 994 */     MCD_OPC_CheckPredicate, 0, 224, 1, // Skip to: 1478
-/* 998 */     MCD_OPC_CheckField, 5, 2, 2, 218, 1, // Skip to: 1478
-/* 1004 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 1008 */    MCD_OPC_Decode, 192, 2, 20, // Opcode: QDADD
-/* 1012 */    MCD_OPC_FilterValue, 1, 206, 1, // Skip to: 1478
-/* 1016 */    MCD_OPC_CheckPredicate, 0, 202, 1, // Skip to: 1478
-/* 1020 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 1025 */    MCD_OPC_Decode, 132, 1, 18, // Opcode: CMPrsr
-/* 1029 */    MCD_OPC_FilterValue, 1, 189, 1, // Skip to: 1478
-/* 1033 */    MCD_OPC_CheckPredicate, 4, 185, 1, // Skip to: 1478
-/* 1037 */    MCD_OPC_CheckField, 20, 1, 0, 179, 1, // Skip to: 1478
-/* 1043 */    MCD_OPC_CheckField, 5, 2, 0, 173, 1, // Skip to: 1478
-/* 1049 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 1053 */    MCD_OPC_Decode, 254, 3, 14, // Opcode: SWPB
-/* 1057 */    MCD_OPC_FilterValue, 2, 208, 0, // Skip to: 1269
-/* 1061 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 1064 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 1090
-/* 1068 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1082
-/* 1072 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1082
-/* 1078 */    MCD_OPC_Decode, 168, 2, 0, // Opcode: ORRrr
-/* 1082 */    MCD_OPC_CheckPredicate, 0, 136, 1, // Skip to: 1478
-/* 1086 */    MCD_OPC_Decode, 169, 2, 1, // Opcode: ORRrsi
-/* 1090 */    MCD_OPC_FilterValue, 1, 128, 1, // Skip to: 1478
-/* 1094 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 1097 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1109
-/* 1101 */    MCD_OPC_CheckPredicate, 0, 117, 1, // Skip to: 1478
-/* 1105 */    MCD_OPC_Decode, 170, 2, 2, // Opcode: ORRrsr
-/* 1109 */    MCD_OPC_FilterValue, 1, 109, 1, // Skip to: 1478
-/* 1113 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 1116 */    MCD_OPC_FilterValue, 12, 51, 0, // Skip to: 1171
-/* 1120 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1123 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1147
-/* 1127 */    MCD_OPC_CheckPredicate, 5, 91, 1, // Skip to: 1478
-/* 1131 */    MCD_OPC_CheckField, 12, 4, 15, 85, 1, // Skip to: 1478
-/* 1137 */    MCD_OPC_CheckField, 5, 2, 0, 79, 1, // Skip to: 1478
-/* 1143 */    MCD_OPC_Decode, 192, 3, 21, // Opcode: STL
-/* 1147 */    MCD_OPC_FilterValue, 1, 71, 1, // Skip to: 1478
-/* 1151 */    MCD_OPC_CheckPredicate, 5, 67, 1, // Skip to: 1478
-/* 1155 */    MCD_OPC_CheckField, 5, 2, 0, 61, 1, // Skip to: 1478
-/* 1161 */    MCD_OPC_CheckField, 0, 4, 15, 55, 1, // Skip to: 1478
-/* 1167 */    MCD_OPC_Decode, 168, 1, 22, // Opcode: LDA
-/* 1171 */    MCD_OPC_FilterValue, 14, 45, 0, // Skip to: 1220
-/* 1175 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1178 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1196
-/* 1182 */    MCD_OPC_CheckPredicate, 5, 36, 1, // Skip to: 1478
-/* 1186 */    MCD_OPC_CheckField, 5, 2, 0, 30, 1, // Skip to: 1478
-/* 1192 */    MCD_OPC_Decode, 194, 3, 23, // Opcode: STLEX
-/* 1196 */    MCD_OPC_FilterValue, 1, 22, 1, // Skip to: 1478
-/* 1200 */    MCD_OPC_CheckPredicate, 5, 18, 1, // Skip to: 1478
-/* 1204 */    MCD_OPC_CheckField, 5, 2, 0, 12, 1, // Skip to: 1478
-/* 1210 */    MCD_OPC_CheckField, 0, 4, 15, 6, 1, // Skip to: 1478
-/* 1216 */    MCD_OPC_Decode, 170, 1, 22, // Opcode: LDAEX
-/* 1220 */    MCD_OPC_FilterValue, 15, 254, 0, // Skip to: 1478
-/* 1224 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1227 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1245
-/* 1231 */    MCD_OPC_CheckPredicate, 0, 243, 0, // Skip to: 1478
-/* 1235 */    MCD_OPC_CheckField, 5, 2, 0, 237, 0, // Skip to: 1478
-/* 1241 */    MCD_OPC_Decode, 222, 3, 23, // Opcode: STREX
-/* 1245 */    MCD_OPC_FilterValue, 1, 229, 0, // Skip to: 1478
-/* 1249 */    MCD_OPC_CheckPredicate, 0, 225, 0, // Skip to: 1478
-/* 1253 */    MCD_OPC_CheckField, 5, 2, 0, 219, 0, // Skip to: 1478
-/* 1259 */    MCD_OPC_CheckField, 0, 4, 15, 213, 0, // Skip to: 1478
-/* 1265 */    MCD_OPC_Decode, 213, 1, 22, // Opcode: LDREX
-/* 1269 */    MCD_OPC_FilterValue, 3, 205, 0, // Skip to: 1478
-/* 1273 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 1276 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1300
-/* 1280 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 1293
-/* 1284 */    MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 1293
-/* 1290 */    MCD_OPC_Decode, 101, 0, // Opcode: BICrr
-/* 1293 */    MCD_OPC_CheckPredicate, 0, 181, 0, // Skip to: 1478
-/* 1297 */    MCD_OPC_Decode, 102, 1, // Opcode: BICrsi
-/* 1300 */    MCD_OPC_FilterValue, 1, 174, 0, // Skip to: 1478
-/* 1304 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 1307 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 1318
-/* 1311 */    MCD_OPC_CheckPredicate, 0, 163, 0, // Skip to: 1478
-/* 1315 */    MCD_OPC_Decode, 103, 2, // Opcode: BICrsr
-/* 1318 */    MCD_OPC_FilterValue, 1, 156, 0, // Skip to: 1478
-/* 1322 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 1325 */    MCD_OPC_FilterValue, 12, 51, 0, // Skip to: 1380
-/* 1329 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1332 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1356
-/* 1336 */    MCD_OPC_CheckPredicate, 5, 138, 0, // Skip to: 1478
-/* 1340 */    MCD_OPC_CheckField, 12, 4, 15, 132, 0, // Skip to: 1478
-/* 1346 */    MCD_OPC_CheckField, 5, 2, 0, 126, 0, // Skip to: 1478
-/* 1352 */    MCD_OPC_Decode, 193, 3, 21, // Opcode: STLB
-/* 1356 */    MCD_OPC_FilterValue, 1, 118, 0, // Skip to: 1478
-/* 1360 */    MCD_OPC_CheckPredicate, 5, 114, 0, // Skip to: 1478
-/* 1364 */    MCD_OPC_CheckField, 5, 2, 0, 108, 0, // Skip to: 1478
-/* 1370 */    MCD_OPC_CheckField, 0, 4, 15, 102, 0, // Skip to: 1478
-/* 1376 */    MCD_OPC_Decode, 169, 1, 22, // Opcode: LDAB
-/* 1380 */    MCD_OPC_FilterValue, 14, 45, 0, // Skip to: 1429
-/* 1384 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1387 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1405
-/* 1391 */    MCD_OPC_CheckPredicate, 5, 83, 0, // Skip to: 1478
-/* 1395 */    MCD_OPC_CheckField, 5, 2, 0, 77, 0, // Skip to: 1478
-/* 1401 */    MCD_OPC_Decode, 195, 3, 23, // Opcode: STLEXB
-/* 1405 */    MCD_OPC_FilterValue, 1, 69, 0, // Skip to: 1478
-/* 1409 */    MCD_OPC_CheckPredicate, 5, 65, 0, // Skip to: 1478
-/* 1413 */    MCD_OPC_CheckField, 5, 2, 0, 59, 0, // Skip to: 1478
-/* 1419 */    MCD_OPC_CheckField, 0, 4, 15, 53, 0, // Skip to: 1478
-/* 1425 */    MCD_OPC_Decode, 171, 1, 22, // Opcode: LDAEXB
-/* 1429 */    MCD_OPC_FilterValue, 15, 45, 0, // Skip to: 1478
-/* 1433 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1436 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1454
-/* 1440 */    MCD_OPC_CheckPredicate, 0, 34, 0, // Skip to: 1478
-/* 1444 */    MCD_OPC_CheckField, 5, 2, 0, 28, 0, // Skip to: 1478
-/* 1450 */    MCD_OPC_Decode, 223, 3, 23, // Opcode: STREXB
-/* 1454 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 1478
-/* 1458 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 1478
-/* 1462 */    MCD_OPC_CheckField, 5, 2, 0, 10, 0, // Skip to: 1478
-/* 1468 */    MCD_OPC_CheckField, 0, 4, 15, 4, 0, // Skip to: 1478
-/* 1474 */    MCD_OPC_Decode, 214, 1, 22, // Opcode: LDREXB
-/* 1478 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 1481 */    MCD_OPC_FilterValue, 11, 27, 0, // Skip to: 1512
-/* 1485 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1488 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1500
-/* 1492 */    MCD_OPC_CheckPredicate, 0, 250, 23, // Skip to: 7634
-/* 1496 */    MCD_OPC_Decode, 226, 3, 7, // Opcode: STRH
-/* 1500 */    MCD_OPC_FilterValue, 1, 242, 23, // Skip to: 7634
-/* 1504 */    MCD_OPC_CheckPredicate, 0, 238, 23, // Skip to: 7634
-/* 1508 */    MCD_OPC_Decode, 217, 1, 7, // Opcode: LDRH
-/* 1512 */    MCD_OPC_FilterValue, 13, 27, 0, // Skip to: 1543
-/* 1516 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1519 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1531
-/* 1523 */    MCD_OPC_CheckPredicate, 3, 219, 23, // Skip to: 7634
-/* 1527 */    MCD_OPC_Decode, 209, 1, 7, // Opcode: LDRD
-/* 1531 */    MCD_OPC_FilterValue, 1, 211, 23, // Skip to: 7634
-/* 1535 */    MCD_OPC_CheckPredicate, 0, 207, 23, // Skip to: 7634
-/* 1539 */    MCD_OPC_Decode, 225, 1, 7, // Opcode: LDRSB
-/* 1543 */    MCD_OPC_FilterValue, 15, 199, 23, // Skip to: 7634
-/* 1547 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1550 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1562
-/* 1554 */    MCD_OPC_CheckPredicate, 3, 188, 23, // Skip to: 7634
-/* 1558 */    MCD_OPC_Decode, 218, 3, 7, // Opcode: STRD
-/* 1562 */    MCD_OPC_FilterValue, 1, 180, 23, // Skip to: 7634
-/* 1566 */    MCD_OPC_CheckPredicate, 0, 176, 23, // Skip to: 7634
-/* 1570 */    MCD_OPC_Decode, 230, 1, 7, // Opcode: LDRSH
-/* 1574 */    MCD_OPC_FilterValue, 1, 168, 23, // Skip to: 7634
-/* 1578 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 1581 */    MCD_OPC_FilterValue, 0, 36, 2, // Skip to: 2133
-/* 1585 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 1588 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 1647
-/* 1592 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1595 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 1621
-/* 1599 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1613
-/* 1603 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1613
-/* 1609 */    MCD_OPC_Decode, 148, 1, 0, // Opcode: EORrr
-/* 1613 */    MCD_OPC_CheckPredicate, 0, 129, 23, // Skip to: 7634
-/* 1617 */    MCD_OPC_Decode, 149, 1, 1, // Opcode: EORrsi
-/* 1621 */    MCD_OPC_FilterValue, 1, 121, 23, // Skip to: 7634
-/* 1625 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1639
-/* 1629 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1639
-/* 1635 */    MCD_OPC_Decode, 218, 2, 0, // Opcode: RSBrr
-/* 1639 */    MCD_OPC_CheckPredicate, 0, 103, 23, // Skip to: 7634
-/* 1643 */    MCD_OPC_Decode, 219, 2, 1, // Opcode: RSBrsi
-/* 1647 */    MCD_OPC_FilterValue, 1, 53, 0, // Skip to: 1704
-/* 1651 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1654 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1678
-/* 1658 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 1671
-/* 1662 */    MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 1671
-/* 1668 */    MCD_OPC_Decode, 21, 0, // Opcode: ADCrr
-/* 1671 */    MCD_OPC_CheckPredicate, 0, 71, 23, // Skip to: 7634
-/* 1675 */    MCD_OPC_Decode, 22, 1, // Opcode: ADCrsi
-/* 1678 */    MCD_OPC_FilterValue, 1, 64, 23, // Skip to: 7634
-/* 1682 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1696
-/* 1686 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1696
-/* 1692 */    MCD_OPC_Decode, 222, 2, 0, // Opcode: RSCrr
-/* 1696 */    MCD_OPC_CheckPredicate, 0, 46, 23, // Skip to: 7634
-/* 1700 */    MCD_OPC_Decode, 223, 2, 1, // Opcode: RSCrsi
-/* 1704 */    MCD_OPC_FilterValue, 2, 61, 1, // Skip to: 2025
-/* 1708 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 1711 */    MCD_OPC_FilterValue, 0, 233, 0, // Skip to: 1948
-/* 1715 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
-/* 1718 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1737
-/* 1722 */    MCD_OPC_CheckPredicate, 0, 20, 23, // Skip to: 7634
-/* 1726 */    MCD_OPC_CheckField, 8, 8, 240, 1, 13, 23, // Skip to: 7634
-/* 1733 */    MCD_OPC_Decode, 158, 2, 24, // Opcode: MSR
-/* 1737 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 1761
-/* 1741 */    MCD_OPC_CheckPredicate, 0, 1, 23, // Skip to: 7634
-/* 1745 */    MCD_OPC_CheckField, 22, 1, 0, 251, 22, // Skip to: 7634
-/* 1751 */    MCD_OPC_CheckField, 8, 12, 255, 31, 244, 22, // Skip to: 7634
-/* 1758 */    MCD_OPC_Decode, 116, 25, // Opcode: BXJ
-/* 1761 */    MCD_OPC_FilterValue, 2, 59, 0, // Skip to: 1824
-/* 1765 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 1768 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 1796
-/* 1772 */    MCD_OPC_CheckPredicate, 2, 226, 22, // Skip to: 7634
-/* 1776 */    MCD_OPC_CheckField, 28, 4, 14, 220, 22, // Skip to: 7634
-/* 1782 */    MCD_OPC_CheckField, 22, 1, 0, 214, 22, // Skip to: 7634
-/* 1788 */    MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
-/* 1792 */    MCD_OPC_Decode, 142, 1, 8, // Opcode: CRC32H
-/* 1796 */    MCD_OPC_FilterValue, 1, 202, 22, // Skip to: 7634
-/* 1800 */    MCD_OPC_CheckPredicate, 2, 198, 22, // Skip to: 7634
-/* 1804 */    MCD_OPC_CheckField, 28, 4, 14, 192, 22, // Skip to: 7634
-/* 1810 */    MCD_OPC_CheckField, 22, 1, 0, 186, 22, // Skip to: 7634
-/* 1816 */    MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
-/* 1820 */    MCD_OPC_Decode, 140, 1, 8, // Opcode: CRC32CH
-/* 1824 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 1855
-/* 1828 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1831 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1843
-/* 1835 */    MCD_OPC_CheckPredicate, 3, 163, 22, // Skip to: 7634
-/* 1839 */    MCD_OPC_Decode, 139, 3, 13, // Opcode: SMLAWB
-/* 1843 */    MCD_OPC_FilterValue, 1, 155, 22, // Skip to: 7634
-/* 1847 */    MCD_OPC_CheckPredicate, 3, 151, 22, // Skip to: 7634
-/* 1851 */    MCD_OPC_Decode, 153, 3, 26, // Opcode: SMULBB
-/* 1855 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 1886
-/* 1859 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1862 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1874
-/* 1866 */    MCD_OPC_CheckPredicate, 3, 132, 22, // Skip to: 7634
-/* 1870 */    MCD_OPC_Decode, 159, 3, 26, // Opcode: SMULWB
-/* 1874 */    MCD_OPC_FilterValue, 1, 124, 22, // Skip to: 7634
-/* 1878 */    MCD_OPC_CheckPredicate, 3, 120, 22, // Skip to: 7634
-/* 1882 */    MCD_OPC_Decode, 157, 3, 26, // Opcode: SMULTB
-/* 1886 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 1917
-/* 1890 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1893 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1905
-/* 1897 */    MCD_OPC_CheckPredicate, 3, 101, 22, // Skip to: 7634
-/* 1901 */    MCD_OPC_Decode, 140, 3, 13, // Opcode: SMLAWT
-/* 1905 */    MCD_OPC_FilterValue, 1, 93, 22, // Skip to: 7634
-/* 1909 */    MCD_OPC_CheckPredicate, 3, 89, 22, // Skip to: 7634
-/* 1913 */    MCD_OPC_Decode, 154, 3, 26, // Opcode: SMULBT
-/* 1917 */    MCD_OPC_FilterValue, 7, 81, 22, // Skip to: 7634
-/* 1921 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1924 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1936
-/* 1928 */    MCD_OPC_CheckPredicate, 3, 70, 22, // Skip to: 7634
-/* 1932 */    MCD_OPC_Decode, 160, 3, 26, // Opcode: SMULWT
-/* 1936 */    MCD_OPC_FilterValue, 1, 62, 22, // Skip to: 7634
-/* 1940 */    MCD_OPC_CheckPredicate, 3, 58, 22, // Skip to: 7634
-/* 1944 */    MCD_OPC_Decode, 158, 3, 26, // Opcode: SMULTT
-/* 1948 */    MCD_OPC_FilterValue, 1, 50, 22, // Skip to: 7634
-/* 1952 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1955 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 1991
-/* 1959 */    MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 1978
-/* 1963 */    MCD_OPC_CheckField, 5, 7, 0, 9, 0, // Skip to: 1978
-/* 1969 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 1974 */    MCD_OPC_Decode, 138, 4, 16, // Opcode: TEQrr
-/* 1978 */    MCD_OPC_CheckPredicate, 0, 20, 22, // Skip to: 7634
-/* 1982 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 1987 */    MCD_OPC_Decode, 139, 4, 17, // Opcode: TEQrsi
-/* 1991 */    MCD_OPC_FilterValue, 1, 7, 22, // Skip to: 7634
-/* 1995 */    MCD_OPC_CheckPredicate, 0, 14, 0, // Skip to: 2013
-/* 1999 */    MCD_OPC_CheckField, 5, 7, 0, 8, 0, // Skip to: 2013
-/* 2005 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 2010 */    MCD_OPC_Decode, 126, 16, // Opcode: CMNzrr
-/* 2013 */    MCD_OPC_CheckPredicate, 0, 241, 21, // Skip to: 7634
-/* 2017 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 2022 */    MCD_OPC_Decode, 127, 17, // Opcode: CMNzrsi
-/* 2025 */    MCD_OPC_FilterValue, 3, 229, 21, // Skip to: 7634
-/* 2029 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 2032 */    MCD_OPC_FilterValue, 0, 64, 0, // Skip to: 2100
-/* 2036 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 2057
-/* 2040 */    MCD_OPC_CheckField, 5, 16, 128, 15, 10, 0, // Skip to: 2057
-/* 2047 */    MCD_OPC_CheckField, 0, 4, 14, 4, 0, // Skip to: 2057
-/* 2053 */    MCD_OPC_Decode, 136, 2, 27, // Opcode: MOVPCLR
-/* 2057 */    MCD_OPC_ExtractField, 5, 7,  // Inst{11-5} ...
-/* 2060 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 2086
-/* 2064 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 2078
-/* 2068 */    MCD_OPC_CheckField, 16, 4, 0, 4, 0, // Skip to: 2078
-/* 2074 */    MCD_OPC_Decode, 146, 2, 28, // Opcode: MOVr
-/* 2078 */    MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 2086
-/* 2082 */    MCD_OPC_Decode, 147, 2, 29, // Opcode: MOVr_TC
-/* 2086 */    MCD_OPC_CheckPredicate, 0, 168, 21, // Skip to: 7634
-/* 2090 */    MCD_OPC_CheckField, 16, 4, 0, 162, 21, // Skip to: 7634
-/* 2096 */    MCD_OPC_Decode, 148, 2, 30, // Opcode: MOVsi
-/* 2100 */    MCD_OPC_FilterValue, 1, 154, 21, // Skip to: 7634
-/* 2104 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 2107 */    MCD_OPC_FilterValue, 0, 147, 21, // Skip to: 7634
-/* 2111 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 2125
-/* 2115 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 2125
-/* 2121 */    MCD_OPC_Decode, 164, 2, 28, // Opcode: MVNr
-/* 2125 */    MCD_OPC_CheckPredicate, 0, 129, 21, // Skip to: 7634
-/* 2129 */    MCD_OPC_Decode, 165, 2, 30, // Opcode: MVNsi
-/* 2133 */    MCD_OPC_FilterValue, 1, 121, 21, // Skip to: 7634
-/* 2137 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 2140 */    MCD_OPC_FilterValue, 0, 59, 1, // Skip to: 2459
-/* 2144 */    MCD_OPC_ExtractField, 22, 3,  // Inst{24-22} ...
-/* 2147 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2159
-/* 2151 */    MCD_OPC_CheckPredicate, 0, 103, 21, // Skip to: 7634
-/* 2155 */    MCD_OPC_Decode, 150, 1, 2, // Opcode: EORrsr
-/* 2159 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2171
-/* 2163 */    MCD_OPC_CheckPredicate, 0, 91, 21, // Skip to: 7634
-/* 2167 */    MCD_OPC_Decode, 220, 2, 2, // Opcode: RSBrsr
-/* 2171 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 2182
-/* 2175 */    MCD_OPC_CheckPredicate, 0, 79, 21, // Skip to: 7634
-/* 2179 */    MCD_OPC_Decode, 23, 3, // Opcode: ADCrsr
-/* 2182 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2194
-/* 2186 */    MCD_OPC_CheckPredicate, 0, 68, 21, // Skip to: 7634
-/* 2190 */    MCD_OPC_Decode, 224, 2, 2, // Opcode: RSCrsr
-/* 2194 */    MCD_OPC_FilterValue, 4, 137, 0, // Skip to: 2335
-/* 2198 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2201 */    MCD_OPC_FilterValue, 0, 113, 0, // Skip to: 2318
-/* 2205 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
-/* 2208 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 2253
-/* 2212 */    MCD_OPC_ExtractField, 8, 12,  // Inst{19-8} ...
-/* 2215 */    MCD_OPC_FilterValue, 255, 31, 38, 21, // Skip to: 7634
-/* 2220 */    MCD_OPC_CheckPredicate, 6, 9, 0, // Skip to: 2233
-/* 2224 */    MCD_OPC_CheckField, 0, 4, 14, 3, 0, // Skip to: 2233
-/* 2230 */    MCD_OPC_Decode, 118, 27, // Opcode: BX_RET
-/* 2233 */    MCD_OPC_CheckPredicate, 6, 9, 0, // Skip to: 2246
-/* 2237 */    MCD_OPC_CheckField, 28, 4, 14, 3, 0, // Skip to: 2246
-/* 2243 */    MCD_OPC_Decode, 115, 31, // Opcode: BX
-/* 2246 */    MCD_OPC_CheckPredicate, 6, 8, 21, // Skip to: 7634
-/* 2250 */    MCD_OPC_Decode, 119, 25, // Opcode: BX_pred
-/* 2253 */    MCD_OPC_FilterValue, 1, 28, 0, // Skip to: 2285
-/* 2257 */    MCD_OPC_ExtractField, 8, 12,  // Inst{19-8} ...
-/* 2260 */    MCD_OPC_FilterValue, 255, 31, 249, 20, // Skip to: 7634
-/* 2265 */    MCD_OPC_CheckPredicate, 7, 9, 0, // Skip to: 2278
-/* 2269 */    MCD_OPC_CheckField, 28, 4, 14, 3, 0, // Skip to: 2278
-/* 2275 */    MCD_OPC_Decode, 106, 31, // Opcode: BLX
-/* 2278 */    MCD_OPC_CheckPredicate, 7, 232, 20, // Skip to: 7634
-/* 2282 */    MCD_OPC_Decode, 107, 25, // Opcode: BLX_pred
-/* 2285 */    MCD_OPC_FilterValue, 2, 12, 0, // Skip to: 2301
-/* 2289 */    MCD_OPC_CheckPredicate, 0, 221, 20, // Skip to: 7634
-/* 2293 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 2297 */    MCD_OPC_Decode, 195, 2, 20, // Opcode: QSUB
-/* 2301 */    MCD_OPC_FilterValue, 3, 209, 20, // Skip to: 7634
-/* 2305 */    MCD_OPC_CheckPredicate, 0, 205, 20, // Skip to: 7634
-/* 2309 */    MCD_OPC_CheckField, 28, 4, 14, 199, 20, // Skip to: 7634
-/* 2315 */    MCD_OPC_Decode, 104, 15, // Opcode: BKPT
-/* 2318 */    MCD_OPC_FilterValue, 1, 192, 20, // Skip to: 7634
-/* 2322 */    MCD_OPC_CheckPredicate, 0, 188, 20, // Skip to: 7634
-/* 2326 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 2331 */    MCD_OPC_Decode, 140, 4, 18, // Opcode: TEQrsr
-/* 2335 */    MCD_OPC_FilterValue, 5, 84, 0, // Skip to: 2423
-/* 2339 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2342 */    MCD_OPC_FilterValue, 0, 60, 0, // Skip to: 2406
-/* 2346 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
-/* 2349 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 2372
-/* 2353 */    MCD_OPC_CheckPredicate, 7, 157, 20, // Skip to: 7634
-/* 2357 */    MCD_OPC_CheckField, 16, 4, 15, 151, 20, // Skip to: 7634
-/* 2363 */    MCD_OPC_CheckField, 8, 4, 15, 145, 20, // Skip to: 7634
-/* 2369 */    MCD_OPC_Decode, 124, 32, // Opcode: CLZ
-/* 2372 */    MCD_OPC_FilterValue, 2, 12, 0, // Skip to: 2388
-/* 2376 */    MCD_OPC_CheckPredicate, 0, 134, 20, // Skip to: 7634
-/* 2380 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 2384 */    MCD_OPC_Decode, 193, 2, 20, // Opcode: QDSUB
-/* 2388 */    MCD_OPC_FilterValue, 3, 122, 20, // Skip to: 7634
-/* 2392 */    MCD_OPC_CheckPredicate, 8, 118, 20, // Skip to: 7634
-/* 2396 */    MCD_OPC_CheckField, 8, 12, 0, 112, 20, // Skip to: 7634
-/* 2402 */    MCD_OPC_Decode, 252, 2, 33, // Opcode: SMC
-/* 2406 */    MCD_OPC_FilterValue, 1, 104, 20, // Skip to: 7634
-/* 2410 */    MCD_OPC_CheckPredicate, 0, 100, 20, // Skip to: 7634
-/* 2414 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 2419 */    MCD_OPC_Decode, 128, 1, 18, // Opcode: CMNzrsr
-/* 2423 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2441
-/* 2427 */    MCD_OPC_CheckPredicate, 0, 83, 20, // Skip to: 7634
-/* 2431 */    MCD_OPC_CheckField, 16, 4, 0, 77, 20, // Skip to: 7634
-/* 2437 */    MCD_OPC_Decode, 149, 2, 34, // Opcode: MOVsr
-/* 2441 */    MCD_OPC_FilterValue, 7, 69, 20, // Skip to: 7634
-/* 2445 */    MCD_OPC_CheckPredicate, 0, 65, 20, // Skip to: 7634
-/* 2449 */    MCD_OPC_CheckField, 16, 4, 0, 59, 20, // Skip to: 7634
-/* 2455 */    MCD_OPC_Decode, 166, 2, 35, // Opcode: MVNsr
-/* 2459 */    MCD_OPC_FilterValue, 1, 51, 20, // Skip to: 7634
-/* 2463 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
-/* 2466 */    MCD_OPC_FilterValue, 0, 6, 1, // Skip to: 2732
-/* 2470 */    MCD_OPC_ExtractField, 22, 3,  // Inst{24-22} ...
-/* 2473 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2485
-/* 2477 */    MCD_OPC_CheckPredicate, 1, 33, 20, // Skip to: 7634
-/* 2481 */    MCD_OPC_Decode, 255, 1, 36, // Opcode: MLA
-/* 2485 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2503
-/* 2489 */    MCD_OPC_CheckPredicate, 9, 21, 20, // Skip to: 7634
-/* 2493 */    MCD_OPC_CheckField, 20, 1, 0, 15, 20, // Skip to: 7634
-/* 2499 */    MCD_OPC_Decode, 129, 2, 37, // Opcode: MLS
-/* 2503 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2515
-/* 2507 */    MCD_OPC_CheckPredicate, 1, 3, 20, // Skip to: 7634
-/* 2511 */    MCD_OPC_Decode, 160, 4, 38, // Opcode: UMLAL
-/* 2515 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2527
-/* 2519 */    MCD_OPC_CheckPredicate, 1, 247, 19, // Skip to: 7634
-/* 2523 */    MCD_OPC_Decode, 129, 3, 38, // Opcode: SMLAL
-/* 2527 */    MCD_OPC_FilterValue, 6, 77, 0, // Skip to: 2608
-/* 2531 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2534 */    MCD_OPC_FilterValue, 14, 33, 0, // Skip to: 2571
-/* 2538 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2541 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2553
-/* 2545 */    MCD_OPC_CheckPredicate, 5, 221, 19, // Skip to: 7634
-/* 2549 */    MCD_OPC_Decode, 196, 3, 39, // Opcode: STLEXD
-/* 2553 */    MCD_OPC_FilterValue, 1, 213, 19, // Skip to: 7634
-/* 2557 */    MCD_OPC_CheckPredicate, 5, 209, 19, // Skip to: 7634
-/* 2561 */    MCD_OPC_CheckField, 0, 4, 15, 203, 19, // Skip to: 7634
-/* 2567 */    MCD_OPC_Decode, 172, 1, 40, // Opcode: LDAEXD
-/* 2571 */    MCD_OPC_FilterValue, 15, 195, 19, // Skip to: 7634
-/* 2575 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2578 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2590
-/* 2582 */    MCD_OPC_CheckPredicate, 0, 184, 19, // Skip to: 7634
-/* 2586 */    MCD_OPC_Decode, 224, 3, 39, // Opcode: STREXD
-/* 2590 */    MCD_OPC_FilterValue, 1, 176, 19, // Skip to: 7634
-/* 2594 */    MCD_OPC_CheckPredicate, 0, 172, 19, // Skip to: 7634
-/* 2598 */    MCD_OPC_CheckField, 0, 4, 15, 166, 19, // Skip to: 7634
-/* 2604 */    MCD_OPC_Decode, 215, 1, 40, // Opcode: LDREXD
-/* 2608 */    MCD_OPC_FilterValue, 7, 158, 19, // Skip to: 7634
-/* 2612 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2615 */    MCD_OPC_FilterValue, 12, 39, 0, // Skip to: 2658
-/* 2619 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2622 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2640
-/* 2626 */    MCD_OPC_CheckPredicate, 5, 140, 19, // Skip to: 7634
-/* 2630 */    MCD_OPC_CheckField, 12, 4, 15, 134, 19, // Skip to: 7634
-/* 2636 */    MCD_OPC_Decode, 198, 3, 21, // Opcode: STLH
-/* 2640 */    MCD_OPC_FilterValue, 1, 126, 19, // Skip to: 7634
-/* 2644 */    MCD_OPC_CheckPredicate, 5, 122, 19, // Skip to: 7634
-/* 2648 */    MCD_OPC_CheckField, 0, 4, 15, 116, 19, // Skip to: 7634
-/* 2654 */    MCD_OPC_Decode, 174, 1, 22, // Opcode: LDAH
-/* 2658 */    MCD_OPC_FilterValue, 14, 33, 0, // Skip to: 2695
-/* 2662 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2665 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2677
-/* 2669 */    MCD_OPC_CheckPredicate, 5, 97, 19, // Skip to: 7634
-/* 2673 */    MCD_OPC_Decode, 197, 3, 23, // Opcode: STLEXH
-/* 2677 */    MCD_OPC_FilterValue, 1, 89, 19, // Skip to: 7634
-/* 2681 */    MCD_OPC_CheckPredicate, 5, 85, 19, // Skip to: 7634
-/* 2685 */    MCD_OPC_CheckField, 0, 4, 15, 79, 19, // Skip to: 7634
-/* 2691 */    MCD_OPC_Decode, 173, 1, 22, // Opcode: LDAEXH
-/* 2695 */    MCD_OPC_FilterValue, 15, 71, 19, // Skip to: 7634
-/* 2699 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2702 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2714
-/* 2706 */    MCD_OPC_CheckPredicate, 0, 60, 19, // Skip to: 7634
-/* 2710 */    MCD_OPC_Decode, 225, 3, 23, // Opcode: STREXH
-/* 2714 */    MCD_OPC_FilterValue, 1, 52, 19, // Skip to: 7634
-/* 2718 */    MCD_OPC_CheckPredicate, 0, 48, 19, // Skip to: 7634
-/* 2722 */    MCD_OPC_CheckField, 0, 4, 15, 42, 19, // Skip to: 7634
-/* 2728 */    MCD_OPC_Decode, 216, 1, 22, // Opcode: LDREXH
-/* 2732 */    MCD_OPC_FilterValue, 1, 113, 0, // Skip to: 2849
-/* 2736 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2739 */    MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 2795
-/* 2743 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 2746 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 2783
-/* 2750 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 2753 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2771
-/* 2757 */    MCD_OPC_CheckPredicate, 0, 9, 19, // Skip to: 7634
-/* 2761 */    MCD_OPC_CheckField, 8, 4, 0, 3, 19, // Skip to: 7634
-/* 2767 */    MCD_OPC_Decode, 228, 3, 41, // Opcode: STRHTr
-/* 2771 */    MCD_OPC_FilterValue, 1, 251, 18, // Skip to: 7634
-/* 2775 */    MCD_OPC_CheckPredicate, 0, 247, 18, // Skip to: 7634
-/* 2779 */    MCD_OPC_Decode, 227, 3, 42, // Opcode: STRHTi
-/* 2783 */    MCD_OPC_FilterValue, 1, 239, 18, // Skip to: 7634
-/* 2787 */    MCD_OPC_CheckPredicate, 0, 235, 18, // Skip to: 7634
-/* 2791 */    MCD_OPC_Decode, 230, 3, 7, // Opcode: STRH_PRE
-/* 2795 */    MCD_OPC_FilterValue, 1, 227, 18, // Skip to: 7634
-/* 2799 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 2802 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2837
-/* 2806 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 2809 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 2825
-/* 2813 */    MCD_OPC_CheckPredicate, 0, 209, 18, // Skip to: 7634
-/* 2817 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 2821 */    MCD_OPC_Decode, 219, 1, 43, // Opcode: LDRHTr
-/* 2825 */    MCD_OPC_FilterValue, 1, 197, 18, // Skip to: 7634
-/* 2829 */    MCD_OPC_CheckPredicate, 0, 193, 18, // Skip to: 7634
-/* 2833 */    MCD_OPC_Decode, 218, 1, 44, // Opcode: LDRHTi
-/* 2837 */    MCD_OPC_FilterValue, 1, 185, 18, // Skip to: 7634
-/* 2841 */    MCD_OPC_CheckPredicate, 0, 181, 18, // Skip to: 7634
-/* 2845 */    MCD_OPC_Decode, 221, 1, 7, // Opcode: LDRH_PRE
-/* 2849 */    MCD_OPC_FilterValue, 2, 75, 0, // Skip to: 2928
-/* 2853 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2856 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2874
-/* 2860 */    MCD_OPC_CheckPredicate, 0, 162, 18, // Skip to: 7634
-/* 2864 */    MCD_OPC_CheckField, 24, 1, 1, 156, 18, // Skip to: 7634
-/* 2870 */    MCD_OPC_Decode, 212, 1, 7, // Opcode: LDRD_PRE
-/* 2874 */    MCD_OPC_FilterValue, 1, 148, 18, // Skip to: 7634
-/* 2878 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 2881 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2916
-/* 2885 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 2888 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 2904
-/* 2892 */    MCD_OPC_CheckPredicate, 0, 130, 18, // Skip to: 7634
-/* 2896 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 2900 */    MCD_OPC_Decode, 227, 1, 43, // Opcode: LDRSBTr
-/* 2904 */    MCD_OPC_FilterValue, 1, 118, 18, // Skip to: 7634
-/* 2908 */    MCD_OPC_CheckPredicate, 0, 114, 18, // Skip to: 7634
-/* 2912 */    MCD_OPC_Decode, 226, 1, 44, // Opcode: LDRSBTi
-/* 2916 */    MCD_OPC_FilterValue, 1, 106, 18, // Skip to: 7634
-/* 2920 */    MCD_OPC_CheckPredicate, 0, 102, 18, // Skip to: 7634
-/* 2924 */    MCD_OPC_Decode, 229, 1, 7, // Opcode: LDRSB_PRE
-/* 2928 */    MCD_OPC_FilterValue, 3, 94, 18, // Skip to: 7634
-/* 2932 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2935 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2953
-/* 2939 */    MCD_OPC_CheckPredicate, 0, 83, 18, // Skip to: 7634
-/* 2943 */    MCD_OPC_CheckField, 24, 1, 1, 77, 18, // Skip to: 7634
-/* 2949 */    MCD_OPC_Decode, 221, 3, 7, // Opcode: STRD_PRE
-/* 2953 */    MCD_OPC_FilterValue, 1, 69, 18, // Skip to: 7634
-/* 2957 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 2960 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2995
-/* 2964 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 2967 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 2983
-/* 2971 */    MCD_OPC_CheckPredicate, 0, 51, 18, // Skip to: 7634
-/* 2975 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
-/* 2979 */    MCD_OPC_Decode, 232, 1, 43, // Opcode: LDRSHTr
-/* 2983 */    MCD_OPC_FilterValue, 1, 39, 18, // Skip to: 7634
-/* 2987 */    MCD_OPC_CheckPredicate, 0, 35, 18, // Skip to: 7634
-/* 2991 */    MCD_OPC_Decode, 231, 1, 44, // Opcode: LDRSHTi
-/* 2995 */    MCD_OPC_FilterValue, 1, 27, 18, // Skip to: 7634
-/* 2999 */    MCD_OPC_CheckPredicate, 0, 23, 18, // Skip to: 7634
-/* 3003 */    MCD_OPC_Decode, 234, 1, 7, // Opcode: LDRSH_PRE
-/* 3007 */    MCD_OPC_FilterValue, 1, 151, 1, // Skip to: 3418
-/* 3011 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 3014 */    MCD_OPC_FilterValue, 0, 171, 0, // Skip to: 3189
-/* 3018 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3021 */    MCD_OPC_FilterValue, 0, 62, 0, // Skip to: 3087
-/* 3025 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 3028 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3039
-/* 3032 */    MCD_OPC_CheckPredicate, 0, 38, 0, // Skip to: 3074
-/* 3036 */    MCD_OPC_Decode, 39, 45, // Opcode: ANDri
-/* 3039 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3051
-/* 3043 */    MCD_OPC_CheckPredicate, 0, 27, 0, // Skip to: 3074
-/* 3047 */    MCD_OPC_Decode, 248, 3, 45, // Opcode: SUBri
-/* 3051 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3062
-/* 3055 */    MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 3074
-/* 3059 */    MCD_OPC_Decode, 28, 45, // Opcode: ADDri
-/* 3062 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3074
-/* 3066 */    MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 3074
-/* 3070 */    MCD_OPC_Decode, 228, 2, 45, // Opcode: SBCri
-/* 3074 */    MCD_OPC_CheckPredicate, 0, 204, 17, // Skip to: 7634
-/* 3078 */    MCD_OPC_CheckField, 16, 5, 15, 198, 17, // Skip to: 7634
-/* 3084 */    MCD_OPC_Decode, 34, 46, // Opcode: ADR
-/* 3087 */    MCD_OPC_FilterValue, 1, 191, 17, // Skip to: 7634
-/* 3091 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
-/* 3094 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 3130
-/* 3098 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 3101 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3113
-/* 3105 */    MCD_OPC_CheckPredicate, 9, 173, 17, // Skip to: 7634
-/* 3109 */    MCD_OPC_Decode, 143, 2, 47, // Opcode: MOVi16
-/* 3113 */    MCD_OPC_FilterValue, 1, 165, 17, // Skip to: 7634
-/* 3117 */    MCD_OPC_CheckPredicate, 0, 161, 17, // Skip to: 7634
-/* 3121 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 3126 */    MCD_OPC_Decode, 144, 4, 48, // Opcode: TSTri
-/* 3130 */    MCD_OPC_FilterValue, 1, 32, 0, // Skip to: 3166
-/* 3134 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 3137 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3149
-/* 3141 */    MCD_OPC_CheckPredicate, 9, 137, 17, // Skip to: 7634
-/* 3145 */    MCD_OPC_Decode, 138, 2, 47, // Opcode: MOVTi16
-/* 3149 */    MCD_OPC_FilterValue, 1, 129, 17, // Skip to: 7634
-/* 3153 */    MCD_OPC_CheckPredicate, 0, 125, 17, // Skip to: 7634
-/* 3157 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 3162 */    MCD_OPC_Decode, 129, 1, 48, // Opcode: CMPri
-/* 3166 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3178
-/* 3170 */    MCD_OPC_CheckPredicate, 0, 108, 17, // Skip to: 7634
-/* 3174 */    MCD_OPC_Decode, 167, 2, 45, // Opcode: ORRri
-/* 3178 */    MCD_OPC_FilterValue, 3, 100, 17, // Skip to: 7634
-/* 3182 */    MCD_OPC_CheckPredicate, 0, 96, 17, // Skip to: 7634
-/* 3186 */    MCD_OPC_Decode, 100, 45, // Opcode: BICri
-/* 3189 */    MCD_OPC_FilterValue, 1, 89, 17, // Skip to: 7634
-/* 3193 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 3196 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 3227
-/* 3200 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 3203 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3215
-/* 3207 */    MCD_OPC_CheckPredicate, 0, 71, 17, // Skip to: 7634
-/* 3211 */    MCD_OPC_Decode, 147, 1, 45, // Opcode: EORri
-/* 3215 */    MCD_OPC_FilterValue, 1, 63, 17, // Skip to: 7634
-/* 3219 */    MCD_OPC_CheckPredicate, 0, 59, 17, // Skip to: 7634
-/* 3223 */    MCD_OPC_Decode, 217, 2, 45, // Opcode: RSBri
-/* 3227 */    MCD_OPC_FilterValue, 1, 26, 0, // Skip to: 3257
-/* 3231 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 3234 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3245
-/* 3238 */    MCD_OPC_CheckPredicate, 0, 40, 17, // Skip to: 7634
-/* 3242 */    MCD_OPC_Decode, 20, 45, // Opcode: ADCri
-/* 3245 */    MCD_OPC_FilterValue, 1, 33, 17, // Skip to: 7634
-/* 3249 */    MCD_OPC_CheckPredicate, 0, 29, 17, // Skip to: 7634
-/* 3253 */    MCD_OPC_Decode, 221, 2, 45, // Opcode: RSCri
-/* 3257 */    MCD_OPC_FilterValue, 2, 114, 0, // Skip to: 3375
-/* 3261 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 3264 */    MCD_OPC_FilterValue, 0, 67, 0, // Skip to: 3335
-/* 3268 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 3271 */    MCD_OPC_FilterValue, 15, 7, 17, // Skip to: 7634
-/* 3275 */    MCD_OPC_CheckPredicate, 10, 22, 0, // Skip to: 3301
-/* 3279 */    MCD_OPC_CheckField, 22, 1, 0, 16, 0, // Skip to: 3301
-/* 3285 */    MCD_OPC_CheckField, 16, 4, 0, 10, 0, // Skip to: 3301
-/* 3291 */    MCD_OPC_CheckField, 4, 8, 15, 4, 0, // Skip to: 3301
-/* 3297 */    MCD_OPC_Decode, 144, 1, 33, // Opcode: DBG
-/* 3301 */    MCD_OPC_CheckPredicate, 1, 22, 0, // Skip to: 3327
-/* 3305 */    MCD_OPC_CheckField, 22, 1, 0, 16, 0, // Skip to: 3327
-/* 3311 */    MCD_OPC_CheckField, 16, 4, 0, 10, 0, // Skip to: 3327
-/* 3317 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 3327
-/* 3323 */    MCD_OPC_Decode, 160, 1, 49, // Opcode: HINT
-/* 3327 */    MCD_OPC_CheckPredicate, 0, 207, 16, // Skip to: 7634
-/* 3331 */    MCD_OPC_Decode, 159, 2, 50, // Opcode: MSRi
-/* 3335 */    MCD_OPC_FilterValue, 1, 199, 16, // Skip to: 7634
-/* 3339 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 3342 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 3359
-/* 3346 */    MCD_OPC_CheckPredicate, 0, 188, 16, // Skip to: 7634
-/* 3350 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 3355 */    MCD_OPC_Decode, 137, 4, 48, // Opcode: TEQri
-/* 3359 */    MCD_OPC_FilterValue, 1, 175, 16, // Skip to: 7634
-/* 3363 */    MCD_OPC_CheckPredicate, 0, 171, 16, // Skip to: 7634
-/* 3367 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
-/* 3372 */    MCD_OPC_Decode, 125, 48, // Opcode: CMNri
-/* 3375 */    MCD_OPC_FilterValue, 3, 159, 16, // Skip to: 7634
-/* 3379 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 3382 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3400
-/* 3386 */    MCD_OPC_CheckPredicate, 0, 148, 16, // Skip to: 7634
-/* 3390 */    MCD_OPC_CheckField, 16, 4, 0, 142, 16, // Skip to: 7634
-/* 3396 */    MCD_OPC_Decode, 142, 2, 51, // Opcode: MOVi
-/* 3400 */    MCD_OPC_FilterValue, 1, 134, 16, // Skip to: 7634
-/* 3404 */    MCD_OPC_CheckPredicate, 0, 130, 16, // Skip to: 7634
-/* 3408 */    MCD_OPC_CheckField, 16, 4, 0, 124, 16, // Skip to: 7634
-/* 3414 */    MCD_OPC_Decode, 163, 2, 51, // Opcode: MVNi
-/* 3418 */    MCD_OPC_FilterValue, 2, 163, 1, // Skip to: 3841
-/* 3422 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
-/* 3425 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 3456
-/* 3429 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3432 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3444
-/* 3436 */    MCD_OPC_CheckPredicate, 0, 98, 16, // Skip to: 7634
-/* 3440 */    MCD_OPC_Decode, 235, 3, 52, // Opcode: STR_POST_IMM
-/* 3444 */    MCD_OPC_FilterValue, 1, 90, 16, // Skip to: 7634
-/* 3448 */    MCD_OPC_CheckPredicate, 0, 86, 16, // Skip to: 7634
-/* 3452 */    MCD_OPC_Decode, 239, 3, 53, // Opcode: STRi12
-/* 3456 */    MCD_OPC_FilterValue, 1, 47, 0, // Skip to: 3507
-/* 3460 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3463 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3475
-/* 3467 */    MCD_OPC_CheckPredicate, 0, 67, 16, // Skip to: 7634
-/* 3471 */    MCD_OPC_Decode, 238, 1, 52, // Opcode: LDR_POST_IMM
-/* 3475 */    MCD_OPC_FilterValue, 1, 59, 16, // Skip to: 7634
-/* 3479 */    MCD_OPC_CheckPredicate, 11, 16, 0, // Skip to: 3499
-/* 3483 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3499
-/* 3489 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3499
-/* 3495 */    MCD_OPC_Decode, 182, 2, 54, // Opcode: PLDWi12
-/* 3499 */    MCD_OPC_CheckPredicate, 0, 35, 16, // Skip to: 7634
-/* 3503 */    MCD_OPC_Decode, 243, 1, 53, // Opcode: LDRi12
-/* 3507 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 3538
-/* 3511 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3514 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3526
-/* 3518 */    MCD_OPC_CheckPredicate, 0, 16, 16, // Skip to: 7634
-/* 3522 */    MCD_OPC_Decode, 233, 3, 52, // Opcode: STRT_POST_IMM
-/* 3526 */    MCD_OPC_FilterValue, 1, 8, 16, // Skip to: 7634
-/* 3530 */    MCD_OPC_CheckPredicate, 0, 4, 16, // Skip to: 7634
-/* 3534 */    MCD_OPC_Decode, 237, 3, 55, // Opcode: STR_PRE_IMM
-/* 3538 */    MCD_OPC_FilterValue, 3, 27, 0, // Skip to: 3569
-/* 3542 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3545 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3557
-/* 3549 */    MCD_OPC_CheckPredicate, 0, 241, 15, // Skip to: 7634
-/* 3553 */    MCD_OPC_Decode, 236, 1, 52, // Opcode: LDRT_POST_IMM
-/* 3557 */    MCD_OPC_FilterValue, 1, 233, 15, // Skip to: 7634
-/* 3561 */    MCD_OPC_CheckPredicate, 0, 229, 15, // Skip to: 7634
-/* 3565 */    MCD_OPC_Decode, 240, 1, 56, // Opcode: LDR_PRE_IMM
-/* 3569 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 3600
-/* 3573 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3576 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3588
-/* 3580 */    MCD_OPC_CheckPredicate, 0, 210, 15, // Skip to: 7634
-/* 3584 */    MCD_OPC_Decode, 210, 3, 52, // Opcode: STRB_POST_IMM
-/* 3588 */    MCD_OPC_FilterValue, 1, 202, 15, // Skip to: 7634
-/* 3592 */    MCD_OPC_CheckPredicate, 0, 198, 15, // Skip to: 7634
-/* 3596 */    MCD_OPC_Decode, 214, 3, 57, // Opcode: STRBi12
-/* 3600 */    MCD_OPC_FilterValue, 5, 67, 0, // Skip to: 3671
-/* 3604 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3607 */    MCD_OPC_FilterValue, 0, 28, 0, // Skip to: 3639
-/* 3611 */    MCD_OPC_CheckPredicate, 10, 16, 0, // Skip to: 3631
-/* 3615 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3631
-/* 3621 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3631
-/* 3627 */    MCD_OPC_Decode, 186, 2, 54, // Opcode: PLIi12
-/* 3631 */    MCD_OPC_CheckPredicate, 0, 159, 15, // Skip to: 7634
-/* 3635 */    MCD_OPC_Decode, 203, 1, 52, // Opcode: LDRB_POST_IMM
-/* 3639 */    MCD_OPC_FilterValue, 1, 151, 15, // Skip to: 7634
-/* 3643 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 3663
-/* 3647 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3663
-/* 3653 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3663
-/* 3659 */    MCD_OPC_Decode, 184, 2, 54, // Opcode: PLDi12
-/* 3663 */    MCD_OPC_CheckPredicate, 0, 127, 15, // Skip to: 7634
-/* 3667 */    MCD_OPC_Decode, 207, 1, 57, // Opcode: LDRBi12
-/* 3671 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 3702
-/* 3675 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3678 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3690
-/* 3682 */    MCD_OPC_CheckPredicate, 0, 108, 15, // Skip to: 7634
-/* 3686 */    MCD_OPC_Decode, 208, 3, 52, // Opcode: STRBT_POST_IMM
-/* 3690 */    MCD_OPC_FilterValue, 1, 100, 15, // Skip to: 7634
-/* 3694 */    MCD_OPC_CheckPredicate, 0, 96, 15, // Skip to: 7634
-/* 3698 */    MCD_OPC_Decode, 212, 3, 55, // Opcode: STRB_PRE_IMM
-/* 3702 */    MCD_OPC_FilterValue, 7, 88, 15, // Skip to: 7634
-/* 3706 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3709 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3721
-/* 3713 */    MCD_OPC_CheckPredicate, 0, 77, 15, // Skip to: 7634
-/* 3717 */    MCD_OPC_Decode, 201, 1, 52, // Opcode: LDRBT_POST_IMM
-/* 3721 */    MCD_OPC_FilterValue, 1, 69, 15, // Skip to: 7634
-/* 3725 */    MCD_OPC_CheckPredicate, 10, 23, 0, // Skip to: 3752
-/* 3729 */    MCD_OPC_CheckField, 28, 4, 15, 17, 0, // Skip to: 3752
-/* 3735 */    MCD_OPC_CheckField, 23, 1, 0, 11, 0, // Skip to: 3752
-/* 3741 */    MCD_OPC_CheckField, 0, 20, 159, 224, 63, 3, 0, // Skip to: 3752
-/* 3749 */    MCD_OPC_Decode, 123, 58, // Opcode: CLREX
-/* 3752 */    MCD_OPC_ExtractField, 4, 16,  // Inst{19-4} ...
-/* 3755 */    MCD_OPC_FilterValue, 132, 254, 3, 20, 0, // Skip to: 3781
-/* 3761 */    MCD_OPC_CheckPredicate, 12, 68, 0, // Skip to: 3833
-/* 3765 */    MCD_OPC_CheckField, 28, 4, 15, 62, 0, // Skip to: 3833
-/* 3771 */    MCD_OPC_CheckField, 23, 1, 0, 56, 0, // Skip to: 3833
-/* 3777 */    MCD_OPC_Decode, 146, 1, 59, // Opcode: DSB
-/* 3781 */    MCD_OPC_FilterValue, 133, 254, 3, 20, 0, // Skip to: 3807
-/* 3787 */    MCD_OPC_CheckPredicate, 12, 42, 0, // Skip to: 3833
-/* 3791 */    MCD_OPC_CheckField, 28, 4, 15, 36, 0, // Skip to: 3833
-/* 3797 */    MCD_OPC_CheckField, 23, 1, 0, 30, 0, // Skip to: 3833
-/* 3803 */    MCD_OPC_Decode, 145, 1, 59, // Opcode: DMB
-/* 3807 */    MCD_OPC_FilterValue, 134, 254, 3, 20, 0, // Skip to: 3833
-/* 3813 */    MCD_OPC_CheckPredicate, 12, 16, 0, // Skip to: 3833
-/* 3817 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3833
-/* 3823 */    MCD_OPC_CheckField, 23, 1, 0, 4, 0, // Skip to: 3833
-/* 3829 */    MCD_OPC_Decode, 162, 1, 60, // Opcode: ISB
-/* 3833 */    MCD_OPC_CheckPredicate, 0, 213, 14, // Skip to: 7634
-/* 3837 */    MCD_OPC_Decode, 205, 1, 56, // Opcode: LDRB_PRE_IMM
-/* 3841 */    MCD_OPC_FilterValue, 3, 27, 9, // Skip to: 6176
-/* 3845 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
-/* 3848 */    MCD_OPC_FilterValue, 0, 109, 2, // Skip to: 4473
-/* 3852 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 3855 */    MCD_OPC_FilterValue, 0, 85, 0, // Skip to: 3944
-/* 3859 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 3862 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 3893
+/* 416 */     MCD_OPC_Decode, 89, 8, // Opcode: CRC32B
+/* 419 */     MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 544
+/* 423 */     MCD_OPC_CheckPredicate, 2, 117, 0, // Skip to: 544
+/* 427 */     MCD_OPC_CheckField, 6, 2, 1, 111, 0, // Skip to: 544
+/* 433 */     MCD_OPC_CheckField, 4, 1, 0, 105, 0, // Skip to: 544
+/* 439 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
+/* 443 */     MCD_OPC_Decode, 90, 8, // Opcode: CRC32CB
+/* 446 */     MCD_OPC_FilterValue, 15, 94, 0, // Skip to: 544
+/* 450 */     MCD_OPC_ExtractField, 10, 8,  // Inst{17-10} ...
+/* 453 */     MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 476
+/* 457 */     MCD_OPC_CheckPredicate, 0, 83, 0, // Skip to: 544
+/* 461 */     MCD_OPC_CheckField, 9, 1, 0, 77, 0, // Skip to: 544
+/* 467 */     MCD_OPC_CheckField, 0, 5, 0, 71, 0, // Skip to: 544
+/* 473 */     MCD_OPC_Decode, 87, 9, // Opcode: CPS2p
+/* 476 */     MCD_OPC_FilterValue, 64, 26, 0, // Skip to: 506
+/* 480 */     MCD_OPC_CheckPredicate, 0, 60, 0, // Skip to: 544
+/* 484 */     MCD_OPC_CheckField, 18, 2, 0, 54, 0, // Skip to: 544
+/* 490 */     MCD_OPC_CheckField, 6, 3, 0, 48, 0, // Skip to: 544
+/* 496 */     MCD_OPC_CheckField, 0, 5, 0, 42, 0, // Skip to: 544
+/* 502 */     MCD_OPC_Decode, 185, 2, 10, // Opcode: SETEND
+/* 506 */     MCD_OPC_FilterValue, 128, 1, 33, 0, // Skip to: 544
+/* 511 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
+/* 514 */     MCD_OPC_FilterValue, 0, 26, 0, // Skip to: 544
+/* 518 */     MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 537
+/* 522 */     MCD_OPC_CheckField, 18, 2, 0, 9, 0, // Skip to: 537
+/* 528 */     MCD_OPC_CheckField, 6, 3, 0, 3, 0, // Skip to: 537
+/* 534 */     MCD_OPC_Decode, 86, 9, // Opcode: CPS1p
+/* 537 */     MCD_OPC_CheckPredicate, 0, 3, 0, // Skip to: 544
+/* 541 */     MCD_OPC_Decode, 88, 9, // Opcode: CPS3p
+/* 544 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 547 */     MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 583
+/* 551 */     MCD_OPC_CheckPredicate, 0, 140, 3, // Skip to: 1463
+/* 555 */     MCD_OPC_CheckField, 16, 1, 1, 134, 3, // Skip to: 1463
+/* 561 */     MCD_OPC_CheckField, 9, 1, 0, 128, 3, // Skip to: 1463
+/* 567 */     MCD_OPC_CheckField, 4, 1, 0, 122, 3, // Skip to: 1463
+/* 573 */     MCD_OPC_SoftFail, 143, 26 /* 0xD0F */, 128, 128, 56 /* 0xE0000 */,
+/* 579 */     MCD_OPC_Decode, 234, 1, 11, // Opcode: MRS
+/* 583 */     MCD_OPC_FilterValue, 1, 18, 0, // Skip to: 605
+/* 587 */     MCD_OPC_CheckPredicate, 0, 104, 3, // Skip to: 1463
+/* 591 */     MCD_OPC_CheckField, 4, 1, 1, 98, 3, // Skip to: 1463
+/* 597 */     MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 601 */     MCD_OPC_Decode, 138, 2, 12, // Opcode: QADD
+/* 605 */     MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 640
+/* 609 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 612 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 624
+/* 616 */     MCD_OPC_CheckPredicate, 3, 75, 3, // Skip to: 1463
+/* 620 */     MCD_OPC_Decode, 203, 2, 13, // Opcode: SMLABB
+/* 624 */     MCD_OPC_FilterValue, 1, 67, 3, // Skip to: 1463
+/* 628 */     MCD_OPC_CheckPredicate, 4, 63, 3, // Skip to: 1463
+/* 632 */     MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 636 */     MCD_OPC_Decode, 202, 3, 14, // Opcode: SWP
+/* 640 */     MCD_OPC_FilterValue, 3, 51, 3, // Skip to: 1463
+/* 644 */     MCD_OPC_CheckPredicate, 3, 47, 3, // Skip to: 1463
+/* 648 */     MCD_OPC_CheckField, 4, 1, 0, 41, 3, // Skip to: 1463
+/* 654 */     MCD_OPC_Decode, 204, 2, 13, // Opcode: SMLABT
+/* 658 */     MCD_OPC_FilterValue, 1, 33, 3, // Skip to: 1463
+/* 662 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 665 */     MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 688
+/* 669 */     MCD_OPC_CheckPredicate, 5, 22, 3, // Skip to: 1463
+/* 673 */     MCD_OPC_CheckField, 28, 4, 14, 16, 3, // Skip to: 1463
+/* 679 */     MCD_OPC_CheckField, 4, 1, 1, 10, 3, // Skip to: 1463
+/* 685 */     MCD_OPC_Decode, 112, 15, // Opcode: HLT
+/* 688 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 706
+/* 692 */     MCD_OPC_CheckPredicate, 3, 255, 2, // Skip to: 1463
+/* 696 */     MCD_OPC_CheckField, 4, 1, 0, 249, 2, // Skip to: 1463
+/* 702 */     MCD_OPC_Decode, 215, 2, 13, // Opcode: SMLATB
+/* 706 */     MCD_OPC_FilterValue, 3, 241, 2, // Skip to: 1463
+/* 710 */     MCD_OPC_CheckPredicate, 3, 237, 2, // Skip to: 1463
+/* 714 */     MCD_OPC_CheckField, 4, 1, 0, 231, 2, // Skip to: 1463
+/* 720 */     MCD_OPC_Decode, 216, 2, 13, // Opcode: SMLATT
+/* 724 */     MCD_OPC_FilterValue, 1, 223, 2, // Skip to: 1463
+/* 728 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 731 */     MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 767
+/* 735 */     MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 754
+/* 739 */     MCD_OPC_CheckField, 5, 7, 0, 9, 0, // Skip to: 754
+/* 745 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 750 */     MCD_OPC_Decode, 222, 3, 16, // Opcode: TSTrr
+/* 754 */     MCD_OPC_CheckPredicate, 0, 193, 2, // Skip to: 1463
+/* 758 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 763 */     MCD_OPC_Decode, 223, 3, 17, // Opcode: TSTrsi
+/* 767 */     MCD_OPC_FilterValue, 1, 180, 2, // Skip to: 1463
+/* 771 */     MCD_OPC_CheckPredicate, 0, 176, 2, // Skip to: 1463
+/* 775 */     MCD_OPC_CheckField, 7, 1, 0, 170, 2, // Skip to: 1463
+/* 781 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 786 */     MCD_OPC_Decode, 224, 3, 18, // Opcode: TSTrsr
+/* 790 */     MCD_OPC_FilterValue, 1, 252, 0, // Skip to: 1046
+/* 794 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 797 */     MCD_OPC_FilterValue, 0, 165, 0, // Skip to: 966
+/* 801 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 804 */     MCD_OPC_FilterValue, 0, 124, 0, // Skip to: 932
+/* 808 */     MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
+/* 811 */     MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 835
+/* 815 */     MCD_OPC_CheckPredicate, 0, 132, 2, // Skip to: 1463
+/* 819 */     MCD_OPC_CheckField, 9, 1, 0, 126, 2, // Skip to: 1463
+/* 825 */     MCD_OPC_SoftFail, 143, 26 /* 0xD0F */, 128, 128, 60 /* 0xF0000 */,
+/* 831 */     MCD_OPC_Decode, 235, 1, 11, // Opcode: MRSsys
+/* 835 */     MCD_OPC_FilterValue, 2, 45, 0, // Skip to: 884
+/* 839 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
+/* 842 */     MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 863
+/* 846 */     MCD_OPC_CheckPredicate, 2, 101, 2, // Skip to: 1463
+/* 850 */     MCD_OPC_CheckField, 28, 4, 14, 95, 2, // Skip to: 1463
+/* 856 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
+/* 860 */     MCD_OPC_Decode, 94, 8, // Opcode: CRC32W
+/* 863 */     MCD_OPC_FilterValue, 1, 84, 2, // Skip to: 1463
+/* 867 */     MCD_OPC_CheckPredicate, 2, 80, 2, // Skip to: 1463
+/* 871 */     MCD_OPC_CheckField, 28, 4, 14, 74, 2, // Skip to: 1463
+/* 877 */     MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
+/* 881 */     MCD_OPC_Decode, 92, 8, // Opcode: CRC32CW
+/* 884 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 896
+/* 888 */     MCD_OPC_CheckPredicate, 3, 59, 2, // Skip to: 1463
+/* 892 */     MCD_OPC_Decode, 208, 2, 19, // Opcode: SMLALBB
+/* 896 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 908
+/* 900 */     MCD_OPC_CheckPredicate, 3, 47, 2, // Skip to: 1463
+/* 904 */     MCD_OPC_Decode, 212, 2, 19, // Opcode: SMLALTB
+/* 908 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 920
+/* 912 */     MCD_OPC_CheckPredicate, 3, 35, 2, // Skip to: 1463
+/* 916 */     MCD_OPC_Decode, 209, 2, 19, // Opcode: SMLALBT
+/* 920 */     MCD_OPC_FilterValue, 7, 27, 2, // Skip to: 1463
+/* 924 */     MCD_OPC_CheckPredicate, 3, 23, 2, // Skip to: 1463
+/* 928 */     MCD_OPC_Decode, 213, 2, 19, // Opcode: SMLALTT
+/* 932 */     MCD_OPC_FilterValue, 1, 15, 2, // Skip to: 1463
+/* 936 */     MCD_OPC_CheckPredicate, 0, 14, 0, // Skip to: 954
+/* 940 */     MCD_OPC_CheckField, 5, 7, 0, 8, 0, // Skip to: 954
+/* 946 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 951 */     MCD_OPC_Decode, 81, 16, // Opcode: CMPrr
+/* 954 */     MCD_OPC_CheckPredicate, 0, 249, 1, // Skip to: 1463
+/* 958 */     MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 963 */     MCD_OPC_Decode, 82, 17, // Opcode: CMPrsi
+/* 966 */     MCD_OPC_FilterValue, 1, 237, 1, // Skip to: 1463
+/* 970 */     MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 973 */     MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 1018
+/* 977 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 980 */     MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 1002
+/* 984 */     MCD_OPC_CheckPredicate, 0, 219, 1, // Skip to: 1463
+/* 988 */     MCD_OPC_CheckField, 5, 2, 2, 213, 1, // Skip to: 1463
+/* 994 */     MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 998 */     MCD_OPC_Decode, 142, 2, 20, // Opcode: QDADD
+/* 1002 */    MCD_OPC_FilterValue, 1, 201, 1, // Skip to: 1463
+/* 1006 */    MCD_OPC_CheckPredicate, 0, 197, 1, // Skip to: 1463
+/* 1010 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 1015 */    MCD_OPC_Decode, 83, 18, // Opcode: CMPrsr
+/* 1018 */    MCD_OPC_FilterValue, 1, 185, 1, // Skip to: 1463
+/* 1022 */    MCD_OPC_CheckPredicate, 4, 181, 1, // Skip to: 1463
+/* 1026 */    MCD_OPC_CheckField, 20, 1, 0, 175, 1, // Skip to: 1463
+/* 1032 */    MCD_OPC_CheckField, 5, 2, 0, 169, 1, // Skip to: 1463
+/* 1038 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 1042 */    MCD_OPC_Decode, 203, 3, 14, // Opcode: SWPB
+/* 1046 */    MCD_OPC_FilterValue, 2, 206, 0, // Skip to: 1256
+/* 1050 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 1053 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 1079
+/* 1057 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1071
+/* 1061 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1071
+/* 1067 */    MCD_OPC_Decode, 246, 1, 0, // Opcode: ORRrr
+/* 1071 */    MCD_OPC_CheckPredicate, 0, 132, 1, // Skip to: 1463
+/* 1075 */    MCD_OPC_Decode, 247, 1, 1, // Opcode: ORRrsi
+/* 1079 */    MCD_OPC_FilterValue, 1, 124, 1, // Skip to: 1463
+/* 1083 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 1086 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1098
+/* 1090 */    MCD_OPC_CheckPredicate, 0, 113, 1, // Skip to: 1463
+/* 1094 */    MCD_OPC_Decode, 248, 1, 2, // Opcode: ORRrsr
+/* 1098 */    MCD_OPC_FilterValue, 1, 105, 1, // Skip to: 1463
+/* 1102 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 1105 */    MCD_OPC_FilterValue, 12, 50, 0, // Skip to: 1159
+/* 1109 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1112 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1136
+/* 1116 */    MCD_OPC_CheckPredicate, 5, 87, 1, // Skip to: 1463
+/* 1120 */    MCD_OPC_CheckField, 12, 4, 15, 81, 1, // Skip to: 1463
+/* 1126 */    MCD_OPC_CheckField, 5, 2, 0, 75, 1, // Skip to: 1463
+/* 1132 */    MCD_OPC_Decode, 142, 3, 21, // Opcode: STL
+/* 1136 */    MCD_OPC_FilterValue, 1, 67, 1, // Skip to: 1463
+/* 1140 */    MCD_OPC_CheckPredicate, 5, 63, 1, // Skip to: 1463
+/* 1144 */    MCD_OPC_CheckField, 5, 2, 0, 57, 1, // Skip to: 1463
+/* 1150 */    MCD_OPC_CheckField, 0, 4, 15, 51, 1, // Skip to: 1463
+/* 1156 */    MCD_OPC_Decode, 119, 22, // Opcode: LDA
+/* 1159 */    MCD_OPC_FilterValue, 14, 44, 0, // Skip to: 1207
+/* 1163 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1166 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1184
+/* 1170 */    MCD_OPC_CheckPredicate, 5, 33, 1, // Skip to: 1463
+/* 1174 */    MCD_OPC_CheckField, 5, 2, 0, 27, 1, // Skip to: 1463
+/* 1180 */    MCD_OPC_Decode, 144, 3, 23, // Opcode: STLEX
+/* 1184 */    MCD_OPC_FilterValue, 1, 19, 1, // Skip to: 1463
+/* 1188 */    MCD_OPC_CheckPredicate, 5, 15, 1, // Skip to: 1463
+/* 1192 */    MCD_OPC_CheckField, 5, 2, 0, 9, 1, // Skip to: 1463
+/* 1198 */    MCD_OPC_CheckField, 0, 4, 15, 3, 1, // Skip to: 1463
+/* 1204 */    MCD_OPC_Decode, 121, 22, // Opcode: LDAEX
+/* 1207 */    MCD_OPC_FilterValue, 15, 252, 0, // Skip to: 1463
+/* 1211 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1214 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1232
+/* 1218 */    MCD_OPC_CheckPredicate, 0, 241, 0, // Skip to: 1463
+/* 1222 */    MCD_OPC_CheckField, 5, 2, 0, 235, 0, // Skip to: 1463
+/* 1228 */    MCD_OPC_Decode, 171, 3, 23, // Opcode: STREX
+/* 1232 */    MCD_OPC_FilterValue, 1, 227, 0, // Skip to: 1463
+/* 1236 */    MCD_OPC_CheckPredicate, 0, 223, 0, // Skip to: 1463
+/* 1240 */    MCD_OPC_CheckField, 5, 2, 0, 217, 0, // Skip to: 1463
+/* 1246 */    MCD_OPC_CheckField, 0, 4, 15, 211, 0, // Skip to: 1463
+/* 1252 */    MCD_OPC_Decode, 163, 1, 22, // Opcode: LDREX
+/* 1256 */    MCD_OPC_FilterValue, 3, 203, 0, // Skip to: 1463
+/* 1260 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 1263 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1287
+/* 1267 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 1280
+/* 1271 */    MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 1280
+/* 1277 */    MCD_OPC_Decode, 52, 0, // Opcode: BICrr
+/* 1280 */    MCD_OPC_CheckPredicate, 0, 179, 0, // Skip to: 1463
+/* 1284 */    MCD_OPC_Decode, 53, 1, // Opcode: BICrsi
+/* 1287 */    MCD_OPC_FilterValue, 1, 172, 0, // Skip to: 1463
+/* 1291 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 1294 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 1305
+/* 1298 */    MCD_OPC_CheckPredicate, 0, 161, 0, // Skip to: 1463
+/* 1302 */    MCD_OPC_Decode, 54, 2, // Opcode: BICrsr
+/* 1305 */    MCD_OPC_FilterValue, 1, 154, 0, // Skip to: 1463
+/* 1309 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 1312 */    MCD_OPC_FilterValue, 12, 50, 0, // Skip to: 1366
+/* 1316 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1319 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1343
+/* 1323 */    MCD_OPC_CheckPredicate, 5, 136, 0, // Skip to: 1463
+/* 1327 */    MCD_OPC_CheckField, 12, 4, 15, 130, 0, // Skip to: 1463
+/* 1333 */    MCD_OPC_CheckField, 5, 2, 0, 124, 0, // Skip to: 1463
+/* 1339 */    MCD_OPC_Decode, 143, 3, 21, // Opcode: STLB
+/* 1343 */    MCD_OPC_FilterValue, 1, 116, 0, // Skip to: 1463
+/* 1347 */    MCD_OPC_CheckPredicate, 5, 112, 0, // Skip to: 1463
+/* 1351 */    MCD_OPC_CheckField, 5, 2, 0, 106, 0, // Skip to: 1463
+/* 1357 */    MCD_OPC_CheckField, 0, 4, 15, 100, 0, // Skip to: 1463
+/* 1363 */    MCD_OPC_Decode, 120, 22, // Opcode: LDAB
+/* 1366 */    MCD_OPC_FilterValue, 14, 44, 0, // Skip to: 1414
+/* 1370 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1373 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1391
+/* 1377 */    MCD_OPC_CheckPredicate, 5, 82, 0, // Skip to: 1463
+/* 1381 */    MCD_OPC_CheckField, 5, 2, 0, 76, 0, // Skip to: 1463
+/* 1387 */    MCD_OPC_Decode, 145, 3, 23, // Opcode: STLEXB
+/* 1391 */    MCD_OPC_FilterValue, 1, 68, 0, // Skip to: 1463
+/* 1395 */    MCD_OPC_CheckPredicate, 5, 64, 0, // Skip to: 1463
+/* 1399 */    MCD_OPC_CheckField, 5, 2, 0, 58, 0, // Skip to: 1463
+/* 1405 */    MCD_OPC_CheckField, 0, 4, 15, 52, 0, // Skip to: 1463
+/* 1411 */    MCD_OPC_Decode, 122, 22, // Opcode: LDAEXB
+/* 1414 */    MCD_OPC_FilterValue, 15, 45, 0, // Skip to: 1463
+/* 1418 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1421 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1439
+/* 1425 */    MCD_OPC_CheckPredicate, 0, 34, 0, // Skip to: 1463
+/* 1429 */    MCD_OPC_CheckField, 5, 2, 0, 28, 0, // Skip to: 1463
+/* 1435 */    MCD_OPC_Decode, 172, 3, 23, // Opcode: STREXB
+/* 1439 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 1463
+/* 1443 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 1463
+/* 1447 */    MCD_OPC_CheckField, 5, 2, 0, 10, 0, // Skip to: 1463
+/* 1453 */    MCD_OPC_CheckField, 0, 4, 15, 4, 0, // Skip to: 1463
+/* 1459 */    MCD_OPC_Decode, 164, 1, 22, // Opcode: LDREXB
+/* 1463 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 1466 */    MCD_OPC_FilterValue, 11, 27, 0, // Skip to: 1497
+/* 1470 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1473 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1485
+/* 1477 */    MCD_OPC_CheckPredicate, 0, 249, 23, // Skip to: 7618
+/* 1481 */    MCD_OPC_Decode, 175, 3, 7, // Opcode: STRH
+/* 1485 */    MCD_OPC_FilterValue, 1, 241, 23, // Skip to: 7618
+/* 1489 */    MCD_OPC_CheckPredicate, 0, 237, 23, // Skip to: 7618
+/* 1493 */    MCD_OPC_Decode, 167, 1, 7, // Opcode: LDRH
+/* 1497 */    MCD_OPC_FilterValue, 13, 27, 0, // Skip to: 1528
+/* 1501 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1504 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1516
+/* 1508 */    MCD_OPC_CheckPredicate, 3, 218, 23, // Skip to: 7618
+/* 1512 */    MCD_OPC_Decode, 160, 1, 7, // Opcode: LDRD
+/* 1516 */    MCD_OPC_FilterValue, 1, 210, 23, // Skip to: 7618
+/* 1520 */    MCD_OPC_CheckPredicate, 0, 206, 23, // Skip to: 7618
+/* 1524 */    MCD_OPC_Decode, 175, 1, 7, // Opcode: LDRSB
+/* 1528 */    MCD_OPC_FilterValue, 15, 198, 23, // Skip to: 7618
+/* 1532 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1535 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1547
+/* 1539 */    MCD_OPC_CheckPredicate, 3, 187, 23, // Skip to: 7618
+/* 1543 */    MCD_OPC_Decode, 168, 3, 7, // Opcode: STRD
+/* 1547 */    MCD_OPC_FilterValue, 1, 179, 23, // Skip to: 7618
+/* 1551 */    MCD_OPC_CheckPredicate, 0, 175, 23, // Skip to: 7618
+/* 1555 */    MCD_OPC_Decode, 180, 1, 7, // Opcode: LDRSH
+/* 1559 */    MCD_OPC_FilterValue, 1, 167, 23, // Skip to: 7618
+/* 1563 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 1566 */    MCD_OPC_FilterValue, 0, 32, 2, // Skip to: 2114
+/* 1570 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 1573 */    MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 1630
+/* 1577 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1580 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1604
+/* 1584 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 1597
+/* 1588 */    MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 1597
+/* 1594 */    MCD_OPC_Decode, 99, 0, // Opcode: EORrr
+/* 1597 */    MCD_OPC_CheckPredicate, 0, 129, 23, // Skip to: 7618
+/* 1601 */    MCD_OPC_Decode, 100, 1, // Opcode: EORrsi
+/* 1604 */    MCD_OPC_FilterValue, 1, 122, 23, // Skip to: 7618
+/* 1608 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1622
+/* 1612 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1622
+/* 1618 */    MCD_OPC_Decode, 168, 2, 0, // Opcode: RSBrr
+/* 1622 */    MCD_OPC_CheckPredicate, 0, 104, 23, // Skip to: 7618
+/* 1626 */    MCD_OPC_Decode, 169, 2, 1, // Opcode: RSBrsi
+/* 1630 */    MCD_OPC_FilterValue, 1, 53, 0, // Skip to: 1687
+/* 1634 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1637 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1661
+/* 1641 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 1654
+/* 1645 */    MCD_OPC_CheckField, 5, 7, 0, 3, 0, // Skip to: 1654
+/* 1651 */    MCD_OPC_Decode, 22, 0, // Opcode: ADCrr
+/* 1654 */    MCD_OPC_CheckPredicate, 0, 72, 23, // Skip to: 7618
+/* 1658 */    MCD_OPC_Decode, 23, 1, // Opcode: ADCrsi
+/* 1661 */    MCD_OPC_FilterValue, 1, 65, 23, // Skip to: 7618
+/* 1665 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1679
+/* 1669 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 1679
+/* 1675 */    MCD_OPC_Decode, 172, 2, 0, // Opcode: RSCrr
+/* 1679 */    MCD_OPC_CheckPredicate, 0, 47, 23, // Skip to: 7618
+/* 1683 */    MCD_OPC_Decode, 173, 2, 1, // Opcode: RSCrsi
+/* 1687 */    MCD_OPC_FilterValue, 2, 59, 1, // Skip to: 2006
+/* 1691 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 1694 */    MCD_OPC_FilterValue, 0, 231, 0, // Skip to: 1929
+/* 1698 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
+/* 1701 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1720
+/* 1705 */    MCD_OPC_CheckPredicate, 0, 21, 23, // Skip to: 7618
+/* 1709 */    MCD_OPC_CheckField, 8, 8, 240, 1, 14, 23, // Skip to: 7618
+/* 1716 */    MCD_OPC_Decode, 236, 1, 24, // Opcode: MSR
+/* 1720 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 1744
+/* 1724 */    MCD_OPC_CheckPredicate, 0, 2, 23, // Skip to: 7618
+/* 1728 */    MCD_OPC_CheckField, 22, 1, 0, 252, 22, // Skip to: 7618
+/* 1734 */    MCD_OPC_CheckField, 8, 12, 255, 31, 245, 22, // Skip to: 7618
+/* 1741 */    MCD_OPC_Decode, 67, 25, // Opcode: BXJ
+/* 1744 */    MCD_OPC_FilterValue, 2, 57, 0, // Skip to: 1805
+/* 1748 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
+/* 1751 */    MCD_OPC_FilterValue, 0, 23, 0, // Skip to: 1778
+/* 1755 */    MCD_OPC_CheckPredicate, 2, 227, 22, // Skip to: 7618
+/* 1759 */    MCD_OPC_CheckField, 28, 4, 14, 221, 22, // Skip to: 7618
+/* 1765 */    MCD_OPC_CheckField, 22, 1, 0, 215, 22, // Skip to: 7618
+/* 1771 */    MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
+/* 1775 */    MCD_OPC_Decode, 93, 8, // Opcode: CRC32H
+/* 1778 */    MCD_OPC_FilterValue, 1, 204, 22, // Skip to: 7618
+/* 1782 */    MCD_OPC_CheckPredicate, 2, 200, 22, // Skip to: 7618
+/* 1786 */    MCD_OPC_CheckField, 28, 4, 14, 194, 22, // Skip to: 7618
+/* 1792 */    MCD_OPC_CheckField, 22, 1, 0, 188, 22, // Skip to: 7618
+/* 1798 */    MCD_OPC_SoftFail, 128, 26 /* 0xD00 */, 0,
+/* 1802 */    MCD_OPC_Decode, 91, 8, // Opcode: CRC32CH
+/* 1805 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 1836
+/* 1809 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1812 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1824
+/* 1816 */    MCD_OPC_CheckPredicate, 3, 166, 22, // Skip to: 7618
+/* 1820 */    MCD_OPC_Decode, 217, 2, 13, // Opcode: SMLAWB
+/* 1824 */    MCD_OPC_FilterValue, 1, 158, 22, // Skip to: 7618
+/* 1828 */    MCD_OPC_CheckPredicate, 3, 154, 22, // Skip to: 7618
+/* 1832 */    MCD_OPC_Decode, 231, 2, 26, // Opcode: SMULBB
+/* 1836 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 1867
+/* 1840 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1843 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1855
+/* 1847 */    MCD_OPC_CheckPredicate, 3, 135, 22, // Skip to: 7618
+/* 1851 */    MCD_OPC_Decode, 237, 2, 26, // Opcode: SMULWB
+/* 1855 */    MCD_OPC_FilterValue, 1, 127, 22, // Skip to: 7618
+/* 1859 */    MCD_OPC_CheckPredicate, 3, 123, 22, // Skip to: 7618
+/* 1863 */    MCD_OPC_Decode, 235, 2, 26, // Opcode: SMULTB
+/* 1867 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 1898
+/* 1871 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1874 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1886
+/* 1878 */    MCD_OPC_CheckPredicate, 3, 104, 22, // Skip to: 7618
+/* 1882 */    MCD_OPC_Decode, 218, 2, 13, // Opcode: SMLAWT
+/* 1886 */    MCD_OPC_FilterValue, 1, 96, 22, // Skip to: 7618
+/* 1890 */    MCD_OPC_CheckPredicate, 3, 92, 22, // Skip to: 7618
+/* 1894 */    MCD_OPC_Decode, 232, 2, 26, // Opcode: SMULBT
+/* 1898 */    MCD_OPC_FilterValue, 7, 84, 22, // Skip to: 7618
+/* 1902 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1905 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1917
+/* 1909 */    MCD_OPC_CheckPredicate, 3, 73, 22, // Skip to: 7618
+/* 1913 */    MCD_OPC_Decode, 238, 2, 26, // Opcode: SMULWT
+/* 1917 */    MCD_OPC_FilterValue, 1, 65, 22, // Skip to: 7618
+/* 1921 */    MCD_OPC_CheckPredicate, 3, 61, 22, // Skip to: 7618
+/* 1925 */    MCD_OPC_Decode, 236, 2, 26, // Opcode: SMULTT
+/* 1929 */    MCD_OPC_FilterValue, 1, 53, 22, // Skip to: 7618
+/* 1933 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1936 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 1972
+/* 1940 */    MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 1959
+/* 1944 */    MCD_OPC_CheckField, 5, 7, 0, 9, 0, // Skip to: 1959
+/* 1950 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 1955 */    MCD_OPC_Decode, 215, 3, 16, // Opcode: TEQrr
+/* 1959 */    MCD_OPC_CheckPredicate, 0, 23, 22, // Skip to: 7618
+/* 1963 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 1968 */    MCD_OPC_Decode, 216, 3, 17, // Opcode: TEQrsi
+/* 1972 */    MCD_OPC_FilterValue, 1, 10, 22, // Skip to: 7618
+/* 1976 */    MCD_OPC_CheckPredicate, 0, 14, 0, // Skip to: 1994
+/* 1980 */    MCD_OPC_CheckField, 5, 7, 0, 8, 0, // Skip to: 1994
+/* 1986 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 1991 */    MCD_OPC_Decode, 77, 16, // Opcode: CMNzrr
+/* 1994 */    MCD_OPC_CheckPredicate, 0, 244, 21, // Skip to: 7618
+/* 1998 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 2003 */    MCD_OPC_Decode, 78, 17, // Opcode: CMNzrsi
+/* 2006 */    MCD_OPC_FilterValue, 3, 232, 21, // Skip to: 7618
+/* 2010 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 2013 */    MCD_OPC_FilterValue, 0, 64, 0, // Skip to: 2081
+/* 2017 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 2038
+/* 2021 */    MCD_OPC_CheckField, 5, 16, 128, 15, 10, 0, // Skip to: 2038
+/* 2028 */    MCD_OPC_CheckField, 0, 4, 14, 4, 0, // Skip to: 2038
+/* 2034 */    MCD_OPC_Decode, 214, 1, 27, // Opcode: MOVPCLR
+/* 2038 */    MCD_OPC_ExtractField, 5, 7,  // Inst{11-5} ...
+/* 2041 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 2067
+/* 2045 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 2059
+/* 2049 */    MCD_OPC_CheckField, 16, 4, 0, 4, 0, // Skip to: 2059
+/* 2055 */    MCD_OPC_Decode, 224, 1, 28, // Opcode: MOVr
+/* 2059 */    MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 2067
+/* 2063 */    MCD_OPC_Decode, 225, 1, 29, // Opcode: MOVr_TC
+/* 2067 */    MCD_OPC_CheckPredicate, 0, 171, 21, // Skip to: 7618
+/* 2071 */    MCD_OPC_CheckField, 16, 4, 0, 165, 21, // Skip to: 7618
+/* 2077 */    MCD_OPC_Decode, 226, 1, 30, // Opcode: MOVsi
+/* 2081 */    MCD_OPC_FilterValue, 1, 157, 21, // Skip to: 7618
+/* 2085 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 2088 */    MCD_OPC_FilterValue, 0, 150, 21, // Skip to: 7618
+/* 2092 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 2106
+/* 2096 */    MCD_OPC_CheckField, 5, 7, 0, 4, 0, // Skip to: 2106
+/* 2102 */    MCD_OPC_Decode, 242, 1, 28, // Opcode: MVNr
+/* 2106 */    MCD_OPC_CheckPredicate, 0, 132, 21, // Skip to: 7618
+/* 2110 */    MCD_OPC_Decode, 243, 1, 30, // Opcode: MVNsi
+/* 2114 */    MCD_OPC_FilterValue, 1, 124, 21, // Skip to: 7618
+/* 2118 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 2121 */    MCD_OPC_FilterValue, 0, 57, 1, // Skip to: 2438
+/* 2125 */    MCD_OPC_ExtractField, 22, 3,  // Inst{24-22} ...
+/* 2128 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 2139
+/* 2132 */    MCD_OPC_CheckPredicate, 0, 106, 21, // Skip to: 7618
+/* 2136 */    MCD_OPC_Decode, 101, 2, // Opcode: EORrsr
+/* 2139 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2151
+/* 2143 */    MCD_OPC_CheckPredicate, 0, 95, 21, // Skip to: 7618
+/* 2147 */    MCD_OPC_Decode, 170, 2, 2, // Opcode: RSBrsr
+/* 2151 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 2162
+/* 2155 */    MCD_OPC_CheckPredicate, 0, 83, 21, // Skip to: 7618
+/* 2159 */    MCD_OPC_Decode, 24, 3, // Opcode: ADCrsr
+/* 2162 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2174
+/* 2166 */    MCD_OPC_CheckPredicate, 0, 72, 21, // Skip to: 7618
+/* 2170 */    MCD_OPC_Decode, 174, 2, 2, // Opcode: RSCrsr
+/* 2174 */    MCD_OPC_FilterValue, 4, 137, 0, // Skip to: 2315
+/* 2178 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2181 */    MCD_OPC_FilterValue, 0, 113, 0, // Skip to: 2298
+/* 2185 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
+/* 2188 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 2233
+/* 2192 */    MCD_OPC_ExtractField, 8, 12,  // Inst{19-8} ...
+/* 2195 */    MCD_OPC_FilterValue, 255, 31, 42, 21, // Skip to: 7618
+/* 2200 */    MCD_OPC_CheckPredicate, 6, 9, 0, // Skip to: 2213
+/* 2204 */    MCD_OPC_CheckField, 0, 4, 14, 3, 0, // Skip to: 2213
+/* 2210 */    MCD_OPC_Decode, 69, 27, // Opcode: BX_RET
+/* 2213 */    MCD_OPC_CheckPredicate, 6, 9, 0, // Skip to: 2226
+/* 2217 */    MCD_OPC_CheckField, 28, 4, 14, 3, 0, // Skip to: 2226
+/* 2223 */    MCD_OPC_Decode, 66, 31, // Opcode: BX
+/* 2226 */    MCD_OPC_CheckPredicate, 6, 12, 21, // Skip to: 7618
+/* 2230 */    MCD_OPC_Decode, 70, 25, // Opcode: BX_pred
+/* 2233 */    MCD_OPC_FilterValue, 1, 28, 0, // Skip to: 2265
+/* 2237 */    MCD_OPC_ExtractField, 8, 12,  // Inst{19-8} ...
+/* 2240 */    MCD_OPC_FilterValue, 255, 31, 253, 20, // Skip to: 7618
+/* 2245 */    MCD_OPC_CheckPredicate, 7, 9, 0, // Skip to: 2258
+/* 2249 */    MCD_OPC_CheckField, 28, 4, 14, 3, 0, // Skip to: 2258
+/* 2255 */    MCD_OPC_Decode, 57, 31, // Opcode: BLX
+/* 2258 */    MCD_OPC_CheckPredicate, 7, 236, 20, // Skip to: 7618
+/* 2262 */    MCD_OPC_Decode, 58, 25, // Opcode: BLX_pred
+/* 2265 */    MCD_OPC_FilterValue, 2, 12, 0, // Skip to: 2281
+/* 2269 */    MCD_OPC_CheckPredicate, 0, 225, 20, // Skip to: 7618
+/* 2273 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 2277 */    MCD_OPC_Decode, 145, 2, 20, // Opcode: QSUB
+/* 2281 */    MCD_OPC_FilterValue, 3, 213, 20, // Skip to: 7618
+/* 2285 */    MCD_OPC_CheckPredicate, 0, 209, 20, // Skip to: 7618
+/* 2289 */    MCD_OPC_CheckField, 28, 4, 14, 203, 20, // Skip to: 7618
+/* 2295 */    MCD_OPC_Decode, 55, 15, // Opcode: BKPT
+/* 2298 */    MCD_OPC_FilterValue, 1, 196, 20, // Skip to: 7618
+/* 2302 */    MCD_OPC_CheckPredicate, 0, 192, 20, // Skip to: 7618
+/* 2306 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 2311 */    MCD_OPC_Decode, 217, 3, 18, // Opcode: TEQrsr
+/* 2315 */    MCD_OPC_FilterValue, 5, 83, 0, // Skip to: 2402
+/* 2319 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2322 */    MCD_OPC_FilterValue, 0, 60, 0, // Skip to: 2386
+/* 2326 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
+/* 2329 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 2352
+/* 2333 */    MCD_OPC_CheckPredicate, 7, 161, 20, // Skip to: 7618
+/* 2337 */    MCD_OPC_CheckField, 16, 4, 15, 155, 20, // Skip to: 7618
+/* 2343 */    MCD_OPC_CheckField, 8, 4, 15, 149, 20, // Skip to: 7618
+/* 2349 */    MCD_OPC_Decode, 75, 32, // Opcode: CLZ
+/* 2352 */    MCD_OPC_FilterValue, 2, 12, 0, // Skip to: 2368
+/* 2356 */    MCD_OPC_CheckPredicate, 0, 138, 20, // Skip to: 7618
+/* 2360 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 2364 */    MCD_OPC_Decode, 143, 2, 20, // Opcode: QDSUB
+/* 2368 */    MCD_OPC_FilterValue, 3, 126, 20, // Skip to: 7618
+/* 2372 */    MCD_OPC_CheckPredicate, 8, 122, 20, // Skip to: 7618
+/* 2376 */    MCD_OPC_CheckField, 8, 12, 0, 116, 20, // Skip to: 7618
+/* 2382 */    MCD_OPC_Decode, 202, 2, 33, // Opcode: SMC
+/* 2386 */    MCD_OPC_FilterValue, 1, 108, 20, // Skip to: 7618
+/* 2390 */    MCD_OPC_CheckPredicate, 0, 104, 20, // Skip to: 7618
+/* 2394 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 2399 */    MCD_OPC_Decode, 79, 18, // Opcode: CMNzrsr
+/* 2402 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2420
+/* 2406 */    MCD_OPC_CheckPredicate, 0, 88, 20, // Skip to: 7618
+/* 2410 */    MCD_OPC_CheckField, 16, 4, 0, 82, 20, // Skip to: 7618
+/* 2416 */    MCD_OPC_Decode, 227, 1, 34, // Opcode: MOVsr
+/* 2420 */    MCD_OPC_FilterValue, 7, 74, 20, // Skip to: 7618
+/* 2424 */    MCD_OPC_CheckPredicate, 0, 70, 20, // Skip to: 7618
+/* 2428 */    MCD_OPC_CheckField, 16, 4, 0, 64, 20, // Skip to: 7618
+/* 2434 */    MCD_OPC_Decode, 244, 1, 35, // Opcode: MVNsr
+/* 2438 */    MCD_OPC_FilterValue, 1, 56, 20, // Skip to: 7618
+/* 2442 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
+/* 2445 */    MCD_OPC_FilterValue, 0, 3, 1, // Skip to: 2708
+/* 2449 */    MCD_OPC_ExtractField, 22, 3,  // Inst{24-22} ...
+/* 2452 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2464
+/* 2456 */    MCD_OPC_CheckPredicate, 1, 38, 20, // Skip to: 7618
+/* 2460 */    MCD_OPC_Decode, 205, 1, 36, // Opcode: MLA
+/* 2464 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2482
+/* 2468 */    MCD_OPC_CheckPredicate, 9, 26, 20, // Skip to: 7618
+/* 2472 */    MCD_OPC_CheckField, 20, 1, 0, 20, 20, // Skip to: 7618
+/* 2478 */    MCD_OPC_Decode, 207, 1, 37, // Opcode: MLS
+/* 2482 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2494
+/* 2486 */    MCD_OPC_CheckPredicate, 1, 8, 20, // Skip to: 7618
+/* 2490 */    MCD_OPC_Decode, 238, 3, 38, // Opcode: UMLAL
+/* 2494 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2506
+/* 2498 */    MCD_OPC_CheckPredicate, 1, 252, 19, // Skip to: 7618
+/* 2502 */    MCD_OPC_Decode, 207, 2, 38, // Opcode: SMLAL
+/* 2506 */    MCD_OPC_FilterValue, 6, 76, 0, // Skip to: 2586
+/* 2510 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2513 */    MCD_OPC_FilterValue, 14, 32, 0, // Skip to: 2549
+/* 2517 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2520 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2532
+/* 2524 */    MCD_OPC_CheckPredicate, 5, 226, 19, // Skip to: 7618
+/* 2528 */    MCD_OPC_Decode, 146, 3, 39, // Opcode: STLEXD
+/* 2532 */    MCD_OPC_FilterValue, 1, 218, 19, // Skip to: 7618
+/* 2536 */    MCD_OPC_CheckPredicate, 5, 214, 19, // Skip to: 7618
+/* 2540 */    MCD_OPC_CheckField, 0, 4, 15, 208, 19, // Skip to: 7618
+/* 2546 */    MCD_OPC_Decode, 123, 40, // Opcode: LDAEXD
+/* 2549 */    MCD_OPC_FilterValue, 15, 201, 19, // Skip to: 7618
+/* 2553 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2556 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2568
+/* 2560 */    MCD_OPC_CheckPredicate, 0, 190, 19, // Skip to: 7618
+/* 2564 */    MCD_OPC_Decode, 173, 3, 39, // Opcode: STREXD
+/* 2568 */    MCD_OPC_FilterValue, 1, 182, 19, // Skip to: 7618
+/* 2572 */    MCD_OPC_CheckPredicate, 0, 178, 19, // Skip to: 7618
+/* 2576 */    MCD_OPC_CheckField, 0, 4, 15, 172, 19, // Skip to: 7618
+/* 2582 */    MCD_OPC_Decode, 165, 1, 40, // Opcode: LDREXD
+/* 2586 */    MCD_OPC_FilterValue, 7, 164, 19, // Skip to: 7618
+/* 2590 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2593 */    MCD_OPC_FilterValue, 12, 38, 0, // Skip to: 2635
+/* 2597 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2600 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2618
+/* 2604 */    MCD_OPC_CheckPredicate, 5, 146, 19, // Skip to: 7618
+/* 2608 */    MCD_OPC_CheckField, 12, 4, 15, 140, 19, // Skip to: 7618
+/* 2614 */    MCD_OPC_Decode, 148, 3, 21, // Opcode: STLH
+/* 2618 */    MCD_OPC_FilterValue, 1, 132, 19, // Skip to: 7618
+/* 2622 */    MCD_OPC_CheckPredicate, 5, 128, 19, // Skip to: 7618
+/* 2626 */    MCD_OPC_CheckField, 0, 4, 15, 122, 19, // Skip to: 7618
+/* 2632 */    MCD_OPC_Decode, 125, 22, // Opcode: LDAH
+/* 2635 */    MCD_OPC_FilterValue, 14, 32, 0, // Skip to: 2671
+/* 2639 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2642 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2654
+/* 2646 */    MCD_OPC_CheckPredicate, 5, 104, 19, // Skip to: 7618
+/* 2650 */    MCD_OPC_Decode, 147, 3, 23, // Opcode: STLEXH
+/* 2654 */    MCD_OPC_FilterValue, 1, 96, 19, // Skip to: 7618
+/* 2658 */    MCD_OPC_CheckPredicate, 5, 92, 19, // Skip to: 7618
+/* 2662 */    MCD_OPC_CheckField, 0, 4, 15, 86, 19, // Skip to: 7618
+/* 2668 */    MCD_OPC_Decode, 124, 22, // Opcode: LDAEXH
+/* 2671 */    MCD_OPC_FilterValue, 15, 79, 19, // Skip to: 7618
+/* 2675 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2678 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2690
+/* 2682 */    MCD_OPC_CheckPredicate, 0, 68, 19, // Skip to: 7618
+/* 2686 */    MCD_OPC_Decode, 174, 3, 23, // Opcode: STREXH
+/* 2690 */    MCD_OPC_FilterValue, 1, 60, 19, // Skip to: 7618
+/* 2694 */    MCD_OPC_CheckPredicate, 0, 56, 19, // Skip to: 7618
+/* 2698 */    MCD_OPC_CheckField, 0, 4, 15, 50, 19, // Skip to: 7618
+/* 2704 */    MCD_OPC_Decode, 166, 1, 22, // Opcode: LDREXH
+/* 2708 */    MCD_OPC_FilterValue, 1, 113, 0, // Skip to: 2825
+/* 2712 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2715 */    MCD_OPC_FilterValue, 0, 52, 0, // Skip to: 2771
+/* 2719 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 2722 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 2759
+/* 2726 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 2729 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2747
+/* 2733 */    MCD_OPC_CheckPredicate, 0, 17, 19, // Skip to: 7618
+/* 2737 */    MCD_OPC_CheckField, 8, 4, 0, 11, 19, // Skip to: 7618
+/* 2743 */    MCD_OPC_Decode, 177, 3, 41, // Opcode: STRHTr
+/* 2747 */    MCD_OPC_FilterValue, 1, 3, 19, // Skip to: 7618
+/* 2751 */    MCD_OPC_CheckPredicate, 0, 255, 18, // Skip to: 7618
+/* 2755 */    MCD_OPC_Decode, 176, 3, 42, // Opcode: STRHTi
+/* 2759 */    MCD_OPC_FilterValue, 1, 247, 18, // Skip to: 7618
+/* 2763 */    MCD_OPC_CheckPredicate, 0, 243, 18, // Skip to: 7618
+/* 2767 */    MCD_OPC_Decode, 179, 3, 7, // Opcode: STRH_PRE
+/* 2771 */    MCD_OPC_FilterValue, 1, 235, 18, // Skip to: 7618
+/* 2775 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 2778 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2813
+/* 2782 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 2785 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 2801
+/* 2789 */    MCD_OPC_CheckPredicate, 0, 217, 18, // Skip to: 7618
+/* 2793 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 2797 */    MCD_OPC_Decode, 169, 1, 43, // Opcode: LDRHTr
+/* 2801 */    MCD_OPC_FilterValue, 1, 205, 18, // Skip to: 7618
+/* 2805 */    MCD_OPC_CheckPredicate, 0, 201, 18, // Skip to: 7618
+/* 2809 */    MCD_OPC_Decode, 168, 1, 44, // Opcode: LDRHTi
+/* 2813 */    MCD_OPC_FilterValue, 1, 193, 18, // Skip to: 7618
+/* 2817 */    MCD_OPC_CheckPredicate, 0, 189, 18, // Skip to: 7618
+/* 2821 */    MCD_OPC_Decode, 171, 1, 7, // Opcode: LDRH_PRE
+/* 2825 */    MCD_OPC_FilterValue, 2, 75, 0, // Skip to: 2904
+/* 2829 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2832 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2850
+/* 2836 */    MCD_OPC_CheckPredicate, 0, 170, 18, // Skip to: 7618
+/* 2840 */    MCD_OPC_CheckField, 24, 1, 1, 164, 18, // Skip to: 7618
+/* 2846 */    MCD_OPC_Decode, 162, 1, 7, // Opcode: LDRD_PRE
+/* 2850 */    MCD_OPC_FilterValue, 1, 156, 18, // Skip to: 7618
+/* 2854 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 2857 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2892
+/* 2861 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 2864 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 2880
+/* 2868 */    MCD_OPC_CheckPredicate, 0, 138, 18, // Skip to: 7618
+/* 2872 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 2876 */    MCD_OPC_Decode, 177, 1, 43, // Opcode: LDRSBTr
+/* 2880 */    MCD_OPC_FilterValue, 1, 126, 18, // Skip to: 7618
+/* 2884 */    MCD_OPC_CheckPredicate, 0, 122, 18, // Skip to: 7618
+/* 2888 */    MCD_OPC_Decode, 176, 1, 44, // Opcode: LDRSBTi
+/* 2892 */    MCD_OPC_FilterValue, 1, 114, 18, // Skip to: 7618
+/* 2896 */    MCD_OPC_CheckPredicate, 0, 110, 18, // Skip to: 7618
+/* 2900 */    MCD_OPC_Decode, 179, 1, 7, // Opcode: LDRSB_PRE
+/* 2904 */    MCD_OPC_FilterValue, 3, 102, 18, // Skip to: 7618
+/* 2908 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 2911 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2929
+/* 2915 */    MCD_OPC_CheckPredicate, 0, 91, 18, // Skip to: 7618
+/* 2919 */    MCD_OPC_CheckField, 24, 1, 1, 85, 18, // Skip to: 7618
+/* 2925 */    MCD_OPC_Decode, 170, 3, 7, // Opcode: STRD_PRE
+/* 2929 */    MCD_OPC_FilterValue, 1, 77, 18, // Skip to: 7618
+/* 2933 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 2936 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2971
+/* 2940 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 2943 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 2959
+/* 2947 */    MCD_OPC_CheckPredicate, 0, 59, 18, // Skip to: 7618
+/* 2951 */    MCD_OPC_SoftFail, 128, 30 /* 0xF00 */, 0,
+/* 2955 */    MCD_OPC_Decode, 182, 1, 43, // Opcode: LDRSHTr
+/* 2959 */    MCD_OPC_FilterValue, 1, 47, 18, // Skip to: 7618
+/* 2963 */    MCD_OPC_CheckPredicate, 0, 43, 18, // Skip to: 7618
+/* 2967 */    MCD_OPC_Decode, 181, 1, 44, // Opcode: LDRSHTi
+/* 2971 */    MCD_OPC_FilterValue, 1, 35, 18, // Skip to: 7618
+/* 2975 */    MCD_OPC_CheckPredicate, 0, 31, 18, // Skip to: 7618
+/* 2979 */    MCD_OPC_Decode, 184, 1, 7, // Opcode: LDRSH_PRE
+/* 2983 */    MCD_OPC_FilterValue, 1, 147, 1, // Skip to: 3390
+/* 2987 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 2990 */    MCD_OPC_FilterValue, 0, 170, 0, // Skip to: 3164
+/* 2994 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 2997 */    MCD_OPC_FilterValue, 0, 62, 0, // Skip to: 3063
+/* 3001 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 3004 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3015
+/* 3008 */    MCD_OPC_CheckPredicate, 0, 38, 0, // Skip to: 3050
+/* 3012 */    MCD_OPC_Decode, 40, 45, // Opcode: ANDri
+/* 3015 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3027
+/* 3019 */    MCD_OPC_CheckPredicate, 0, 27, 0, // Skip to: 3050
+/* 3023 */    MCD_OPC_Decode, 197, 3, 45, // Opcode: SUBri
+/* 3027 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3038
+/* 3031 */    MCD_OPC_CheckPredicate, 0, 15, 0, // Skip to: 3050
+/* 3035 */    MCD_OPC_Decode, 29, 45, // Opcode: ADDri
+/* 3038 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3050
+/* 3042 */    MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 3050
+/* 3046 */    MCD_OPC_Decode, 178, 2, 45, // Opcode: SBCri
+/* 3050 */    MCD_OPC_CheckPredicate, 0, 212, 17, // Skip to: 7618
+/* 3054 */    MCD_OPC_CheckField, 16, 5, 15, 206, 17, // Skip to: 7618
+/* 3060 */    MCD_OPC_Decode, 35, 46, // Opcode: ADR
+/* 3063 */    MCD_OPC_FilterValue, 1, 199, 17, // Skip to: 7618
+/* 3067 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
+/* 3070 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 3106
+/* 3074 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 3077 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3089
+/* 3081 */    MCD_OPC_CheckPredicate, 9, 181, 17, // Skip to: 7618
+/* 3085 */    MCD_OPC_Decode, 221, 1, 47, // Opcode: MOVi16
+/* 3089 */    MCD_OPC_FilterValue, 1, 173, 17, // Skip to: 7618
+/* 3093 */    MCD_OPC_CheckPredicate, 0, 169, 17, // Skip to: 7618
+/* 3097 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 3102 */    MCD_OPC_Decode, 221, 3, 48, // Opcode: TSTri
+/* 3106 */    MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 3141
+/* 3110 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 3113 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3125
+/* 3117 */    MCD_OPC_CheckPredicate, 9, 145, 17, // Skip to: 7618
+/* 3121 */    MCD_OPC_Decode, 216, 1, 47, // Opcode: MOVTi16
+/* 3125 */    MCD_OPC_FilterValue, 1, 137, 17, // Skip to: 7618
+/* 3129 */    MCD_OPC_CheckPredicate, 0, 133, 17, // Skip to: 7618
+/* 3133 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 3138 */    MCD_OPC_Decode, 80, 48, // Opcode: CMPri
+/* 3141 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3153
+/* 3145 */    MCD_OPC_CheckPredicate, 0, 117, 17, // Skip to: 7618
+/* 3149 */    MCD_OPC_Decode, 245, 1, 45, // Opcode: ORRri
+/* 3153 */    MCD_OPC_FilterValue, 3, 109, 17, // Skip to: 7618
+/* 3157 */    MCD_OPC_CheckPredicate, 0, 105, 17, // Skip to: 7618
+/* 3161 */    MCD_OPC_Decode, 51, 45, // Opcode: BICri
+/* 3164 */    MCD_OPC_FilterValue, 1, 98, 17, // Skip to: 7618
+/* 3168 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 3171 */    MCD_OPC_FilterValue, 0, 26, 0, // Skip to: 3201
+/* 3175 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 3178 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3189
+/* 3182 */    MCD_OPC_CheckPredicate, 0, 80, 17, // Skip to: 7618
+/* 3186 */    MCD_OPC_Decode, 98, 45, // Opcode: EORri
+/* 3189 */    MCD_OPC_FilterValue, 1, 73, 17, // Skip to: 7618
+/* 3193 */    MCD_OPC_CheckPredicate, 0, 69, 17, // Skip to: 7618
+/* 3197 */    MCD_OPC_Decode, 167, 2, 45, // Opcode: RSBri
+/* 3201 */    MCD_OPC_FilterValue, 1, 26, 0, // Skip to: 3231
+/* 3205 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 3208 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3219
+/* 3212 */    MCD_OPC_CheckPredicate, 0, 50, 17, // Skip to: 7618
+/* 3216 */    MCD_OPC_Decode, 21, 45, // Opcode: ADCri
+/* 3219 */    MCD_OPC_FilterValue, 1, 43, 17, // Skip to: 7618
+/* 3223 */    MCD_OPC_CheckPredicate, 0, 39, 17, // Skip to: 7618
+/* 3227 */    MCD_OPC_Decode, 171, 2, 45, // Opcode: RSCri
+/* 3231 */    MCD_OPC_FilterValue, 2, 112, 0, // Skip to: 3347
+/* 3235 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 3238 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 3307
+/* 3242 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 3245 */    MCD_OPC_FilterValue, 15, 17, 17, // Skip to: 7618
+/* 3249 */    MCD_OPC_CheckPredicate, 10, 21, 0, // Skip to: 3274
+/* 3253 */    MCD_OPC_CheckField, 22, 1, 0, 15, 0, // Skip to: 3274
+/* 3259 */    MCD_OPC_CheckField, 16, 4, 0, 9, 0, // Skip to: 3274
+/* 3265 */    MCD_OPC_CheckField, 4, 8, 15, 3, 0, // Skip to: 3274
+/* 3271 */    MCD_OPC_Decode, 95, 33, // Opcode: DBG
+/* 3274 */    MCD_OPC_CheckPredicate, 1, 21, 0, // Skip to: 3299
+/* 3278 */    MCD_OPC_CheckField, 22, 1, 0, 15, 0, // Skip to: 3299
+/* 3284 */    MCD_OPC_CheckField, 16, 4, 0, 9, 0, // Skip to: 3299
+/* 3290 */    MCD_OPC_CheckField, 8, 4, 0, 3, 0, // Skip to: 3299
+/* 3296 */    MCD_OPC_Decode, 111, 49, // Opcode: HINT
+/* 3299 */    MCD_OPC_CheckPredicate, 0, 219, 16, // Skip to: 7618
+/* 3303 */    MCD_OPC_Decode, 237, 1, 50, // Opcode: MSRi
+/* 3307 */    MCD_OPC_FilterValue, 1, 211, 16, // Skip to: 7618
+/* 3311 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 3314 */    MCD_OPC_FilterValue, 0, 13, 0, // Skip to: 3331
+/* 3318 */    MCD_OPC_CheckPredicate, 0, 200, 16, // Skip to: 7618
+/* 3322 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 3327 */    MCD_OPC_Decode, 214, 3, 48, // Opcode: TEQri
+/* 3331 */    MCD_OPC_FilterValue, 1, 187, 16, // Skip to: 7618
+/* 3335 */    MCD_OPC_CheckPredicate, 0, 183, 16, // Skip to: 7618
+/* 3339 */    MCD_OPC_SoftFail, 128, 224, 3 /* 0xF000 */, 0,
+/* 3344 */    MCD_OPC_Decode, 76, 48, // Opcode: CMNri
+/* 3347 */    MCD_OPC_FilterValue, 3, 171, 16, // Skip to: 7618
+/* 3351 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 3354 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3372
+/* 3358 */    MCD_OPC_CheckPredicate, 0, 160, 16, // Skip to: 7618
+/* 3362 */    MCD_OPC_CheckField, 16, 4, 0, 154, 16, // Skip to: 7618
+/* 3368 */    MCD_OPC_Decode, 220, 1, 51, // Opcode: MOVi
+/* 3372 */    MCD_OPC_FilterValue, 1, 146, 16, // Skip to: 7618
+/* 3376 */    MCD_OPC_CheckPredicate, 0, 142, 16, // Skip to: 7618
+/* 3380 */    MCD_OPC_CheckField, 16, 4, 0, 136, 16, // Skip to: 7618
+/* 3386 */    MCD_OPC_Decode, 241, 1, 51, // Opcode: MVNi
+/* 3390 */    MCD_OPC_FilterValue, 2, 160, 1, // Skip to: 3810
+/* 3394 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
+/* 3397 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 3428
+/* 3401 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3404 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3416
+/* 3408 */    MCD_OPC_CheckPredicate, 0, 110, 16, // Skip to: 7618
+/* 3412 */    MCD_OPC_Decode, 184, 3, 52, // Opcode: STR_POST_IMM
+/* 3416 */    MCD_OPC_FilterValue, 1, 102, 16, // Skip to: 7618
+/* 3420 */    MCD_OPC_CheckPredicate, 0, 98, 16, // Skip to: 7618
+/* 3424 */    MCD_OPC_Decode, 188, 3, 53, // Opcode: STRi12
+/* 3428 */    MCD_OPC_FilterValue, 1, 47, 0, // Skip to: 3479
+/* 3432 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3435 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3447
+/* 3439 */    MCD_OPC_CheckPredicate, 0, 79, 16, // Skip to: 7618
+/* 3443 */    MCD_OPC_Decode, 188, 1, 52, // Opcode: LDR_POST_IMM
+/* 3447 */    MCD_OPC_FilterValue, 1, 71, 16, // Skip to: 7618
+/* 3451 */    MCD_OPC_CheckPredicate, 11, 16, 0, // Skip to: 3471
+/* 3455 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3471
+/* 3461 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3471
+/* 3467 */    MCD_OPC_Decode, 132, 2, 54, // Opcode: PLDWi12
+/* 3471 */    MCD_OPC_CheckPredicate, 0, 47, 16, // Skip to: 7618
+/* 3475 */    MCD_OPC_Decode, 193, 1, 53, // Opcode: LDRi12
+/* 3479 */    MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 3510
+/* 3483 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3486 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3498
+/* 3490 */    MCD_OPC_CheckPredicate, 0, 28, 16, // Skip to: 7618
+/* 3494 */    MCD_OPC_Decode, 182, 3, 52, // Opcode: STRT_POST_IMM
+/* 3498 */    MCD_OPC_FilterValue, 1, 20, 16, // Skip to: 7618
+/* 3502 */    MCD_OPC_CheckPredicate, 0, 16, 16, // Skip to: 7618
+/* 3506 */    MCD_OPC_Decode, 186, 3, 55, // Opcode: STR_PRE_IMM
+/* 3510 */    MCD_OPC_FilterValue, 3, 27, 0, // Skip to: 3541
+/* 3514 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3517 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3529
+/* 3521 */    MCD_OPC_CheckPredicate, 0, 253, 15, // Skip to: 7618
+/* 3525 */    MCD_OPC_Decode, 186, 1, 52, // Opcode: LDRT_POST_IMM
+/* 3529 */    MCD_OPC_FilterValue, 1, 245, 15, // Skip to: 7618
+/* 3533 */    MCD_OPC_CheckPredicate, 0, 241, 15, // Skip to: 7618
+/* 3537 */    MCD_OPC_Decode, 190, 1, 56, // Opcode: LDR_PRE_IMM
+/* 3541 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 3572
+/* 3545 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3548 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3560
+/* 3552 */    MCD_OPC_CheckPredicate, 0, 222, 15, // Skip to: 7618
+/* 3556 */    MCD_OPC_Decode, 160, 3, 52, // Opcode: STRB_POST_IMM
+/* 3560 */    MCD_OPC_FilterValue, 1, 214, 15, // Skip to: 7618
+/* 3564 */    MCD_OPC_CheckPredicate, 0, 210, 15, // Skip to: 7618
+/* 3568 */    MCD_OPC_Decode, 164, 3, 57, // Opcode: STRBi12
+/* 3572 */    MCD_OPC_FilterValue, 5, 67, 0, // Skip to: 3643
+/* 3576 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3579 */    MCD_OPC_FilterValue, 0, 28, 0, // Skip to: 3611
+/* 3583 */    MCD_OPC_CheckPredicate, 10, 16, 0, // Skip to: 3603
+/* 3587 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3603
+/* 3593 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3603
+/* 3599 */    MCD_OPC_Decode, 136, 2, 54, // Opcode: PLIi12
+/* 3603 */    MCD_OPC_CheckPredicate, 0, 171, 15, // Skip to: 7618
+/* 3607 */    MCD_OPC_Decode, 154, 1, 52, // Opcode: LDRB_POST_IMM
+/* 3611 */    MCD_OPC_FilterValue, 1, 163, 15, // Skip to: 7618
+/* 3615 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 3635
+/* 3619 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3635
+/* 3625 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3635
+/* 3631 */    MCD_OPC_Decode, 134, 2, 54, // Opcode: PLDi12
+/* 3635 */    MCD_OPC_CheckPredicate, 0, 139, 15, // Skip to: 7618
+/* 3639 */    MCD_OPC_Decode, 158, 1, 57, // Opcode: LDRBi12
+/* 3643 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 3674
+/* 3647 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3650 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3662
+/* 3654 */    MCD_OPC_CheckPredicate, 0, 120, 15, // Skip to: 7618
+/* 3658 */    MCD_OPC_Decode, 158, 3, 52, // Opcode: STRBT_POST_IMM
+/* 3662 */    MCD_OPC_FilterValue, 1, 112, 15, // Skip to: 7618
+/* 3666 */    MCD_OPC_CheckPredicate, 0, 108, 15, // Skip to: 7618
+/* 3670 */    MCD_OPC_Decode, 162, 3, 55, // Opcode: STRB_PRE_IMM
+/* 3674 */    MCD_OPC_FilterValue, 7, 100, 15, // Skip to: 7618
+/* 3678 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3681 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3693
+/* 3685 */    MCD_OPC_CheckPredicate, 0, 89, 15, // Skip to: 7618
+/* 3689 */    MCD_OPC_Decode, 152, 1, 52, // Opcode: LDRBT_POST_IMM
+/* 3693 */    MCD_OPC_FilterValue, 1, 81, 15, // Skip to: 7618
+/* 3697 */    MCD_OPC_CheckPredicate, 10, 23, 0, // Skip to: 3724
+/* 3701 */    MCD_OPC_CheckField, 28, 4, 15, 17, 0, // Skip to: 3724
+/* 3707 */    MCD_OPC_CheckField, 23, 1, 0, 11, 0, // Skip to: 3724
+/* 3713 */    MCD_OPC_CheckField, 0, 20, 159, 224, 63, 3, 0, // Skip to: 3724
+/* 3721 */    MCD_OPC_Decode, 74, 58, // Opcode: CLREX
+/* 3724 */    MCD_OPC_ExtractField, 4, 16,  // Inst{19-4} ...
+/* 3727 */    MCD_OPC_FilterValue, 132, 254, 3, 19, 0, // Skip to: 3752
+/* 3733 */    MCD_OPC_CheckPredicate, 12, 65, 0, // Skip to: 3802
+/* 3737 */    MCD_OPC_CheckField, 28, 4, 15, 59, 0, // Skip to: 3802
+/* 3743 */    MCD_OPC_CheckField, 23, 1, 0, 53, 0, // Skip to: 3802
+/* 3749 */    MCD_OPC_Decode, 97, 59, // Opcode: DSB
+/* 3752 */    MCD_OPC_FilterValue, 133, 254, 3, 19, 0, // Skip to: 3777
+/* 3758 */    MCD_OPC_CheckPredicate, 12, 40, 0, // Skip to: 3802
+/* 3762 */    MCD_OPC_CheckField, 28, 4, 15, 34, 0, // Skip to: 3802
+/* 3768 */    MCD_OPC_CheckField, 23, 1, 0, 28, 0, // Skip to: 3802
+/* 3774 */    MCD_OPC_Decode, 96, 59, // Opcode: DMB
+/* 3777 */    MCD_OPC_FilterValue, 134, 254, 3, 19, 0, // Skip to: 3802
+/* 3783 */    MCD_OPC_CheckPredicate, 12, 15, 0, // Skip to: 3802
+/* 3787 */    MCD_OPC_CheckField, 28, 4, 15, 9, 0, // Skip to: 3802
+/* 3793 */    MCD_OPC_CheckField, 23, 1, 0, 3, 0, // Skip to: 3802
+/* 3799 */    MCD_OPC_Decode, 113, 60, // Opcode: ISB
+/* 3802 */    MCD_OPC_CheckPredicate, 0, 228, 14, // Skip to: 7618
+/* 3806 */    MCD_OPC_Decode, 156, 1, 56, // Opcode: LDRB_PRE_IMM
+/* 3810 */    MCD_OPC_FilterValue, 3, 44, 9, // Skip to: 6162
+/* 3814 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
+/* 3817 */    MCD_OPC_FilterValue, 0, 109, 2, // Skip to: 4442
+/* 3821 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 3824 */    MCD_OPC_FilterValue, 0, 85, 0, // Skip to: 3913
+/* 3828 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 3831 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 3862
+/* 3835 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 3838 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3850
+/* 3842 */    MCD_OPC_CheckPredicate, 0, 188, 14, // Skip to: 7618
+/* 3846 */    MCD_OPC_Decode, 185, 3, 52, // Opcode: STR_POST_REG
+/* 3850 */    MCD_OPC_FilterValue, 1, 180, 14, // Skip to: 7618
+/* 3854 */    MCD_OPC_CheckPredicate, 0, 176, 14, // Skip to: 7618
+/* 3858 */    MCD_OPC_Decode, 191, 3, 61, // Opcode: STRrs
+/* 3862 */    MCD_OPC_FilterValue, 1, 168, 14, // Skip to: 7618
 /* 3866 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
 /* 3869 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3881
-/* 3873 */    MCD_OPC_CheckPredicate, 0, 173, 14, // Skip to: 7634
-/* 3877 */    MCD_OPC_Decode, 236, 3, 52, // Opcode: STR_POST_REG
-/* 3881 */    MCD_OPC_FilterValue, 1, 165, 14, // Skip to: 7634
-/* 3885 */    MCD_OPC_CheckPredicate, 0, 161, 14, // Skip to: 7634
-/* 3889 */    MCD_OPC_Decode, 242, 3, 61, // Opcode: STRrs
-/* 3893 */    MCD_OPC_FilterValue, 1, 153, 14, // Skip to: 7634
-/* 3897 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 3900 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3912
-/* 3904 */    MCD_OPC_CheckPredicate, 0, 142, 14, // Skip to: 7634
-/* 3908 */    MCD_OPC_Decode, 239, 1, 52, // Opcode: LDR_POST_REG
-/* 3912 */    MCD_OPC_FilterValue, 1, 134, 14, // Skip to: 7634
-/* 3916 */    MCD_OPC_CheckPredicate, 11, 16, 0, // Skip to: 3936
-/* 3920 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3936
-/* 3926 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3936
-/* 3932 */    MCD_OPC_Decode, 183, 2, 62, // Opcode: PLDWrs
-/* 3936 */    MCD_OPC_CheckPredicate, 0, 110, 14, // Skip to: 7634
-/* 3940 */    MCD_OPC_Decode, 244, 1, 61, // Opcode: LDRrs
-/* 3944 */    MCD_OPC_FilterValue, 1, 102, 14, // Skip to: 7634
-/* 3948 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
-/* 3951 */    MCD_OPC_FilterValue, 0, 176, 0, // Skip to: 4131
-/* 3955 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 3958 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 4009
-/* 3962 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 3965 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 3987
-/* 3969 */    MCD_OPC_CheckPredicate, 0, 77, 14, // Skip to: 7634
-/* 3973 */    MCD_OPC_CheckField, 20, 1, 1, 71, 14, // Skip to: 7634
-/* 3979 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 3983 */    MCD_OPC_Decode, 225, 2, 63, // Opcode: SADD16
-/* 3987 */    MCD_OPC_FilterValue, 1, 59, 14, // Skip to: 7634
-/* 3991 */    MCD_OPC_CheckPredicate, 0, 55, 14, // Skip to: 7634
-/* 3995 */    MCD_OPC_CheckField, 20, 1, 1, 49, 14, // Skip to: 7634
-/* 4001 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4005 */    MCD_OPC_Decode, 226, 2, 63, // Opcode: SADD8
-/* 4009 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 4027
-/* 4013 */    MCD_OPC_CheckPredicate, 1, 33, 14, // Skip to: 7634
-/* 4017 */    MCD_OPC_CheckField, 20, 1, 0, 27, 14, // Skip to: 7634
-/* 4023 */    MCD_OPC_Decode, 180, 2, 64, // Opcode: PKHBT
-/* 4027 */    MCD_OPC_FilterValue, 2, 60, 0, // Skip to: 4091
-/* 4031 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4034 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 4067
-/* 4038 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4041 */    MCD_OPC_FilterValue, 0, 5, 14, // Skip to: 7634
-/* 4045 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4059
-/* 4049 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4059
-/* 4055 */    MCD_OPC_Decode, 151, 3, 65, // Opcode: SMUAD
-/* 4059 */    MCD_OPC_CheckPredicate, 1, 243, 13, // Skip to: 7634
-/* 4063 */    MCD_OPC_Decode, 255, 2, 66, // Opcode: SMLAD
-/* 4067 */    MCD_OPC_FilterValue, 1, 235, 13, // Skip to: 7634
-/* 4071 */    MCD_OPC_CheckPredicate, 13, 231, 13, // Skip to: 7634
-/* 4075 */    MCD_OPC_CheckField, 12, 4, 15, 225, 13, // Skip to: 7634
-/* 4081 */    MCD_OPC_CheckField, 7, 1, 0, 219, 13, // Skip to: 7634
-/* 4087 */    MCD_OPC_Decode, 233, 2, 26, // Opcode: SDIV
-/* 4091 */    MCD_OPC_FilterValue, 3, 211, 13, // Skip to: 7634
-/* 4095 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4098 */    MCD_OPC_FilterValue, 0, 204, 13, // Skip to: 7634
-/* 4102 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4105 */    MCD_OPC_FilterValue, 0, 197, 13, // Skip to: 7634
-/* 4109 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4123
-/* 4113 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4123
-/* 4119 */    MCD_OPC_Decode, 170, 4, 26, // Opcode: USAD8
-/* 4123 */    MCD_OPC_CheckPredicate, 1, 179, 13, // Skip to: 7634
-/* 4127 */    MCD_OPC_Decode, 171, 4, 37, // Opcode: USADA8
-/* 4131 */    MCD_OPC_FilterValue, 1, 99, 0, // Skip to: 4234
-/* 4135 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 4138 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4166
-/* 4142 */    MCD_OPC_CheckPredicate, 0, 160, 13, // Skip to: 7634
-/* 4146 */    MCD_OPC_CheckField, 20, 1, 1, 154, 13, // Skip to: 7634
-/* 4152 */    MCD_OPC_CheckField, 7, 1, 0, 148, 13, // Skip to: 7634
-/* 4158 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4162 */    MCD_OPC_Decode, 227, 2, 63, // Opcode: SASX
-/* 4166 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 4194
-/* 4170 */    MCD_OPC_CheckPredicate, 1, 132, 13, // Skip to: 7634
-/* 4174 */    MCD_OPC_CheckField, 20, 1, 0, 126, 13, // Skip to: 7634
-/* 4180 */    MCD_OPC_CheckField, 7, 1, 1, 120, 13, // Skip to: 7634
-/* 4186 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4190 */    MCD_OPC_Decode, 234, 2, 67, // Opcode: SEL
-/* 4194 */    MCD_OPC_FilterValue, 2, 108, 13, // Skip to: 7634
-/* 4198 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4201 */    MCD_OPC_FilterValue, 0, 101, 13, // Skip to: 7634
-/* 4205 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4208 */    MCD_OPC_FilterValue, 0, 94, 13, // Skip to: 7634
-/* 4212 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4226
-/* 4216 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4226
-/* 4222 */    MCD_OPC_Decode, 152, 3, 65, // Opcode: SMUADX
-/* 4226 */    MCD_OPC_CheckPredicate, 1, 76, 13, // Skip to: 7634
-/* 4230 */    MCD_OPC_Decode, 128, 3, 66, // Opcode: SMLADX
-/* 4234 */    MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 4327
-/* 4238 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 4241 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4269
-/* 4245 */    MCD_OPC_CheckPredicate, 0, 57, 13, // Skip to: 7634
-/* 4249 */    MCD_OPC_CheckField, 20, 1, 1, 51, 13, // Skip to: 7634
-/* 4255 */    MCD_OPC_CheckField, 7, 1, 0, 45, 13, // Skip to: 7634
-/* 4261 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4265 */    MCD_OPC_Decode, 173, 3, 63, // Opcode: SSAX
-/* 4269 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 4287
-/* 4273 */    MCD_OPC_CheckPredicate, 1, 29, 13, // Skip to: 7634
-/* 4277 */    MCD_OPC_CheckField, 20, 1, 0, 23, 13, // Skip to: 7634
-/* 4283 */    MCD_OPC_Decode, 181, 2, 64, // Opcode: PKHTB
-/* 4287 */    MCD_OPC_FilterValue, 2, 15, 13, // Skip to: 7634
-/* 4291 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4294 */    MCD_OPC_FilterValue, 0, 8, 13, // Skip to: 7634
-/* 4298 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4301 */    MCD_OPC_FilterValue, 0, 1, 13, // Skip to: 7634
-/* 4305 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4319
-/* 4309 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4319
-/* 4315 */    MCD_OPC_Decode, 161, 3, 65, // Opcode: SMUSD
-/* 4319 */    MCD_OPC_CheckPredicate, 1, 239, 12, // Skip to: 7634
-/* 4323 */    MCD_OPC_Decode, 141, 3, 66, // Opcode: SMLSD
-/* 4327 */    MCD_OPC_FilterValue, 3, 231, 12, // Skip to: 7634
-/* 4331 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 4334 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 4385
-/* 4338 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4341 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 4363
-/* 4345 */    MCD_OPC_CheckPredicate, 0, 213, 12, // Skip to: 7634
-/* 4349 */    MCD_OPC_CheckField, 20, 1, 1, 207, 12, // Skip to: 7634
-/* 4355 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4359 */    MCD_OPC_Decode, 174, 3, 63, // Opcode: SSUB16
-/* 4363 */    MCD_OPC_FilterValue, 1, 195, 12, // Skip to: 7634
-/* 4367 */    MCD_OPC_CheckPredicate, 0, 191, 12, // Skip to: 7634
-/* 4371 */    MCD_OPC_CheckField, 20, 1, 1, 185, 12, // Skip to: 7634
-/* 4377 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4381 */    MCD_OPC_Decode, 175, 3, 63, // Opcode: SSUB8
-/* 4385 */    MCD_OPC_FilterValue, 1, 44, 0, // Skip to: 4433
-/* 4389 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4392 */    MCD_OPC_FilterValue, 0, 166, 12, // Skip to: 7634
-/* 4396 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4399 */    MCD_OPC_FilterValue, 0, 159, 12, // Skip to: 7634
-/* 4403 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 4421
-/* 4407 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 4421
-/* 4413 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 4417 */    MCD_OPC_Decode, 131, 4, 68, // Opcode: SXTB16
-/* 4421 */    MCD_OPC_CheckPredicate, 1, 137, 12, // Skip to: 7634
-/* 4425 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 4429 */    MCD_OPC_Decode, 128, 4, 69, // Opcode: SXTAB16
-/* 4433 */    MCD_OPC_FilterValue, 2, 125, 12, // Skip to: 7634
-/* 4437 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4440 */    MCD_OPC_FilterValue, 0, 118, 12, // Skip to: 7634
-/* 4444 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4447 */    MCD_OPC_FilterValue, 0, 111, 12, // Skip to: 7634
-/* 4451 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4465
-/* 4455 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4465
-/* 4461 */    MCD_OPC_Decode, 162, 3, 65, // Opcode: SMUSDX
-/* 4465 */    MCD_OPC_CheckPredicate, 1, 93, 12, // Skip to: 7634
-/* 4469 */    MCD_OPC_Decode, 142, 3, 66, // Opcode: SMLSDX
-/* 4473 */    MCD_OPC_FilterValue, 1, 30, 2, // Skip to: 5019
-/* 4477 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 4480 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 4549
-/* 4484 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4487 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 4518
+/* 3873 */    MCD_OPC_CheckPredicate, 0, 157, 14, // Skip to: 7618
+/* 3877 */    MCD_OPC_Decode, 189, 1, 52, // Opcode: LDR_POST_REG
+/* 3881 */    MCD_OPC_FilterValue, 1, 149, 14, // Skip to: 7618
+/* 3885 */    MCD_OPC_CheckPredicate, 11, 16, 0, // Skip to: 3905
+/* 3889 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 3905
+/* 3895 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 3905
+/* 3901 */    MCD_OPC_Decode, 133, 2, 62, // Opcode: PLDWrs
+/* 3905 */    MCD_OPC_CheckPredicate, 0, 125, 14, // Skip to: 7618
+/* 3909 */    MCD_OPC_Decode, 194, 1, 61, // Opcode: LDRrs
+/* 3913 */    MCD_OPC_FilterValue, 1, 117, 14, // Skip to: 7618
+/* 3917 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
+/* 3920 */    MCD_OPC_FilterValue, 0, 176, 0, // Skip to: 4100
+/* 3924 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 3927 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 3978
+/* 3931 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 3934 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 3956
+/* 3938 */    MCD_OPC_CheckPredicate, 0, 92, 14, // Skip to: 7618
+/* 3942 */    MCD_OPC_CheckField, 20, 1, 1, 86, 14, // Skip to: 7618
+/* 3948 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 3952 */    MCD_OPC_Decode, 175, 2, 63, // Opcode: SADD16
+/* 3956 */    MCD_OPC_FilterValue, 1, 74, 14, // Skip to: 7618
+/* 3960 */    MCD_OPC_CheckPredicate, 0, 70, 14, // Skip to: 7618
+/* 3964 */    MCD_OPC_CheckField, 20, 1, 1, 64, 14, // Skip to: 7618
+/* 3970 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 3974 */    MCD_OPC_Decode, 176, 2, 63, // Opcode: SADD8
+/* 3978 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3996
+/* 3982 */    MCD_OPC_CheckPredicate, 1, 48, 14, // Skip to: 7618
+/* 3986 */    MCD_OPC_CheckField, 20, 1, 0, 42, 14, // Skip to: 7618
+/* 3992 */    MCD_OPC_Decode, 130, 2, 64, // Opcode: PKHBT
+/* 3996 */    MCD_OPC_FilterValue, 2, 60, 0, // Skip to: 4060
+/* 4000 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4003 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 4036
+/* 4007 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4010 */    MCD_OPC_FilterValue, 0, 20, 14, // Skip to: 7618
+/* 4014 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4028
+/* 4018 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4028
+/* 4024 */    MCD_OPC_Decode, 229, 2, 65, // Opcode: SMUAD
+/* 4028 */    MCD_OPC_CheckPredicate, 1, 2, 14, // Skip to: 7618
+/* 4032 */    MCD_OPC_Decode, 205, 2, 66, // Opcode: SMLAD
+/* 4036 */    MCD_OPC_FilterValue, 1, 250, 13, // Skip to: 7618
+/* 4040 */    MCD_OPC_CheckPredicate, 13, 246, 13, // Skip to: 7618
+/* 4044 */    MCD_OPC_CheckField, 12, 4, 15, 240, 13, // Skip to: 7618
+/* 4050 */    MCD_OPC_CheckField, 7, 1, 0, 234, 13, // Skip to: 7618
+/* 4056 */    MCD_OPC_Decode, 183, 2, 26, // Opcode: SDIV
+/* 4060 */    MCD_OPC_FilterValue, 3, 226, 13, // Skip to: 7618
+/* 4064 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4067 */    MCD_OPC_FilterValue, 0, 219, 13, // Skip to: 7618
+/* 4071 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4074 */    MCD_OPC_FilterValue, 0, 212, 13, // Skip to: 7618
+/* 4078 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4092
+/* 4082 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4092
+/* 4088 */    MCD_OPC_Decode, 248, 3, 26, // Opcode: USAD8
+/* 4092 */    MCD_OPC_CheckPredicate, 1, 194, 13, // Skip to: 7618
+/* 4096 */    MCD_OPC_Decode, 249, 3, 37, // Opcode: USADA8
+/* 4100 */    MCD_OPC_FilterValue, 1, 99, 0, // Skip to: 4203
+/* 4104 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 4107 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4135
+/* 4111 */    MCD_OPC_CheckPredicate, 0, 175, 13, // Skip to: 7618
+/* 4115 */    MCD_OPC_CheckField, 20, 1, 1, 169, 13, // Skip to: 7618
+/* 4121 */    MCD_OPC_CheckField, 7, 1, 0, 163, 13, // Skip to: 7618
+/* 4127 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4131 */    MCD_OPC_Decode, 177, 2, 63, // Opcode: SASX
+/* 4135 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 4163
+/* 4139 */    MCD_OPC_CheckPredicate, 1, 147, 13, // Skip to: 7618
+/* 4143 */    MCD_OPC_CheckField, 20, 1, 0, 141, 13, // Skip to: 7618
+/* 4149 */    MCD_OPC_CheckField, 7, 1, 1, 135, 13, // Skip to: 7618
+/* 4155 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4159 */    MCD_OPC_Decode, 184, 2, 67, // Opcode: SEL
+/* 4163 */    MCD_OPC_FilterValue, 2, 123, 13, // Skip to: 7618
+/* 4167 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4170 */    MCD_OPC_FilterValue, 0, 116, 13, // Skip to: 7618
+/* 4174 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4177 */    MCD_OPC_FilterValue, 0, 109, 13, // Skip to: 7618
+/* 4181 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4195
+/* 4185 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4195
+/* 4191 */    MCD_OPC_Decode, 230, 2, 65, // Opcode: SMUADX
+/* 4195 */    MCD_OPC_CheckPredicate, 1, 91, 13, // Skip to: 7618
+/* 4199 */    MCD_OPC_Decode, 206, 2, 66, // Opcode: SMLADX
+/* 4203 */    MCD_OPC_FilterValue, 2, 89, 0, // Skip to: 4296
+/* 4207 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 4210 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4238
+/* 4214 */    MCD_OPC_CheckPredicate, 0, 72, 13, // Skip to: 7618
+/* 4218 */    MCD_OPC_CheckField, 20, 1, 1, 66, 13, // Skip to: 7618
+/* 4224 */    MCD_OPC_CheckField, 7, 1, 0, 60, 13, // Skip to: 7618
+/* 4230 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4234 */    MCD_OPC_Decode, 251, 2, 63, // Opcode: SSAX
+/* 4238 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 4256
+/* 4242 */    MCD_OPC_CheckPredicate, 1, 44, 13, // Skip to: 7618
+/* 4246 */    MCD_OPC_CheckField, 20, 1, 0, 38, 13, // Skip to: 7618
+/* 4252 */    MCD_OPC_Decode, 131, 2, 64, // Opcode: PKHTB
+/* 4256 */    MCD_OPC_FilterValue, 2, 30, 13, // Skip to: 7618
+/* 4260 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4263 */    MCD_OPC_FilterValue, 0, 23, 13, // Skip to: 7618
+/* 4267 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4270 */    MCD_OPC_FilterValue, 0, 16, 13, // Skip to: 7618
+/* 4274 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4288
+/* 4278 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4288
+/* 4284 */    MCD_OPC_Decode, 239, 2, 65, // Opcode: SMUSD
+/* 4288 */    MCD_OPC_CheckPredicate, 1, 254, 12, // Skip to: 7618
+/* 4292 */    MCD_OPC_Decode, 219, 2, 66, // Opcode: SMLSD
+/* 4296 */    MCD_OPC_FilterValue, 3, 246, 12, // Skip to: 7618
+/* 4300 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 4303 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 4354
+/* 4307 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4310 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 4332
+/* 4314 */    MCD_OPC_CheckPredicate, 0, 228, 12, // Skip to: 7618
+/* 4318 */    MCD_OPC_CheckField, 20, 1, 1, 222, 12, // Skip to: 7618
+/* 4324 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4328 */    MCD_OPC_Decode, 252, 2, 63, // Opcode: SSUB16
+/* 4332 */    MCD_OPC_FilterValue, 1, 210, 12, // Skip to: 7618
+/* 4336 */    MCD_OPC_CheckPredicate, 0, 206, 12, // Skip to: 7618
+/* 4340 */    MCD_OPC_CheckField, 20, 1, 1, 200, 12, // Skip to: 7618
+/* 4346 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4350 */    MCD_OPC_Decode, 253, 2, 63, // Opcode: SSUB8
+/* 4354 */    MCD_OPC_FilterValue, 1, 44, 0, // Skip to: 4402
+/* 4358 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4361 */    MCD_OPC_FilterValue, 0, 181, 12, // Skip to: 7618
+/* 4365 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4368 */    MCD_OPC_FilterValue, 0, 174, 12, // Skip to: 7618
+/* 4372 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 4390
+/* 4376 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 4390
+/* 4382 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 4386 */    MCD_OPC_Decode, 208, 3, 68, // Opcode: SXTB16
+/* 4390 */    MCD_OPC_CheckPredicate, 1, 152, 12, // Skip to: 7618
+/* 4394 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 4398 */    MCD_OPC_Decode, 205, 3, 69, // Opcode: SXTAB16
+/* 4402 */    MCD_OPC_FilterValue, 2, 140, 12, // Skip to: 7618
+/* 4406 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4409 */    MCD_OPC_FilterValue, 0, 133, 12, // Skip to: 7618
+/* 4413 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4416 */    MCD_OPC_FilterValue, 0, 126, 12, // Skip to: 7618
+/* 4420 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 4434
+/* 4424 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 4434
+/* 4430 */    MCD_OPC_Decode, 240, 2, 65, // Opcode: SMUSDX
+/* 4434 */    MCD_OPC_CheckPredicate, 1, 108, 12, // Skip to: 7618
+/* 4438 */    MCD_OPC_Decode, 220, 2, 66, // Opcode: SMLSDX
+/* 4442 */    MCD_OPC_FilterValue, 1, 30, 2, // Skip to: 4988
+/* 4446 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 4449 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 4518
+/* 4453 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4456 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 4487
+/* 4460 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 4463 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4475
+/* 4467 */    MCD_OPC_CheckPredicate, 0, 75, 12, // Skip to: 7618
+/* 4471 */    MCD_OPC_Decode, 183, 3, 52, // Opcode: STRT_POST_REG
+/* 4475 */    MCD_OPC_FilterValue, 1, 67, 12, // Skip to: 7618
+/* 4479 */    MCD_OPC_CheckPredicate, 0, 63, 12, // Skip to: 7618
+/* 4483 */    MCD_OPC_Decode, 187, 3, 70, // Opcode: STR_PRE_REG
+/* 4487 */    MCD_OPC_FilterValue, 1, 55, 12, // Skip to: 7618
 /* 4491 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
 /* 4494 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4506
-/* 4498 */    MCD_OPC_CheckPredicate, 0, 60, 12, // Skip to: 7634
-/* 4502 */    MCD_OPC_Decode, 234, 3, 52, // Opcode: STRT_POST_REG
-/* 4506 */    MCD_OPC_FilterValue, 1, 52, 12, // Skip to: 7634
-/* 4510 */    MCD_OPC_CheckPredicate, 0, 48, 12, // Skip to: 7634
-/* 4514 */    MCD_OPC_Decode, 238, 3, 70, // Opcode: STR_PRE_REG
-/* 4518 */    MCD_OPC_FilterValue, 1, 40, 12, // Skip to: 7634
-/* 4522 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 4525 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4537
-/* 4529 */    MCD_OPC_CheckPredicate, 0, 29, 12, // Skip to: 7634
-/* 4533 */    MCD_OPC_Decode, 237, 1, 52, // Opcode: LDRT_POST_REG
-/* 4537 */    MCD_OPC_FilterValue, 1, 21, 12, // Skip to: 7634
-/* 4541 */    MCD_OPC_CheckPredicate, 0, 17, 12, // Skip to: 7634
-/* 4545 */    MCD_OPC_Decode, 241, 1, 71, // Opcode: LDR_PRE_REG
-/* 4549 */    MCD_OPC_FilterValue, 1, 9, 12, // Skip to: 7634
-/* 4553 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 4556 */    MCD_OPC_FilterValue, 0, 237, 0, // Skip to: 4797
-/* 4560 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
-/* 4563 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 4602
-/* 4567 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4570 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4586
-/* 4574 */    MCD_OPC_CheckPredicate, 0, 240, 11, // Skip to: 7634
-/* 4578 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4582 */    MCD_OPC_Decode, 189, 2, 63, // Opcode: QADD16
-/* 4586 */    MCD_OPC_FilterValue, 1, 228, 11, // Skip to: 7634
-/* 4590 */    MCD_OPC_CheckPredicate, 0, 224, 11, // Skip to: 7634
-/* 4594 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4598 */    MCD_OPC_Decode, 246, 2, 63, // Opcode: SHADD16
-/* 4602 */    MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 4641
-/* 4606 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4609 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4625
-/* 4613 */    MCD_OPC_CheckPredicate, 0, 201, 11, // Skip to: 7634
-/* 4617 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4621 */    MCD_OPC_Decode, 191, 2, 63, // Opcode: QASX
-/* 4625 */    MCD_OPC_FilterValue, 1, 189, 11, // Skip to: 7634
-/* 4629 */    MCD_OPC_CheckPredicate, 0, 185, 11, // Skip to: 7634
-/* 4633 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4637 */    MCD_OPC_Decode, 248, 2, 63, // Opcode: SHASX
-/* 4641 */    MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 4680
-/* 4645 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4648 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4664
-/* 4652 */    MCD_OPC_CheckPredicate, 0, 162, 11, // Skip to: 7634
-/* 4656 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4660 */    MCD_OPC_Decode, 194, 2, 63, // Opcode: QSAX
-/* 4664 */    MCD_OPC_FilterValue, 1, 150, 11, // Skip to: 7634
-/* 4668 */    MCD_OPC_CheckPredicate, 0, 146, 11, // Skip to: 7634
-/* 4672 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4676 */    MCD_OPC_Decode, 249, 2, 63, // Opcode: SHSAX
-/* 4680 */    MCD_OPC_FilterValue, 3, 35, 0, // Skip to: 4719
-/* 4684 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4687 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4703
-/* 4691 */    MCD_OPC_CheckPredicate, 0, 123, 11, // Skip to: 7634
-/* 4695 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4699 */    MCD_OPC_Decode, 196, 2, 63, // Opcode: QSUB16
-/* 4703 */    MCD_OPC_FilterValue, 1, 111, 11, // Skip to: 7634
-/* 4707 */    MCD_OPC_CheckPredicate, 0, 107, 11, // Skip to: 7634
-/* 4711 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4715 */    MCD_OPC_Decode, 250, 2, 63, // Opcode: SHSUB16
-/* 4719 */    MCD_OPC_FilterValue, 4, 35, 0, // Skip to: 4758
-/* 4723 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4726 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4742
-/* 4730 */    MCD_OPC_CheckPredicate, 0, 84, 11, // Skip to: 7634
-/* 4734 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4738 */    MCD_OPC_Decode, 190, 2, 63, // Opcode: QADD8
-/* 4742 */    MCD_OPC_FilterValue, 1, 72, 11, // Skip to: 7634
-/* 4746 */    MCD_OPC_CheckPredicate, 0, 68, 11, // Skip to: 7634
-/* 4750 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4754 */    MCD_OPC_Decode, 247, 2, 63, // Opcode: SHADD8
-/* 4758 */    MCD_OPC_FilterValue, 7, 56, 11, // Skip to: 7634
-/* 4762 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4765 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4781
-/* 4769 */    MCD_OPC_CheckPredicate, 0, 45, 11, // Skip to: 7634
-/* 4773 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4777 */    MCD_OPC_Decode, 197, 2, 63, // Opcode: QSUB8
-/* 4781 */    MCD_OPC_FilterValue, 1, 33, 11, // Skip to: 7634
-/* 4785 */    MCD_OPC_CheckPredicate, 0, 29, 11, // Skip to: 7634
-/* 4789 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 4793 */    MCD_OPC_Decode, 251, 2, 63, // Opcode: SHSUB8
-/* 4797 */    MCD_OPC_FilterValue, 1, 170, 0, // Skip to: 4971
-/* 4801 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 4804 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4816
-/* 4808 */    MCD_OPC_CheckPredicate, 0, 6, 11, // Skip to: 7634
-/* 4812 */    MCD_OPC_Decode, 171, 3, 72, // Opcode: SSAT
-/* 4816 */    MCD_OPC_FilterValue, 1, 254, 10, // Skip to: 7634
-/* 4820 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 4823 */    MCD_OPC_FilterValue, 0, 45, 0, // Skip to: 4872
-/* 4827 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4830 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4848
-/* 4834 */    MCD_OPC_CheckPredicate, 0, 236, 10, // Skip to: 7634
-/* 4838 */    MCD_OPC_CheckField, 8, 4, 15, 230, 10, // Skip to: 7634
-/* 4844 */    MCD_OPC_Decode, 172, 3, 73, // Opcode: SSAT16
-/* 4848 */    MCD_OPC_FilterValue, 1, 222, 10, // Skip to: 7634
-/* 4852 */    MCD_OPC_CheckPredicate, 1, 218, 10, // Skip to: 7634
-/* 4856 */    MCD_OPC_CheckField, 16, 4, 15, 212, 10, // Skip to: 7634
-/* 4862 */    MCD_OPC_CheckField, 8, 4, 15, 206, 10, // Skip to: 7634
-/* 4868 */    MCD_OPC_Decode, 199, 2, 32, // Opcode: REV
-/* 4872 */    MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 4947
-/* 4876 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4879 */    MCD_OPC_FilterValue, 0, 30, 0, // Skip to: 4913
-/* 4883 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 4901
-/* 4887 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 4901
-/* 4893 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 4897 */    MCD_OPC_Decode, 130, 4, 68, // Opcode: SXTB
-/* 4901 */    MCD_OPC_CheckPredicate, 1, 169, 10, // Skip to: 7634
-/* 4905 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 4909 */    MCD_OPC_Decode, 255, 3, 69, // Opcode: SXTAB
-/* 4913 */    MCD_OPC_FilterValue, 1, 157, 10, // Skip to: 7634
-/* 4917 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 4935
-/* 4921 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 4935
-/* 4927 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 4931 */    MCD_OPC_Decode, 132, 4, 68, // Opcode: SXTH
-/* 4935 */    MCD_OPC_CheckPredicate, 1, 135, 10, // Skip to: 7634
-/* 4939 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 4943 */    MCD_OPC_Decode, 129, 4, 69, // Opcode: SXTAH
-/* 4947 */    MCD_OPC_FilterValue, 2, 123, 10, // Skip to: 7634
-/* 4951 */    MCD_OPC_CheckPredicate, 1, 119, 10, // Skip to: 7634
-/* 4955 */    MCD_OPC_CheckField, 16, 5, 31, 113, 10, // Skip to: 7634
-/* 4961 */    MCD_OPC_CheckField, 8, 4, 15, 107, 10, // Skip to: 7634
-/* 4967 */    MCD_OPC_Decode, 200, 2, 32, // Opcode: REV16
-/* 4971 */    MCD_OPC_FilterValue, 2, 26, 0, // Skip to: 5001
-/* 4975 */    MCD_OPC_CheckPredicate, 13, 95, 10, // Skip to: 7634
-/* 4979 */    MCD_OPC_CheckField, 20, 1, 1, 89, 10, // Skip to: 7634
-/* 4985 */    MCD_OPC_CheckField, 12, 4, 15, 83, 10, // Skip to: 7634
-/* 4991 */    MCD_OPC_CheckField, 5, 3, 0, 77, 10, // Skip to: 7634
-/* 4997 */    MCD_OPC_Decode, 152, 4, 26, // Opcode: UDIV
-/* 5001 */    MCD_OPC_FilterValue, 3, 69, 10, // Skip to: 7634
-/* 5005 */    MCD_OPC_CheckPredicate, 9, 65, 10, // Skip to: 7634
-/* 5009 */    MCD_OPC_CheckField, 5, 2, 2, 59, 10, // Skip to: 7634
-/* 5015 */    MCD_OPC_Decode, 232, 2, 74, // Opcode: SBFX
-/* 5019 */    MCD_OPC_FilterValue, 2, 67, 2, // Skip to: 5602
-/* 5023 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 5026 */    MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 5135
-/* 5030 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5033 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5064
+/* 4498 */    MCD_OPC_CheckPredicate, 0, 44, 12, // Skip to: 7618
+/* 4502 */    MCD_OPC_Decode, 187, 1, 52, // Opcode: LDRT_POST_REG
+/* 4506 */    MCD_OPC_FilterValue, 1, 36, 12, // Skip to: 7618
+/* 4510 */    MCD_OPC_CheckPredicate, 0, 32, 12, // Skip to: 7618
+/* 4514 */    MCD_OPC_Decode, 191, 1, 71, // Opcode: LDR_PRE_REG
+/* 4518 */    MCD_OPC_FilterValue, 1, 24, 12, // Skip to: 7618
+/* 4522 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 4525 */    MCD_OPC_FilterValue, 0, 237, 0, // Skip to: 4766
+/* 4529 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
+/* 4532 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 4571
+/* 4536 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4539 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4555
+/* 4543 */    MCD_OPC_CheckPredicate, 0, 255, 11, // Skip to: 7618
+/* 4547 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4551 */    MCD_OPC_Decode, 139, 2, 63, // Opcode: QADD16
+/* 4555 */    MCD_OPC_FilterValue, 1, 243, 11, // Skip to: 7618
+/* 4559 */    MCD_OPC_CheckPredicate, 0, 239, 11, // Skip to: 7618
+/* 4563 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4567 */    MCD_OPC_Decode, 196, 2, 63, // Opcode: SHADD16
+/* 4571 */    MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 4610
+/* 4575 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4578 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4594
+/* 4582 */    MCD_OPC_CheckPredicate, 0, 216, 11, // Skip to: 7618
+/* 4586 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4590 */    MCD_OPC_Decode, 141, 2, 63, // Opcode: QASX
+/* 4594 */    MCD_OPC_FilterValue, 1, 204, 11, // Skip to: 7618
+/* 4598 */    MCD_OPC_CheckPredicate, 0, 200, 11, // Skip to: 7618
+/* 4602 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4606 */    MCD_OPC_Decode, 198, 2, 63, // Opcode: SHASX
+/* 4610 */    MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 4649
+/* 4614 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4617 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4633
+/* 4621 */    MCD_OPC_CheckPredicate, 0, 177, 11, // Skip to: 7618
+/* 4625 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4629 */    MCD_OPC_Decode, 144, 2, 63, // Opcode: QSAX
+/* 4633 */    MCD_OPC_FilterValue, 1, 165, 11, // Skip to: 7618
+/* 4637 */    MCD_OPC_CheckPredicate, 0, 161, 11, // Skip to: 7618
+/* 4641 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4645 */    MCD_OPC_Decode, 199, 2, 63, // Opcode: SHSAX
+/* 4649 */    MCD_OPC_FilterValue, 3, 35, 0, // Skip to: 4688
+/* 4653 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4656 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4672
+/* 4660 */    MCD_OPC_CheckPredicate, 0, 138, 11, // Skip to: 7618
+/* 4664 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4668 */    MCD_OPC_Decode, 146, 2, 63, // Opcode: QSUB16
+/* 4672 */    MCD_OPC_FilterValue, 1, 126, 11, // Skip to: 7618
+/* 4676 */    MCD_OPC_CheckPredicate, 0, 122, 11, // Skip to: 7618
+/* 4680 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4684 */    MCD_OPC_Decode, 200, 2, 63, // Opcode: SHSUB16
+/* 4688 */    MCD_OPC_FilterValue, 4, 35, 0, // Skip to: 4727
+/* 4692 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4695 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4711
+/* 4699 */    MCD_OPC_CheckPredicate, 0, 99, 11, // Skip to: 7618
+/* 4703 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4707 */    MCD_OPC_Decode, 140, 2, 63, // Opcode: QADD8
+/* 4711 */    MCD_OPC_FilterValue, 1, 87, 11, // Skip to: 7618
+/* 4715 */    MCD_OPC_CheckPredicate, 0, 83, 11, // Skip to: 7618
+/* 4719 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4723 */    MCD_OPC_Decode, 197, 2, 63, // Opcode: SHADD8
+/* 4727 */    MCD_OPC_FilterValue, 7, 71, 11, // Skip to: 7618
+/* 4731 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4734 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 4750
+/* 4738 */    MCD_OPC_CheckPredicate, 0, 60, 11, // Skip to: 7618
+/* 4742 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4746 */    MCD_OPC_Decode, 147, 2, 63, // Opcode: QSUB8
+/* 4750 */    MCD_OPC_FilterValue, 1, 48, 11, // Skip to: 7618
+/* 4754 */    MCD_OPC_CheckPredicate, 0, 44, 11, // Skip to: 7618
+/* 4758 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 4762 */    MCD_OPC_Decode, 201, 2, 63, // Opcode: SHSUB8
+/* 4766 */    MCD_OPC_FilterValue, 1, 170, 0, // Skip to: 4940
+/* 4770 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
+/* 4773 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4785
+/* 4777 */    MCD_OPC_CheckPredicate, 0, 21, 11, // Skip to: 7618
+/* 4781 */    MCD_OPC_Decode, 249, 2, 72, // Opcode: SSAT
+/* 4785 */    MCD_OPC_FilterValue, 1, 13, 11, // Skip to: 7618
+/* 4789 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 4792 */    MCD_OPC_FilterValue, 0, 45, 0, // Skip to: 4841
+/* 4796 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4799 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4817
+/* 4803 */    MCD_OPC_CheckPredicate, 0, 251, 10, // Skip to: 7618
+/* 4807 */    MCD_OPC_CheckField, 8, 4, 15, 245, 10, // Skip to: 7618
+/* 4813 */    MCD_OPC_Decode, 250, 2, 73, // Opcode: SSAT16
+/* 4817 */    MCD_OPC_FilterValue, 1, 237, 10, // Skip to: 7618
+/* 4821 */    MCD_OPC_CheckPredicate, 1, 233, 10, // Skip to: 7618
+/* 4825 */    MCD_OPC_CheckField, 16, 4, 15, 227, 10, // Skip to: 7618
+/* 4831 */    MCD_OPC_CheckField, 8, 4, 15, 221, 10, // Skip to: 7618
+/* 4837 */    MCD_OPC_Decode, 149, 2, 32, // Opcode: REV
+/* 4841 */    MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 4916
+/* 4845 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4848 */    MCD_OPC_FilterValue, 0, 30, 0, // Skip to: 4882
+/* 4852 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 4870
+/* 4856 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 4870
+/* 4862 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 4866 */    MCD_OPC_Decode, 207, 3, 68, // Opcode: SXTB
+/* 4870 */    MCD_OPC_CheckPredicate, 1, 184, 10, // Skip to: 7618
+/* 4874 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 4878 */    MCD_OPC_Decode, 204, 3, 69, // Opcode: SXTAB
+/* 4882 */    MCD_OPC_FilterValue, 1, 172, 10, // Skip to: 7618
+/* 4886 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 4904
+/* 4890 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 4904
+/* 4896 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 4900 */    MCD_OPC_Decode, 209, 3, 68, // Opcode: SXTH
+/* 4904 */    MCD_OPC_CheckPredicate, 1, 150, 10, // Skip to: 7618
+/* 4908 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 4912 */    MCD_OPC_Decode, 206, 3, 69, // Opcode: SXTAH
+/* 4916 */    MCD_OPC_FilterValue, 2, 138, 10, // Skip to: 7618
+/* 4920 */    MCD_OPC_CheckPredicate, 1, 134, 10, // Skip to: 7618
+/* 4924 */    MCD_OPC_CheckField, 16, 5, 31, 128, 10, // Skip to: 7618
+/* 4930 */    MCD_OPC_CheckField, 8, 4, 15, 122, 10, // Skip to: 7618
+/* 4936 */    MCD_OPC_Decode, 150, 2, 32, // Opcode: REV16
+/* 4940 */    MCD_OPC_FilterValue, 2, 26, 0, // Skip to: 4970
+/* 4944 */    MCD_OPC_CheckPredicate, 13, 110, 10, // Skip to: 7618
+/* 4948 */    MCD_OPC_CheckField, 20, 1, 1, 104, 10, // Skip to: 7618
+/* 4954 */    MCD_OPC_CheckField, 12, 4, 15, 98, 10, // Skip to: 7618
+/* 4960 */    MCD_OPC_CheckField, 5, 3, 0, 92, 10, // Skip to: 7618
+/* 4966 */    MCD_OPC_Decode, 230, 3, 26, // Opcode: UDIV
+/* 4970 */    MCD_OPC_FilterValue, 3, 84, 10, // Skip to: 7618
+/* 4974 */    MCD_OPC_CheckPredicate, 9, 80, 10, // Skip to: 7618
+/* 4978 */    MCD_OPC_CheckField, 5, 2, 2, 74, 10, // Skip to: 7618
+/* 4984 */    MCD_OPC_Decode, 182, 2, 74, // Opcode: SBFX
+/* 4988 */    MCD_OPC_FilterValue, 2, 67, 2, // Skip to: 5571
+/* 4992 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 4995 */    MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 5104
+/* 4999 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5002 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5033
+/* 5006 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 5009 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5021
+/* 5013 */    MCD_OPC_CheckPredicate, 0, 41, 10, // Skip to: 7618
+/* 5017 */    MCD_OPC_Decode, 161, 3, 52, // Opcode: STRB_POST_REG
+/* 5021 */    MCD_OPC_FilterValue, 1, 33, 10, // Skip to: 7618
+/* 5025 */    MCD_OPC_CheckPredicate, 0, 29, 10, // Skip to: 7618
+/* 5029 */    MCD_OPC_Decode, 167, 3, 75, // Opcode: STRBrs
+/* 5033 */    MCD_OPC_FilterValue, 1, 21, 10, // Skip to: 7618
 /* 5037 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 5040 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5052
-/* 5044 */    MCD_OPC_CheckPredicate, 0, 26, 10, // Skip to: 7634
-/* 5048 */    MCD_OPC_Decode, 211, 3, 52, // Opcode: STRB_POST_REG
-/* 5052 */    MCD_OPC_FilterValue, 1, 18, 10, // Skip to: 7634
-/* 5056 */    MCD_OPC_CheckPredicate, 0, 14, 10, // Skip to: 7634
-/* 5060 */    MCD_OPC_Decode, 217, 3, 75, // Opcode: STRBrs
-/* 5064 */    MCD_OPC_FilterValue, 1, 6, 10, // Skip to: 7634
-/* 5068 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 5071 */    MCD_OPC_FilterValue, 0, 28, 0, // Skip to: 5103
-/* 5075 */    MCD_OPC_CheckPredicate, 10, 16, 0, // Skip to: 5095
-/* 5079 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 5095
-/* 5085 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5095
-/* 5091 */    MCD_OPC_Decode, 187, 2, 62, // Opcode: PLIrs
-/* 5095 */    MCD_OPC_CheckPredicate, 0, 231, 9, // Skip to: 7634
-/* 5099 */    MCD_OPC_Decode, 204, 1, 52, // Opcode: LDRB_POST_REG
-/* 5103 */    MCD_OPC_FilterValue, 1, 223, 9, // Skip to: 7634
-/* 5107 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 5127
-/* 5111 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 5127
-/* 5117 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5127
-/* 5123 */    MCD_OPC_Decode, 185, 2, 62, // Opcode: PLDrs
-/* 5127 */    MCD_OPC_CheckPredicate, 0, 199, 9, // Skip to: 7634
-/* 5131 */    MCD_OPC_Decode, 208, 1, 75, // Opcode: LDRBrs
-/* 5135 */    MCD_OPC_FilterValue, 1, 191, 9, // Skip to: 7634
-/* 5139 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
-/* 5142 */    MCD_OPC_FilterValue, 0, 136, 0, // Skip to: 5282
-/* 5146 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 5149 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 5200
-/* 5153 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5156 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 5178
-/* 5160 */    MCD_OPC_CheckPredicate, 0, 166, 9, // Skip to: 7634
-/* 5164 */    MCD_OPC_CheckField, 20, 1, 1, 160, 9, // Skip to: 7634
-/* 5170 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5174 */    MCD_OPC_Decode, 148, 4, 63, // Opcode: UADD16
-/* 5178 */    MCD_OPC_FilterValue, 1, 148, 9, // Skip to: 7634
-/* 5182 */    MCD_OPC_CheckPredicate, 0, 144, 9, // Skip to: 7634
-/* 5186 */    MCD_OPC_CheckField, 20, 1, 1, 138, 9, // Skip to: 7634
-/* 5192 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5196 */    MCD_OPC_Decode, 149, 4, 63, // Opcode: UADD8
-/* 5200 */    MCD_OPC_FilterValue, 2, 54, 0, // Skip to: 5258
-/* 5204 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5207 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5225
-/* 5211 */    MCD_OPC_CheckPredicate, 1, 115, 9, // Skip to: 7634
-/* 5215 */    MCD_OPC_CheckField, 7, 1, 0, 109, 9, // Skip to: 7634
-/* 5221 */    MCD_OPC_Decode, 132, 3, 19, // Opcode: SMLALD
-/* 5225 */    MCD_OPC_FilterValue, 1, 101, 9, // Skip to: 7634
-/* 5229 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5232 */    MCD_OPC_FilterValue, 0, 94, 9, // Skip to: 7634
-/* 5236 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 5250
-/* 5240 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5250
-/* 5246 */    MCD_OPC_Decode, 149, 3, 26, // Opcode: SMMUL
-/* 5250 */    MCD_OPC_CheckPredicate, 1, 76, 9, // Skip to: 7634
-/* 5254 */    MCD_OPC_Decode, 145, 3, 37, // Opcode: SMMLA
-/* 5258 */    MCD_OPC_FilterValue, 3, 68, 9, // Skip to: 7634
-/* 5262 */    MCD_OPC_CheckPredicate, 9, 9, 0, // Skip to: 5275
-/* 5266 */    MCD_OPC_CheckField, 0, 4, 15, 3, 0, // Skip to: 5275
-/* 5272 */    MCD_OPC_Decode, 98, 76, // Opcode: BFC
-/* 5275 */    MCD_OPC_CheckPredicate, 9, 51, 9, // Skip to: 7634
-/* 5279 */    MCD_OPC_Decode, 99, 77, // Opcode: BFI
-/* 5282 */    MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 5375
-/* 5286 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5289 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 5313
-/* 5293 */    MCD_OPC_CheckPredicate, 1, 33, 9, // Skip to: 7634
-/* 5297 */    MCD_OPC_CheckField, 23, 2, 2, 27, 9, // Skip to: 7634
-/* 5303 */    MCD_OPC_CheckField, 7, 1, 0, 21, 9, // Skip to: 7634
-/* 5309 */    MCD_OPC_Decode, 133, 3, 19, // Opcode: SMLALDX
-/* 5313 */    MCD_OPC_FilterValue, 1, 13, 9, // Skip to: 7634
-/* 5317 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 5320 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 5342
-/* 5324 */    MCD_OPC_CheckPredicate, 0, 2, 9, // Skip to: 7634
-/* 5328 */    MCD_OPC_CheckField, 7, 1, 0, 252, 8, // Skip to: 7634
-/* 5334 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5338 */    MCD_OPC_Decode, 150, 4, 63, // Opcode: UASX
-/* 5342 */    MCD_OPC_FilterValue, 2, 240, 8, // Skip to: 7634
-/* 5346 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5349 */    MCD_OPC_FilterValue, 0, 233, 8, // Skip to: 7634
-/* 5353 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 5367
-/* 5357 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5367
-/* 5363 */    MCD_OPC_Decode, 150, 3, 26, // Opcode: SMMULR
-/* 5367 */    MCD_OPC_CheckPredicate, 1, 215, 8, // Skip to: 7634
-/* 5371 */    MCD_OPC_Decode, 146, 3, 37, // Opcode: SMMLAR
-/* 5375 */    MCD_OPC_FilterValue, 2, 74, 0, // Skip to: 5453
-/* 5379 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5382 */    MCD_OPC_FilterValue, 0, 43, 0, // Skip to: 5429
-/* 5386 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5389 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5407
-/* 5393 */    MCD_OPC_CheckPredicate, 1, 189, 8, // Skip to: 7634
-/* 5397 */    MCD_OPC_CheckField, 23, 2, 2, 183, 8, // Skip to: 7634
-/* 5403 */    MCD_OPC_Decode, 143, 3, 19, // Opcode: SMLSLD
-/* 5407 */    MCD_OPC_FilterValue, 1, 175, 8, // Skip to: 7634
-/* 5411 */    MCD_OPC_CheckPredicate, 0, 171, 8, // Skip to: 7634
-/* 5415 */    MCD_OPC_CheckField, 23, 2, 0, 165, 8, // Skip to: 7634
-/* 5421 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5425 */    MCD_OPC_Decode, 174, 4, 63, // Opcode: USAX
-/* 5429 */    MCD_OPC_FilterValue, 1, 153, 8, // Skip to: 7634
-/* 5433 */    MCD_OPC_CheckPredicate, 1, 149, 8, // Skip to: 7634
-/* 5437 */    MCD_OPC_CheckField, 23, 2, 2, 143, 8, // Skip to: 7634
-/* 5443 */    MCD_OPC_CheckField, 20, 1, 1, 137, 8, // Skip to: 7634
-/* 5449 */    MCD_OPC_Decode, 147, 3, 37, // Opcode: SMMLS
-/* 5453 */    MCD_OPC_FilterValue, 3, 129, 8, // Skip to: 7634
-/* 5457 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 5460 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 5511
-/* 5464 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5467 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 5489
-/* 5471 */    MCD_OPC_CheckPredicate, 0, 111, 8, // Skip to: 7634
-/* 5475 */    MCD_OPC_CheckField, 20, 1, 1, 105, 8, // Skip to: 7634
-/* 5481 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5485 */    MCD_OPC_Decode, 175, 4, 63, // Opcode: USUB16
-/* 5489 */    MCD_OPC_FilterValue, 1, 93, 8, // Skip to: 7634
-/* 5493 */    MCD_OPC_CheckPredicate, 0, 89, 8, // Skip to: 7634
-/* 5497 */    MCD_OPC_CheckField, 20, 1, 1, 83, 8, // Skip to: 7634
-/* 5503 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5507 */    MCD_OPC_Decode, 176, 4, 63, // Opcode: USUB8
-/* 5511 */    MCD_OPC_FilterValue, 1, 44, 0, // Skip to: 5559
-/* 5515 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5518 */    MCD_OPC_FilterValue, 0, 64, 8, // Skip to: 7634
-/* 5522 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5525 */    MCD_OPC_FilterValue, 0, 57, 8, // Skip to: 7634
-/* 5529 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 5547
-/* 5533 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 5547
-/* 5539 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 5543 */    MCD_OPC_Decode, 181, 4, 68, // Opcode: UXTB16
-/* 5547 */    MCD_OPC_CheckPredicate, 1, 35, 8, // Skip to: 7634
-/* 5551 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 5555 */    MCD_OPC_Decode, 178, 4, 69, // Opcode: UXTAB16
-/* 5559 */    MCD_OPC_FilterValue, 2, 23, 8, // Skip to: 7634
-/* 5563 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 5566 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5584
-/* 5570 */    MCD_OPC_CheckPredicate, 1, 12, 8, // Skip to: 7634
-/* 5574 */    MCD_OPC_CheckField, 20, 1, 0, 6, 8, // Skip to: 7634
-/* 5580 */    MCD_OPC_Decode, 144, 3, 19, // Opcode: SMLSLDX
-/* 5584 */    MCD_OPC_FilterValue, 1, 254, 7, // Skip to: 7634
-/* 5588 */    MCD_OPC_CheckPredicate, 1, 250, 7, // Skip to: 7634
-/* 5592 */    MCD_OPC_CheckField, 20, 1, 1, 244, 7, // Skip to: 7634
-/* 5598 */    MCD_OPC_Decode, 148, 3, 37, // Opcode: SMMLSR
-/* 5602 */    MCD_OPC_FilterValue, 3, 236, 7, // Skip to: 7634
-/* 5606 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 5609 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 5678
-/* 5613 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5616 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5647
+/* 5040 */    MCD_OPC_FilterValue, 0, 28, 0, // Skip to: 5072
+/* 5044 */    MCD_OPC_CheckPredicate, 10, 16, 0, // Skip to: 5064
+/* 5048 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 5064
+/* 5054 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5064
+/* 5060 */    MCD_OPC_Decode, 137, 2, 62, // Opcode: PLIrs
+/* 5064 */    MCD_OPC_CheckPredicate, 0, 246, 9, // Skip to: 7618
+/* 5068 */    MCD_OPC_Decode, 155, 1, 52, // Opcode: LDRB_POST_REG
+/* 5072 */    MCD_OPC_FilterValue, 1, 238, 9, // Skip to: 7618
+/* 5076 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 5096
+/* 5080 */    MCD_OPC_CheckField, 28, 4, 15, 10, 0, // Skip to: 5096
+/* 5086 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5096
+/* 5092 */    MCD_OPC_Decode, 135, 2, 62, // Opcode: PLDrs
+/* 5096 */    MCD_OPC_CheckPredicate, 0, 214, 9, // Skip to: 7618
+/* 5100 */    MCD_OPC_Decode, 159, 1, 75, // Opcode: LDRBrs
+/* 5104 */    MCD_OPC_FilterValue, 1, 206, 9, // Skip to: 7618
+/* 5108 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
+/* 5111 */    MCD_OPC_FilterValue, 0, 136, 0, // Skip to: 5251
+/* 5115 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 5118 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 5169
+/* 5122 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5125 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 5147
+/* 5129 */    MCD_OPC_CheckPredicate, 0, 181, 9, // Skip to: 7618
+/* 5133 */    MCD_OPC_CheckField, 20, 1, 1, 175, 9, // Skip to: 7618
+/* 5139 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5143 */    MCD_OPC_Decode, 225, 3, 63, // Opcode: UADD16
+/* 5147 */    MCD_OPC_FilterValue, 1, 163, 9, // Skip to: 7618
+/* 5151 */    MCD_OPC_CheckPredicate, 0, 159, 9, // Skip to: 7618
+/* 5155 */    MCD_OPC_CheckField, 20, 1, 1, 153, 9, // Skip to: 7618
+/* 5161 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5165 */    MCD_OPC_Decode, 226, 3, 63, // Opcode: UADD8
+/* 5169 */    MCD_OPC_FilterValue, 2, 54, 0, // Skip to: 5227
+/* 5173 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5176 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5194
+/* 5180 */    MCD_OPC_CheckPredicate, 1, 130, 9, // Skip to: 7618
+/* 5184 */    MCD_OPC_CheckField, 7, 1, 0, 124, 9, // Skip to: 7618
+/* 5190 */    MCD_OPC_Decode, 210, 2, 19, // Opcode: SMLALD
+/* 5194 */    MCD_OPC_FilterValue, 1, 116, 9, // Skip to: 7618
+/* 5198 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5201 */    MCD_OPC_FilterValue, 0, 109, 9, // Skip to: 7618
+/* 5205 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 5219
+/* 5209 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5219
+/* 5215 */    MCD_OPC_Decode, 227, 2, 26, // Opcode: SMMUL
+/* 5219 */    MCD_OPC_CheckPredicate, 1, 91, 9, // Skip to: 7618
+/* 5223 */    MCD_OPC_Decode, 223, 2, 37, // Opcode: SMMLA
+/* 5227 */    MCD_OPC_FilterValue, 3, 83, 9, // Skip to: 7618
+/* 5231 */    MCD_OPC_CheckPredicate, 9, 9, 0, // Skip to: 5244
+/* 5235 */    MCD_OPC_CheckField, 0, 4, 15, 3, 0, // Skip to: 5244
+/* 5241 */    MCD_OPC_Decode, 49, 76, // Opcode: BFC
+/* 5244 */    MCD_OPC_CheckPredicate, 9, 66, 9, // Skip to: 7618
+/* 5248 */    MCD_OPC_Decode, 50, 77, // Opcode: BFI
+/* 5251 */    MCD_OPC_FilterValue, 1, 89, 0, // Skip to: 5344
+/* 5255 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5258 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 5282
+/* 5262 */    MCD_OPC_CheckPredicate, 1, 48, 9, // Skip to: 7618
+/* 5266 */    MCD_OPC_CheckField, 23, 2, 2, 42, 9, // Skip to: 7618
+/* 5272 */    MCD_OPC_CheckField, 7, 1, 0, 36, 9, // Skip to: 7618
+/* 5278 */    MCD_OPC_Decode, 211, 2, 19, // Opcode: SMLALDX
+/* 5282 */    MCD_OPC_FilterValue, 1, 28, 9, // Skip to: 7618
+/* 5286 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 5289 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 5311
+/* 5293 */    MCD_OPC_CheckPredicate, 0, 17, 9, // Skip to: 7618
+/* 5297 */    MCD_OPC_CheckField, 7, 1, 0, 11, 9, // Skip to: 7618
+/* 5303 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5307 */    MCD_OPC_Decode, 227, 3, 63, // Opcode: UASX
+/* 5311 */    MCD_OPC_FilterValue, 2, 255, 8, // Skip to: 7618
+/* 5315 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5318 */    MCD_OPC_FilterValue, 0, 248, 8, // Skip to: 7618
+/* 5322 */    MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 5336
+/* 5326 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 5336
+/* 5332 */    MCD_OPC_Decode, 228, 2, 26, // Opcode: SMMULR
+/* 5336 */    MCD_OPC_CheckPredicate, 1, 230, 8, // Skip to: 7618
+/* 5340 */    MCD_OPC_Decode, 224, 2, 37, // Opcode: SMMLAR
+/* 5344 */    MCD_OPC_FilterValue, 2, 74, 0, // Skip to: 5422
+/* 5348 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5351 */    MCD_OPC_FilterValue, 0, 43, 0, // Skip to: 5398
+/* 5355 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5358 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5376
+/* 5362 */    MCD_OPC_CheckPredicate, 1, 204, 8, // Skip to: 7618
+/* 5366 */    MCD_OPC_CheckField, 23, 2, 2, 198, 8, // Skip to: 7618
+/* 5372 */    MCD_OPC_Decode, 221, 2, 19, // Opcode: SMLSLD
+/* 5376 */    MCD_OPC_FilterValue, 1, 190, 8, // Skip to: 7618
+/* 5380 */    MCD_OPC_CheckPredicate, 0, 186, 8, // Skip to: 7618
+/* 5384 */    MCD_OPC_CheckField, 23, 2, 0, 180, 8, // Skip to: 7618
+/* 5390 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5394 */    MCD_OPC_Decode, 252, 3, 63, // Opcode: USAX
+/* 5398 */    MCD_OPC_FilterValue, 1, 168, 8, // Skip to: 7618
+/* 5402 */    MCD_OPC_CheckPredicate, 1, 164, 8, // Skip to: 7618
+/* 5406 */    MCD_OPC_CheckField, 23, 2, 2, 158, 8, // Skip to: 7618
+/* 5412 */    MCD_OPC_CheckField, 20, 1, 1, 152, 8, // Skip to: 7618
+/* 5418 */    MCD_OPC_Decode, 225, 2, 37, // Opcode: SMMLS
+/* 5422 */    MCD_OPC_FilterValue, 3, 144, 8, // Skip to: 7618
+/* 5426 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 5429 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 5480
+/* 5433 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5436 */    MCD_OPC_FilterValue, 0, 18, 0, // Skip to: 5458
+/* 5440 */    MCD_OPC_CheckPredicate, 0, 126, 8, // Skip to: 7618
+/* 5444 */    MCD_OPC_CheckField, 20, 1, 1, 120, 8, // Skip to: 7618
+/* 5450 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5454 */    MCD_OPC_Decode, 253, 3, 63, // Opcode: USUB16
+/* 5458 */    MCD_OPC_FilterValue, 1, 108, 8, // Skip to: 7618
+/* 5462 */    MCD_OPC_CheckPredicate, 0, 104, 8, // Skip to: 7618
+/* 5466 */    MCD_OPC_CheckField, 20, 1, 1, 98, 8, // Skip to: 7618
+/* 5472 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5476 */    MCD_OPC_Decode, 254, 3, 63, // Opcode: USUB8
+/* 5480 */    MCD_OPC_FilterValue, 1, 44, 0, // Skip to: 5528
+/* 5484 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5487 */    MCD_OPC_FilterValue, 0, 79, 8, // Skip to: 7618
+/* 5491 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5494 */    MCD_OPC_FilterValue, 0, 72, 8, // Skip to: 7618
+/* 5498 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 5516
+/* 5502 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 5516
+/* 5508 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 5512 */    MCD_OPC_Decode, 131, 4, 68, // Opcode: UXTB16
+/* 5516 */    MCD_OPC_CheckPredicate, 1, 50, 8, // Skip to: 7618
+/* 5520 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 5524 */    MCD_OPC_Decode, 128, 4, 69, // Opcode: UXTAB16
+/* 5528 */    MCD_OPC_FilterValue, 2, 38, 8, // Skip to: 7618
+/* 5532 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 5535 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5553
+/* 5539 */    MCD_OPC_CheckPredicate, 1, 27, 8, // Skip to: 7618
+/* 5543 */    MCD_OPC_CheckField, 20, 1, 0, 21, 8, // Skip to: 7618
+/* 5549 */    MCD_OPC_Decode, 222, 2, 19, // Opcode: SMLSLDX
+/* 5553 */    MCD_OPC_FilterValue, 1, 13, 8, // Skip to: 7618
+/* 5557 */    MCD_OPC_CheckPredicate, 1, 9, 8, // Skip to: 7618
+/* 5561 */    MCD_OPC_CheckField, 20, 1, 1, 3, 8, // Skip to: 7618
+/* 5567 */    MCD_OPC_Decode, 226, 2, 37, // Opcode: SMMLSR
+/* 5571 */    MCD_OPC_FilterValue, 3, 251, 7, // Skip to: 7618
+/* 5575 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 5578 */    MCD_OPC_FilterValue, 0, 65, 0, // Skip to: 5647
+/* 5582 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5585 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5616
+/* 5589 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 5592 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5604
+/* 5596 */    MCD_OPC_CheckPredicate, 0, 226, 7, // Skip to: 7618
+/* 5600 */    MCD_OPC_Decode, 159, 3, 52, // Opcode: STRBT_POST_REG
+/* 5604 */    MCD_OPC_FilterValue, 1, 218, 7, // Skip to: 7618
+/* 5608 */    MCD_OPC_CheckPredicate, 0, 214, 7, // Skip to: 7618
+/* 5612 */    MCD_OPC_Decode, 163, 3, 70, // Opcode: STRB_PRE_REG
+/* 5616 */    MCD_OPC_FilterValue, 1, 206, 7, // Skip to: 7618
 /* 5620 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
 /* 5623 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5635
-/* 5627 */    MCD_OPC_CheckPredicate, 0, 211, 7, // Skip to: 7634
-/* 5631 */    MCD_OPC_Decode, 209, 3, 52, // Opcode: STRBT_POST_REG
-/* 5635 */    MCD_OPC_FilterValue, 1, 203, 7, // Skip to: 7634
-/* 5639 */    MCD_OPC_CheckPredicate, 0, 199, 7, // Skip to: 7634
-/* 5643 */    MCD_OPC_Decode, 213, 3, 70, // Opcode: STRB_PRE_REG
-/* 5647 */    MCD_OPC_FilterValue, 1, 191, 7, // Skip to: 7634
-/* 5651 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 5654 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5666
-/* 5658 */    MCD_OPC_CheckPredicate, 0, 180, 7, // Skip to: 7634
-/* 5662 */    MCD_OPC_Decode, 202, 1, 52, // Opcode: LDRBT_POST_REG
-/* 5666 */    MCD_OPC_FilterValue, 1, 172, 7, // Skip to: 7634
-/* 5670 */    MCD_OPC_CheckPredicate, 0, 168, 7, // Skip to: 7634
-/* 5674 */    MCD_OPC_Decode, 206, 1, 71, // Opcode: LDRB_PRE_REG
-/* 5678 */    MCD_OPC_FilterValue, 1, 160, 7, // Skip to: 7634
-/* 5682 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
-/* 5685 */    MCD_OPC_FilterValue, 0, 237, 0, // Skip to: 5926
-/* 5689 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
-/* 5692 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 5731
-/* 5696 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5699 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5715
-/* 5703 */    MCD_OPC_CheckPredicate, 0, 135, 7, // Skip to: 7634
-/* 5707 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5711 */    MCD_OPC_Decode, 164, 4, 63, // Opcode: UQADD16
-/* 5715 */    MCD_OPC_FilterValue, 1, 123, 7, // Skip to: 7634
-/* 5719 */    MCD_OPC_CheckPredicate, 0, 119, 7, // Skip to: 7634
-/* 5723 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5727 */    MCD_OPC_Decode, 153, 4, 63, // Opcode: UHADD16
-/* 5731 */    MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 5770
-/* 5735 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5738 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5754
-/* 5742 */    MCD_OPC_CheckPredicate, 0, 96, 7, // Skip to: 7634
-/* 5746 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5750 */    MCD_OPC_Decode, 166, 4, 63, // Opcode: UQASX
-/* 5754 */    MCD_OPC_FilterValue, 1, 84, 7, // Skip to: 7634
-/* 5758 */    MCD_OPC_CheckPredicate, 0, 80, 7, // Skip to: 7634
-/* 5762 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5766 */    MCD_OPC_Decode, 155, 4, 63, // Opcode: UHASX
-/* 5770 */    MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 5809
-/* 5774 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5777 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5793
-/* 5781 */    MCD_OPC_CheckPredicate, 0, 57, 7, // Skip to: 7634
-/* 5785 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5789 */    MCD_OPC_Decode, 167, 4, 63, // Opcode: UQSAX
-/* 5793 */    MCD_OPC_FilterValue, 1, 45, 7, // Skip to: 7634
-/* 5797 */    MCD_OPC_CheckPredicate, 0, 41, 7, // Skip to: 7634
-/* 5801 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5805 */    MCD_OPC_Decode, 156, 4, 63, // Opcode: UHSAX
-/* 5809 */    MCD_OPC_FilterValue, 3, 35, 0, // Skip to: 5848
-/* 5813 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5816 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5832
-/* 5820 */    MCD_OPC_CheckPredicate, 0, 18, 7, // Skip to: 7634
-/* 5824 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5828 */    MCD_OPC_Decode, 168, 4, 63, // Opcode: UQSUB16
-/* 5832 */    MCD_OPC_FilterValue, 1, 6, 7, // Skip to: 7634
-/* 5836 */    MCD_OPC_CheckPredicate, 0, 2, 7, // Skip to: 7634
-/* 5840 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5844 */    MCD_OPC_Decode, 157, 4, 63, // Opcode: UHSUB16
-/* 5848 */    MCD_OPC_FilterValue, 4, 35, 0, // Skip to: 5887
-/* 5852 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5855 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5871
-/* 5859 */    MCD_OPC_CheckPredicate, 0, 235, 6, // Skip to: 7634
-/* 5863 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5867 */    MCD_OPC_Decode, 165, 4, 63, // Opcode: UQADD8
-/* 5871 */    MCD_OPC_FilterValue, 1, 223, 6, // Skip to: 7634
-/* 5875 */    MCD_OPC_CheckPredicate, 0, 219, 6, // Skip to: 7634
-/* 5879 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5883 */    MCD_OPC_Decode, 154, 4, 63, // Opcode: UHADD8
-/* 5887 */    MCD_OPC_FilterValue, 7, 207, 6, // Skip to: 7634
-/* 5891 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5894 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5910
-/* 5898 */    MCD_OPC_CheckPredicate, 0, 196, 6, // Skip to: 7634
-/* 5902 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5906 */    MCD_OPC_Decode, 169, 4, 63, // Opcode: UQSUB8
-/* 5910 */    MCD_OPC_FilterValue, 1, 184, 6, // Skip to: 7634
-/* 5914 */    MCD_OPC_CheckPredicate, 0, 180, 6, // Skip to: 7634
-/* 5918 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
-/* 5922 */    MCD_OPC_Decode, 158, 4, 63, // Opcode: UHSUB8
-/* 5926 */    MCD_OPC_FilterValue, 1, 170, 0, // Skip to: 6100
-/* 5930 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 5933 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5945
-/* 5937 */    MCD_OPC_CheckPredicate, 0, 157, 6, // Skip to: 7634
-/* 5941 */    MCD_OPC_Decode, 172, 4, 72, // Opcode: USAT
-/* 5945 */    MCD_OPC_FilterValue, 1, 149, 6, // Skip to: 7634
-/* 5949 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 5952 */    MCD_OPC_FilterValue, 0, 45, 0, // Skip to: 6001
-/* 5956 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5959 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5977
-/* 5963 */    MCD_OPC_CheckPredicate, 0, 131, 6, // Skip to: 7634
-/* 5967 */    MCD_OPC_CheckField, 8, 4, 15, 125, 6, // Skip to: 7634
-/* 5973 */    MCD_OPC_Decode, 173, 4, 73, // Opcode: USAT16
-/* 5977 */    MCD_OPC_FilterValue, 1, 117, 6, // Skip to: 7634
-/* 5981 */    MCD_OPC_CheckPredicate, 9, 113, 6, // Skip to: 7634
-/* 5985 */    MCD_OPC_CheckField, 16, 4, 15, 107, 6, // Skip to: 7634
-/* 5991 */    MCD_OPC_CheckField, 8, 4, 15, 101, 6, // Skip to: 7634
-/* 5997 */    MCD_OPC_Decode, 198, 2, 32, // Opcode: RBIT
-/* 6001 */    MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 6076
-/* 6005 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 6008 */    MCD_OPC_FilterValue, 0, 30, 0, // Skip to: 6042
-/* 6012 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 6030
-/* 6016 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 6030
-/* 6022 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 6026 */    MCD_OPC_Decode, 180, 4, 68, // Opcode: UXTB
-/* 6030 */    MCD_OPC_CheckPredicate, 1, 64, 6, // Skip to: 7634
-/* 6034 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 6038 */    MCD_OPC_Decode, 177, 4, 69, // Opcode: UXTAB
-/* 6042 */    MCD_OPC_FilterValue, 1, 52, 6, // Skip to: 7634
-/* 6046 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 6064
-/* 6050 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 6064
-/* 6056 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 6060 */    MCD_OPC_Decode, 182, 4, 68, // Opcode: UXTH
-/* 6064 */    MCD_OPC_CheckPredicate, 1, 30, 6, // Skip to: 7634
-/* 6068 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
-/* 6072 */    MCD_OPC_Decode, 179, 4, 69, // Opcode: UXTAH
-/* 6076 */    MCD_OPC_FilterValue, 2, 18, 6, // Skip to: 7634
-/* 6080 */    MCD_OPC_CheckPredicate, 1, 14, 6, // Skip to: 7634
-/* 6084 */    MCD_OPC_CheckField, 16, 5, 31, 8, 6, // Skip to: 7634
-/* 6090 */    MCD_OPC_CheckField, 8, 4, 15, 2, 6, // Skip to: 7634
-/* 6096 */    MCD_OPC_Decode, 201, 2, 32, // Opcode: REVSH
-/* 6100 */    MCD_OPC_FilterValue, 3, 250, 5, // Skip to: 7634
-/* 6104 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
-/* 6107 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6119
-/* 6111 */    MCD_OPC_CheckPredicate, 9, 239, 5, // Skip to: 7634
-/* 6115 */    MCD_OPC_Decode, 151, 4, 78, // Opcode: UBFX
-/* 6119 */    MCD_OPC_FilterValue, 3, 231, 5, // Skip to: 7634
-/* 6123 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
-/* 6126 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 6151
-/* 6130 */    MCD_OPC_CheckPredicate, 14, 220, 5, // Skip to: 7634
-/* 6134 */    MCD_OPC_CheckField, 28, 4, 14, 214, 5, // Skip to: 7634
-/* 6140 */    MCD_OPC_CheckField, 7, 14, 189, 123, 207, 5, // Skip to: 7634
-/* 6147 */    MCD_OPC_Decode, 143, 4, 58, // Opcode: TRAPNaCl
-/* 6151 */    MCD_OPC_FilterValue, 14, 199, 5, // Skip to: 7634
-/* 6155 */    MCD_OPC_CheckPredicate, 0, 195, 5, // Skip to: 7634
-/* 6159 */    MCD_OPC_CheckField, 28, 4, 14, 189, 5, // Skip to: 7634
-/* 6165 */    MCD_OPC_CheckField, 7, 14, 189, 127, 182, 5, // Skip to: 7634
-/* 6172 */    MCD_OPC_Decode, 142, 4, 58, // Opcode: TRAP
-/* 6176 */    MCD_OPC_FilterValue, 4, 219, 2, // Skip to: 6911
-/* 6180 */    MCD_OPC_ExtractField, 20, 5,  // Inst{24-20} ...
-/* 6183 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6195
-/* 6187 */    MCD_OPC_CheckPredicate, 0, 163, 5, // Skip to: 7634
-/* 6191 */    MCD_OPC_Decode, 199, 3, 79, // Opcode: STMDA
-/* 6195 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 6228
-/* 6199 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6220
-/* 6203 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6220
-/* 6209 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6220
-/* 6216 */    MCD_OPC_Decode, 202, 2, 80, // Opcode: RFEDA
-/* 6220 */    MCD_OPC_CheckPredicate, 0, 130, 5, // Skip to: 7634
-/* 6224 */    MCD_OPC_Decode, 191, 1, 79, // Opcode: LDMDA
-/* 6228 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6240
-/* 6232 */    MCD_OPC_CheckPredicate, 0, 118, 5, // Skip to: 7634
-/* 6236 */    MCD_OPC_Decode, 200, 3, 81, // Opcode: STMDA_UPD
-/* 6240 */    MCD_OPC_FilterValue, 3, 29, 0, // Skip to: 6273
-/* 6244 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6265
-/* 6248 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6265
-/* 6254 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6265
-/* 6261 */    MCD_OPC_Decode, 203, 2, 80, // Opcode: RFEDA_UPD
-/* 6265 */    MCD_OPC_CheckPredicate, 0, 85, 5, // Skip to: 7634
-/* 6269 */    MCD_OPC_Decode, 192, 1, 81, // Opcode: LDMDA_UPD
-/* 6273 */    MCD_OPC_FilterValue, 4, 30, 0, // Skip to: 6307
-/* 6277 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6299
-/* 6281 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6299
-/* 6287 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6299
-/* 6295 */    MCD_OPC_Decode, 163, 3, 82, // Opcode: SRSDA
-/* 6299 */    MCD_OPC_CheckPredicate, 0, 51, 5, // Skip to: 7634
-/* 6303 */    MCD_OPC_Decode, 146, 18, 79, // Opcode: sysSTMDA
-/* 6307 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6319
-/* 6311 */    MCD_OPC_CheckPredicate, 0, 39, 5, // Skip to: 7634
-/* 6315 */    MCD_OPC_Decode, 138, 18, 79, // Opcode: sysLDMDA
-/* 6319 */    MCD_OPC_FilterValue, 6, 30, 0, // Skip to: 6353
-/* 6323 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6345
-/* 6327 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6345
-/* 6333 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6345
-/* 6341 */    MCD_OPC_Decode, 164, 3, 82, // Opcode: SRSDA_UPD
-/* 6345 */    MCD_OPC_CheckPredicate, 0, 5, 5, // Skip to: 7634
-/* 6349 */    MCD_OPC_Decode, 147, 18, 81, // Opcode: sysSTMDA_UPD
-/* 6353 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6365
-/* 6357 */    MCD_OPC_CheckPredicate, 0, 249, 4, // Skip to: 7634
-/* 6361 */    MCD_OPC_Decode, 139, 18, 81, // Opcode: sysLDMDA_UPD
-/* 6365 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6377
-/* 6369 */    MCD_OPC_CheckPredicate, 0, 237, 4, // Skip to: 7634
-/* 6373 */    MCD_OPC_Decode, 203, 3, 79, // Opcode: STMIA
-/* 6377 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 6410
-/* 6381 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6402
-/* 6385 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6402
-/* 6391 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6402
-/* 6398 */    MCD_OPC_Decode, 206, 2, 80, // Opcode: RFEIA
-/* 6402 */    MCD_OPC_CheckPredicate, 0, 204, 4, // Skip to: 7634
-/* 6406 */    MCD_OPC_Decode, 195, 1, 79, // Opcode: LDMIA
-/* 6410 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6422
-/* 6414 */    MCD_OPC_CheckPredicate, 0, 192, 4, // Skip to: 7634
-/* 6418 */    MCD_OPC_Decode, 204, 3, 81, // Opcode: STMIA_UPD
-/* 6422 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 6455
-/* 6426 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6447
-/* 6430 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6447
-/* 6436 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6447
-/* 6443 */    MCD_OPC_Decode, 207, 2, 80, // Opcode: RFEIA_UPD
-/* 6447 */    MCD_OPC_CheckPredicate, 0, 159, 4, // Skip to: 7634
-/* 6451 */    MCD_OPC_Decode, 197, 1, 81, // Opcode: LDMIA_UPD
-/* 6455 */    MCD_OPC_FilterValue, 12, 30, 0, // Skip to: 6489
-/* 6459 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6481
-/* 6463 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6481
-/* 6469 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6481
-/* 6477 */    MCD_OPC_Decode, 167, 3, 82, // Opcode: SRSIA
-/* 6481 */    MCD_OPC_CheckPredicate, 0, 125, 4, // Skip to: 7634
-/* 6485 */    MCD_OPC_Decode, 150, 18, 79, // Opcode: sysSTMIA
-/* 6489 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6501
-/* 6493 */    MCD_OPC_CheckPredicate, 0, 113, 4, // Skip to: 7634
-/* 6497 */    MCD_OPC_Decode, 142, 18, 79, // Opcode: sysLDMIA
-/* 6501 */    MCD_OPC_FilterValue, 14, 30, 0, // Skip to: 6535
-/* 6505 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6527
-/* 6509 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6527
-/* 6515 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6527
-/* 6523 */    MCD_OPC_Decode, 168, 3, 82, // Opcode: SRSIA_UPD
-/* 6527 */    MCD_OPC_CheckPredicate, 0, 79, 4, // Skip to: 7634
-/* 6531 */    MCD_OPC_Decode, 151, 18, 81, // Opcode: sysSTMIA_UPD
-/* 6535 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6547
-/* 6539 */    MCD_OPC_CheckPredicate, 0, 67, 4, // Skip to: 7634
-/* 6543 */    MCD_OPC_Decode, 143, 18, 81, // Opcode: sysLDMIA_UPD
-/* 6547 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6559
-/* 6551 */    MCD_OPC_CheckPredicate, 0, 55, 4, // Skip to: 7634
-/* 6555 */    MCD_OPC_Decode, 201, 3, 79, // Opcode: STMDB
-/* 6559 */    MCD_OPC_FilterValue, 17, 29, 0, // Skip to: 6592
-/* 6563 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6584
-/* 6567 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6584
-/* 6573 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6584
-/* 6580 */    MCD_OPC_Decode, 204, 2, 80, // Opcode: RFEDB
-/* 6584 */    MCD_OPC_CheckPredicate, 0, 22, 4, // Skip to: 7634
-/* 6588 */    MCD_OPC_Decode, 193, 1, 79, // Opcode: LDMDB
-/* 6592 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6604
-/* 6596 */    MCD_OPC_CheckPredicate, 0, 10, 4, // Skip to: 7634
-/* 6600 */    MCD_OPC_Decode, 202, 3, 81, // Opcode: STMDB_UPD
-/* 6604 */    MCD_OPC_FilterValue, 19, 29, 0, // Skip to: 6637
-/* 6608 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6629
-/* 6612 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6629
-/* 6618 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6629
-/* 6625 */    MCD_OPC_Decode, 205, 2, 80, // Opcode: RFEDB_UPD
-/* 6629 */    MCD_OPC_CheckPredicate, 0, 233, 3, // Skip to: 7634
-/* 6633 */    MCD_OPC_Decode, 194, 1, 81, // Opcode: LDMDB_UPD
-/* 6637 */    MCD_OPC_FilterValue, 20, 30, 0, // Skip to: 6671
-/* 6641 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6663
-/* 6645 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6663
-/* 6651 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6663
-/* 6659 */    MCD_OPC_Decode, 165, 3, 82, // Opcode: SRSDB
-/* 6663 */    MCD_OPC_CheckPredicate, 0, 199, 3, // Skip to: 7634
-/* 6667 */    MCD_OPC_Decode, 148, 18, 79, // Opcode: sysSTMDB
-/* 6671 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6683
-/* 6675 */    MCD_OPC_CheckPredicate, 0, 187, 3, // Skip to: 7634
-/* 6679 */    MCD_OPC_Decode, 140, 18, 79, // Opcode: sysLDMDB
-/* 6683 */    MCD_OPC_FilterValue, 22, 30, 0, // Skip to: 6717
-/* 6687 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6709
-/* 6691 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6709
-/* 6697 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6709
-/* 6705 */    MCD_OPC_Decode, 166, 3, 82, // Opcode: SRSDB_UPD
-/* 6709 */    MCD_OPC_CheckPredicate, 0, 153, 3, // Skip to: 7634
-/* 6713 */    MCD_OPC_Decode, 149, 18, 81, // Opcode: sysSTMDB_UPD
-/* 6717 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6729
-/* 6721 */    MCD_OPC_CheckPredicate, 0, 141, 3, // Skip to: 7634
-/* 6725 */    MCD_OPC_Decode, 141, 18, 81, // Opcode: sysLDMDB_UPD
-/* 6729 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6741
-/* 6733 */    MCD_OPC_CheckPredicate, 0, 129, 3, // Skip to: 7634
-/* 6737 */    MCD_OPC_Decode, 205, 3, 79, // Opcode: STMIB
-/* 6741 */    MCD_OPC_FilterValue, 25, 29, 0, // Skip to: 6774
-/* 6745 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6766
-/* 6749 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6766
-/* 6755 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6766
-/* 6762 */    MCD_OPC_Decode, 208, 2, 80, // Opcode: RFEIB
-/* 6766 */    MCD_OPC_CheckPredicate, 0, 96, 3, // Skip to: 7634
-/* 6770 */    MCD_OPC_Decode, 198, 1, 79, // Opcode: LDMIB
-/* 6774 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6786
-/* 6778 */    MCD_OPC_CheckPredicate, 0, 84, 3, // Skip to: 7634
-/* 6782 */    MCD_OPC_Decode, 206, 3, 81, // Opcode: STMIB_UPD
-/* 6786 */    MCD_OPC_FilterValue, 27, 29, 0, // Skip to: 6819
-/* 6790 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6811
-/* 6794 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6811
-/* 6800 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6811
-/* 6807 */    MCD_OPC_Decode, 209, 2, 80, // Opcode: RFEIB_UPD
-/* 6811 */    MCD_OPC_CheckPredicate, 0, 51, 3, // Skip to: 7634
-/* 6815 */    MCD_OPC_Decode, 199, 1, 81, // Opcode: LDMIB_UPD
-/* 6819 */    MCD_OPC_FilterValue, 28, 30, 0, // Skip to: 6853
-/* 6823 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6845
-/* 6827 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6845
-/* 6833 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6845
-/* 6841 */    MCD_OPC_Decode, 169, 3, 82, // Opcode: SRSIB
-/* 6845 */    MCD_OPC_CheckPredicate, 0, 17, 3, // Skip to: 7634
-/* 6849 */    MCD_OPC_Decode, 152, 18, 79, // Opcode: sysSTMIB
-/* 6853 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6865
-/* 6857 */    MCD_OPC_CheckPredicate, 0, 5, 3, // Skip to: 7634
-/* 6861 */    MCD_OPC_Decode, 144, 18, 79, // Opcode: sysLDMIB
-/* 6865 */    MCD_OPC_FilterValue, 30, 30, 0, // Skip to: 6899
-/* 6869 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6891
-/* 6873 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6891
-/* 6879 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6891
-/* 6887 */    MCD_OPC_Decode, 170, 3, 82, // Opcode: SRSIB_UPD
-/* 6891 */    MCD_OPC_CheckPredicate, 0, 227, 2, // Skip to: 7634
-/* 6895 */    MCD_OPC_Decode, 153, 18, 81, // Opcode: sysSTMIB_UPD
-/* 6899 */    MCD_OPC_FilterValue, 31, 219, 2, // Skip to: 7634
-/* 6903 */    MCD_OPC_CheckPredicate, 0, 215, 2, // Skip to: 7634
-/* 6907 */    MCD_OPC_Decode, 145, 18, 81, // Opcode: sysLDMIB_UPD
-/* 6911 */    MCD_OPC_FilterValue, 5, 51, 0, // Skip to: 6966
-/* 6915 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 6918 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 6929
-/* 6922 */    MCD_OPC_CheckPredicate, 0, 27, 0, // Skip to: 6953
-/* 6926 */    MCD_OPC_Decode, 120, 83, // Opcode: Bcc
-/* 6929 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 6953
-/* 6933 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 6946
-/* 6937 */    MCD_OPC_CheckField, 28, 4, 14, 3, 0, // Skip to: 6946
-/* 6943 */    MCD_OPC_Decode, 105, 83, // Opcode: BL
-/* 6946 */    MCD_OPC_CheckPredicate, 0, 3, 0, // Skip to: 6953
-/* 6950 */    MCD_OPC_Decode, 109, 83, // Opcode: BL_pred
-/* 6953 */    MCD_OPC_CheckPredicate, 7, 165, 2, // Skip to: 7634
-/* 6957 */    MCD_OPC_CheckField, 28, 4, 15, 159, 2, // Skip to: 7634
-/* 6963 */    MCD_OPC_Decode, 108, 84, // Opcode: BLXi
-/* 6966 */    MCD_OPC_FilterValue, 6, 43, 2, // Skip to: 7525
-/* 6970 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
-/* 6973 */    MCD_OPC_FilterValue, 0, 62, 0, // Skip to: 7039
-/* 6977 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 6980 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 7013
-/* 6984 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 6987 */    MCD_OPC_FilterValue, 1, 131, 2, // Skip to: 7634
-/* 6991 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7005
-/* 6995 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7005
-/* 7001 */    MCD_OPC_Decode, 181, 3, 85, // Opcode: STC2_OPTION
-/* 7005 */    MCD_OPC_CheckPredicate, 0, 113, 2, // Skip to: 7634
-/* 7009 */    MCD_OPC_Decode, 189, 3, 85, // Opcode: STC_OPTION
-/* 7013 */    MCD_OPC_FilterValue, 1, 105, 2, // Skip to: 7634
-/* 7017 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7031
-/* 7021 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7031
-/* 7027 */    MCD_OPC_Decode, 180, 3, 85, // Opcode: STC2_OFFSET
-/* 7031 */    MCD_OPC_CheckPredicate, 0, 87, 2, // Skip to: 7634
-/* 7035 */    MCD_OPC_Decode, 188, 3, 85, // Opcode: STC_OFFSET
-/* 7039 */    MCD_OPC_FilterValue, 1, 62, 0, // Skip to: 7105
-/* 7043 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7046 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 7079
-/* 7050 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 7053 */    MCD_OPC_FilterValue, 1, 65, 2, // Skip to: 7634
-/* 7057 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7071
-/* 7061 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7071
-/* 7067 */    MCD_OPC_Decode, 180, 1, 85, // Opcode: LDC2_OPTION
-/* 7071 */    MCD_OPC_CheckPredicate, 0, 47, 2, // Skip to: 7634
-/* 7075 */    MCD_OPC_Decode, 188, 1, 85, // Opcode: LDC_OPTION
-/* 7079 */    MCD_OPC_FilterValue, 1, 39, 2, // Skip to: 7634
-/* 7083 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7097
-/* 7087 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7097
-/* 7093 */    MCD_OPC_Decode, 179, 1, 85, // Opcode: LDC2_OFFSET
-/* 7097 */    MCD_OPC_CheckPredicate, 0, 21, 2, // Skip to: 7634
-/* 7101 */    MCD_OPC_Decode, 187, 1, 85, // Opcode: LDC_OFFSET
-/* 7105 */    MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 7164
-/* 7109 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7112 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7138
-/* 7116 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7130
-/* 7120 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7130
-/* 7126 */    MCD_OPC_Decode, 182, 3, 85, // Opcode: STC2_POST
-/* 7130 */    MCD_OPC_CheckPredicate, 0, 244, 1, // Skip to: 7634
-/* 7134 */    MCD_OPC_Decode, 190, 3, 85, // Opcode: STC_POST
-/* 7138 */    MCD_OPC_FilterValue, 1, 236, 1, // Skip to: 7634
-/* 7142 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7156
-/* 7146 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7156
-/* 7152 */    MCD_OPC_Decode, 183, 3, 85, // Opcode: STC2_PRE
-/* 7156 */    MCD_OPC_CheckPredicate, 0, 218, 1, // Skip to: 7634
-/* 7160 */    MCD_OPC_Decode, 191, 3, 85, // Opcode: STC_PRE
-/* 7164 */    MCD_OPC_FilterValue, 3, 55, 0, // Skip to: 7223
-/* 7168 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7171 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7197
-/* 7175 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7189
-/* 7179 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7189
-/* 7185 */    MCD_OPC_Decode, 181, 1, 85, // Opcode: LDC2_POST
-/* 7189 */    MCD_OPC_CheckPredicate, 0, 185, 1, // Skip to: 7634
-/* 7193 */    MCD_OPC_Decode, 189, 1, 85, // Opcode: LDC_POST
-/* 7197 */    MCD_OPC_FilterValue, 1, 177, 1, // Skip to: 7634
-/* 7201 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7215
-/* 7205 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7215
-/* 7211 */    MCD_OPC_Decode, 182, 1, 85, // Opcode: LDC2_PRE
-/* 7215 */    MCD_OPC_CheckPredicate, 0, 159, 1, // Skip to: 7634
-/* 7219 */    MCD_OPC_Decode, 190, 1, 85, // Opcode: LDC_PRE
-/* 7223 */    MCD_OPC_FilterValue, 4, 88, 0, // Skip to: 7315
-/* 7227 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7230 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7289
-/* 7234 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 7237 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7263
-/* 7241 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7255
-/* 7245 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7255
-/* 7251 */    MCD_OPC_Decode, 254, 1, 86, // Opcode: MCRR2
-/* 7255 */    MCD_OPC_CheckPredicate, 0, 119, 1, // Skip to: 7634
-/* 7259 */    MCD_OPC_Decode, 253, 1, 87, // Opcode: MCRR
-/* 7263 */    MCD_OPC_FilterValue, 1, 111, 1, // Skip to: 7634
-/* 7267 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7281
-/* 7271 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7281
-/* 7277 */    MCD_OPC_Decode, 177, 3, 85, // Opcode: STC2L_OPTION
-/* 7281 */    MCD_OPC_CheckPredicate, 0, 93, 1, // Skip to: 7634
-/* 7285 */    MCD_OPC_Decode, 185, 3, 85, // Opcode: STCL_OPTION
-/* 7289 */    MCD_OPC_FilterValue, 1, 85, 1, // Skip to: 7634
-/* 7293 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7307
-/* 7297 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7307
-/* 7303 */    MCD_OPC_Decode, 176, 3, 85, // Opcode: STC2L_OFFSET
-/* 7307 */    MCD_OPC_CheckPredicate, 0, 67, 1, // Skip to: 7634
-/* 7311 */    MCD_OPC_Decode, 184, 3, 85, // Opcode: STCL_OFFSET
-/* 7315 */    MCD_OPC_FilterValue, 5, 88, 0, // Skip to: 7407
-/* 7319 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7322 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7381
-/* 7326 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 7329 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7355
-/* 7333 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7347
-/* 7337 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7347
-/* 7343 */    MCD_OPC_Decode, 155, 2, 86, // Opcode: MRRC2
-/* 7347 */    MCD_OPC_CheckPredicate, 0, 27, 1, // Skip to: 7634
-/* 7351 */    MCD_OPC_Decode, 154, 2, 87, // Opcode: MRRC
-/* 7355 */    MCD_OPC_FilterValue, 1, 19, 1, // Skip to: 7634
-/* 7359 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7373
-/* 7363 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7373
-/* 7369 */    MCD_OPC_Decode, 176, 1, 85, // Opcode: LDC2L_OPTION
-/* 7373 */    MCD_OPC_CheckPredicate, 0, 1, 1, // Skip to: 7634
-/* 7377 */    MCD_OPC_Decode, 184, 1, 85, // Opcode: LDCL_OPTION
-/* 7381 */    MCD_OPC_FilterValue, 1, 249, 0, // Skip to: 7634
-/* 7385 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7399
-/* 7389 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7399
-/* 7395 */    MCD_OPC_Decode, 175, 1, 85, // Opcode: LDC2L_OFFSET
-/* 7399 */    MCD_OPC_CheckPredicate, 0, 231, 0, // Skip to: 7634
-/* 7403 */    MCD_OPC_Decode, 183, 1, 85, // Opcode: LDCL_OFFSET
-/* 7407 */    MCD_OPC_FilterValue, 6, 55, 0, // Skip to: 7466
-/* 7411 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7414 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7440
-/* 7418 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7432
-/* 7422 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7432
-/* 7428 */    MCD_OPC_Decode, 178, 3, 85, // Opcode: STC2L_POST
-/* 7432 */    MCD_OPC_CheckPredicate, 0, 198, 0, // Skip to: 7634
-/* 7436 */    MCD_OPC_Decode, 186, 3, 85, // Opcode: STCL_POST
-/* 7440 */    MCD_OPC_FilterValue, 1, 190, 0, // Skip to: 7634
-/* 7444 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7458
-/* 7448 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7458
-/* 7454 */    MCD_OPC_Decode, 179, 3, 85, // Opcode: STC2L_PRE
-/* 7458 */    MCD_OPC_CheckPredicate, 0, 172, 0, // Skip to: 7634
-/* 7462 */    MCD_OPC_Decode, 187, 3, 85, // Opcode: STCL_PRE
-/* 7466 */    MCD_OPC_FilterValue, 7, 164, 0, // Skip to: 7634
-/* 7470 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7473 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7499
-/* 7477 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7491
-/* 7481 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7491
-/* 7487 */    MCD_OPC_Decode, 177, 1, 85, // Opcode: LDC2L_POST
-/* 7491 */    MCD_OPC_CheckPredicate, 0, 139, 0, // Skip to: 7634
-/* 7495 */    MCD_OPC_Decode, 185, 1, 85, // Opcode: LDCL_POST
-/* 7499 */    MCD_OPC_FilterValue, 1, 131, 0, // Skip to: 7634
-/* 7503 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7517
-/* 7507 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7517
-/* 7513 */    MCD_OPC_Decode, 178, 1, 85, // Opcode: LDC2L_PRE
-/* 7517 */    MCD_OPC_CheckPredicate, 0, 113, 0, // Skip to: 7634
-/* 7521 */    MCD_OPC_Decode, 186, 1, 85, // Opcode: LDCL_PRE
-/* 7525 */    MCD_OPC_FilterValue, 7, 105, 0, // Skip to: 7634
-/* 7529 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 7532 */    MCD_OPC_FilterValue, 0, 86, 0, // Skip to: 7622
-/* 7536 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 7539 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 7563
-/* 7543 */    MCD_OPC_CheckPredicate, 4, 9, 0, // Skip to: 7556
-/* 7547 */    MCD_OPC_CheckField, 28, 4, 15, 3, 0, // Skip to: 7556
-/* 7553 */    MCD_OPC_Decode, 122, 88, // Opcode: CDP2
-/* 7556 */    MCD_OPC_CheckPredicate, 4, 74, 0, // Skip to: 7634
-/* 7560 */    MCD_OPC_Decode, 121, 89, // Opcode: CDP
-/* 7563 */    MCD_OPC_FilterValue, 1, 67, 0, // Skip to: 7634
-/* 7567 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 7570 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7596
-/* 7574 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7588
-/* 7578 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7588
-/* 7584 */    MCD_OPC_Decode, 252, 1, 90, // Opcode: MCR2
-/* 7588 */    MCD_OPC_CheckPredicate, 0, 42, 0, // Skip to: 7634
-/* 7592 */    MCD_OPC_Decode, 251, 1, 91, // Opcode: MCR
-/* 7596 */    MCD_OPC_FilterValue, 1, 34, 0, // Skip to: 7634
-/* 7600 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7614
-/* 7604 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7614
-/* 7610 */    MCD_OPC_Decode, 153, 2, 92, // Opcode: MRC2
-/* 7614 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 7634
-/* 7618 */    MCD_OPC_Decode, 152, 2, 93, // Opcode: MRC
-/* 7622 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7634
-/* 7626 */    MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 7634
-/* 7630 */    MCD_OPC_Decode, 252, 3, 94, // Opcode: SVC
-/* 7634 */    MCD_OPC_Fail,
+/* 5627 */    MCD_OPC_CheckPredicate, 0, 195, 7, // Skip to: 7618
+/* 5631 */    MCD_OPC_Decode, 153, 1, 52, // Opcode: LDRBT_POST_REG
+/* 5635 */    MCD_OPC_FilterValue, 1, 187, 7, // Skip to: 7618
+/* 5639 */    MCD_OPC_CheckPredicate, 0, 183, 7, // Skip to: 7618
+/* 5643 */    MCD_OPC_Decode, 157, 1, 71, // Opcode: LDRB_PRE_REG
+/* 5647 */    MCD_OPC_FilterValue, 1, 175, 7, // Skip to: 7618
+/* 5651 */    MCD_OPC_ExtractField, 23, 2,  // Inst{24-23} ...
+/* 5654 */    MCD_OPC_FilterValue, 0, 237, 0, // Skip to: 5895
+/* 5658 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
+/* 5661 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 5700
+/* 5665 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5668 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5684
+/* 5672 */    MCD_OPC_CheckPredicate, 0, 150, 7, // Skip to: 7618
+/* 5676 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5680 */    MCD_OPC_Decode, 242, 3, 63, // Opcode: UQADD16
+/* 5684 */    MCD_OPC_FilterValue, 1, 138, 7, // Skip to: 7618
+/* 5688 */    MCD_OPC_CheckPredicate, 0, 134, 7, // Skip to: 7618
+/* 5692 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5696 */    MCD_OPC_Decode, 231, 3, 63, // Opcode: UHADD16
+/* 5700 */    MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 5739
+/* 5704 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5707 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5723
+/* 5711 */    MCD_OPC_CheckPredicate, 0, 111, 7, // Skip to: 7618
+/* 5715 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5719 */    MCD_OPC_Decode, 244, 3, 63, // Opcode: UQASX
+/* 5723 */    MCD_OPC_FilterValue, 1, 99, 7, // Skip to: 7618
+/* 5727 */    MCD_OPC_CheckPredicate, 0, 95, 7, // Skip to: 7618
+/* 5731 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5735 */    MCD_OPC_Decode, 233, 3, 63, // Opcode: UHASX
+/* 5739 */    MCD_OPC_FilterValue, 2, 35, 0, // Skip to: 5778
+/* 5743 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5746 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5762
+/* 5750 */    MCD_OPC_CheckPredicate, 0, 72, 7, // Skip to: 7618
+/* 5754 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5758 */    MCD_OPC_Decode, 245, 3, 63, // Opcode: UQSAX
+/* 5762 */    MCD_OPC_FilterValue, 1, 60, 7, // Skip to: 7618
+/* 5766 */    MCD_OPC_CheckPredicate, 0, 56, 7, // Skip to: 7618
+/* 5770 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5774 */    MCD_OPC_Decode, 234, 3, 63, // Opcode: UHSAX
+/* 5778 */    MCD_OPC_FilterValue, 3, 35, 0, // Skip to: 5817
+/* 5782 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5785 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5801
+/* 5789 */    MCD_OPC_CheckPredicate, 0, 33, 7, // Skip to: 7618
+/* 5793 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5797 */    MCD_OPC_Decode, 246, 3, 63, // Opcode: UQSUB16
+/* 5801 */    MCD_OPC_FilterValue, 1, 21, 7, // Skip to: 7618
+/* 5805 */    MCD_OPC_CheckPredicate, 0, 17, 7, // Skip to: 7618
+/* 5809 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5813 */    MCD_OPC_Decode, 235, 3, 63, // Opcode: UHSUB16
+/* 5817 */    MCD_OPC_FilterValue, 4, 35, 0, // Skip to: 5856
+/* 5821 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5824 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5840
+/* 5828 */    MCD_OPC_CheckPredicate, 0, 250, 6, // Skip to: 7618
+/* 5832 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5836 */    MCD_OPC_Decode, 243, 3, 63, // Opcode: UQADD8
+/* 5840 */    MCD_OPC_FilterValue, 1, 238, 6, // Skip to: 7618
+/* 5844 */    MCD_OPC_CheckPredicate, 0, 234, 6, // Skip to: 7618
+/* 5848 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5852 */    MCD_OPC_Decode, 232, 3, 63, // Opcode: UHADD8
+/* 5856 */    MCD_OPC_FilterValue, 7, 222, 6, // Skip to: 7618
+/* 5860 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5863 */    MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 5879
+/* 5867 */    MCD_OPC_CheckPredicate, 0, 211, 6, // Skip to: 7618
+/* 5871 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5875 */    MCD_OPC_Decode, 247, 3, 63, // Opcode: UQSUB8
+/* 5879 */    MCD_OPC_FilterValue, 1, 199, 6, // Skip to: 7618
+/* 5883 */    MCD_OPC_CheckPredicate, 0, 195, 6, // Skip to: 7618
+/* 5887 */    MCD_OPC_SoftFail, 0, 128, 30 /* 0xF00 */,
+/* 5891 */    MCD_OPC_Decode, 236, 3, 63, // Opcode: UHSUB8
+/* 5895 */    MCD_OPC_FilterValue, 1, 170, 0, // Skip to: 6069
+/* 5899 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
+/* 5902 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5914
+/* 5906 */    MCD_OPC_CheckPredicate, 0, 172, 6, // Skip to: 7618
+/* 5910 */    MCD_OPC_Decode, 250, 3, 72, // Opcode: USAT
+/* 5914 */    MCD_OPC_FilterValue, 1, 164, 6, // Skip to: 7618
+/* 5918 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 5921 */    MCD_OPC_FilterValue, 0, 45, 0, // Skip to: 5970
+/* 5925 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5928 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5946
+/* 5932 */    MCD_OPC_CheckPredicate, 0, 146, 6, // Skip to: 7618
+/* 5936 */    MCD_OPC_CheckField, 8, 4, 15, 140, 6, // Skip to: 7618
+/* 5942 */    MCD_OPC_Decode, 251, 3, 73, // Opcode: USAT16
+/* 5946 */    MCD_OPC_FilterValue, 1, 132, 6, // Skip to: 7618
+/* 5950 */    MCD_OPC_CheckPredicate, 9, 128, 6, // Skip to: 7618
+/* 5954 */    MCD_OPC_CheckField, 16, 4, 15, 122, 6, // Skip to: 7618
+/* 5960 */    MCD_OPC_CheckField, 8, 4, 15, 116, 6, // Skip to: 7618
+/* 5966 */    MCD_OPC_Decode, 148, 2, 32, // Opcode: RBIT
+/* 5970 */    MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 6045
+/* 5974 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5977 */    MCD_OPC_FilterValue, 0, 30, 0, // Skip to: 6011
+/* 5981 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 5999
+/* 5985 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 5999
+/* 5991 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 5995 */    MCD_OPC_Decode, 130, 4, 68, // Opcode: UXTB
+/* 5999 */    MCD_OPC_CheckPredicate, 1, 79, 6, // Skip to: 7618
+/* 6003 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 6007 */    MCD_OPC_Decode, 255, 3, 69, // Opcode: UXTAB
+/* 6011 */    MCD_OPC_FilterValue, 1, 67, 6, // Skip to: 7618
+/* 6015 */    MCD_OPC_CheckPredicate, 1, 14, 0, // Skip to: 6033
+/* 6019 */    MCD_OPC_CheckField, 16, 4, 15, 8, 0, // Skip to: 6033
+/* 6025 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 6029 */    MCD_OPC_Decode, 132, 4, 68, // Opcode: UXTH
+/* 6033 */    MCD_OPC_CheckPredicate, 1, 45, 6, // Skip to: 7618
+/* 6037 */    MCD_OPC_SoftFail, 128, 6 /* 0x300 */, 0,
+/* 6041 */    MCD_OPC_Decode, 129, 4, 69, // Opcode: UXTAH
+/* 6045 */    MCD_OPC_FilterValue, 2, 33, 6, // Skip to: 7618
+/* 6049 */    MCD_OPC_CheckPredicate, 1, 29, 6, // Skip to: 7618
+/* 6053 */    MCD_OPC_CheckField, 16, 5, 31, 23, 6, // Skip to: 7618
+/* 6059 */    MCD_OPC_CheckField, 8, 4, 15, 17, 6, // Skip to: 7618
+/* 6065 */    MCD_OPC_Decode, 151, 2, 32, // Opcode: REVSH
+/* 6069 */    MCD_OPC_FilterValue, 3, 9, 6, // Skip to: 7618
+/* 6073 */    MCD_OPC_ExtractField, 5, 2,  // Inst{6-5} ...
+/* 6076 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6088
+/* 6080 */    MCD_OPC_CheckPredicate, 9, 254, 5, // Skip to: 7618
+/* 6084 */    MCD_OPC_Decode, 228, 3, 74, // Opcode: UBFX
+/* 6088 */    MCD_OPC_FilterValue, 3, 246, 5, // Skip to: 7618
+/* 6092 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 6095 */    MCD_OPC_FilterValue, 1, 239, 5, // Skip to: 7618
+/* 6099 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 6102 */    MCD_OPC_FilterValue, 1, 232, 5, // Skip to: 7618
+/* 6106 */    MCD_OPC_ExtractField, 28, 4,  // Inst{31-28} ...
+/* 6109 */    MCD_OPC_FilterValue, 14, 225, 5, // Skip to: 7618
+/* 6113 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
+/* 6116 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 6135
+/* 6120 */    MCD_OPC_CheckPredicate, 14, 30, 0, // Skip to: 6154
+/* 6124 */    MCD_OPC_CheckField, 8, 12, 222, 29, 23, 0, // Skip to: 6154
+/* 6131 */    MCD_OPC_Decode, 220, 3, 58, // Opcode: TRAPNaCl
+/* 6135 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 6154
+/* 6139 */    MCD_OPC_CheckPredicate, 0, 11, 0, // Skip to: 6154
+/* 6143 */    MCD_OPC_CheckField, 8, 12, 222, 31, 4, 0, // Skip to: 6154
+/* 6150 */    MCD_OPC_Decode, 219, 3, 58, // Opcode: TRAP
+/* 6154 */    MCD_OPC_CheckPredicate, 0, 180, 5, // Skip to: 7618
+/* 6158 */    MCD_OPC_Decode, 229, 3, 15, // Opcode: UDF
+/* 6162 */    MCD_OPC_FilterValue, 4, 219, 2, // Skip to: 6897
+/* 6166 */    MCD_OPC_ExtractField, 20, 5,  // Inst{24-20} ...
+/* 6169 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6181
+/* 6173 */    MCD_OPC_CheckPredicate, 0, 161, 5, // Skip to: 7618
+/* 6177 */    MCD_OPC_Decode, 149, 3, 78, // Opcode: STMDA
+/* 6181 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 6214
+/* 6185 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6206
+/* 6189 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6206
+/* 6195 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6206
+/* 6202 */    MCD_OPC_Decode, 152, 2, 79, // Opcode: RFEDA
+/* 6206 */    MCD_OPC_CheckPredicate, 0, 128, 5, // Skip to: 7618
+/* 6210 */    MCD_OPC_Decode, 142, 1, 78, // Opcode: LDMDA
+/* 6214 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6226
+/* 6218 */    MCD_OPC_CheckPredicate, 0, 116, 5, // Skip to: 7618
+/* 6222 */    MCD_OPC_Decode, 150, 3, 80, // Opcode: STMDA_UPD
+/* 6226 */    MCD_OPC_FilterValue, 3, 29, 0, // Skip to: 6259
+/* 6230 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6251
+/* 6234 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6251
+/* 6240 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6251
+/* 6247 */    MCD_OPC_Decode, 153, 2, 79, // Opcode: RFEDA_UPD
+/* 6251 */    MCD_OPC_CheckPredicate, 0, 83, 5, // Skip to: 7618
+/* 6255 */    MCD_OPC_Decode, 143, 1, 80, // Opcode: LDMDA_UPD
+/* 6259 */    MCD_OPC_FilterValue, 4, 30, 0, // Skip to: 6293
+/* 6263 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6285
+/* 6267 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6285
+/* 6273 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6285
+/* 6281 */    MCD_OPC_Decode, 241, 2, 81, // Opcode: SRSDA
+/* 6285 */    MCD_OPC_CheckPredicate, 0, 49, 5, // Skip to: 7618
+/* 6289 */    MCD_OPC_Decode, 227, 17, 78, // Opcode: sysSTMDA
+/* 6293 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6305
+/* 6297 */    MCD_OPC_CheckPredicate, 0, 37, 5, // Skip to: 7618
+/* 6301 */    MCD_OPC_Decode, 219, 17, 78, // Opcode: sysLDMDA
+/* 6305 */    MCD_OPC_FilterValue, 6, 30, 0, // Skip to: 6339
+/* 6309 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6331
+/* 6313 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6331
+/* 6319 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6331
+/* 6327 */    MCD_OPC_Decode, 242, 2, 81, // Opcode: SRSDA_UPD
+/* 6331 */    MCD_OPC_CheckPredicate, 0, 3, 5, // Skip to: 7618
+/* 6335 */    MCD_OPC_Decode, 228, 17, 80, // Opcode: sysSTMDA_UPD
+/* 6339 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6351
+/* 6343 */    MCD_OPC_CheckPredicate, 0, 247, 4, // Skip to: 7618
+/* 6347 */    MCD_OPC_Decode, 220, 17, 80, // Opcode: sysLDMDA_UPD
+/* 6351 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6363
+/* 6355 */    MCD_OPC_CheckPredicate, 0, 235, 4, // Skip to: 7618
+/* 6359 */    MCD_OPC_Decode, 153, 3, 78, // Opcode: STMIA
+/* 6363 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 6396
+/* 6367 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6388
+/* 6371 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6388
+/* 6377 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6388
+/* 6384 */    MCD_OPC_Decode, 156, 2, 79, // Opcode: RFEIA
+/* 6388 */    MCD_OPC_CheckPredicate, 0, 202, 4, // Skip to: 7618
+/* 6392 */    MCD_OPC_Decode, 146, 1, 78, // Opcode: LDMIA
+/* 6396 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6408
+/* 6400 */    MCD_OPC_CheckPredicate, 0, 190, 4, // Skip to: 7618
+/* 6404 */    MCD_OPC_Decode, 154, 3, 80, // Opcode: STMIA_UPD
+/* 6408 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 6441
+/* 6412 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6433
+/* 6416 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6433
+/* 6422 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6433
+/* 6429 */    MCD_OPC_Decode, 157, 2, 79, // Opcode: RFEIA_UPD
+/* 6433 */    MCD_OPC_CheckPredicate, 0, 157, 4, // Skip to: 7618
+/* 6437 */    MCD_OPC_Decode, 148, 1, 80, // Opcode: LDMIA_UPD
+/* 6441 */    MCD_OPC_FilterValue, 12, 30, 0, // Skip to: 6475
+/* 6445 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6467
+/* 6449 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6467
+/* 6455 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6467
+/* 6463 */    MCD_OPC_Decode, 245, 2, 81, // Opcode: SRSIA
+/* 6467 */    MCD_OPC_CheckPredicate, 0, 123, 4, // Skip to: 7618
+/* 6471 */    MCD_OPC_Decode, 231, 17, 78, // Opcode: sysSTMIA
+/* 6475 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6487
+/* 6479 */    MCD_OPC_CheckPredicate, 0, 111, 4, // Skip to: 7618
+/* 6483 */    MCD_OPC_Decode, 223, 17, 78, // Opcode: sysLDMIA
+/* 6487 */    MCD_OPC_FilterValue, 14, 30, 0, // Skip to: 6521
+/* 6491 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6513
+/* 6495 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6513
+/* 6501 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6513
+/* 6509 */    MCD_OPC_Decode, 246, 2, 81, // Opcode: SRSIA_UPD
+/* 6513 */    MCD_OPC_CheckPredicate, 0, 77, 4, // Skip to: 7618
+/* 6517 */    MCD_OPC_Decode, 232, 17, 80, // Opcode: sysSTMIA_UPD
+/* 6521 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6533
+/* 6525 */    MCD_OPC_CheckPredicate, 0, 65, 4, // Skip to: 7618
+/* 6529 */    MCD_OPC_Decode, 224, 17, 80, // Opcode: sysLDMIA_UPD
+/* 6533 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6545
+/* 6537 */    MCD_OPC_CheckPredicate, 0, 53, 4, // Skip to: 7618
+/* 6541 */    MCD_OPC_Decode, 151, 3, 78, // Opcode: STMDB
+/* 6545 */    MCD_OPC_FilterValue, 17, 29, 0, // Skip to: 6578
+/* 6549 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6570
+/* 6553 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6570
+/* 6559 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6570
+/* 6566 */    MCD_OPC_Decode, 154, 2, 79, // Opcode: RFEDB
+/* 6570 */    MCD_OPC_CheckPredicate, 0, 20, 4, // Skip to: 7618
+/* 6574 */    MCD_OPC_Decode, 144, 1, 78, // Opcode: LDMDB
+/* 6578 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6590
+/* 6582 */    MCD_OPC_CheckPredicate, 0, 8, 4, // Skip to: 7618
+/* 6586 */    MCD_OPC_Decode, 152, 3, 80, // Opcode: STMDB_UPD
+/* 6590 */    MCD_OPC_FilterValue, 19, 29, 0, // Skip to: 6623
+/* 6594 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6615
+/* 6598 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6615
+/* 6604 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6615
+/* 6611 */    MCD_OPC_Decode, 155, 2, 79, // Opcode: RFEDB_UPD
+/* 6615 */    MCD_OPC_CheckPredicate, 0, 231, 3, // Skip to: 7618
+/* 6619 */    MCD_OPC_Decode, 145, 1, 80, // Opcode: LDMDB_UPD
+/* 6623 */    MCD_OPC_FilterValue, 20, 30, 0, // Skip to: 6657
+/* 6627 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6649
+/* 6631 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6649
+/* 6637 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6649
+/* 6645 */    MCD_OPC_Decode, 243, 2, 81, // Opcode: SRSDB
+/* 6649 */    MCD_OPC_CheckPredicate, 0, 197, 3, // Skip to: 7618
+/* 6653 */    MCD_OPC_Decode, 229, 17, 78, // Opcode: sysSTMDB
+/* 6657 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6669
+/* 6661 */    MCD_OPC_CheckPredicate, 0, 185, 3, // Skip to: 7618
+/* 6665 */    MCD_OPC_Decode, 221, 17, 78, // Opcode: sysLDMDB
+/* 6669 */    MCD_OPC_FilterValue, 22, 30, 0, // Skip to: 6703
+/* 6673 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6695
+/* 6677 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6695
+/* 6683 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6695
+/* 6691 */    MCD_OPC_Decode, 244, 2, 81, // Opcode: SRSDB_UPD
+/* 6695 */    MCD_OPC_CheckPredicate, 0, 151, 3, // Skip to: 7618
+/* 6699 */    MCD_OPC_Decode, 230, 17, 80, // Opcode: sysSTMDB_UPD
+/* 6703 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6715
+/* 6707 */    MCD_OPC_CheckPredicate, 0, 139, 3, // Skip to: 7618
+/* 6711 */    MCD_OPC_Decode, 222, 17, 80, // Opcode: sysLDMDB_UPD
+/* 6715 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6727
+/* 6719 */    MCD_OPC_CheckPredicate, 0, 127, 3, // Skip to: 7618
+/* 6723 */    MCD_OPC_Decode, 155, 3, 78, // Opcode: STMIB
+/* 6727 */    MCD_OPC_FilterValue, 25, 29, 0, // Skip to: 6760
+/* 6731 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6752
+/* 6735 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6752
+/* 6741 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6752
+/* 6748 */    MCD_OPC_Decode, 158, 2, 79, // Opcode: RFEIB
+/* 6752 */    MCD_OPC_CheckPredicate, 0, 94, 3, // Skip to: 7618
+/* 6756 */    MCD_OPC_Decode, 149, 1, 78, // Opcode: LDMIB
+/* 6760 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6772
+/* 6764 */    MCD_OPC_CheckPredicate, 0, 82, 3, // Skip to: 7618
+/* 6768 */    MCD_OPC_Decode, 156, 3, 80, // Opcode: STMIB_UPD
+/* 6772 */    MCD_OPC_FilterValue, 27, 29, 0, // Skip to: 6805
+/* 6776 */    MCD_OPC_CheckPredicate, 0, 17, 0, // Skip to: 6797
+/* 6780 */    MCD_OPC_CheckField, 28, 4, 15, 11, 0, // Skip to: 6797
+/* 6786 */    MCD_OPC_CheckField, 0, 16, 128, 20, 4, 0, // Skip to: 6797
+/* 6793 */    MCD_OPC_Decode, 159, 2, 79, // Opcode: RFEIB_UPD
+/* 6797 */    MCD_OPC_CheckPredicate, 0, 49, 3, // Skip to: 7618
+/* 6801 */    MCD_OPC_Decode, 150, 1, 80, // Opcode: LDMIB_UPD
+/* 6805 */    MCD_OPC_FilterValue, 28, 30, 0, // Skip to: 6839
+/* 6809 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6831
+/* 6813 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6831
+/* 6819 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6831
+/* 6827 */    MCD_OPC_Decode, 247, 2, 81, // Opcode: SRSIB
+/* 6831 */    MCD_OPC_CheckPredicate, 0, 15, 3, // Skip to: 7618
+/* 6835 */    MCD_OPC_Decode, 233, 17, 78, // Opcode: sysSTMIB
+/* 6839 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6851
+/* 6843 */    MCD_OPC_CheckPredicate, 0, 3, 3, // Skip to: 7618
+/* 6847 */    MCD_OPC_Decode, 225, 17, 78, // Opcode: sysLDMIB
+/* 6851 */    MCD_OPC_FilterValue, 30, 30, 0, // Skip to: 6885
+/* 6855 */    MCD_OPC_CheckPredicate, 0, 18, 0, // Skip to: 6877
+/* 6859 */    MCD_OPC_CheckField, 28, 4, 15, 12, 0, // Skip to: 6877
+/* 6865 */    MCD_OPC_CheckField, 5, 15, 168, 208, 1, 4, 0, // Skip to: 6877
+/* 6873 */    MCD_OPC_Decode, 248, 2, 81, // Opcode: SRSIB_UPD
+/* 6877 */    MCD_OPC_CheckPredicate, 0, 225, 2, // Skip to: 7618
+/* 6881 */    MCD_OPC_Decode, 234, 17, 80, // Opcode: sysSTMIB_UPD
+/* 6885 */    MCD_OPC_FilterValue, 31, 217, 2, // Skip to: 7618
+/* 6889 */    MCD_OPC_CheckPredicate, 0, 213, 2, // Skip to: 7618
+/* 6893 */    MCD_OPC_Decode, 226, 17, 80, // Opcode: sysLDMIB_UPD
+/* 6897 */    MCD_OPC_FilterValue, 5, 51, 0, // Skip to: 6952
+/* 6901 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 6904 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 6915
+/* 6908 */    MCD_OPC_CheckPredicate, 0, 27, 0, // Skip to: 6939
+/* 6912 */    MCD_OPC_Decode, 71, 82, // Opcode: Bcc
+/* 6915 */    MCD_OPC_FilterValue, 1, 20, 0, // Skip to: 6939
+/* 6919 */    MCD_OPC_CheckPredicate, 0, 9, 0, // Skip to: 6932
+/* 6923 */    MCD_OPC_CheckField, 28, 4, 14, 3, 0, // Skip to: 6932
+/* 6929 */    MCD_OPC_Decode, 56, 82, // Opcode: BL
+/* 6932 */    MCD_OPC_CheckPredicate, 0, 3, 0, // Skip to: 6939
+/* 6936 */    MCD_OPC_Decode, 60, 82, // Opcode: BL_pred
+/* 6939 */    MCD_OPC_CheckPredicate, 7, 163, 2, // Skip to: 7618
+/* 6943 */    MCD_OPC_CheckField, 28, 4, 15, 157, 2, // Skip to: 7618
+/* 6949 */    MCD_OPC_Decode, 59, 83, // Opcode: BLXi
+/* 6952 */    MCD_OPC_FilterValue, 6, 41, 2, // Skip to: 7509
+/* 6956 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
+/* 6959 */    MCD_OPC_FilterValue, 0, 62, 0, // Skip to: 7025
+/* 6963 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 6966 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 6999
+/* 6970 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 6973 */    MCD_OPC_FilterValue, 1, 129, 2, // Skip to: 7618
+/* 6977 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 6991
+/* 6981 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 6991
+/* 6987 */    MCD_OPC_Decode, 131, 3, 84, // Opcode: STC2_OPTION
+/* 6991 */    MCD_OPC_CheckPredicate, 0, 111, 2, // Skip to: 7618
+/* 6995 */    MCD_OPC_Decode, 139, 3, 84, // Opcode: STC_OPTION
+/* 6999 */    MCD_OPC_FilterValue, 1, 103, 2, // Skip to: 7618
+/* 7003 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7017
+/* 7007 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7017
+/* 7013 */    MCD_OPC_Decode, 130, 3, 84, // Opcode: STC2_OFFSET
+/* 7017 */    MCD_OPC_CheckPredicate, 0, 85, 2, // Skip to: 7618
+/* 7021 */    MCD_OPC_Decode, 138, 3, 84, // Opcode: STC_OFFSET
+/* 7025 */    MCD_OPC_FilterValue, 1, 62, 0, // Skip to: 7091
+/* 7029 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7032 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 7065
+/* 7036 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 7039 */    MCD_OPC_FilterValue, 1, 63, 2, // Skip to: 7618
+/* 7043 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7057
+/* 7047 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7057
+/* 7053 */    MCD_OPC_Decode, 131, 1, 84, // Opcode: LDC2_OPTION
+/* 7057 */    MCD_OPC_CheckPredicate, 0, 45, 2, // Skip to: 7618
+/* 7061 */    MCD_OPC_Decode, 139, 1, 84, // Opcode: LDC_OPTION
+/* 7065 */    MCD_OPC_FilterValue, 1, 37, 2, // Skip to: 7618
+/* 7069 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7083
+/* 7073 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7083
+/* 7079 */    MCD_OPC_Decode, 130, 1, 84, // Opcode: LDC2_OFFSET
+/* 7083 */    MCD_OPC_CheckPredicate, 0, 19, 2, // Skip to: 7618
+/* 7087 */    MCD_OPC_Decode, 138, 1, 84, // Opcode: LDC_OFFSET
+/* 7091 */    MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 7150
+/* 7095 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7098 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7124
+/* 7102 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7116
+/* 7106 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7116
+/* 7112 */    MCD_OPC_Decode, 132, 3, 84, // Opcode: STC2_POST
+/* 7116 */    MCD_OPC_CheckPredicate, 0, 242, 1, // Skip to: 7618
+/* 7120 */    MCD_OPC_Decode, 140, 3, 84, // Opcode: STC_POST
+/* 7124 */    MCD_OPC_FilterValue, 1, 234, 1, // Skip to: 7618
+/* 7128 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7142
+/* 7132 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7142
+/* 7138 */    MCD_OPC_Decode, 133, 3, 84, // Opcode: STC2_PRE
+/* 7142 */    MCD_OPC_CheckPredicate, 0, 216, 1, // Skip to: 7618
+/* 7146 */    MCD_OPC_Decode, 141, 3, 84, // Opcode: STC_PRE
+/* 7150 */    MCD_OPC_FilterValue, 3, 55, 0, // Skip to: 7209
+/* 7154 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7157 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7183
+/* 7161 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7175
+/* 7165 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7175
+/* 7171 */    MCD_OPC_Decode, 132, 1, 84, // Opcode: LDC2_POST
+/* 7175 */    MCD_OPC_CheckPredicate, 0, 183, 1, // Skip to: 7618
+/* 7179 */    MCD_OPC_Decode, 140, 1, 84, // Opcode: LDC_POST
+/* 7183 */    MCD_OPC_FilterValue, 1, 175, 1, // Skip to: 7618
+/* 7187 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7201
+/* 7191 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7201
+/* 7197 */    MCD_OPC_Decode, 133, 1, 84, // Opcode: LDC2_PRE
+/* 7201 */    MCD_OPC_CheckPredicate, 0, 157, 1, // Skip to: 7618
+/* 7205 */    MCD_OPC_Decode, 141, 1, 84, // Opcode: LDC_PRE
+/* 7209 */    MCD_OPC_FilterValue, 4, 88, 0, // Skip to: 7301
+/* 7213 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7216 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7275
+/* 7220 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 7223 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7249
+/* 7227 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7241
+/* 7231 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7241
+/* 7237 */    MCD_OPC_Decode, 204, 1, 85, // Opcode: MCRR2
+/* 7241 */    MCD_OPC_CheckPredicate, 0, 117, 1, // Skip to: 7618
+/* 7245 */    MCD_OPC_Decode, 203, 1, 86, // Opcode: MCRR
+/* 7249 */    MCD_OPC_FilterValue, 1, 109, 1, // Skip to: 7618
+/* 7253 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7267
+/* 7257 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7267
+/* 7263 */    MCD_OPC_Decode, 255, 2, 84, // Opcode: STC2L_OPTION
+/* 7267 */    MCD_OPC_CheckPredicate, 0, 91, 1, // Skip to: 7618
+/* 7271 */    MCD_OPC_Decode, 135, 3, 84, // Opcode: STCL_OPTION
+/* 7275 */    MCD_OPC_FilterValue, 1, 83, 1, // Skip to: 7618
+/* 7279 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7293
+/* 7283 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7293
+/* 7289 */    MCD_OPC_Decode, 254, 2, 84, // Opcode: STC2L_OFFSET
+/* 7293 */    MCD_OPC_CheckPredicate, 0, 65, 1, // Skip to: 7618
+/* 7297 */    MCD_OPC_Decode, 134, 3, 84, // Opcode: STCL_OFFSET
+/* 7301 */    MCD_OPC_FilterValue, 5, 86, 0, // Skip to: 7391
+/* 7305 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7308 */    MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 7366
+/* 7312 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 7315 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7341
+/* 7319 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7333
+/* 7323 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7333
+/* 7329 */    MCD_OPC_Decode, 233, 1, 85, // Opcode: MRRC2
+/* 7333 */    MCD_OPC_CheckPredicate, 0, 25, 1, // Skip to: 7618
+/* 7337 */    MCD_OPC_Decode, 232, 1, 86, // Opcode: MRRC
+/* 7341 */    MCD_OPC_FilterValue, 1, 17, 1, // Skip to: 7618
+/* 7345 */    MCD_OPC_CheckPredicate, 4, 9, 0, // Skip to: 7358
+/* 7349 */    MCD_OPC_CheckField, 28, 4, 15, 3, 0, // Skip to: 7358
+/* 7355 */    MCD_OPC_Decode, 127, 84, // Opcode: LDC2L_OPTION
+/* 7358 */    MCD_OPC_CheckPredicate, 0, 0, 1, // Skip to: 7618
+/* 7362 */    MCD_OPC_Decode, 135, 1, 84, // Opcode: LDCL_OPTION
+/* 7366 */    MCD_OPC_FilterValue, 1, 248, 0, // Skip to: 7618
+/* 7370 */    MCD_OPC_CheckPredicate, 4, 9, 0, // Skip to: 7383
+/* 7374 */    MCD_OPC_CheckField, 28, 4, 15, 3, 0, // Skip to: 7383
+/* 7380 */    MCD_OPC_Decode, 126, 84, // Opcode: LDC2L_OFFSET
+/* 7383 */    MCD_OPC_CheckPredicate, 0, 231, 0, // Skip to: 7618
+/* 7387 */    MCD_OPC_Decode, 134, 1, 84, // Opcode: LDCL_OFFSET
+/* 7391 */    MCD_OPC_FilterValue, 6, 55, 0, // Skip to: 7450
+/* 7395 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7398 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7424
+/* 7402 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7416
+/* 7406 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7416
+/* 7412 */    MCD_OPC_Decode, 128, 3, 84, // Opcode: STC2L_POST
+/* 7416 */    MCD_OPC_CheckPredicate, 0, 198, 0, // Skip to: 7618
+/* 7420 */    MCD_OPC_Decode, 136, 3, 84, // Opcode: STCL_POST
+/* 7424 */    MCD_OPC_FilterValue, 1, 190, 0, // Skip to: 7618
+/* 7428 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7442
+/* 7432 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7442
+/* 7438 */    MCD_OPC_Decode, 129, 3, 84, // Opcode: STC2L_PRE
+/* 7442 */    MCD_OPC_CheckPredicate, 0, 172, 0, // Skip to: 7618
+/* 7446 */    MCD_OPC_Decode, 137, 3, 84, // Opcode: STCL_PRE
+/* 7450 */    MCD_OPC_FilterValue, 7, 164, 0, // Skip to: 7618
+/* 7454 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7457 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7483
+/* 7461 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7475
+/* 7465 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7475
+/* 7471 */    MCD_OPC_Decode, 128, 1, 84, // Opcode: LDC2L_POST
+/* 7475 */    MCD_OPC_CheckPredicate, 0, 139, 0, // Skip to: 7618
+/* 7479 */    MCD_OPC_Decode, 136, 1, 84, // Opcode: LDCL_POST
+/* 7483 */    MCD_OPC_FilterValue, 1, 131, 0, // Skip to: 7618
+/* 7487 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7501
+/* 7491 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7501
+/* 7497 */    MCD_OPC_Decode, 129, 1, 84, // Opcode: LDC2L_PRE
+/* 7501 */    MCD_OPC_CheckPredicate, 0, 113, 0, // Skip to: 7618
+/* 7505 */    MCD_OPC_Decode, 137, 1, 84, // Opcode: LDCL_PRE
+/* 7509 */    MCD_OPC_FilterValue, 7, 105, 0, // Skip to: 7618
+/* 7513 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 7516 */    MCD_OPC_FilterValue, 0, 86, 0, // Skip to: 7606
+/* 7520 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 7523 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 7547
+/* 7527 */    MCD_OPC_CheckPredicate, 4, 9, 0, // Skip to: 7540
+/* 7531 */    MCD_OPC_CheckField, 28, 4, 15, 3, 0, // Skip to: 7540
+/* 7537 */    MCD_OPC_Decode, 73, 87, // Opcode: CDP2
+/* 7540 */    MCD_OPC_CheckPredicate, 4, 74, 0, // Skip to: 7618
+/* 7544 */    MCD_OPC_Decode, 72, 88, // Opcode: CDP
+/* 7547 */    MCD_OPC_FilterValue, 1, 67, 0, // Skip to: 7618
+/* 7551 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 7554 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 7580
+/* 7558 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7572
+/* 7562 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7572
+/* 7568 */    MCD_OPC_Decode, 202, 1, 89, // Opcode: MCR2
+/* 7572 */    MCD_OPC_CheckPredicate, 0, 42, 0, // Skip to: 7618
+/* 7576 */    MCD_OPC_Decode, 201, 1, 90, // Opcode: MCR
+/* 7580 */    MCD_OPC_FilterValue, 1, 34, 0, // Skip to: 7618
+/* 7584 */    MCD_OPC_CheckPredicate, 4, 10, 0, // Skip to: 7598
+/* 7588 */    MCD_OPC_CheckField, 28, 4, 15, 4, 0, // Skip to: 7598
+/* 7594 */    MCD_OPC_Decode, 231, 1, 91, // Opcode: MRC2
+/* 7598 */    MCD_OPC_CheckPredicate, 0, 16, 0, // Skip to: 7618
+/* 7602 */    MCD_OPC_Decode, 230, 1, 92, // Opcode: MRC
+/* 7606 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7618
+/* 7610 */    MCD_OPC_CheckPredicate, 0, 4, 0, // Skip to: 7618
+/* 7614 */    MCD_OPC_Decode, 201, 3, 93, // Opcode: SVC
+/* 7618 */    MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableNEONData32[] = {
 /* 0 */       MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 220, 30, // Skip to: 7907
+/* 3 */       MCD_OPC_FilterValue, 0, 207, 30, // Skip to: 7894
 /* 7 */       MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 113, 5, // Skip to: 1407
 /* 14 */      MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
@@ -1875,331 +1881,331 @@
 /* 24 */      MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 56
 /* 29 */      MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 32 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 44
-/* 36 */      MCD_OPC_CheckPredicate, 15, 185, 56, // Skip to: 14561
-/* 40 */      MCD_OPC_Decode, 223, 6, 95, // Opcode: VHADDsv8i8
-/* 44 */      MCD_OPC_FilterValue, 1, 177, 56, // Skip to: 14561
-/* 48 */      MCD_OPC_CheckPredicate, 15, 173, 56, // Skip to: 14561
-/* 52 */      MCD_OPC_Decode, 218, 6, 96, // Opcode: VHADDsv16i8
+/* 36 */      MCD_OPC_CheckPredicate, 15, 172, 56, // Skip to: 14548
+/* 40 */      MCD_OPC_Decode, 173, 6, 94, // Opcode: VHADDsv8i8
+/* 44 */      MCD_OPC_FilterValue, 1, 164, 56, // Skip to: 14548
+/* 48 */      MCD_OPC_CheckPredicate, 15, 160, 56, // Skip to: 14548
+/* 52 */      MCD_OPC_Decode, 168, 6, 95, // Opcode: VHADDsv16i8
 /* 56 */      MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 75
-/* 61 */      MCD_OPC_CheckPredicate, 15, 160, 56, // Skip to: 14561
-/* 65 */      MCD_OPC_CheckField, 6, 1, 0, 154, 56, // Skip to: 14561
-/* 71 */      MCD_OPC_Decode, 241, 4, 97, // Opcode: VADDLsv8i16
+/* 61 */      MCD_OPC_CheckPredicate, 15, 147, 56, // Skip to: 14548
+/* 65 */      MCD_OPC_CheckField, 6, 1, 0, 141, 56, // Skip to: 14548
+/* 71 */      MCD_OPC_Decode, 191, 4, 96, // Opcode: VADDLsv8i16
 /* 75 */      MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 107
 /* 80 */      MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 83 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 95
-/* 87 */      MCD_OPC_CheckPredicate, 15, 134, 56, // Skip to: 14561
-/* 91 */      MCD_OPC_Decode, 229, 6, 95, // Opcode: VHADDuv8i8
-/* 95 */      MCD_OPC_FilterValue, 1, 126, 56, // Skip to: 14561
-/* 99 */      MCD_OPC_CheckPredicate, 15, 122, 56, // Skip to: 14561
-/* 103 */     MCD_OPC_Decode, 224, 6, 96, // Opcode: VHADDuv16i8
-/* 107 */     MCD_OPC_FilterValue, 231, 3, 113, 56, // Skip to: 14561
-/* 112 */     MCD_OPC_CheckPredicate, 15, 109, 56, // Skip to: 14561
-/* 116 */     MCD_OPC_CheckField, 6, 1, 0, 103, 56, // Skip to: 14561
-/* 122 */     MCD_OPC_Decode, 244, 4, 97, // Opcode: VADDLuv8i16
+/* 87 */      MCD_OPC_CheckPredicate, 15, 121, 56, // Skip to: 14548
+/* 91 */      MCD_OPC_Decode, 179, 6, 94, // Opcode: VHADDuv8i8
+/* 95 */      MCD_OPC_FilterValue, 1, 113, 56, // Skip to: 14548
+/* 99 */      MCD_OPC_CheckPredicate, 15, 109, 56, // Skip to: 14548
+/* 103 */     MCD_OPC_Decode, 174, 6, 95, // Opcode: VHADDuv16i8
+/* 107 */     MCD_OPC_FilterValue, 231, 3, 100, 56, // Skip to: 14548
+/* 112 */     MCD_OPC_CheckPredicate, 15, 96, 56, // Skip to: 14548
+/* 116 */     MCD_OPC_CheckField, 6, 1, 0, 90, 56, // Skip to: 14548
+/* 122 */     MCD_OPC_Decode, 194, 4, 96, // Opcode: VADDLuv8i16
 /* 126 */     MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 235
 /* 130 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 133 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 165
 /* 138 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 141 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 153
-/* 145 */     MCD_OPC_CheckPredicate, 15, 76, 56, // Skip to: 14561
-/* 149 */     MCD_OPC_Decode, 182, 13, 95, // Opcode: VRHADDsv8i8
-/* 153 */     MCD_OPC_FilterValue, 1, 68, 56, // Skip to: 14561
-/* 157 */     MCD_OPC_CheckPredicate, 15, 64, 56, // Skip to: 14561
-/* 161 */     MCD_OPC_Decode, 177, 13, 96, // Opcode: VRHADDsv16i8
+/* 145 */     MCD_OPC_CheckPredicate, 15, 63, 56, // Skip to: 14548
+/* 149 */     MCD_OPC_Decode, 134, 13, 94, // Opcode: VRHADDsv8i8
+/* 153 */     MCD_OPC_FilterValue, 1, 55, 56, // Skip to: 14548
+/* 157 */     MCD_OPC_CheckPredicate, 15, 51, 56, // Skip to: 14548
+/* 161 */     MCD_OPC_Decode, 129, 13, 95, // Opcode: VRHADDsv16i8
 /* 165 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 184
-/* 170 */     MCD_OPC_CheckPredicate, 15, 51, 56, // Skip to: 14561
-/* 174 */     MCD_OPC_CheckField, 6, 1, 0, 45, 56, // Skip to: 14561
-/* 180 */     MCD_OPC_Decode, 248, 4, 98, // Opcode: VADDWsv8i16
+/* 170 */     MCD_OPC_CheckPredicate, 15, 38, 56, // Skip to: 14548
+/* 174 */     MCD_OPC_CheckField, 6, 1, 0, 32, 56, // Skip to: 14548
+/* 180 */     MCD_OPC_Decode, 198, 4, 97, // Opcode: VADDWsv8i16
 /* 184 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 216
 /* 189 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 192 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 204
-/* 196 */     MCD_OPC_CheckPredicate, 15, 25, 56, // Skip to: 14561
-/* 200 */     MCD_OPC_Decode, 188, 13, 95, // Opcode: VRHADDuv8i8
-/* 204 */     MCD_OPC_FilterValue, 1, 17, 56, // Skip to: 14561
-/* 208 */     MCD_OPC_CheckPredicate, 15, 13, 56, // Skip to: 14561
-/* 212 */     MCD_OPC_Decode, 183, 13, 96, // Opcode: VRHADDuv16i8
-/* 216 */     MCD_OPC_FilterValue, 231, 3, 4, 56, // Skip to: 14561
-/* 221 */     MCD_OPC_CheckPredicate, 15, 0, 56, // Skip to: 14561
-/* 225 */     MCD_OPC_CheckField, 6, 1, 0, 250, 55, // Skip to: 14561
-/* 231 */     MCD_OPC_Decode, 251, 4, 98, // Opcode: VADDWuv8i16
+/* 196 */     MCD_OPC_CheckPredicate, 15, 12, 56, // Skip to: 14548
+/* 200 */     MCD_OPC_Decode, 140, 13, 94, // Opcode: VRHADDuv8i8
+/* 204 */     MCD_OPC_FilterValue, 1, 4, 56, // Skip to: 14548
+/* 208 */     MCD_OPC_CheckPredicate, 15, 0, 56, // Skip to: 14548
+/* 212 */     MCD_OPC_Decode, 135, 13, 95, // Opcode: VRHADDuv16i8
+/* 216 */     MCD_OPC_FilterValue, 231, 3, 247, 55, // Skip to: 14548
+/* 221 */     MCD_OPC_CheckPredicate, 15, 243, 55, // Skip to: 14548
+/* 225 */     MCD_OPC_CheckField, 6, 1, 0, 237, 55, // Skip to: 14548
+/* 231 */     MCD_OPC_Decode, 201, 4, 97, // Opcode: VADDWuv8i16
 /* 235 */     MCD_OPC_FilterValue, 2, 105, 0, // Skip to: 344
 /* 239 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 242 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 274
 /* 247 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 250 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 262
-/* 254 */     MCD_OPC_CheckPredicate, 15, 223, 55, // Skip to: 14561
-/* 258 */     MCD_OPC_Decode, 235, 6, 95, // Opcode: VHSUBsv8i8
-/* 262 */     MCD_OPC_FilterValue, 1, 215, 55, // Skip to: 14561
-/* 266 */     MCD_OPC_CheckPredicate, 15, 211, 55, // Skip to: 14561
-/* 270 */     MCD_OPC_Decode, 230, 6, 96, // Opcode: VHSUBsv16i8
+/* 254 */     MCD_OPC_CheckPredicate, 15, 210, 55, // Skip to: 14548
+/* 258 */     MCD_OPC_Decode, 185, 6, 94, // Opcode: VHSUBsv8i8
+/* 262 */     MCD_OPC_FilterValue, 1, 202, 55, // Skip to: 14548
+/* 266 */     MCD_OPC_CheckPredicate, 15, 198, 55, // Skip to: 14548
+/* 270 */     MCD_OPC_Decode, 180, 6, 95, // Opcode: VHSUBsv16i8
 /* 274 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 293
-/* 279 */     MCD_OPC_CheckPredicate, 15, 198, 55, // Skip to: 14561
-/* 283 */     MCD_OPC_CheckField, 6, 1, 0, 192, 55, // Skip to: 14561
-/* 289 */     MCD_OPC_Decode, 187, 17, 97, // Opcode: VSUBLsv8i16
+/* 279 */     MCD_OPC_CheckPredicate, 15, 185, 55, // Skip to: 14548
+/* 283 */     MCD_OPC_CheckField, 6, 1, 0, 179, 55, // Skip to: 14548
+/* 289 */     MCD_OPC_Decode, 139, 17, 96, // Opcode: VSUBLsv8i16
 /* 293 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 325
 /* 298 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 301 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 313
-/* 305 */     MCD_OPC_CheckPredicate, 15, 172, 55, // Skip to: 14561
-/* 309 */     MCD_OPC_Decode, 241, 6, 95, // Opcode: VHSUBuv8i8
-/* 313 */     MCD_OPC_FilterValue, 1, 164, 55, // Skip to: 14561
-/* 317 */     MCD_OPC_CheckPredicate, 15, 160, 55, // Skip to: 14561
-/* 321 */     MCD_OPC_Decode, 236, 6, 96, // Opcode: VHSUBuv16i8
-/* 325 */     MCD_OPC_FilterValue, 231, 3, 151, 55, // Skip to: 14561
-/* 330 */     MCD_OPC_CheckPredicate, 15, 147, 55, // Skip to: 14561
-/* 334 */     MCD_OPC_CheckField, 6, 1, 0, 141, 55, // Skip to: 14561
-/* 340 */     MCD_OPC_Decode, 190, 17, 97, // Opcode: VSUBLuv8i16
+/* 305 */     MCD_OPC_CheckPredicate, 15, 159, 55, // Skip to: 14548
+/* 309 */     MCD_OPC_Decode, 191, 6, 94, // Opcode: VHSUBuv8i8
+/* 313 */     MCD_OPC_FilterValue, 1, 151, 55, // Skip to: 14548
+/* 317 */     MCD_OPC_CheckPredicate, 15, 147, 55, // Skip to: 14548
+/* 321 */     MCD_OPC_Decode, 186, 6, 95, // Opcode: VHSUBuv16i8
+/* 325 */     MCD_OPC_FilterValue, 231, 3, 138, 55, // Skip to: 14548
+/* 330 */     MCD_OPC_CheckPredicate, 15, 134, 55, // Skip to: 14548
+/* 334 */     MCD_OPC_CheckField, 6, 1, 0, 128, 55, // Skip to: 14548
+/* 340 */     MCD_OPC_Decode, 142, 17, 96, // Opcode: VSUBLuv8i16
 /* 344 */     MCD_OPC_FilterValue, 3, 105, 0, // Skip to: 453
 /* 348 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 351 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 383
 /* 356 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 359 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 371
-/* 363 */     MCD_OPC_CheckPredicate, 15, 114, 55, // Skip to: 14561
-/* 367 */     MCD_OPC_Decode, 193, 5, 95, // Opcode: VCGTsv8i8
-/* 371 */     MCD_OPC_FilterValue, 1, 106, 55, // Skip to: 14561
-/* 375 */     MCD_OPC_CheckPredicate, 15, 102, 55, // Skip to: 14561
-/* 379 */     MCD_OPC_Decode, 188, 5, 96, // Opcode: VCGTsv16i8
+/* 363 */     MCD_OPC_CheckPredicate, 15, 101, 55, // Skip to: 14548
+/* 367 */     MCD_OPC_Decode, 143, 5, 94, // Opcode: VCGTsv8i8
+/* 371 */     MCD_OPC_FilterValue, 1, 93, 55, // Skip to: 14548
+/* 375 */     MCD_OPC_CheckPredicate, 15, 89, 55, // Skip to: 14548
+/* 379 */     MCD_OPC_Decode, 138, 5, 95, // Opcode: VCGTsv16i8
 /* 383 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 402
-/* 388 */     MCD_OPC_CheckPredicate, 15, 89, 55, // Skip to: 14561
-/* 392 */     MCD_OPC_CheckField, 6, 1, 0, 83, 55, // Skip to: 14561
-/* 398 */     MCD_OPC_Decode, 194, 17, 98, // Opcode: VSUBWsv8i16
+/* 388 */     MCD_OPC_CheckPredicate, 15, 76, 55, // Skip to: 14548
+/* 392 */     MCD_OPC_CheckField, 6, 1, 0, 70, 55, // Skip to: 14548
+/* 398 */     MCD_OPC_Decode, 146, 17, 97, // Opcode: VSUBWsv8i16
 /* 402 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 434
 /* 407 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 410 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 422
-/* 414 */     MCD_OPC_CheckPredicate, 15, 63, 55, // Skip to: 14561
-/* 418 */     MCD_OPC_Decode, 199, 5, 95, // Opcode: VCGTuv8i8
-/* 422 */     MCD_OPC_FilterValue, 1, 55, 55, // Skip to: 14561
-/* 426 */     MCD_OPC_CheckPredicate, 15, 51, 55, // Skip to: 14561
-/* 430 */     MCD_OPC_Decode, 194, 5, 96, // Opcode: VCGTuv16i8
-/* 434 */     MCD_OPC_FilterValue, 231, 3, 42, 55, // Skip to: 14561
-/* 439 */     MCD_OPC_CheckPredicate, 15, 38, 55, // Skip to: 14561
-/* 443 */     MCD_OPC_CheckField, 6, 1, 0, 32, 55, // Skip to: 14561
-/* 449 */     MCD_OPC_Decode, 197, 17, 98, // Opcode: VSUBWuv8i16
+/* 414 */     MCD_OPC_CheckPredicate, 15, 50, 55, // Skip to: 14548
+/* 418 */     MCD_OPC_Decode, 149, 5, 94, // Opcode: VCGTuv8i8
+/* 422 */     MCD_OPC_FilterValue, 1, 42, 55, // Skip to: 14548
+/* 426 */     MCD_OPC_CheckPredicate, 15, 38, 55, // Skip to: 14548
+/* 430 */     MCD_OPC_Decode, 144, 5, 95, // Opcode: VCGTuv16i8
+/* 434 */     MCD_OPC_FilterValue, 231, 3, 29, 55, // Skip to: 14548
+/* 439 */     MCD_OPC_CheckPredicate, 15, 25, 55, // Skip to: 14548
+/* 443 */     MCD_OPC_CheckField, 6, 1, 0, 19, 55, // Skip to: 14548
+/* 449 */     MCD_OPC_Decode, 149, 17, 97, // Opcode: VSUBWuv8i16
 /* 453 */     MCD_OPC_FilterValue, 4, 105, 0, // Skip to: 562
 /* 457 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 460 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 492
 /* 465 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 468 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 480
-/* 472 */     MCD_OPC_CheckPredicate, 15, 5, 55, // Skip to: 14561
-/* 476 */     MCD_OPC_Decode, 182, 14, 99, // Opcode: VSHLsv8i8
-/* 480 */     MCD_OPC_FilterValue, 1, 253, 54, // Skip to: 14561
-/* 484 */     MCD_OPC_CheckPredicate, 15, 249, 54, // Skip to: 14561
-/* 488 */     MCD_OPC_Decode, 175, 14, 100, // Opcode: VSHLsv16i8
+/* 472 */     MCD_OPC_CheckPredicate, 15, 248, 54, // Skip to: 14548
+/* 476 */     MCD_OPC_Decode, 134, 14, 98, // Opcode: VSHLsv8i8
+/* 480 */     MCD_OPC_FilterValue, 1, 240, 54, // Skip to: 14548
+/* 484 */     MCD_OPC_CheckPredicate, 15, 236, 54, // Skip to: 14548
+/* 488 */     MCD_OPC_Decode, 255, 13, 99, // Opcode: VSHLsv16i8
 /* 492 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 511
-/* 497 */     MCD_OPC_CheckPredicate, 15, 236, 54, // Skip to: 14561
-/* 501 */     MCD_OPC_CheckField, 6, 1, 0, 230, 54, // Skip to: 14561
-/* 507 */     MCD_OPC_Decode, 238, 4, 101, // Opcode: VADDHNv8i8
+/* 497 */     MCD_OPC_CheckPredicate, 15, 223, 54, // Skip to: 14548
+/* 501 */     MCD_OPC_CheckField, 6, 1, 0, 217, 54, // Skip to: 14548
+/* 507 */     MCD_OPC_Decode, 188, 4, 100, // Opcode: VADDHNv8i8
 /* 511 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 543
 /* 516 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 519 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 531
-/* 523 */     MCD_OPC_CheckPredicate, 15, 210, 54, // Skip to: 14561
-/* 527 */     MCD_OPC_Decode, 190, 14, 99, // Opcode: VSHLuv8i8
-/* 531 */     MCD_OPC_FilterValue, 1, 202, 54, // Skip to: 14561
-/* 535 */     MCD_OPC_CheckPredicate, 15, 198, 54, // Skip to: 14561
-/* 539 */     MCD_OPC_Decode, 183, 14, 100, // Opcode: VSHLuv16i8
-/* 543 */     MCD_OPC_FilterValue, 231, 3, 189, 54, // Skip to: 14561
-/* 548 */     MCD_OPC_CheckPredicate, 15, 185, 54, // Skip to: 14561
-/* 552 */     MCD_OPC_CheckField, 6, 1, 0, 179, 54, // Skip to: 14561
-/* 558 */     MCD_OPC_Decode, 158, 13, 101, // Opcode: VRADDHNv8i8
+/* 523 */     MCD_OPC_CheckPredicate, 15, 197, 54, // Skip to: 14548
+/* 527 */     MCD_OPC_Decode, 142, 14, 98, // Opcode: VSHLuv8i8
+/* 531 */     MCD_OPC_FilterValue, 1, 189, 54, // Skip to: 14548
+/* 535 */     MCD_OPC_CheckPredicate, 15, 185, 54, // Skip to: 14548
+/* 539 */     MCD_OPC_Decode, 135, 14, 99, // Opcode: VSHLuv16i8
+/* 543 */     MCD_OPC_FilterValue, 231, 3, 176, 54, // Skip to: 14548
+/* 548 */     MCD_OPC_CheckPredicate, 15, 172, 54, // Skip to: 14548
+/* 552 */     MCD_OPC_CheckField, 6, 1, 0, 166, 54, // Skip to: 14548
+/* 558 */     MCD_OPC_Decode, 238, 12, 100, // Opcode: VRADDHNv8i8
 /* 562 */     MCD_OPC_FilterValue, 5, 105, 0, // Skip to: 671
 /* 566 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 569 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 601
 /* 574 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 577 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 589
-/* 581 */     MCD_OPC_CheckPredicate, 15, 152, 54, // Skip to: 14561
-/* 585 */     MCD_OPC_Decode, 222, 13, 99, // Opcode: VRSHLsv8i8
-/* 589 */     MCD_OPC_FilterValue, 1, 144, 54, // Skip to: 14561
-/* 593 */     MCD_OPC_CheckPredicate, 15, 140, 54, // Skip to: 14561
-/* 597 */     MCD_OPC_Decode, 215, 13, 100, // Opcode: VRSHLsv16i8
+/* 581 */     MCD_OPC_CheckPredicate, 15, 139, 54, // Skip to: 14548
+/* 585 */     MCD_OPC_Decode, 174, 13, 98, // Opcode: VRSHLsv8i8
+/* 589 */     MCD_OPC_FilterValue, 1, 131, 54, // Skip to: 14548
+/* 593 */     MCD_OPC_CheckPredicate, 15, 127, 54, // Skip to: 14548
+/* 597 */     MCD_OPC_Decode, 167, 13, 99, // Opcode: VRSHLsv16i8
 /* 601 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 620
-/* 606 */     MCD_OPC_CheckPredicate, 15, 127, 54, // Skip to: 14561
-/* 610 */     MCD_OPC_CheckField, 6, 1, 0, 121, 54, // Skip to: 14561
-/* 616 */     MCD_OPC_Decode, 185, 4, 102, // Opcode: VABALsv8i16
+/* 606 */     MCD_OPC_CheckPredicate, 15, 114, 54, // Skip to: 14548
+/* 610 */     MCD_OPC_CheckField, 6, 1, 0, 108, 54, // Skip to: 14548
+/* 616 */     MCD_OPC_Decode, 135, 4, 101, // Opcode: VABALsv8i16
 /* 620 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 652
 /* 625 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 628 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 640
-/* 632 */     MCD_OPC_CheckPredicate, 15, 101, 54, // Skip to: 14561
-/* 636 */     MCD_OPC_Decode, 230, 13, 99, // Opcode: VRSHLuv8i8
-/* 640 */     MCD_OPC_FilterValue, 1, 93, 54, // Skip to: 14561
-/* 644 */     MCD_OPC_CheckPredicate, 15, 89, 54, // Skip to: 14561
-/* 648 */     MCD_OPC_Decode, 223, 13, 100, // Opcode: VRSHLuv16i8
-/* 652 */     MCD_OPC_FilterValue, 231, 3, 80, 54, // Skip to: 14561
-/* 657 */     MCD_OPC_CheckPredicate, 15, 76, 54, // Skip to: 14561
-/* 661 */     MCD_OPC_CheckField, 6, 1, 0, 70, 54, // Skip to: 14561
-/* 667 */     MCD_OPC_Decode, 188, 4, 102, // Opcode: VABALuv8i16
+/* 632 */     MCD_OPC_CheckPredicate, 15, 88, 54, // Skip to: 14548
+/* 636 */     MCD_OPC_Decode, 182, 13, 98, // Opcode: VRSHLuv8i8
+/* 640 */     MCD_OPC_FilterValue, 1, 80, 54, // Skip to: 14548
+/* 644 */     MCD_OPC_CheckPredicate, 15, 76, 54, // Skip to: 14548
+/* 648 */     MCD_OPC_Decode, 175, 13, 99, // Opcode: VRSHLuv16i8
+/* 652 */     MCD_OPC_FilterValue, 231, 3, 67, 54, // Skip to: 14548
+/* 657 */     MCD_OPC_CheckPredicate, 15, 63, 54, // Skip to: 14548
+/* 661 */     MCD_OPC_CheckField, 6, 1, 0, 57, 54, // Skip to: 14548
+/* 667 */     MCD_OPC_Decode, 138, 4, 101, // Opcode: VABALuv8i16
 /* 671 */     MCD_OPC_FilterValue, 6, 105, 0, // Skip to: 780
 /* 675 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 678 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 710
 /* 683 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 686 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 698
-/* 690 */     MCD_OPC_CheckPredicate, 15, 43, 54, // Skip to: 14561
-/* 694 */     MCD_OPC_Decode, 164, 10, 95, // Opcode: VMAXsv8i8
-/* 698 */     MCD_OPC_FilterValue, 1, 35, 54, // Skip to: 14561
-/* 702 */     MCD_OPC_CheckPredicate, 15, 31, 54, // Skip to: 14561
-/* 706 */     MCD_OPC_Decode, 159, 10, 96, // Opcode: VMAXsv16i8
+/* 690 */     MCD_OPC_CheckPredicate, 15, 30, 54, // Skip to: 14548
+/* 694 */     MCD_OPC_Decode, 242, 9, 94, // Opcode: VMAXsv8i8
+/* 698 */     MCD_OPC_FilterValue, 1, 22, 54, // Skip to: 14548
+/* 702 */     MCD_OPC_CheckPredicate, 15, 18, 54, // Skip to: 14548
+/* 706 */     MCD_OPC_Decode, 237, 9, 95, // Opcode: VMAXsv16i8
 /* 710 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 729
-/* 715 */     MCD_OPC_CheckPredicate, 15, 18, 54, // Skip to: 14561
-/* 719 */     MCD_OPC_CheckField, 6, 1, 0, 12, 54, // Skip to: 14561
-/* 725 */     MCD_OPC_Decode, 184, 17, 101, // Opcode: VSUBHNv8i8
+/* 715 */     MCD_OPC_CheckPredicate, 15, 5, 54, // Skip to: 14548
+/* 719 */     MCD_OPC_CheckField, 6, 1, 0, 255, 53, // Skip to: 14548
+/* 725 */     MCD_OPC_Decode, 136, 17, 100, // Opcode: VSUBHNv8i8
 /* 729 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 761
 /* 734 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 737 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 749
-/* 741 */     MCD_OPC_CheckPredicate, 15, 248, 53, // Skip to: 14561
-/* 745 */     MCD_OPC_Decode, 170, 10, 95, // Opcode: VMAXuv8i8
-/* 749 */     MCD_OPC_FilterValue, 1, 240, 53, // Skip to: 14561
-/* 753 */     MCD_OPC_CheckPredicate, 15, 236, 53, // Skip to: 14561
-/* 757 */     MCD_OPC_Decode, 165, 10, 96, // Opcode: VMAXuv16i8
-/* 761 */     MCD_OPC_FilterValue, 231, 3, 227, 53, // Skip to: 14561
-/* 766 */     MCD_OPC_CheckPredicate, 15, 223, 53, // Skip to: 14561
-/* 770 */     MCD_OPC_CheckField, 6, 1, 0, 217, 53, // Skip to: 14561
-/* 776 */     MCD_OPC_Decode, 146, 14, 101, // Opcode: VRSUBHNv8i8
+/* 741 */     MCD_OPC_CheckPredicate, 15, 235, 53, // Skip to: 14548
+/* 745 */     MCD_OPC_Decode, 248, 9, 94, // Opcode: VMAXuv8i8
+/* 749 */     MCD_OPC_FilterValue, 1, 227, 53, // Skip to: 14548
+/* 753 */     MCD_OPC_CheckPredicate, 15, 223, 53, // Skip to: 14548
+/* 757 */     MCD_OPC_Decode, 243, 9, 95, // Opcode: VMAXuv16i8
+/* 761 */     MCD_OPC_FilterValue, 231, 3, 214, 53, // Skip to: 14548
+/* 766 */     MCD_OPC_CheckPredicate, 15, 210, 53, // Skip to: 14548
+/* 770 */     MCD_OPC_CheckField, 6, 1, 0, 204, 53, // Skip to: 14548
+/* 776 */     MCD_OPC_Decode, 226, 13, 100, // Opcode: VRSUBHNv8i8
 /* 780 */     MCD_OPC_FilterValue, 7, 105, 0, // Skip to: 889
 /* 784 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 787 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 819
 /* 792 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 795 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 807
-/* 799 */     MCD_OPC_CheckPredicate, 15, 190, 53, // Skip to: 14561
-/* 803 */     MCD_OPC_Decode, 214, 4, 95, // Opcode: VABDsv8i8
-/* 807 */     MCD_OPC_FilterValue, 1, 182, 53, // Skip to: 14561
-/* 811 */     MCD_OPC_CheckPredicate, 15, 178, 53, // Skip to: 14561
-/* 815 */     MCD_OPC_Decode, 209, 4, 96, // Opcode: VABDsv16i8
+/* 799 */     MCD_OPC_CheckPredicate, 15, 177, 53, // Skip to: 14548
+/* 803 */     MCD_OPC_Decode, 164, 4, 94, // Opcode: VABDsv8i8
+/* 807 */     MCD_OPC_FilterValue, 1, 169, 53, // Skip to: 14548
+/* 811 */     MCD_OPC_CheckPredicate, 15, 165, 53, // Skip to: 14548
+/* 815 */     MCD_OPC_Decode, 159, 4, 95, // Opcode: VABDsv16i8
 /* 819 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 838
-/* 824 */     MCD_OPC_CheckPredicate, 15, 165, 53, // Skip to: 14561
-/* 828 */     MCD_OPC_CheckField, 6, 1, 0, 159, 53, // Skip to: 14561
-/* 834 */     MCD_OPC_Decode, 203, 4, 97, // Opcode: VABDLsv8i16
+/* 824 */     MCD_OPC_CheckPredicate, 15, 152, 53, // Skip to: 14548
+/* 828 */     MCD_OPC_CheckField, 6, 1, 0, 146, 53, // Skip to: 14548
+/* 834 */     MCD_OPC_Decode, 153, 4, 96, // Opcode: VABDLsv8i16
 /* 838 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 870
 /* 843 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 846 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 858
-/* 850 */     MCD_OPC_CheckPredicate, 15, 139, 53, // Skip to: 14561
-/* 854 */     MCD_OPC_Decode, 220, 4, 95, // Opcode: VABDuv8i8
-/* 858 */     MCD_OPC_FilterValue, 1, 131, 53, // Skip to: 14561
-/* 862 */     MCD_OPC_CheckPredicate, 15, 127, 53, // Skip to: 14561
-/* 866 */     MCD_OPC_Decode, 215, 4, 96, // Opcode: VABDuv16i8
-/* 870 */     MCD_OPC_FilterValue, 231, 3, 118, 53, // Skip to: 14561
-/* 875 */     MCD_OPC_CheckPredicate, 15, 114, 53, // Skip to: 14561
-/* 879 */     MCD_OPC_CheckField, 6, 1, 0, 108, 53, // Skip to: 14561
-/* 885 */     MCD_OPC_Decode, 206, 4, 97, // Opcode: VABDLuv8i16
+/* 850 */     MCD_OPC_CheckPredicate, 15, 126, 53, // Skip to: 14548
+/* 854 */     MCD_OPC_Decode, 170, 4, 94, // Opcode: VABDuv8i8
+/* 858 */     MCD_OPC_FilterValue, 1, 118, 53, // Skip to: 14548
+/* 862 */     MCD_OPC_CheckPredicate, 15, 114, 53, // Skip to: 14548
+/* 866 */     MCD_OPC_Decode, 165, 4, 95, // Opcode: VABDuv16i8
+/* 870 */     MCD_OPC_FilterValue, 231, 3, 105, 53, // Skip to: 14548
+/* 875 */     MCD_OPC_CheckPredicate, 15, 101, 53, // Skip to: 14548
+/* 879 */     MCD_OPC_CheckField, 6, 1, 0, 95, 53, // Skip to: 14548
+/* 885 */     MCD_OPC_Decode, 156, 4, 96, // Opcode: VABDLuv8i16
 /* 889 */     MCD_OPC_FilterValue, 8, 105, 0, // Skip to: 998
 /* 893 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 896 */     MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 928
 /* 901 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 904 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 916
-/* 908 */     MCD_OPC_CheckPredicate, 15, 81, 53, // Skip to: 14561
-/* 912 */     MCD_OPC_Decode, 133, 5, 95, // Opcode: VADDv8i8
-/* 916 */     MCD_OPC_FilterValue, 1, 73, 53, // Skip to: 14561
-/* 920 */     MCD_OPC_CheckPredicate, 15, 69, 53, // Skip to: 14561
-/* 924 */     MCD_OPC_Decode, 254, 4, 96, // Opcode: VADDv16i8
+/* 908 */     MCD_OPC_CheckPredicate, 15, 68, 53, // Skip to: 14548
+/* 912 */     MCD_OPC_Decode, 211, 4, 94, // Opcode: VADDv8i8
+/* 916 */     MCD_OPC_FilterValue, 1, 60, 53, // Skip to: 14548
+/* 920 */     MCD_OPC_CheckPredicate, 15, 56, 53, // Skip to: 14548
+/* 924 */     MCD_OPC_Decode, 204, 4, 95, // Opcode: VADDv16i8
 /* 928 */     MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 947
-/* 933 */     MCD_OPC_CheckPredicate, 15, 56, 53, // Skip to: 14561
-/* 937 */     MCD_OPC_CheckField, 6, 1, 0, 50, 53, // Skip to: 14561
-/* 943 */     MCD_OPC_Decode, 196, 10, 102, // Opcode: VMLALsv8i16
+/* 933 */     MCD_OPC_CheckPredicate, 15, 43, 53, // Skip to: 14548
+/* 937 */     MCD_OPC_CheckField, 6, 1, 0, 37, 53, // Skip to: 14548
+/* 943 */     MCD_OPC_Decode, 146, 10, 101, // Opcode: VMLALsv8i16
 /* 947 */     MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 979
 /* 952 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 955 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 967
-/* 959 */     MCD_OPC_CheckPredicate, 15, 30, 53, // Skip to: 14561
-/* 963 */     MCD_OPC_Decode, 207, 17, 95, // Opcode: VSUBv8i8
-/* 967 */     MCD_OPC_FilterValue, 1, 22, 53, // Skip to: 14561
-/* 971 */     MCD_OPC_CheckPredicate, 15, 18, 53, // Skip to: 14561
-/* 975 */     MCD_OPC_Decode, 200, 17, 96, // Opcode: VSUBv16i8
-/* 979 */     MCD_OPC_FilterValue, 231, 3, 9, 53, // Skip to: 14561
-/* 984 */     MCD_OPC_CheckPredicate, 15, 5, 53, // Skip to: 14561
-/* 988 */     MCD_OPC_CheckField, 6, 1, 0, 255, 52, // Skip to: 14561
-/* 994 */     MCD_OPC_Decode, 199, 10, 102, // Opcode: VMLALuv8i16
+/* 959 */     MCD_OPC_CheckPredicate, 15, 17, 53, // Skip to: 14548
+/* 963 */     MCD_OPC_Decode, 159, 17, 94, // Opcode: VSUBv8i8
+/* 967 */     MCD_OPC_FilterValue, 1, 9, 53, // Skip to: 14548
+/* 971 */     MCD_OPC_CheckPredicate, 15, 5, 53, // Skip to: 14548
+/* 975 */     MCD_OPC_Decode, 152, 17, 95, // Opcode: VSUBv16i8
+/* 979 */     MCD_OPC_FilterValue, 231, 3, 252, 52, // Skip to: 14548
+/* 984 */     MCD_OPC_CheckPredicate, 15, 248, 52, // Skip to: 14548
+/* 988 */     MCD_OPC_CheckField, 6, 1, 0, 242, 52, // Skip to: 14548
+/* 994 */     MCD_OPC_Decode, 149, 10, 101, // Opcode: VMLALuv8i16
 /* 998 */     MCD_OPC_FilterValue, 9, 69, 0, // Skip to: 1071
 /* 1002 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1005 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 1038
 /* 1009 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1012 */    MCD_OPC_FilterValue, 228, 3, 8, 0, // Skip to: 1025
-/* 1017 */    MCD_OPC_CheckPredicate, 15, 228, 52, // Skip to: 14561
-/* 1021 */    MCD_OPC_Decode, 214, 10, 103, // Opcode: VMLAv8i8
-/* 1025 */    MCD_OPC_FilterValue, 230, 3, 219, 52, // Skip to: 14561
-/* 1030 */    MCD_OPC_CheckPredicate, 15, 215, 52, // Skip to: 14561
-/* 1034 */    MCD_OPC_Decode, 240, 10, 103, // Opcode: VMLSv8i8
-/* 1038 */    MCD_OPC_FilterValue, 1, 207, 52, // Skip to: 14561
+/* 1017 */    MCD_OPC_CheckPredicate, 15, 215, 52, // Skip to: 14548
+/* 1021 */    MCD_OPC_Decode, 164, 10, 102, // Opcode: VMLAv8i8
+/* 1025 */    MCD_OPC_FilterValue, 230, 3, 206, 52, // Skip to: 14548
+/* 1030 */    MCD_OPC_CheckPredicate, 15, 202, 52, // Skip to: 14548
+/* 1034 */    MCD_OPC_Decode, 190, 10, 102, // Opcode: VMLSv8i8
+/* 1038 */    MCD_OPC_FilterValue, 1, 194, 52, // Skip to: 14548
 /* 1042 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1045 */    MCD_OPC_FilterValue, 228, 3, 8, 0, // Skip to: 1058
-/* 1050 */    MCD_OPC_CheckPredicate, 15, 195, 52, // Skip to: 14561
-/* 1054 */    MCD_OPC_Decode, 209, 10, 104, // Opcode: VMLAv16i8
-/* 1058 */    MCD_OPC_FilterValue, 230, 3, 186, 52, // Skip to: 14561
-/* 1063 */    MCD_OPC_CheckPredicate, 15, 182, 52, // Skip to: 14561
-/* 1067 */    MCD_OPC_Decode, 235, 10, 104, // Opcode: VMLSv16i8
+/* 1050 */    MCD_OPC_CheckPredicate, 15, 182, 52, // Skip to: 14548
+/* 1054 */    MCD_OPC_Decode, 159, 10, 103, // Opcode: VMLAv16i8
+/* 1058 */    MCD_OPC_FilterValue, 230, 3, 173, 52, // Skip to: 14548
+/* 1063 */    MCD_OPC_CheckPredicate, 15, 169, 52, // Skip to: 14548
+/* 1067 */    MCD_OPC_Decode, 185, 10, 103, // Opcode: VMLSv16i8
 /* 1071 */    MCD_OPC_FilterValue, 10, 79, 0, // Skip to: 1154
 /* 1075 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1078 */    MCD_OPC_FilterValue, 228, 3, 14, 0, // Skip to: 1097
-/* 1083 */    MCD_OPC_CheckPredicate, 15, 162, 52, // Skip to: 14561
-/* 1087 */    MCD_OPC_CheckField, 6, 1, 0, 156, 52, // Skip to: 14561
-/* 1093 */    MCD_OPC_Decode, 246, 11, 95, // Opcode: VPMAXs8
+/* 1083 */    MCD_OPC_CheckPredicate, 15, 149, 52, // Skip to: 14548
+/* 1087 */    MCD_OPC_CheckField, 6, 1, 0, 143, 52, // Skip to: 14548
+/* 1093 */    MCD_OPC_Decode, 198, 11, 94, // Opcode: VPMAXs8
 /* 1097 */    MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 1116
-/* 1102 */    MCD_OPC_CheckPredicate, 15, 143, 52, // Skip to: 14561
-/* 1106 */    MCD_OPC_CheckField, 6, 1, 0, 137, 52, // Skip to: 14561
-/* 1112 */    MCD_OPC_Decode, 222, 10, 102, // Opcode: VMLSLsv8i16
+/* 1102 */    MCD_OPC_CheckPredicate, 15, 130, 52, // Skip to: 14548
+/* 1106 */    MCD_OPC_CheckField, 6, 1, 0, 124, 52, // Skip to: 14548
+/* 1112 */    MCD_OPC_Decode, 172, 10, 101, // Opcode: VMLSLsv8i16
 /* 1116 */    MCD_OPC_FilterValue, 230, 3, 14, 0, // Skip to: 1135
-/* 1121 */    MCD_OPC_CheckPredicate, 15, 124, 52, // Skip to: 14561
-/* 1125 */    MCD_OPC_CheckField, 6, 1, 0, 118, 52, // Skip to: 14561
-/* 1131 */    MCD_OPC_Decode, 249, 11, 95, // Opcode: VPMAXu8
-/* 1135 */    MCD_OPC_FilterValue, 231, 3, 109, 52, // Skip to: 14561
-/* 1140 */    MCD_OPC_CheckPredicate, 15, 105, 52, // Skip to: 14561
-/* 1144 */    MCD_OPC_CheckField, 6, 1, 0, 99, 52, // Skip to: 14561
-/* 1150 */    MCD_OPC_Decode, 225, 10, 102, // Opcode: VMLSLuv8i16
+/* 1121 */    MCD_OPC_CheckPredicate, 15, 111, 52, // Skip to: 14548
+/* 1125 */    MCD_OPC_CheckField, 6, 1, 0, 105, 52, // Skip to: 14548
+/* 1131 */    MCD_OPC_Decode, 201, 11, 94, // Opcode: VPMAXu8
+/* 1135 */    MCD_OPC_FilterValue, 231, 3, 96, 52, // Skip to: 14548
+/* 1140 */    MCD_OPC_CheckPredicate, 15, 92, 52, // Skip to: 14548
+/* 1144 */    MCD_OPC_CheckField, 6, 1, 0, 86, 52, // Skip to: 14548
+/* 1150 */    MCD_OPC_Decode, 175, 10, 101, // Opcode: VMLSLuv8i16
 /* 1154 */    MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 1199
 /* 1158 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1161 */    MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 1180
-/* 1166 */    MCD_OPC_CheckPredicate, 15, 79, 52, // Skip to: 14561
-/* 1170 */    MCD_OPC_CheckField, 6, 1, 0, 73, 52, // Skip to: 14561
-/* 1176 */    MCD_OPC_Decode, 164, 11, 97, // Opcode: VMULLsv8i16
-/* 1180 */    MCD_OPC_FilterValue, 231, 3, 64, 52, // Skip to: 14561
-/* 1185 */    MCD_OPC_CheckPredicate, 15, 60, 52, // Skip to: 14561
-/* 1189 */    MCD_OPC_CheckField, 6, 1, 0, 54, 52, // Skip to: 14561
-/* 1195 */    MCD_OPC_Decode, 167, 11, 97, // Opcode: VMULLuv8i16
+/* 1166 */    MCD_OPC_CheckPredicate, 15, 66, 52, // Skip to: 14548
+/* 1170 */    MCD_OPC_CheckField, 6, 1, 0, 60, 52, // Skip to: 14548
+/* 1176 */    MCD_OPC_Decode, 244, 10, 96, // Opcode: VMULLsv8i16
+/* 1180 */    MCD_OPC_FilterValue, 231, 3, 51, 52, // Skip to: 14548
+/* 1185 */    MCD_OPC_CheckPredicate, 15, 47, 52, // Skip to: 14548
+/* 1189 */    MCD_OPC_CheckField, 6, 1, 0, 41, 52, // Skip to: 14548
+/* 1195 */    MCD_OPC_Decode, 247, 10, 96, // Opcode: VMULLuv8i16
 /* 1199 */    MCD_OPC_FilterValue, 13, 55, 0, // Skip to: 1258
 /* 1203 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1206 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 1239
 /* 1210 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1213 */    MCD_OPC_FilterValue, 228, 3, 8, 0, // Skip to: 1226
-/* 1218 */    MCD_OPC_CheckPredicate, 15, 27, 52, // Skip to: 14561
-/* 1222 */    MCD_OPC_Decode, 252, 4, 95, // Opcode: VADDfd
-/* 1226 */    MCD_OPC_FilterValue, 230, 3, 18, 52, // Skip to: 14561
-/* 1231 */    MCD_OPC_CheckPredicate, 15, 14, 52, // Skip to: 14561
-/* 1235 */    MCD_OPC_Decode, 239, 11, 95, // Opcode: VPADDf
-/* 1239 */    MCD_OPC_FilterValue, 1, 6, 52, // Skip to: 14561
-/* 1243 */    MCD_OPC_CheckPredicate, 15, 2, 52, // Skip to: 14561
-/* 1247 */    MCD_OPC_CheckField, 23, 9, 228, 3, 251, 51, // Skip to: 14561
-/* 1254 */    MCD_OPC_Decode, 253, 4, 96, // Opcode: VADDfq
+/* 1218 */    MCD_OPC_CheckPredicate, 15, 14, 52, // Skip to: 14548
+/* 1222 */    MCD_OPC_Decode, 202, 4, 94, // Opcode: VADDfd
+/* 1226 */    MCD_OPC_FilterValue, 230, 3, 5, 52, // Skip to: 14548
+/* 1231 */    MCD_OPC_CheckPredicate, 15, 1, 52, // Skip to: 14548
+/* 1235 */    MCD_OPC_Decode, 191, 11, 94, // Opcode: VPADDf
+/* 1239 */    MCD_OPC_FilterValue, 1, 249, 51, // Skip to: 14548
+/* 1243 */    MCD_OPC_CheckPredicate, 15, 245, 51, // Skip to: 14548
+/* 1247 */    MCD_OPC_CheckField, 23, 9, 228, 3, 238, 51, // Skip to: 14548
+/* 1254 */    MCD_OPC_Decode, 203, 4, 95, // Opcode: VADDfq
 /* 1258 */    MCD_OPC_FilterValue, 14, 86, 0, // Skip to: 1348
 /* 1262 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1265 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 1297
 /* 1270 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1273 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1285
-/* 1277 */    MCD_OPC_CheckPredicate, 15, 224, 51, // Skip to: 14561
-/* 1281 */    MCD_OPC_Decode, 148, 5, 95, // Opcode: VCEQfd
-/* 1285 */    MCD_OPC_FilterValue, 1, 216, 51, // Skip to: 14561
-/* 1289 */    MCD_OPC_CheckPredicate, 15, 212, 51, // Skip to: 14561
-/* 1293 */    MCD_OPC_Decode, 149, 5, 96, // Opcode: VCEQfq
+/* 1277 */    MCD_OPC_CheckPredicate, 15, 211, 51, // Skip to: 14548
+/* 1281 */    MCD_OPC_Decode, 226, 4, 94, // Opcode: VCEQfd
+/* 1285 */    MCD_OPC_FilterValue, 1, 203, 51, // Skip to: 14548
+/* 1289 */    MCD_OPC_CheckPredicate, 15, 199, 51, // Skip to: 14548
+/* 1293 */    MCD_OPC_Decode, 227, 4, 95, // Opcode: VCEQfq
 /* 1297 */    MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 1316
-/* 1302 */    MCD_OPC_CheckPredicate, 15, 199, 51, // Skip to: 14561
-/* 1306 */    MCD_OPC_CheckField, 6, 1, 0, 193, 51, // Skip to: 14561
-/* 1312 */    MCD_OPC_Decode, 157, 11, 97, // Opcode: VMULLp8
-/* 1316 */    MCD_OPC_FilterValue, 230, 3, 184, 51, // Skip to: 14561
+/* 1302 */    MCD_OPC_CheckPredicate, 15, 186, 51, // Skip to: 14548
+/* 1306 */    MCD_OPC_CheckField, 6, 1, 0, 180, 51, // Skip to: 14548
+/* 1312 */    MCD_OPC_Decode, 237, 10, 96, // Opcode: VMULLp8
+/* 1316 */    MCD_OPC_FilterValue, 230, 3, 171, 51, // Skip to: 14548
 /* 1321 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1324 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1336
-/* 1328 */    MCD_OPC_CheckPredicate, 15, 173, 51, // Skip to: 14561
-/* 1332 */    MCD_OPC_Decode, 164, 5, 95, // Opcode: VCGEfd
-/* 1336 */    MCD_OPC_FilterValue, 1, 165, 51, // Skip to: 14561
-/* 1340 */    MCD_OPC_CheckPredicate, 15, 161, 51, // Skip to: 14561
-/* 1344 */    MCD_OPC_Decode, 165, 5, 96, // Opcode: VCGEfq
-/* 1348 */    MCD_OPC_FilterValue, 15, 153, 51, // Skip to: 14561
+/* 1328 */    MCD_OPC_CheckPredicate, 15, 160, 51, // Skip to: 14548
+/* 1332 */    MCD_OPC_Decode, 242, 4, 94, // Opcode: VCGEfd
+/* 1336 */    MCD_OPC_FilterValue, 1, 152, 51, // Skip to: 14548
+/* 1340 */    MCD_OPC_CheckPredicate, 15, 148, 51, // Skip to: 14548
+/* 1344 */    MCD_OPC_Decode, 243, 4, 95, // Opcode: VCGEfq
+/* 1348 */    MCD_OPC_FilterValue, 15, 140, 51, // Skip to: 14548
 /* 1352 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1355 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 1388
 /* 1359 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1362 */    MCD_OPC_FilterValue, 228, 3, 8, 0, // Skip to: 1375
-/* 1367 */    MCD_OPC_CheckPredicate, 15, 134, 51, // Skip to: 14561
-/* 1371 */    MCD_OPC_Decode, 157, 10, 95, // Opcode: VMAXfd
-/* 1375 */    MCD_OPC_FilterValue, 230, 3, 125, 51, // Skip to: 14561
-/* 1380 */    MCD_OPC_CheckPredicate, 15, 121, 51, // Skip to: 14561
-/* 1384 */    MCD_OPC_Decode, 243, 11, 95, // Opcode: VPMAXf
-/* 1388 */    MCD_OPC_FilterValue, 1, 113, 51, // Skip to: 14561
-/* 1392 */    MCD_OPC_CheckPredicate, 15, 109, 51, // Skip to: 14561
-/* 1396 */    MCD_OPC_CheckField, 23, 9, 228, 3, 102, 51, // Skip to: 14561
-/* 1403 */    MCD_OPC_Decode, 158, 10, 96, // Opcode: VMAXfq
+/* 1367 */    MCD_OPC_CheckPredicate, 15, 121, 51, // Skip to: 14548
+/* 1371 */    MCD_OPC_Decode, 235, 9, 94, // Opcode: VMAXfd
+/* 1375 */    MCD_OPC_FilterValue, 230, 3, 112, 51, // Skip to: 14548
+/* 1380 */    MCD_OPC_CheckPredicate, 15, 108, 51, // Skip to: 14548
+/* 1384 */    MCD_OPC_Decode, 195, 11, 94, // Opcode: VPMAXf
+/* 1388 */    MCD_OPC_FilterValue, 1, 100, 51, // Skip to: 14548
+/* 1392 */    MCD_OPC_CheckPredicate, 15, 96, 51, // Skip to: 14548
+/* 1396 */    MCD_OPC_CheckField, 23, 9, 228, 3, 89, 51, // Skip to: 14548
+/* 1403 */    MCD_OPC_Decode, 236, 9, 95, // Opcode: VMAXfq
 /* 1407 */    MCD_OPC_FilterValue, 1, 38, 6, // Skip to: 2985
 /* 1411 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 1414 */    MCD_OPC_FilterValue, 0, 131, 0, // Skip to: 1549
@@ -2207,389 +2213,389 @@
 /* 1421 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 1453
 /* 1426 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1429 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1441
-/* 1433 */    MCD_OPC_CheckPredicate, 15, 68, 51, // Skip to: 14561
-/* 1437 */    MCD_OPC_Decode, 220, 6, 95, // Opcode: VHADDsv4i16
-/* 1441 */    MCD_OPC_FilterValue, 1, 60, 51, // Skip to: 14561
-/* 1445 */    MCD_OPC_CheckPredicate, 15, 56, 51, // Skip to: 14561
-/* 1449 */    MCD_OPC_Decode, 222, 6, 96, // Opcode: VHADDsv8i16
+/* 1433 */    MCD_OPC_CheckPredicate, 15, 55, 51, // Skip to: 14548
+/* 1437 */    MCD_OPC_Decode, 170, 6, 94, // Opcode: VHADDsv4i16
+/* 1441 */    MCD_OPC_FilterValue, 1, 47, 51, // Skip to: 14548
+/* 1445 */    MCD_OPC_CheckPredicate, 15, 43, 51, // Skip to: 14548
+/* 1449 */    MCD_OPC_Decode, 172, 6, 95, // Opcode: VHADDsv8i16
 /* 1453 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 1485
 /* 1458 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1461 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1473
-/* 1465 */    MCD_OPC_CheckPredicate, 15, 36, 51, // Skip to: 14561
-/* 1469 */    MCD_OPC_Decode, 240, 4, 97, // Opcode: VADDLsv4i32
-/* 1473 */    MCD_OPC_FilterValue, 1, 28, 51, // Skip to: 14561
-/* 1477 */    MCD_OPC_CheckPredicate, 15, 24, 51, // Skip to: 14561
-/* 1481 */    MCD_OPC_Decode, 206, 10, 105, // Opcode: VMLAslv4i16
+/* 1465 */    MCD_OPC_CheckPredicate, 15, 23, 51, // Skip to: 14548
+/* 1469 */    MCD_OPC_Decode, 190, 4, 96, // Opcode: VADDLsv4i32
+/* 1473 */    MCD_OPC_FilterValue, 1, 15, 51, // Skip to: 14548
+/* 1477 */    MCD_OPC_CheckPredicate, 15, 11, 51, // Skip to: 14548
+/* 1481 */    MCD_OPC_Decode, 156, 10, 104, // Opcode: VMLAslv4i16
 /* 1485 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 1517
 /* 1490 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1493 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1505
-/* 1497 */    MCD_OPC_CheckPredicate, 15, 4, 51, // Skip to: 14561
-/* 1501 */    MCD_OPC_Decode, 226, 6, 95, // Opcode: VHADDuv4i16
-/* 1505 */    MCD_OPC_FilterValue, 1, 252, 50, // Skip to: 14561
-/* 1509 */    MCD_OPC_CheckPredicate, 15, 248, 50, // Skip to: 14561
-/* 1513 */    MCD_OPC_Decode, 228, 6, 96, // Opcode: VHADDuv8i16
-/* 1517 */    MCD_OPC_FilterValue, 231, 3, 239, 50, // Skip to: 14561
+/* 1497 */    MCD_OPC_CheckPredicate, 15, 247, 50, // Skip to: 14548
+/* 1501 */    MCD_OPC_Decode, 176, 6, 94, // Opcode: VHADDuv4i16
+/* 1505 */    MCD_OPC_FilterValue, 1, 239, 50, // Skip to: 14548
+/* 1509 */    MCD_OPC_CheckPredicate, 15, 235, 50, // Skip to: 14548
+/* 1513 */    MCD_OPC_Decode, 178, 6, 95, // Opcode: VHADDuv8i16
+/* 1517 */    MCD_OPC_FilterValue, 231, 3, 226, 50, // Skip to: 14548
 /* 1522 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1525 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1537
-/* 1529 */    MCD_OPC_CheckPredicate, 15, 228, 50, // Skip to: 14561
-/* 1533 */    MCD_OPC_Decode, 243, 4, 97, // Opcode: VADDLuv4i32
-/* 1537 */    MCD_OPC_FilterValue, 1, 220, 50, // Skip to: 14561
-/* 1541 */    MCD_OPC_CheckPredicate, 15, 216, 50, // Skip to: 14561
-/* 1545 */    MCD_OPC_Decode, 208, 10, 106, // Opcode: VMLAslv8i16
+/* 1529 */    MCD_OPC_CheckPredicate, 15, 215, 50, // Skip to: 14548
+/* 1533 */    MCD_OPC_Decode, 193, 4, 96, // Opcode: VADDLuv4i32
+/* 1537 */    MCD_OPC_FilterValue, 1, 207, 50, // Skip to: 14548
+/* 1541 */    MCD_OPC_CheckPredicate, 15, 203, 50, // Skip to: 14548
+/* 1545 */    MCD_OPC_Decode, 158, 10, 105, // Opcode: VMLAslv8i16
 /* 1549 */    MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 1658
 /* 1553 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1556 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 1588
 /* 1561 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1564 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1576
-/* 1568 */    MCD_OPC_CheckPredicate, 15, 189, 50, // Skip to: 14561
-/* 1572 */    MCD_OPC_Decode, 179, 13, 95, // Opcode: VRHADDsv4i16
-/* 1576 */    MCD_OPC_FilterValue, 1, 181, 50, // Skip to: 14561
-/* 1580 */    MCD_OPC_CheckPredicate, 15, 177, 50, // Skip to: 14561
-/* 1584 */    MCD_OPC_Decode, 181, 13, 96, // Opcode: VRHADDsv8i16
+/* 1568 */    MCD_OPC_CheckPredicate, 15, 176, 50, // Skip to: 14548
+/* 1572 */    MCD_OPC_Decode, 131, 13, 94, // Opcode: VRHADDsv4i16
+/* 1576 */    MCD_OPC_FilterValue, 1, 168, 50, // Skip to: 14548
+/* 1580 */    MCD_OPC_CheckPredicate, 15, 164, 50, // Skip to: 14548
+/* 1584 */    MCD_OPC_Decode, 133, 13, 95, // Opcode: VRHADDsv8i16
 /* 1588 */    MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 1607
-/* 1593 */    MCD_OPC_CheckPredicate, 15, 164, 50, // Skip to: 14561
-/* 1597 */    MCD_OPC_CheckField, 6, 1, 0, 158, 50, // Skip to: 14561
-/* 1603 */    MCD_OPC_Decode, 247, 4, 98, // Opcode: VADDWsv4i32
+/* 1593 */    MCD_OPC_CheckPredicate, 15, 151, 50, // Skip to: 14548
+/* 1597 */    MCD_OPC_CheckField, 6, 1, 0, 145, 50, // Skip to: 14548
+/* 1603 */    MCD_OPC_Decode, 197, 4, 97, // Opcode: VADDWsv4i32
 /* 1607 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 1639
 /* 1612 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1615 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1627
-/* 1619 */    MCD_OPC_CheckPredicate, 15, 138, 50, // Skip to: 14561
-/* 1623 */    MCD_OPC_Decode, 185, 13, 95, // Opcode: VRHADDuv4i16
-/* 1627 */    MCD_OPC_FilterValue, 1, 130, 50, // Skip to: 14561
-/* 1631 */    MCD_OPC_CheckPredicate, 15, 126, 50, // Skip to: 14561
-/* 1635 */    MCD_OPC_Decode, 187, 13, 96, // Opcode: VRHADDuv8i16
-/* 1639 */    MCD_OPC_FilterValue, 231, 3, 117, 50, // Skip to: 14561
-/* 1644 */    MCD_OPC_CheckPredicate, 15, 113, 50, // Skip to: 14561
-/* 1648 */    MCD_OPC_CheckField, 6, 1, 0, 107, 50, // Skip to: 14561
-/* 1654 */    MCD_OPC_Decode, 250, 4, 98, // Opcode: VADDWuv4i32
+/* 1619 */    MCD_OPC_CheckPredicate, 15, 125, 50, // Skip to: 14548
+/* 1623 */    MCD_OPC_Decode, 137, 13, 94, // Opcode: VRHADDuv4i16
+/* 1627 */    MCD_OPC_FilterValue, 1, 117, 50, // Skip to: 14548
+/* 1631 */    MCD_OPC_CheckPredicate, 15, 113, 50, // Skip to: 14548
+/* 1635 */    MCD_OPC_Decode, 139, 13, 95, // Opcode: VRHADDuv8i16
+/* 1639 */    MCD_OPC_FilterValue, 231, 3, 104, 50, // Skip to: 14548
+/* 1644 */    MCD_OPC_CheckPredicate, 15, 100, 50, // Skip to: 14548
+/* 1648 */    MCD_OPC_CheckField, 6, 1, 0, 94, 50, // Skip to: 14548
+/* 1654 */    MCD_OPC_Decode, 200, 4, 97, // Opcode: VADDWuv4i32
 /* 1658 */    MCD_OPC_FilterValue, 2, 131, 0, // Skip to: 1793
 /* 1662 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1665 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 1697
 /* 1670 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1673 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1685
-/* 1677 */    MCD_OPC_CheckPredicate, 15, 80, 50, // Skip to: 14561
-/* 1681 */    MCD_OPC_Decode, 232, 6, 95, // Opcode: VHSUBsv4i16
-/* 1685 */    MCD_OPC_FilterValue, 1, 72, 50, // Skip to: 14561
-/* 1689 */    MCD_OPC_CheckPredicate, 15, 68, 50, // Skip to: 14561
-/* 1693 */    MCD_OPC_Decode, 234, 6, 96, // Opcode: VHSUBsv8i16
+/* 1677 */    MCD_OPC_CheckPredicate, 15, 67, 50, // Skip to: 14548
+/* 1681 */    MCD_OPC_Decode, 182, 6, 94, // Opcode: VHSUBsv4i16
+/* 1685 */    MCD_OPC_FilterValue, 1, 59, 50, // Skip to: 14548
+/* 1689 */    MCD_OPC_CheckPredicate, 15, 55, 50, // Skip to: 14548
+/* 1693 */    MCD_OPC_Decode, 184, 6, 95, // Opcode: VHSUBsv8i16
 /* 1697 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 1729
 /* 1702 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1705 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1717
-/* 1709 */    MCD_OPC_CheckPredicate, 15, 48, 50, // Skip to: 14561
-/* 1713 */    MCD_OPC_Decode, 186, 17, 97, // Opcode: VSUBLsv4i32
-/* 1717 */    MCD_OPC_FilterValue, 1, 40, 50, // Skip to: 14561
-/* 1721 */    MCD_OPC_CheckPredicate, 15, 36, 50, // Skip to: 14561
-/* 1725 */    MCD_OPC_Decode, 191, 10, 107, // Opcode: VMLALslsv4i16
+/* 1709 */    MCD_OPC_CheckPredicate, 15, 35, 50, // Skip to: 14548
+/* 1713 */    MCD_OPC_Decode, 138, 17, 96, // Opcode: VSUBLsv4i32
+/* 1717 */    MCD_OPC_FilterValue, 1, 27, 50, // Skip to: 14548
+/* 1721 */    MCD_OPC_CheckPredicate, 15, 23, 50, // Skip to: 14548
+/* 1725 */    MCD_OPC_Decode, 141, 10, 106, // Opcode: VMLALslsv4i16
 /* 1729 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 1761
 /* 1734 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1737 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1749
-/* 1741 */    MCD_OPC_CheckPredicate, 15, 16, 50, // Skip to: 14561
-/* 1745 */    MCD_OPC_Decode, 238, 6, 95, // Opcode: VHSUBuv4i16
-/* 1749 */    MCD_OPC_FilterValue, 1, 8, 50, // Skip to: 14561
-/* 1753 */    MCD_OPC_CheckPredicate, 15, 4, 50, // Skip to: 14561
-/* 1757 */    MCD_OPC_Decode, 240, 6, 96, // Opcode: VHSUBuv8i16
-/* 1761 */    MCD_OPC_FilterValue, 231, 3, 251, 49, // Skip to: 14561
+/* 1741 */    MCD_OPC_CheckPredicate, 15, 3, 50, // Skip to: 14548
+/* 1745 */    MCD_OPC_Decode, 188, 6, 94, // Opcode: VHSUBuv4i16
+/* 1749 */    MCD_OPC_FilterValue, 1, 251, 49, // Skip to: 14548
+/* 1753 */    MCD_OPC_CheckPredicate, 15, 247, 49, // Skip to: 14548
+/* 1757 */    MCD_OPC_Decode, 190, 6, 95, // Opcode: VHSUBuv8i16
+/* 1761 */    MCD_OPC_FilterValue, 231, 3, 238, 49, // Skip to: 14548
 /* 1766 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1769 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1781
-/* 1773 */    MCD_OPC_CheckPredicate, 15, 240, 49, // Skip to: 14561
-/* 1777 */    MCD_OPC_Decode, 189, 17, 97, // Opcode: VSUBLuv4i32
-/* 1781 */    MCD_OPC_FilterValue, 1, 232, 49, // Skip to: 14561
-/* 1785 */    MCD_OPC_CheckPredicate, 15, 228, 49, // Skip to: 14561
-/* 1789 */    MCD_OPC_Decode, 193, 10, 107, // Opcode: VMLALsluv4i16
+/* 1773 */    MCD_OPC_CheckPredicate, 15, 227, 49, // Skip to: 14548
+/* 1777 */    MCD_OPC_Decode, 141, 17, 96, // Opcode: VSUBLuv4i32
+/* 1781 */    MCD_OPC_FilterValue, 1, 219, 49, // Skip to: 14548
+/* 1785 */    MCD_OPC_CheckPredicate, 15, 215, 49, // Skip to: 14548
+/* 1789 */    MCD_OPC_Decode, 143, 10, 106, // Opcode: VMLALsluv4i16
 /* 1793 */    MCD_OPC_FilterValue, 3, 118, 0, // Skip to: 1915
 /* 1797 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1800 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 1832
 /* 1805 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1808 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1820
-/* 1812 */    MCD_OPC_CheckPredicate, 15, 201, 49, // Skip to: 14561
-/* 1816 */    MCD_OPC_Decode, 190, 5, 95, // Opcode: VCGTsv4i16
-/* 1820 */    MCD_OPC_FilterValue, 1, 193, 49, // Skip to: 14561
-/* 1824 */    MCD_OPC_CheckPredicate, 15, 189, 49, // Skip to: 14561
-/* 1828 */    MCD_OPC_Decode, 192, 5, 96, // Opcode: VCGTsv8i16
+/* 1812 */    MCD_OPC_CheckPredicate, 15, 188, 49, // Skip to: 14548
+/* 1816 */    MCD_OPC_Decode, 140, 5, 94, // Opcode: VCGTsv4i16
+/* 1820 */    MCD_OPC_FilterValue, 1, 180, 49, // Skip to: 14548
+/* 1824 */    MCD_OPC_CheckPredicate, 15, 176, 49, // Skip to: 14548
+/* 1828 */    MCD_OPC_Decode, 142, 5, 95, // Opcode: VCGTsv8i16
 /* 1832 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 1864
 /* 1837 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1840 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1852
-/* 1844 */    MCD_OPC_CheckPredicate, 15, 169, 49, // Skip to: 14561
-/* 1848 */    MCD_OPC_Decode, 193, 17, 98, // Opcode: VSUBWsv4i32
-/* 1852 */    MCD_OPC_FilterValue, 1, 161, 49, // Skip to: 14561
-/* 1856 */    MCD_OPC_CheckPredicate, 15, 157, 49, // Skip to: 14561
-/* 1860 */    MCD_OPC_Decode, 152, 12, 107, // Opcode: VQDMLALslv4i16
+/* 1844 */    MCD_OPC_CheckPredicate, 15, 156, 49, // Skip to: 14548
+/* 1848 */    MCD_OPC_Decode, 145, 17, 97, // Opcode: VSUBWsv4i32
+/* 1852 */    MCD_OPC_FilterValue, 1, 148, 49, // Skip to: 14548
+/* 1856 */    MCD_OPC_CheckPredicate, 15, 144, 49, // Skip to: 14548
+/* 1860 */    MCD_OPC_Decode, 232, 11, 106, // Opcode: VQDMLALslv4i16
 /* 1864 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 1896
 /* 1869 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1872 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1884
-/* 1876 */    MCD_OPC_CheckPredicate, 15, 137, 49, // Skip to: 14561
-/* 1880 */    MCD_OPC_Decode, 196, 5, 95, // Opcode: VCGTuv4i16
-/* 1884 */    MCD_OPC_FilterValue, 1, 129, 49, // Skip to: 14561
-/* 1888 */    MCD_OPC_CheckPredicate, 15, 125, 49, // Skip to: 14561
-/* 1892 */    MCD_OPC_Decode, 198, 5, 96, // Opcode: VCGTuv8i16
-/* 1896 */    MCD_OPC_FilterValue, 231, 3, 116, 49, // Skip to: 14561
-/* 1901 */    MCD_OPC_CheckPredicate, 15, 112, 49, // Skip to: 14561
-/* 1905 */    MCD_OPC_CheckField, 6, 1, 0, 106, 49, // Skip to: 14561
-/* 1911 */    MCD_OPC_Decode, 196, 17, 98, // Opcode: VSUBWuv4i32
+/* 1876 */    MCD_OPC_CheckPredicate, 15, 124, 49, // Skip to: 14548
+/* 1880 */    MCD_OPC_Decode, 146, 5, 94, // Opcode: VCGTuv4i16
+/* 1884 */    MCD_OPC_FilterValue, 1, 116, 49, // Skip to: 14548
+/* 1888 */    MCD_OPC_CheckPredicate, 15, 112, 49, // Skip to: 14548
+/* 1892 */    MCD_OPC_Decode, 148, 5, 95, // Opcode: VCGTuv8i16
+/* 1896 */    MCD_OPC_FilterValue, 231, 3, 103, 49, // Skip to: 14548
+/* 1901 */    MCD_OPC_CheckPredicate, 15, 99, 49, // Skip to: 14548
+/* 1905 */    MCD_OPC_CheckField, 6, 1, 0, 93, 49, // Skip to: 14548
+/* 1911 */    MCD_OPC_Decode, 148, 17, 97, // Opcode: VSUBWuv4i32
 /* 1915 */    MCD_OPC_FilterValue, 4, 131, 0, // Skip to: 2050
 /* 1919 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1922 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 1954
 /* 1927 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1930 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1942
-/* 1934 */    MCD_OPC_CheckPredicate, 15, 79, 49, // Skip to: 14561
-/* 1938 */    MCD_OPC_Decode, 179, 14, 99, // Opcode: VSHLsv4i16
-/* 1942 */    MCD_OPC_FilterValue, 1, 71, 49, // Skip to: 14561
-/* 1946 */    MCD_OPC_CheckPredicate, 15, 67, 49, // Skip to: 14561
-/* 1950 */    MCD_OPC_Decode, 181, 14, 100, // Opcode: VSHLsv8i16
+/* 1934 */    MCD_OPC_CheckPredicate, 15, 66, 49, // Skip to: 14548
+/* 1938 */    MCD_OPC_Decode, 131, 14, 98, // Opcode: VSHLsv4i16
+/* 1942 */    MCD_OPC_FilterValue, 1, 58, 49, // Skip to: 14548
+/* 1946 */    MCD_OPC_CheckPredicate, 15, 54, 49, // Skip to: 14548
+/* 1950 */    MCD_OPC_Decode, 133, 14, 99, // Opcode: VSHLsv8i16
 /* 1954 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 1986
 /* 1959 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1962 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1974
-/* 1966 */    MCD_OPC_CheckPredicate, 15, 47, 49, // Skip to: 14561
-/* 1970 */    MCD_OPC_Decode, 237, 4, 101, // Opcode: VADDHNv4i16
-/* 1974 */    MCD_OPC_FilterValue, 1, 39, 49, // Skip to: 14561
-/* 1978 */    MCD_OPC_CheckPredicate, 15, 35, 49, // Skip to: 14561
-/* 1982 */    MCD_OPC_Decode, 232, 10, 105, // Opcode: VMLSslv4i16
+/* 1966 */    MCD_OPC_CheckPredicate, 15, 34, 49, // Skip to: 14548
+/* 1970 */    MCD_OPC_Decode, 187, 4, 100, // Opcode: VADDHNv4i16
+/* 1974 */    MCD_OPC_FilterValue, 1, 26, 49, // Skip to: 14548
+/* 1978 */    MCD_OPC_CheckPredicate, 15, 22, 49, // Skip to: 14548
+/* 1982 */    MCD_OPC_Decode, 182, 10, 104, // Opcode: VMLSslv4i16
 /* 1986 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 2018
 /* 1991 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 1994 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2006
-/* 1998 */    MCD_OPC_CheckPredicate, 15, 15, 49, // Skip to: 14561
-/* 2002 */    MCD_OPC_Decode, 187, 14, 99, // Opcode: VSHLuv4i16
-/* 2006 */    MCD_OPC_FilterValue, 1, 7, 49, // Skip to: 14561
-/* 2010 */    MCD_OPC_CheckPredicate, 15, 3, 49, // Skip to: 14561
-/* 2014 */    MCD_OPC_Decode, 189, 14, 100, // Opcode: VSHLuv8i16
-/* 2018 */    MCD_OPC_FilterValue, 231, 3, 250, 48, // Skip to: 14561
+/* 1998 */    MCD_OPC_CheckPredicate, 15, 2, 49, // Skip to: 14548
+/* 2002 */    MCD_OPC_Decode, 139, 14, 98, // Opcode: VSHLuv4i16
+/* 2006 */    MCD_OPC_FilterValue, 1, 250, 48, // Skip to: 14548
+/* 2010 */    MCD_OPC_CheckPredicate, 15, 246, 48, // Skip to: 14548
+/* 2014 */    MCD_OPC_Decode, 141, 14, 99, // Opcode: VSHLuv8i16
+/* 2018 */    MCD_OPC_FilterValue, 231, 3, 237, 48, // Skip to: 14548
 /* 2023 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2026 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2038
-/* 2030 */    MCD_OPC_CheckPredicate, 15, 239, 48, // Skip to: 14561
-/* 2034 */    MCD_OPC_Decode, 157, 13, 101, // Opcode: VRADDHNv4i16
-/* 2038 */    MCD_OPC_FilterValue, 1, 231, 48, // Skip to: 14561
-/* 2042 */    MCD_OPC_CheckPredicate, 15, 227, 48, // Skip to: 14561
-/* 2046 */    MCD_OPC_Decode, 234, 10, 106, // Opcode: VMLSslv8i16
+/* 2030 */    MCD_OPC_CheckPredicate, 15, 226, 48, // Skip to: 14548
+/* 2034 */    MCD_OPC_Decode, 237, 12, 100, // Opcode: VRADDHNv4i16
+/* 2038 */    MCD_OPC_FilterValue, 1, 218, 48, // Skip to: 14548
+/* 2042 */    MCD_OPC_CheckPredicate, 15, 214, 48, // Skip to: 14548
+/* 2046 */    MCD_OPC_Decode, 184, 10, 105, // Opcode: VMLSslv8i16
 /* 2050 */    MCD_OPC_FilterValue, 5, 105, 0, // Skip to: 2159
 /* 2054 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2057 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 2089
 /* 2062 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2065 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2077
-/* 2069 */    MCD_OPC_CheckPredicate, 15, 200, 48, // Skip to: 14561
-/* 2073 */    MCD_OPC_Decode, 219, 13, 99, // Opcode: VRSHLsv4i16
-/* 2077 */    MCD_OPC_FilterValue, 1, 192, 48, // Skip to: 14561
-/* 2081 */    MCD_OPC_CheckPredicate, 15, 188, 48, // Skip to: 14561
-/* 2085 */    MCD_OPC_Decode, 221, 13, 100, // Opcode: VRSHLsv8i16
+/* 2069 */    MCD_OPC_CheckPredicate, 15, 187, 48, // Skip to: 14548
+/* 2073 */    MCD_OPC_Decode, 171, 13, 98, // Opcode: VRSHLsv4i16
+/* 2077 */    MCD_OPC_FilterValue, 1, 179, 48, // Skip to: 14548
+/* 2081 */    MCD_OPC_CheckPredicate, 15, 175, 48, // Skip to: 14548
+/* 2085 */    MCD_OPC_Decode, 173, 13, 99, // Opcode: VRSHLsv8i16
 /* 2089 */    MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 2108
-/* 2094 */    MCD_OPC_CheckPredicate, 15, 175, 48, // Skip to: 14561
-/* 2098 */    MCD_OPC_CheckField, 6, 1, 0, 169, 48, // Skip to: 14561
-/* 2104 */    MCD_OPC_Decode, 184, 4, 102, // Opcode: VABALsv4i32
+/* 2094 */    MCD_OPC_CheckPredicate, 15, 162, 48, // Skip to: 14548
+/* 2098 */    MCD_OPC_CheckField, 6, 1, 0, 156, 48, // Skip to: 14548
+/* 2104 */    MCD_OPC_Decode, 134, 4, 101, // Opcode: VABALsv4i32
 /* 2108 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 2140
 /* 2113 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2116 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2128
-/* 2120 */    MCD_OPC_CheckPredicate, 15, 149, 48, // Skip to: 14561
-/* 2124 */    MCD_OPC_Decode, 227, 13, 99, // Opcode: VRSHLuv4i16
-/* 2128 */    MCD_OPC_FilterValue, 1, 141, 48, // Skip to: 14561
-/* 2132 */    MCD_OPC_CheckPredicate, 15, 137, 48, // Skip to: 14561
-/* 2136 */    MCD_OPC_Decode, 229, 13, 100, // Opcode: VRSHLuv8i16
-/* 2140 */    MCD_OPC_FilterValue, 231, 3, 128, 48, // Skip to: 14561
-/* 2145 */    MCD_OPC_CheckPredicate, 15, 124, 48, // Skip to: 14561
-/* 2149 */    MCD_OPC_CheckField, 6, 1, 0, 118, 48, // Skip to: 14561
-/* 2155 */    MCD_OPC_Decode, 187, 4, 102, // Opcode: VABALuv4i32
+/* 2120 */    MCD_OPC_CheckPredicate, 15, 136, 48, // Skip to: 14548
+/* 2124 */    MCD_OPC_Decode, 179, 13, 98, // Opcode: VRSHLuv4i16
+/* 2128 */    MCD_OPC_FilterValue, 1, 128, 48, // Skip to: 14548
+/* 2132 */    MCD_OPC_CheckPredicate, 15, 124, 48, // Skip to: 14548
+/* 2136 */    MCD_OPC_Decode, 181, 13, 99, // Opcode: VRSHLuv8i16
+/* 2140 */    MCD_OPC_FilterValue, 231, 3, 115, 48, // Skip to: 14548
+/* 2145 */    MCD_OPC_CheckPredicate, 15, 111, 48, // Skip to: 14548
+/* 2149 */    MCD_OPC_CheckField, 6, 1, 0, 105, 48, // Skip to: 14548
+/* 2155 */    MCD_OPC_Decode, 137, 4, 101, // Opcode: VABALuv4i32
 /* 2159 */    MCD_OPC_FilterValue, 6, 131, 0, // Skip to: 2294
 /* 2163 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2166 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 2198
 /* 2171 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2174 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2186
-/* 2178 */    MCD_OPC_CheckPredicate, 15, 91, 48, // Skip to: 14561
-/* 2182 */    MCD_OPC_Decode, 161, 10, 95, // Opcode: VMAXsv4i16
-/* 2186 */    MCD_OPC_FilterValue, 1, 83, 48, // Skip to: 14561
-/* 2190 */    MCD_OPC_CheckPredicate, 15, 79, 48, // Skip to: 14561
-/* 2194 */    MCD_OPC_Decode, 163, 10, 96, // Opcode: VMAXsv8i16
+/* 2178 */    MCD_OPC_CheckPredicate, 15, 78, 48, // Skip to: 14548
+/* 2182 */    MCD_OPC_Decode, 239, 9, 94, // Opcode: VMAXsv4i16
+/* 2186 */    MCD_OPC_FilterValue, 1, 70, 48, // Skip to: 14548
+/* 2190 */    MCD_OPC_CheckPredicate, 15, 66, 48, // Skip to: 14548
+/* 2194 */    MCD_OPC_Decode, 241, 9, 95, // Opcode: VMAXsv8i16
 /* 2198 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 2230
 /* 2203 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2206 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2218
-/* 2210 */    MCD_OPC_CheckPredicate, 15, 59, 48, // Skip to: 14561
-/* 2214 */    MCD_OPC_Decode, 183, 17, 101, // Opcode: VSUBHNv4i16
-/* 2218 */    MCD_OPC_FilterValue, 1, 51, 48, // Skip to: 14561
-/* 2222 */    MCD_OPC_CheckPredicate, 15, 47, 48, // Skip to: 14561
-/* 2226 */    MCD_OPC_Decode, 217, 10, 107, // Opcode: VMLSLslsv4i16
+/* 2210 */    MCD_OPC_CheckPredicate, 15, 46, 48, // Skip to: 14548
+/* 2214 */    MCD_OPC_Decode, 135, 17, 100, // Opcode: VSUBHNv4i16
+/* 2218 */    MCD_OPC_FilterValue, 1, 38, 48, // Skip to: 14548
+/* 2222 */    MCD_OPC_CheckPredicate, 15, 34, 48, // Skip to: 14548
+/* 2226 */    MCD_OPC_Decode, 167, 10, 106, // Opcode: VMLSLslsv4i16
 /* 2230 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 2262
 /* 2235 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2238 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2250
-/* 2242 */    MCD_OPC_CheckPredicate, 15, 27, 48, // Skip to: 14561
-/* 2246 */    MCD_OPC_Decode, 167, 10, 95, // Opcode: VMAXuv4i16
-/* 2250 */    MCD_OPC_FilterValue, 1, 19, 48, // Skip to: 14561
-/* 2254 */    MCD_OPC_CheckPredicate, 15, 15, 48, // Skip to: 14561
-/* 2258 */    MCD_OPC_Decode, 169, 10, 96, // Opcode: VMAXuv8i16
-/* 2262 */    MCD_OPC_FilterValue, 231, 3, 6, 48, // Skip to: 14561
+/* 2242 */    MCD_OPC_CheckPredicate, 15, 14, 48, // Skip to: 14548
+/* 2246 */    MCD_OPC_Decode, 245, 9, 94, // Opcode: VMAXuv4i16
+/* 2250 */    MCD_OPC_FilterValue, 1, 6, 48, // Skip to: 14548
+/* 2254 */    MCD_OPC_CheckPredicate, 15, 2, 48, // Skip to: 14548
+/* 2258 */    MCD_OPC_Decode, 247, 9, 95, // Opcode: VMAXuv8i16
+/* 2262 */    MCD_OPC_FilterValue, 231, 3, 249, 47, // Skip to: 14548
 /* 2267 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2270 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2282
-/* 2274 */    MCD_OPC_CheckPredicate, 15, 251, 47, // Skip to: 14561
-/* 2278 */    MCD_OPC_Decode, 145, 14, 101, // Opcode: VRSUBHNv4i16
-/* 2282 */    MCD_OPC_FilterValue, 1, 243, 47, // Skip to: 14561
-/* 2286 */    MCD_OPC_CheckPredicate, 15, 239, 47, // Skip to: 14561
-/* 2290 */    MCD_OPC_Decode, 219, 10, 107, // Opcode: VMLSLsluv4i16
+/* 2274 */    MCD_OPC_CheckPredicate, 15, 238, 47, // Skip to: 14548
+/* 2278 */    MCD_OPC_Decode, 225, 13, 100, // Opcode: VRSUBHNv4i16
+/* 2282 */    MCD_OPC_FilterValue, 1, 230, 47, // Skip to: 14548
+/* 2286 */    MCD_OPC_CheckPredicate, 15, 226, 47, // Skip to: 14548
+/* 2290 */    MCD_OPC_Decode, 169, 10, 106, // Opcode: VMLSLsluv4i16
 /* 2294 */    MCD_OPC_FilterValue, 7, 118, 0, // Skip to: 2416
 /* 2298 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2301 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 2333
 /* 2306 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2309 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2321
-/* 2313 */    MCD_OPC_CheckPredicate, 15, 212, 47, // Skip to: 14561
-/* 2317 */    MCD_OPC_Decode, 211, 4, 95, // Opcode: VABDsv4i16
-/* 2321 */    MCD_OPC_FilterValue, 1, 204, 47, // Skip to: 14561
-/* 2325 */    MCD_OPC_CheckPredicate, 15, 200, 47, // Skip to: 14561
-/* 2329 */    MCD_OPC_Decode, 213, 4, 96, // Opcode: VABDsv8i16
+/* 2313 */    MCD_OPC_CheckPredicate, 15, 199, 47, // Skip to: 14548
+/* 2317 */    MCD_OPC_Decode, 161, 4, 94, // Opcode: VABDsv4i16
+/* 2321 */    MCD_OPC_FilterValue, 1, 191, 47, // Skip to: 14548
+/* 2325 */    MCD_OPC_CheckPredicate, 15, 187, 47, // Skip to: 14548
+/* 2329 */    MCD_OPC_Decode, 163, 4, 95, // Opcode: VABDsv8i16
 /* 2333 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 2365
 /* 2338 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2341 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2353
-/* 2345 */    MCD_OPC_CheckPredicate, 15, 180, 47, // Skip to: 14561
-/* 2349 */    MCD_OPC_Decode, 202, 4, 97, // Opcode: VABDLsv4i32
-/* 2353 */    MCD_OPC_FilterValue, 1, 172, 47, // Skip to: 14561
-/* 2357 */    MCD_OPC_CheckPredicate, 15, 168, 47, // Skip to: 14561
-/* 2361 */    MCD_OPC_Decode, 156, 12, 107, // Opcode: VQDMLSLslv4i16
+/* 2345 */    MCD_OPC_CheckPredicate, 15, 167, 47, // Skip to: 14548
+/* 2349 */    MCD_OPC_Decode, 152, 4, 96, // Opcode: VABDLsv4i32
+/* 2353 */    MCD_OPC_FilterValue, 1, 159, 47, // Skip to: 14548
+/* 2357 */    MCD_OPC_CheckPredicate, 15, 155, 47, // Skip to: 14548
+/* 2361 */    MCD_OPC_Decode, 236, 11, 106, // Opcode: VQDMLSLslv4i16
 /* 2365 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 2397
 /* 2370 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2373 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2385
-/* 2377 */    MCD_OPC_CheckPredicate, 15, 148, 47, // Skip to: 14561
-/* 2381 */    MCD_OPC_Decode, 217, 4, 95, // Opcode: VABDuv4i16
-/* 2385 */    MCD_OPC_FilterValue, 1, 140, 47, // Skip to: 14561
-/* 2389 */    MCD_OPC_CheckPredicate, 15, 136, 47, // Skip to: 14561
-/* 2393 */    MCD_OPC_Decode, 219, 4, 96, // Opcode: VABDuv8i16
-/* 2397 */    MCD_OPC_FilterValue, 231, 3, 127, 47, // Skip to: 14561
-/* 2402 */    MCD_OPC_CheckPredicate, 15, 123, 47, // Skip to: 14561
-/* 2406 */    MCD_OPC_CheckField, 6, 1, 0, 117, 47, // Skip to: 14561
-/* 2412 */    MCD_OPC_Decode, 205, 4, 97, // Opcode: VABDLuv4i32
+/* 2377 */    MCD_OPC_CheckPredicate, 15, 135, 47, // Skip to: 14548
+/* 2381 */    MCD_OPC_Decode, 167, 4, 94, // Opcode: VABDuv4i16
+/* 2385 */    MCD_OPC_FilterValue, 1, 127, 47, // Skip to: 14548
+/* 2389 */    MCD_OPC_CheckPredicate, 15, 123, 47, // Skip to: 14548
+/* 2393 */    MCD_OPC_Decode, 169, 4, 95, // Opcode: VABDuv8i16
+/* 2397 */    MCD_OPC_FilterValue, 231, 3, 114, 47, // Skip to: 14548
+/* 2402 */    MCD_OPC_CheckPredicate, 15, 110, 47, // Skip to: 14548
+/* 2406 */    MCD_OPC_CheckField, 6, 1, 0, 104, 47, // Skip to: 14548
+/* 2412 */    MCD_OPC_Decode, 155, 4, 96, // Opcode: VABDLuv4i32
 /* 2416 */    MCD_OPC_FilterValue, 8, 131, 0, // Skip to: 2551
 /* 2420 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2423 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 2455
 /* 2428 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2431 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2443
-/* 2435 */    MCD_OPC_CheckPredicate, 15, 90, 47, // Skip to: 14561
-/* 2439 */    MCD_OPC_Decode, 130, 5, 95, // Opcode: VADDv4i16
-/* 2443 */    MCD_OPC_FilterValue, 1, 82, 47, // Skip to: 14561
-/* 2447 */    MCD_OPC_CheckPredicate, 15, 78, 47, // Skip to: 14561
-/* 2451 */    MCD_OPC_Decode, 132, 5, 96, // Opcode: VADDv8i16
+/* 2435 */    MCD_OPC_CheckPredicate, 15, 77, 47, // Skip to: 14548
+/* 2439 */    MCD_OPC_Decode, 208, 4, 94, // Opcode: VADDv4i16
+/* 2443 */    MCD_OPC_FilterValue, 1, 69, 47, // Skip to: 14548
+/* 2447 */    MCD_OPC_CheckPredicate, 15, 65, 47, // Skip to: 14548
+/* 2451 */    MCD_OPC_Decode, 210, 4, 95, // Opcode: VADDv8i16
 /* 2455 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 2487
 /* 2460 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2463 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2475
-/* 2467 */    MCD_OPC_CheckPredicate, 15, 58, 47, // Skip to: 14561
-/* 2471 */    MCD_OPC_Decode, 195, 10, 102, // Opcode: VMLALsv4i32
-/* 2475 */    MCD_OPC_FilterValue, 1, 50, 47, // Skip to: 14561
-/* 2479 */    MCD_OPC_CheckPredicate, 15, 46, 47, // Skip to: 14561
-/* 2483 */    MCD_OPC_Decode, 176, 11, 108, // Opcode: VMULslv4i16
+/* 2467 */    MCD_OPC_CheckPredicate, 15, 45, 47, // Skip to: 14548
+/* 2471 */    MCD_OPC_Decode, 145, 10, 101, // Opcode: VMLALsv4i32
+/* 2475 */    MCD_OPC_FilterValue, 1, 37, 47, // Skip to: 14548
+/* 2479 */    MCD_OPC_CheckPredicate, 15, 33, 47, // Skip to: 14548
+/* 2483 */    MCD_OPC_Decode, 128, 11, 107, // Opcode: VMULslv4i16
 /* 2487 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 2519
 /* 2492 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2495 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2507
-/* 2499 */    MCD_OPC_CheckPredicate, 15, 26, 47, // Skip to: 14561
-/* 2503 */    MCD_OPC_Decode, 204, 17, 95, // Opcode: VSUBv4i16
-/* 2507 */    MCD_OPC_FilterValue, 1, 18, 47, // Skip to: 14561
-/* 2511 */    MCD_OPC_CheckPredicate, 15, 14, 47, // Skip to: 14561
-/* 2515 */    MCD_OPC_Decode, 206, 17, 96, // Opcode: VSUBv8i16
-/* 2519 */    MCD_OPC_FilterValue, 231, 3, 5, 47, // Skip to: 14561
+/* 2499 */    MCD_OPC_CheckPredicate, 15, 13, 47, // Skip to: 14548
+/* 2503 */    MCD_OPC_Decode, 156, 17, 94, // Opcode: VSUBv4i16
+/* 2507 */    MCD_OPC_FilterValue, 1, 5, 47, // Skip to: 14548
+/* 2511 */    MCD_OPC_CheckPredicate, 15, 1, 47, // Skip to: 14548
+/* 2515 */    MCD_OPC_Decode, 158, 17, 95, // Opcode: VSUBv8i16
+/* 2519 */    MCD_OPC_FilterValue, 231, 3, 248, 46, // Skip to: 14548
 /* 2524 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2527 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2539
-/* 2531 */    MCD_OPC_CheckPredicate, 15, 250, 46, // Skip to: 14561
-/* 2535 */    MCD_OPC_Decode, 198, 10, 102, // Opcode: VMLALuv4i32
-/* 2539 */    MCD_OPC_FilterValue, 1, 242, 46, // Skip to: 14561
-/* 2543 */    MCD_OPC_CheckPredicate, 15, 238, 46, // Skip to: 14561
-/* 2547 */    MCD_OPC_Decode, 178, 11, 109, // Opcode: VMULslv8i16
+/* 2531 */    MCD_OPC_CheckPredicate, 15, 237, 46, // Skip to: 14548
+/* 2535 */    MCD_OPC_Decode, 148, 10, 101, // Opcode: VMLALuv4i32
+/* 2539 */    MCD_OPC_FilterValue, 1, 229, 46, // Skip to: 14548
+/* 2543 */    MCD_OPC_CheckPredicate, 15, 225, 46, // Skip to: 14548
+/* 2547 */    MCD_OPC_Decode, 130, 11, 108, // Opcode: VMULslv8i16
 /* 2551 */    MCD_OPC_FilterValue, 9, 86, 0, // Skip to: 2641
 /* 2555 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2558 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 2590
 /* 2563 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2566 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2578
-/* 2570 */    MCD_OPC_CheckPredicate, 15, 211, 46, // Skip to: 14561
-/* 2574 */    MCD_OPC_Decode, 211, 10, 103, // Opcode: VMLAv4i16
-/* 2578 */    MCD_OPC_FilterValue, 1, 203, 46, // Skip to: 14561
-/* 2582 */    MCD_OPC_CheckPredicate, 15, 199, 46, // Skip to: 14561
-/* 2586 */    MCD_OPC_Decode, 213, 10, 104, // Opcode: VMLAv8i16
+/* 2570 */    MCD_OPC_CheckPredicate, 15, 198, 46, // Skip to: 14548
+/* 2574 */    MCD_OPC_Decode, 161, 10, 102, // Opcode: VMLAv4i16
+/* 2578 */    MCD_OPC_FilterValue, 1, 190, 46, // Skip to: 14548
+/* 2582 */    MCD_OPC_CheckPredicate, 15, 186, 46, // Skip to: 14548
+/* 2586 */    MCD_OPC_Decode, 163, 10, 103, // Opcode: VMLAv8i16
 /* 2590 */    MCD_OPC_FilterValue, 229, 3, 14, 0, // Skip to: 2609
-/* 2595 */    MCD_OPC_CheckPredicate, 15, 186, 46, // Skip to: 14561
-/* 2599 */    MCD_OPC_CheckField, 6, 1, 0, 180, 46, // Skip to: 14561
-/* 2605 */    MCD_OPC_Decode, 154, 12, 102, // Opcode: VQDMLALv4i32
-/* 2609 */    MCD_OPC_FilterValue, 230, 3, 171, 46, // Skip to: 14561
+/* 2595 */    MCD_OPC_CheckPredicate, 15, 173, 46, // Skip to: 14548
+/* 2599 */    MCD_OPC_CheckField, 6, 1, 0, 167, 46, // Skip to: 14548
+/* 2605 */    MCD_OPC_Decode, 234, 11, 101, // Opcode: VQDMLALv4i32
+/* 2609 */    MCD_OPC_FilterValue, 230, 3, 158, 46, // Skip to: 14548
 /* 2614 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2617 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2629
-/* 2621 */    MCD_OPC_CheckPredicate, 15, 160, 46, // Skip to: 14561
-/* 2625 */    MCD_OPC_Decode, 237, 10, 103, // Opcode: VMLSv4i16
-/* 2629 */    MCD_OPC_FilterValue, 1, 152, 46, // Skip to: 14561
-/* 2633 */    MCD_OPC_CheckPredicate, 15, 148, 46, // Skip to: 14561
-/* 2637 */    MCD_OPC_Decode, 239, 10, 104, // Opcode: VMLSv8i16
+/* 2621 */    MCD_OPC_CheckPredicate, 15, 147, 46, // Skip to: 14548
+/* 2625 */    MCD_OPC_Decode, 187, 10, 102, // Opcode: VMLSv4i16
+/* 2629 */    MCD_OPC_FilterValue, 1, 139, 46, // Skip to: 14548
+/* 2633 */    MCD_OPC_CheckPredicate, 15, 135, 46, // Skip to: 14548
+/* 2637 */    MCD_OPC_Decode, 189, 10, 103, // Opcode: VMLSv8i16
 /* 2641 */    MCD_OPC_FilterValue, 10, 105, 0, // Skip to: 2750
 /* 2645 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2648 */    MCD_OPC_FilterValue, 228, 3, 14, 0, // Skip to: 2667
-/* 2653 */    MCD_OPC_CheckPredicate, 15, 128, 46, // Skip to: 14561
-/* 2657 */    MCD_OPC_CheckField, 6, 1, 0, 122, 46, // Skip to: 14561
-/* 2663 */    MCD_OPC_Decode, 244, 11, 95, // Opcode: VPMAXs16
+/* 2653 */    MCD_OPC_CheckPredicate, 15, 115, 46, // Skip to: 14548
+/* 2657 */    MCD_OPC_CheckField, 6, 1, 0, 109, 46, // Skip to: 14548
+/* 2663 */    MCD_OPC_Decode, 196, 11, 94, // Opcode: VPMAXs16
 /* 2667 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 2699
 /* 2672 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2675 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2687
-/* 2679 */    MCD_OPC_CheckPredicate, 15, 102, 46, // Skip to: 14561
-/* 2683 */    MCD_OPC_Decode, 221, 10, 102, // Opcode: VMLSLsv4i32
-/* 2687 */    MCD_OPC_FilterValue, 1, 94, 46, // Skip to: 14561
-/* 2691 */    MCD_OPC_CheckPredicate, 15, 90, 46, // Skip to: 14561
-/* 2695 */    MCD_OPC_Decode, 159, 11, 110, // Opcode: VMULLslsv4i16
+/* 2679 */    MCD_OPC_CheckPredicate, 15, 89, 46, // Skip to: 14548
+/* 2683 */    MCD_OPC_Decode, 171, 10, 101, // Opcode: VMLSLsv4i32
+/* 2687 */    MCD_OPC_FilterValue, 1, 81, 46, // Skip to: 14548
+/* 2691 */    MCD_OPC_CheckPredicate, 15, 77, 46, // Skip to: 14548
+/* 2695 */    MCD_OPC_Decode, 239, 10, 109, // Opcode: VMULLslsv4i16
 /* 2699 */    MCD_OPC_FilterValue, 230, 3, 14, 0, // Skip to: 2718
-/* 2704 */    MCD_OPC_CheckPredicate, 15, 77, 46, // Skip to: 14561
-/* 2708 */    MCD_OPC_CheckField, 6, 1, 0, 71, 46, // Skip to: 14561
-/* 2714 */    MCD_OPC_Decode, 247, 11, 95, // Opcode: VPMAXu16
-/* 2718 */    MCD_OPC_FilterValue, 231, 3, 62, 46, // Skip to: 14561
+/* 2704 */    MCD_OPC_CheckPredicate, 15, 64, 46, // Skip to: 14548
+/* 2708 */    MCD_OPC_CheckField, 6, 1, 0, 58, 46, // Skip to: 14548
+/* 2714 */    MCD_OPC_Decode, 199, 11, 94, // Opcode: VPMAXu16
+/* 2718 */    MCD_OPC_FilterValue, 231, 3, 49, 46, // Skip to: 14548
 /* 2723 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2726 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2738
-/* 2730 */    MCD_OPC_CheckPredicate, 15, 51, 46, // Skip to: 14561
-/* 2734 */    MCD_OPC_Decode, 224, 10, 102, // Opcode: VMLSLuv4i32
-/* 2738 */    MCD_OPC_FilterValue, 1, 43, 46, // Skip to: 14561
-/* 2742 */    MCD_OPC_CheckPredicate, 15, 39, 46, // Skip to: 14561
-/* 2746 */    MCD_OPC_Decode, 161, 11, 110, // Opcode: VMULLsluv4i16
+/* 2730 */    MCD_OPC_CheckPredicate, 15, 38, 46, // Skip to: 14548
+/* 2734 */    MCD_OPC_Decode, 174, 10, 101, // Opcode: VMLSLuv4i32
+/* 2738 */    MCD_OPC_FilterValue, 1, 30, 46, // Skip to: 14548
+/* 2742 */    MCD_OPC_CheckPredicate, 15, 26, 46, // Skip to: 14548
+/* 2746 */    MCD_OPC_Decode, 241, 10, 109, // Opcode: VMULLsluv4i16
 /* 2750 */    MCD_OPC_FilterValue, 11, 99, 0, // Skip to: 2853
 /* 2754 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2757 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 2789
 /* 2762 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2765 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2777
-/* 2769 */    MCD_OPC_CheckPredicate, 15, 12, 46, // Skip to: 14561
-/* 2773 */    MCD_OPC_Decode, 164, 12, 95, // Opcode: VQDMULHv4i16
-/* 2777 */    MCD_OPC_FilterValue, 1, 4, 46, // Skip to: 14561
-/* 2781 */    MCD_OPC_CheckPredicate, 15, 0, 46, // Skip to: 14561
-/* 2785 */    MCD_OPC_Decode, 166, 12, 96, // Opcode: VQDMULHv8i16
+/* 2769 */    MCD_OPC_CheckPredicate, 15, 255, 45, // Skip to: 14548
+/* 2773 */    MCD_OPC_Decode, 244, 11, 94, // Opcode: VQDMULHv4i16
+/* 2777 */    MCD_OPC_FilterValue, 1, 247, 45, // Skip to: 14548
+/* 2781 */    MCD_OPC_CheckPredicate, 15, 243, 45, // Skip to: 14548
+/* 2785 */    MCD_OPC_Decode, 246, 11, 95, // Opcode: VQDMULHv8i16
 /* 2789 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 2821
 /* 2794 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2797 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2809
-/* 2801 */    MCD_OPC_CheckPredicate, 15, 236, 45, // Skip to: 14561
-/* 2805 */    MCD_OPC_Decode, 158, 12, 102, // Opcode: VQDMLSLv4i32
-/* 2809 */    MCD_OPC_FilterValue, 1, 228, 45, // Skip to: 14561
-/* 2813 */    MCD_OPC_CheckPredicate, 15, 224, 45, // Skip to: 14561
-/* 2817 */    MCD_OPC_Decode, 168, 12, 110, // Opcode: VQDMULLslv4i16
-/* 2821 */    MCD_OPC_FilterValue, 230, 3, 215, 45, // Skip to: 14561
+/* 2801 */    MCD_OPC_CheckPredicate, 15, 223, 45, // Skip to: 14548
+/* 2805 */    MCD_OPC_Decode, 238, 11, 101, // Opcode: VQDMLSLv4i32
+/* 2809 */    MCD_OPC_FilterValue, 1, 215, 45, // Skip to: 14548
+/* 2813 */    MCD_OPC_CheckPredicate, 15, 211, 45, // Skip to: 14548
+/* 2817 */    MCD_OPC_Decode, 248, 11, 109, // Opcode: VQDMULLslv4i16
+/* 2821 */    MCD_OPC_FilterValue, 230, 3, 202, 45, // Skip to: 14548
 /* 2826 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2829 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2841
-/* 2833 */    MCD_OPC_CheckPredicate, 15, 204, 45, // Skip to: 14561
-/* 2837 */    MCD_OPC_Decode, 191, 12, 95, // Opcode: VQRDMULHv4i16
-/* 2841 */    MCD_OPC_FilterValue, 1, 196, 45, // Skip to: 14561
-/* 2845 */    MCD_OPC_CheckPredicate, 15, 192, 45, // Skip to: 14561
-/* 2849 */    MCD_OPC_Decode, 193, 12, 96, // Opcode: VQRDMULHv8i16
+/* 2833 */    MCD_OPC_CheckPredicate, 15, 191, 45, // Skip to: 14548
+/* 2837 */    MCD_OPC_Decode, 143, 12, 94, // Opcode: VQRDMULHv4i16
+/* 2841 */    MCD_OPC_FilterValue, 1, 183, 45, // Skip to: 14548
+/* 2845 */    MCD_OPC_CheckPredicate, 15, 179, 45, // Skip to: 14548
+/* 2849 */    MCD_OPC_Decode, 145, 12, 95, // Opcode: VQRDMULHv8i16
 /* 2853 */    MCD_OPC_FilterValue, 12, 69, 0, // Skip to: 2926
 /* 2857 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2860 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 2893
 /* 2864 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2867 */    MCD_OPC_FilterValue, 229, 3, 8, 0, // Skip to: 2880
-/* 2872 */    MCD_OPC_CheckPredicate, 15, 165, 45, // Skip to: 14561
-/* 2876 */    MCD_OPC_Decode, 163, 11, 97, // Opcode: VMULLsv4i32
-/* 2880 */    MCD_OPC_FilterValue, 231, 3, 156, 45, // Skip to: 14561
-/* 2885 */    MCD_OPC_CheckPredicate, 15, 152, 45, // Skip to: 14561
-/* 2889 */    MCD_OPC_Decode, 166, 11, 97, // Opcode: VMULLuv4i32
-/* 2893 */    MCD_OPC_FilterValue, 1, 144, 45, // Skip to: 14561
+/* 2872 */    MCD_OPC_CheckPredicate, 15, 152, 45, // Skip to: 14548
+/* 2876 */    MCD_OPC_Decode, 243, 10, 96, // Opcode: VMULLsv4i32
+/* 2880 */    MCD_OPC_FilterValue, 231, 3, 143, 45, // Skip to: 14548
+/* 2885 */    MCD_OPC_CheckPredicate, 15, 139, 45, // Skip to: 14548
+/* 2889 */    MCD_OPC_Decode, 246, 10, 96, // Opcode: VMULLuv4i32
+/* 2893 */    MCD_OPC_FilterValue, 1, 131, 45, // Skip to: 14548
 /* 2897 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2900 */    MCD_OPC_FilterValue, 229, 3, 8, 0, // Skip to: 2913
-/* 2905 */    MCD_OPC_CheckPredicate, 15, 132, 45, // Skip to: 14561
-/* 2909 */    MCD_OPC_Decode, 160, 12, 108, // Opcode: VQDMULHslv4i16
-/* 2913 */    MCD_OPC_FilterValue, 231, 3, 123, 45, // Skip to: 14561
-/* 2918 */    MCD_OPC_CheckPredicate, 15, 119, 45, // Skip to: 14561
-/* 2922 */    MCD_OPC_Decode, 162, 12, 109, // Opcode: VQDMULHslv8i16
-/* 2926 */    MCD_OPC_FilterValue, 13, 111, 45, // Skip to: 14561
+/* 2905 */    MCD_OPC_CheckPredicate, 15, 119, 45, // Skip to: 14548
+/* 2909 */    MCD_OPC_Decode, 240, 11, 107, // Opcode: VQDMULHslv4i16
+/* 2913 */    MCD_OPC_FilterValue, 231, 3, 110, 45, // Skip to: 14548
+/* 2918 */    MCD_OPC_CheckPredicate, 15, 106, 45, // Skip to: 14548
+/* 2922 */    MCD_OPC_Decode, 242, 11, 108, // Opcode: VQDMULHslv8i16
+/* 2926 */    MCD_OPC_FilterValue, 13, 98, 45, // Skip to: 14548
 /* 2930 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 2933 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2952
-/* 2937 */    MCD_OPC_CheckPredicate, 15, 100, 45, // Skip to: 14561
-/* 2941 */    MCD_OPC_CheckField, 23, 9, 229, 3, 93, 45, // Skip to: 14561
-/* 2948 */    MCD_OPC_Decode, 170, 12, 97, // Opcode: VQDMULLv4i32
-/* 2952 */    MCD_OPC_FilterValue, 1, 85, 45, // Skip to: 14561
+/* 2937 */    MCD_OPC_CheckPredicate, 15, 87, 45, // Skip to: 14548
+/* 2941 */    MCD_OPC_CheckField, 23, 9, 229, 3, 80, 45, // Skip to: 14548
+/* 2948 */    MCD_OPC_Decode, 250, 11, 96, // Opcode: VQDMULLv4i32
+/* 2952 */    MCD_OPC_FilterValue, 1, 72, 45, // Skip to: 14548
 /* 2956 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2959 */    MCD_OPC_FilterValue, 229, 3, 8, 0, // Skip to: 2972
-/* 2964 */    MCD_OPC_CheckPredicate, 15, 73, 45, // Skip to: 14561
-/* 2968 */    MCD_OPC_Decode, 187, 12, 108, // Opcode: VQRDMULHslv4i16
-/* 2972 */    MCD_OPC_FilterValue, 231, 3, 64, 45, // Skip to: 14561
-/* 2977 */    MCD_OPC_CheckPredicate, 15, 60, 45, // Skip to: 14561
-/* 2981 */    MCD_OPC_Decode, 189, 12, 109, // Opcode: VQRDMULHslv8i16
+/* 2964 */    MCD_OPC_CheckPredicate, 15, 60, 45, // Skip to: 14548
+/* 2968 */    MCD_OPC_Decode, 139, 12, 107, // Opcode: VQRDMULHslv4i16
+/* 2972 */    MCD_OPC_FilterValue, 231, 3, 51, 45, // Skip to: 14548
+/* 2977 */    MCD_OPC_CheckPredicate, 15, 47, 45, // Skip to: 14548
+/* 2981 */    MCD_OPC_Decode, 141, 12, 108, // Opcode: VQRDMULHslv8i16
 /* 2985 */    MCD_OPC_FilterValue, 2, 47, 7, // Skip to: 4828
 /* 2989 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 2992 */    MCD_OPC_FilterValue, 0, 131, 0, // Skip to: 3127
@@ -2597,2813 +2603,2813 @@
 /* 2999 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3031
 /* 3004 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3007 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3019
-/* 3011 */    MCD_OPC_CheckPredicate, 15, 26, 45, // Skip to: 14561
-/* 3015 */    MCD_OPC_Decode, 219, 6, 95, // Opcode: VHADDsv2i32
-/* 3019 */    MCD_OPC_FilterValue, 1, 18, 45, // Skip to: 14561
-/* 3023 */    MCD_OPC_CheckPredicate, 15, 14, 45, // Skip to: 14561
-/* 3027 */    MCD_OPC_Decode, 221, 6, 96, // Opcode: VHADDsv4i32
+/* 3011 */    MCD_OPC_CheckPredicate, 15, 13, 45, // Skip to: 14548
+/* 3015 */    MCD_OPC_Decode, 169, 6, 94, // Opcode: VHADDsv2i32
+/* 3019 */    MCD_OPC_FilterValue, 1, 5, 45, // Skip to: 14548
+/* 3023 */    MCD_OPC_CheckPredicate, 15, 1, 45, // Skip to: 14548
+/* 3027 */    MCD_OPC_Decode, 171, 6, 95, // Opcode: VHADDsv4i32
 /* 3031 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3063
 /* 3036 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3039 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3051
-/* 3043 */    MCD_OPC_CheckPredicate, 15, 250, 44, // Skip to: 14561
-/* 3047 */    MCD_OPC_Decode, 239, 4, 97, // Opcode: VADDLsv2i64
-/* 3051 */    MCD_OPC_FilterValue, 1, 242, 44, // Skip to: 14561
-/* 3055 */    MCD_OPC_CheckPredicate, 15, 238, 44, // Skip to: 14561
-/* 3059 */    MCD_OPC_Decode, 205, 10, 111, // Opcode: VMLAslv2i32
+/* 3043 */    MCD_OPC_CheckPredicate, 15, 237, 44, // Skip to: 14548
+/* 3047 */    MCD_OPC_Decode, 189, 4, 96, // Opcode: VADDLsv2i64
+/* 3051 */    MCD_OPC_FilterValue, 1, 229, 44, // Skip to: 14548
+/* 3055 */    MCD_OPC_CheckPredicate, 15, 225, 44, // Skip to: 14548
+/* 3059 */    MCD_OPC_Decode, 155, 10, 110, // Opcode: VMLAslv2i32
 /* 3063 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3095
 /* 3068 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3071 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3083
-/* 3075 */    MCD_OPC_CheckPredicate, 15, 218, 44, // Skip to: 14561
-/* 3079 */    MCD_OPC_Decode, 225, 6, 95, // Opcode: VHADDuv2i32
-/* 3083 */    MCD_OPC_FilterValue, 1, 210, 44, // Skip to: 14561
-/* 3087 */    MCD_OPC_CheckPredicate, 15, 206, 44, // Skip to: 14561
-/* 3091 */    MCD_OPC_Decode, 227, 6, 96, // Opcode: VHADDuv4i32
-/* 3095 */    MCD_OPC_FilterValue, 231, 3, 197, 44, // Skip to: 14561
+/* 3075 */    MCD_OPC_CheckPredicate, 15, 205, 44, // Skip to: 14548
+/* 3079 */    MCD_OPC_Decode, 175, 6, 94, // Opcode: VHADDuv2i32
+/* 3083 */    MCD_OPC_FilterValue, 1, 197, 44, // Skip to: 14548
+/* 3087 */    MCD_OPC_CheckPredicate, 15, 193, 44, // Skip to: 14548
+/* 3091 */    MCD_OPC_Decode, 177, 6, 95, // Opcode: VHADDuv4i32
+/* 3095 */    MCD_OPC_FilterValue, 231, 3, 184, 44, // Skip to: 14548
 /* 3100 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3103 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3115
-/* 3107 */    MCD_OPC_CheckPredicate, 15, 186, 44, // Skip to: 14561
-/* 3111 */    MCD_OPC_Decode, 242, 4, 97, // Opcode: VADDLuv2i64
-/* 3115 */    MCD_OPC_FilterValue, 1, 178, 44, // Skip to: 14561
-/* 3119 */    MCD_OPC_CheckPredicate, 15, 174, 44, // Skip to: 14561
-/* 3123 */    MCD_OPC_Decode, 207, 10, 112, // Opcode: VMLAslv4i32
+/* 3107 */    MCD_OPC_CheckPredicate, 15, 173, 44, // Skip to: 14548
+/* 3111 */    MCD_OPC_Decode, 192, 4, 96, // Opcode: VADDLuv2i64
+/* 3115 */    MCD_OPC_FilterValue, 1, 165, 44, // Skip to: 14548
+/* 3119 */    MCD_OPC_CheckPredicate, 15, 161, 44, // Skip to: 14548
+/* 3123 */    MCD_OPC_Decode, 157, 10, 111, // Opcode: VMLAslv4i32
 /* 3127 */    MCD_OPC_FilterValue, 1, 131, 0, // Skip to: 3262
 /* 3131 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3134 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3166
 /* 3139 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3142 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3154
-/* 3146 */    MCD_OPC_CheckPredicate, 15, 147, 44, // Skip to: 14561
-/* 3150 */    MCD_OPC_Decode, 178, 13, 95, // Opcode: VRHADDsv2i32
-/* 3154 */    MCD_OPC_FilterValue, 1, 139, 44, // Skip to: 14561
-/* 3158 */    MCD_OPC_CheckPredicate, 15, 135, 44, // Skip to: 14561
-/* 3162 */    MCD_OPC_Decode, 180, 13, 96, // Opcode: VRHADDsv4i32
+/* 3146 */    MCD_OPC_CheckPredicate, 15, 134, 44, // Skip to: 14548
+/* 3150 */    MCD_OPC_Decode, 130, 13, 94, // Opcode: VRHADDsv2i32
+/* 3154 */    MCD_OPC_FilterValue, 1, 126, 44, // Skip to: 14548
+/* 3158 */    MCD_OPC_CheckPredicate, 15, 122, 44, // Skip to: 14548
+/* 3162 */    MCD_OPC_Decode, 132, 13, 95, // Opcode: VRHADDsv4i32
 /* 3166 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3198
 /* 3171 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3174 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3186
-/* 3178 */    MCD_OPC_CheckPredicate, 15, 115, 44, // Skip to: 14561
-/* 3182 */    MCD_OPC_Decode, 246, 4, 98, // Opcode: VADDWsv2i64
-/* 3186 */    MCD_OPC_FilterValue, 1, 107, 44, // Skip to: 14561
-/* 3190 */    MCD_OPC_CheckPredicate, 15, 103, 44, // Skip to: 14561
-/* 3194 */    MCD_OPC_Decode, 203, 10, 111, // Opcode: VMLAslfd
+/* 3178 */    MCD_OPC_CheckPredicate, 15, 102, 44, // Skip to: 14548
+/* 3182 */    MCD_OPC_Decode, 196, 4, 97, // Opcode: VADDWsv2i64
+/* 3186 */    MCD_OPC_FilterValue, 1, 94, 44, // Skip to: 14548
+/* 3190 */    MCD_OPC_CheckPredicate, 15, 90, 44, // Skip to: 14548
+/* 3194 */    MCD_OPC_Decode, 153, 10, 110, // Opcode: VMLAslfd
 /* 3198 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3230
 /* 3203 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3206 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3218
-/* 3210 */    MCD_OPC_CheckPredicate, 15, 83, 44, // Skip to: 14561
-/* 3214 */    MCD_OPC_Decode, 184, 13, 95, // Opcode: VRHADDuv2i32
-/* 3218 */    MCD_OPC_FilterValue, 1, 75, 44, // Skip to: 14561
-/* 3222 */    MCD_OPC_CheckPredicate, 15, 71, 44, // Skip to: 14561
-/* 3226 */    MCD_OPC_Decode, 186, 13, 96, // Opcode: VRHADDuv4i32
-/* 3230 */    MCD_OPC_FilterValue, 231, 3, 62, 44, // Skip to: 14561
+/* 3210 */    MCD_OPC_CheckPredicate, 15, 70, 44, // Skip to: 14548
+/* 3214 */    MCD_OPC_Decode, 136, 13, 94, // Opcode: VRHADDuv2i32
+/* 3218 */    MCD_OPC_FilterValue, 1, 62, 44, // Skip to: 14548
+/* 3222 */    MCD_OPC_CheckPredicate, 15, 58, 44, // Skip to: 14548
+/* 3226 */    MCD_OPC_Decode, 138, 13, 95, // Opcode: VRHADDuv4i32
+/* 3230 */    MCD_OPC_FilterValue, 231, 3, 49, 44, // Skip to: 14548
 /* 3235 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3238 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3250
-/* 3242 */    MCD_OPC_CheckPredicate, 15, 51, 44, // Skip to: 14561
-/* 3246 */    MCD_OPC_Decode, 249, 4, 98, // Opcode: VADDWuv2i64
-/* 3250 */    MCD_OPC_FilterValue, 1, 43, 44, // Skip to: 14561
-/* 3254 */    MCD_OPC_CheckPredicate, 15, 39, 44, // Skip to: 14561
-/* 3258 */    MCD_OPC_Decode, 204, 10, 112, // Opcode: VMLAslfq
+/* 3242 */    MCD_OPC_CheckPredicate, 15, 38, 44, // Skip to: 14548
+/* 3246 */    MCD_OPC_Decode, 199, 4, 97, // Opcode: VADDWuv2i64
+/* 3250 */    MCD_OPC_FilterValue, 1, 30, 44, // Skip to: 14548
+/* 3254 */    MCD_OPC_CheckPredicate, 15, 26, 44, // Skip to: 14548
+/* 3258 */    MCD_OPC_Decode, 154, 10, 111, // Opcode: VMLAslfq
 /* 3262 */    MCD_OPC_FilterValue, 2, 131, 0, // Skip to: 3397
 /* 3266 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3269 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3301
 /* 3274 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3277 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3289
-/* 3281 */    MCD_OPC_CheckPredicate, 15, 12, 44, // Skip to: 14561
-/* 3285 */    MCD_OPC_Decode, 231, 6, 95, // Opcode: VHSUBsv2i32
-/* 3289 */    MCD_OPC_FilterValue, 1, 4, 44, // Skip to: 14561
-/* 3293 */    MCD_OPC_CheckPredicate, 15, 0, 44, // Skip to: 14561
-/* 3297 */    MCD_OPC_Decode, 233, 6, 96, // Opcode: VHSUBsv4i32
+/* 3281 */    MCD_OPC_CheckPredicate, 15, 255, 43, // Skip to: 14548
+/* 3285 */    MCD_OPC_Decode, 181, 6, 94, // Opcode: VHSUBsv2i32
+/* 3289 */    MCD_OPC_FilterValue, 1, 247, 43, // Skip to: 14548
+/* 3293 */    MCD_OPC_CheckPredicate, 15, 243, 43, // Skip to: 14548
+/* 3297 */    MCD_OPC_Decode, 183, 6, 95, // Opcode: VHSUBsv4i32
 /* 3301 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3333
 /* 3306 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3309 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3321
-/* 3313 */    MCD_OPC_CheckPredicate, 15, 236, 43, // Skip to: 14561
-/* 3317 */    MCD_OPC_Decode, 185, 17, 97, // Opcode: VSUBLsv2i64
-/* 3321 */    MCD_OPC_FilterValue, 1, 228, 43, // Skip to: 14561
-/* 3325 */    MCD_OPC_CheckPredicate, 15, 224, 43, // Skip to: 14561
-/* 3329 */    MCD_OPC_Decode, 190, 10, 113, // Opcode: VMLALslsv2i32
+/* 3313 */    MCD_OPC_CheckPredicate, 15, 223, 43, // Skip to: 14548
+/* 3317 */    MCD_OPC_Decode, 137, 17, 96, // Opcode: VSUBLsv2i64
+/* 3321 */    MCD_OPC_FilterValue, 1, 215, 43, // Skip to: 14548
+/* 3325 */    MCD_OPC_CheckPredicate, 15, 211, 43, // Skip to: 14548
+/* 3329 */    MCD_OPC_Decode, 140, 10, 112, // Opcode: VMLALslsv2i32
 /* 3333 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3365
 /* 3338 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3341 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3353
-/* 3345 */    MCD_OPC_CheckPredicate, 15, 204, 43, // Skip to: 14561
-/* 3349 */    MCD_OPC_Decode, 237, 6, 95, // Opcode: VHSUBuv2i32
-/* 3353 */    MCD_OPC_FilterValue, 1, 196, 43, // Skip to: 14561
-/* 3357 */    MCD_OPC_CheckPredicate, 15, 192, 43, // Skip to: 14561
-/* 3361 */    MCD_OPC_Decode, 239, 6, 96, // Opcode: VHSUBuv4i32
-/* 3365 */    MCD_OPC_FilterValue, 231, 3, 183, 43, // Skip to: 14561
+/* 3345 */    MCD_OPC_CheckPredicate, 15, 191, 43, // Skip to: 14548
+/* 3349 */    MCD_OPC_Decode, 187, 6, 94, // Opcode: VHSUBuv2i32
+/* 3353 */    MCD_OPC_FilterValue, 1, 183, 43, // Skip to: 14548
+/* 3357 */    MCD_OPC_CheckPredicate, 15, 179, 43, // Skip to: 14548
+/* 3361 */    MCD_OPC_Decode, 189, 6, 95, // Opcode: VHSUBuv4i32
+/* 3365 */    MCD_OPC_FilterValue, 231, 3, 170, 43, // Skip to: 14548
 /* 3370 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3373 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3385
-/* 3377 */    MCD_OPC_CheckPredicate, 15, 172, 43, // Skip to: 14561
-/* 3381 */    MCD_OPC_Decode, 188, 17, 97, // Opcode: VSUBLuv2i64
-/* 3385 */    MCD_OPC_FilterValue, 1, 164, 43, // Skip to: 14561
-/* 3389 */    MCD_OPC_CheckPredicate, 15, 160, 43, // Skip to: 14561
-/* 3393 */    MCD_OPC_Decode, 192, 10, 113, // Opcode: VMLALsluv2i32
+/* 3377 */    MCD_OPC_CheckPredicate, 15, 159, 43, // Skip to: 14548
+/* 3381 */    MCD_OPC_Decode, 140, 17, 96, // Opcode: VSUBLuv2i64
+/* 3385 */    MCD_OPC_FilterValue, 1, 151, 43, // Skip to: 14548
+/* 3389 */    MCD_OPC_CheckPredicate, 15, 147, 43, // Skip to: 14548
+/* 3393 */    MCD_OPC_Decode, 142, 10, 112, // Opcode: VMLALsluv2i32
 /* 3397 */    MCD_OPC_FilterValue, 3, 118, 0, // Skip to: 3519
 /* 3401 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3404 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3436
 /* 3409 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3412 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3424
-/* 3416 */    MCD_OPC_CheckPredicate, 15, 133, 43, // Skip to: 14561
-/* 3420 */    MCD_OPC_Decode, 189, 5, 95, // Opcode: VCGTsv2i32
-/* 3424 */    MCD_OPC_FilterValue, 1, 125, 43, // Skip to: 14561
-/* 3428 */    MCD_OPC_CheckPredicate, 15, 121, 43, // Skip to: 14561
-/* 3432 */    MCD_OPC_Decode, 191, 5, 96, // Opcode: VCGTsv4i32
+/* 3416 */    MCD_OPC_CheckPredicate, 15, 120, 43, // Skip to: 14548
+/* 3420 */    MCD_OPC_Decode, 139, 5, 94, // Opcode: VCGTsv2i32
+/* 3424 */    MCD_OPC_FilterValue, 1, 112, 43, // Skip to: 14548
+/* 3428 */    MCD_OPC_CheckPredicate, 15, 108, 43, // Skip to: 14548
+/* 3432 */    MCD_OPC_Decode, 141, 5, 95, // Opcode: VCGTsv4i32
 /* 3436 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3468
 /* 3441 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3444 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3456
-/* 3448 */    MCD_OPC_CheckPredicate, 15, 101, 43, // Skip to: 14561
-/* 3452 */    MCD_OPC_Decode, 192, 17, 98, // Opcode: VSUBWsv2i64
-/* 3456 */    MCD_OPC_FilterValue, 1, 93, 43, // Skip to: 14561
-/* 3460 */    MCD_OPC_CheckPredicate, 15, 89, 43, // Skip to: 14561
-/* 3464 */    MCD_OPC_Decode, 151, 12, 113, // Opcode: VQDMLALslv2i32
+/* 3448 */    MCD_OPC_CheckPredicate, 15, 88, 43, // Skip to: 14548
+/* 3452 */    MCD_OPC_Decode, 144, 17, 97, // Opcode: VSUBWsv2i64
+/* 3456 */    MCD_OPC_FilterValue, 1, 80, 43, // Skip to: 14548
+/* 3460 */    MCD_OPC_CheckPredicate, 15, 76, 43, // Skip to: 14548
+/* 3464 */    MCD_OPC_Decode, 231, 11, 112, // Opcode: VQDMLALslv2i32
 /* 3468 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3500
 /* 3473 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3476 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3488
-/* 3480 */    MCD_OPC_CheckPredicate, 15, 69, 43, // Skip to: 14561
-/* 3484 */    MCD_OPC_Decode, 195, 5, 95, // Opcode: VCGTuv2i32
-/* 3488 */    MCD_OPC_FilterValue, 1, 61, 43, // Skip to: 14561
-/* 3492 */    MCD_OPC_CheckPredicate, 15, 57, 43, // Skip to: 14561
-/* 3496 */    MCD_OPC_Decode, 197, 5, 96, // Opcode: VCGTuv4i32
-/* 3500 */    MCD_OPC_FilterValue, 231, 3, 48, 43, // Skip to: 14561
-/* 3505 */    MCD_OPC_CheckPredicate, 15, 44, 43, // Skip to: 14561
-/* 3509 */    MCD_OPC_CheckField, 6, 1, 0, 38, 43, // Skip to: 14561
-/* 3515 */    MCD_OPC_Decode, 195, 17, 98, // Opcode: VSUBWuv2i64
+/* 3480 */    MCD_OPC_CheckPredicate, 15, 56, 43, // Skip to: 14548
+/* 3484 */    MCD_OPC_Decode, 145, 5, 94, // Opcode: VCGTuv2i32
+/* 3488 */    MCD_OPC_FilterValue, 1, 48, 43, // Skip to: 14548
+/* 3492 */    MCD_OPC_CheckPredicate, 15, 44, 43, // Skip to: 14548
+/* 3496 */    MCD_OPC_Decode, 147, 5, 95, // Opcode: VCGTuv4i32
+/* 3500 */    MCD_OPC_FilterValue, 231, 3, 35, 43, // Skip to: 14548
+/* 3505 */    MCD_OPC_CheckPredicate, 15, 31, 43, // Skip to: 14548
+/* 3509 */    MCD_OPC_CheckField, 6, 1, 0, 25, 43, // Skip to: 14548
+/* 3515 */    MCD_OPC_Decode, 147, 17, 97, // Opcode: VSUBWuv2i64
 /* 3519 */    MCD_OPC_FilterValue, 4, 131, 0, // Skip to: 3654
 /* 3523 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3526 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3558
 /* 3531 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3534 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3546
-/* 3538 */    MCD_OPC_CheckPredicate, 15, 11, 43, // Skip to: 14561
-/* 3542 */    MCD_OPC_Decode, 177, 14, 99, // Opcode: VSHLsv2i32
-/* 3546 */    MCD_OPC_FilterValue, 1, 3, 43, // Skip to: 14561
-/* 3550 */    MCD_OPC_CheckPredicate, 15, 255, 42, // Skip to: 14561
-/* 3554 */    MCD_OPC_Decode, 180, 14, 100, // Opcode: VSHLsv4i32
+/* 3538 */    MCD_OPC_CheckPredicate, 15, 254, 42, // Skip to: 14548
+/* 3542 */    MCD_OPC_Decode, 129, 14, 98, // Opcode: VSHLsv2i32
+/* 3546 */    MCD_OPC_FilterValue, 1, 246, 42, // Skip to: 14548
+/* 3550 */    MCD_OPC_CheckPredicate, 15, 242, 42, // Skip to: 14548
+/* 3554 */    MCD_OPC_Decode, 132, 14, 99, // Opcode: VSHLsv4i32
 /* 3558 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3590
 /* 3563 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3566 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3578
-/* 3570 */    MCD_OPC_CheckPredicate, 15, 235, 42, // Skip to: 14561
-/* 3574 */    MCD_OPC_Decode, 236, 4, 101, // Opcode: VADDHNv2i32
-/* 3578 */    MCD_OPC_FilterValue, 1, 227, 42, // Skip to: 14561
-/* 3582 */    MCD_OPC_CheckPredicate, 15, 223, 42, // Skip to: 14561
-/* 3586 */    MCD_OPC_Decode, 231, 10, 111, // Opcode: VMLSslv2i32
+/* 3570 */    MCD_OPC_CheckPredicate, 15, 222, 42, // Skip to: 14548
+/* 3574 */    MCD_OPC_Decode, 186, 4, 100, // Opcode: VADDHNv2i32
+/* 3578 */    MCD_OPC_FilterValue, 1, 214, 42, // Skip to: 14548
+/* 3582 */    MCD_OPC_CheckPredicate, 15, 210, 42, // Skip to: 14548
+/* 3586 */    MCD_OPC_Decode, 181, 10, 110, // Opcode: VMLSslv2i32
 /* 3590 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3622
 /* 3595 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3598 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3610
-/* 3602 */    MCD_OPC_CheckPredicate, 15, 203, 42, // Skip to: 14561
-/* 3606 */    MCD_OPC_Decode, 185, 14, 99, // Opcode: VSHLuv2i32
-/* 3610 */    MCD_OPC_FilterValue, 1, 195, 42, // Skip to: 14561
-/* 3614 */    MCD_OPC_CheckPredicate, 15, 191, 42, // Skip to: 14561
-/* 3618 */    MCD_OPC_Decode, 188, 14, 100, // Opcode: VSHLuv4i32
-/* 3622 */    MCD_OPC_FilterValue, 231, 3, 182, 42, // Skip to: 14561
+/* 3602 */    MCD_OPC_CheckPredicate, 15, 190, 42, // Skip to: 14548
+/* 3606 */    MCD_OPC_Decode, 137, 14, 98, // Opcode: VSHLuv2i32
+/* 3610 */    MCD_OPC_FilterValue, 1, 182, 42, // Skip to: 14548
+/* 3614 */    MCD_OPC_CheckPredicate, 15, 178, 42, // Skip to: 14548
+/* 3618 */    MCD_OPC_Decode, 140, 14, 99, // Opcode: VSHLuv4i32
+/* 3622 */    MCD_OPC_FilterValue, 231, 3, 169, 42, // Skip to: 14548
 /* 3627 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3630 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3642
-/* 3634 */    MCD_OPC_CheckPredicate, 15, 171, 42, // Skip to: 14561
-/* 3638 */    MCD_OPC_Decode, 156, 13, 101, // Opcode: VRADDHNv2i32
-/* 3642 */    MCD_OPC_FilterValue, 1, 163, 42, // Skip to: 14561
-/* 3646 */    MCD_OPC_CheckPredicate, 15, 159, 42, // Skip to: 14561
-/* 3650 */    MCD_OPC_Decode, 233, 10, 112, // Opcode: VMLSslv4i32
+/* 3634 */    MCD_OPC_CheckPredicate, 15, 158, 42, // Skip to: 14548
+/* 3638 */    MCD_OPC_Decode, 236, 12, 100, // Opcode: VRADDHNv2i32
+/* 3642 */    MCD_OPC_FilterValue, 1, 150, 42, // Skip to: 14548
+/* 3646 */    MCD_OPC_CheckPredicate, 15, 146, 42, // Skip to: 14548
+/* 3650 */    MCD_OPC_Decode, 183, 10, 111, // Opcode: VMLSslv4i32
 /* 3654 */    MCD_OPC_FilterValue, 5, 131, 0, // Skip to: 3789
 /* 3658 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3661 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3693
 /* 3666 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3669 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3681
-/* 3673 */    MCD_OPC_CheckPredicate, 15, 132, 42, // Skip to: 14561
-/* 3677 */    MCD_OPC_Decode, 217, 13, 99, // Opcode: VRSHLsv2i32
-/* 3681 */    MCD_OPC_FilterValue, 1, 124, 42, // Skip to: 14561
-/* 3685 */    MCD_OPC_CheckPredicate, 15, 120, 42, // Skip to: 14561
-/* 3689 */    MCD_OPC_Decode, 220, 13, 100, // Opcode: VRSHLsv4i32
+/* 3673 */    MCD_OPC_CheckPredicate, 15, 119, 42, // Skip to: 14548
+/* 3677 */    MCD_OPC_Decode, 169, 13, 98, // Opcode: VRSHLsv2i32
+/* 3681 */    MCD_OPC_FilterValue, 1, 111, 42, // Skip to: 14548
+/* 3685 */    MCD_OPC_CheckPredicate, 15, 107, 42, // Skip to: 14548
+/* 3689 */    MCD_OPC_Decode, 172, 13, 99, // Opcode: VRSHLsv4i32
 /* 3693 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3725
 /* 3698 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3701 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3713
-/* 3705 */    MCD_OPC_CheckPredicate, 15, 100, 42, // Skip to: 14561
-/* 3709 */    MCD_OPC_Decode, 183, 4, 102, // Opcode: VABALsv2i64
-/* 3713 */    MCD_OPC_FilterValue, 1, 92, 42, // Skip to: 14561
-/* 3717 */    MCD_OPC_CheckPredicate, 15, 88, 42, // Skip to: 14561
-/* 3721 */    MCD_OPC_Decode, 229, 10, 111, // Opcode: VMLSslfd
+/* 3705 */    MCD_OPC_CheckPredicate, 15, 87, 42, // Skip to: 14548
+/* 3709 */    MCD_OPC_Decode, 133, 4, 101, // Opcode: VABALsv2i64
+/* 3713 */    MCD_OPC_FilterValue, 1, 79, 42, // Skip to: 14548
+/* 3717 */    MCD_OPC_CheckPredicate, 15, 75, 42, // Skip to: 14548
+/* 3721 */    MCD_OPC_Decode, 179, 10, 110, // Opcode: VMLSslfd
 /* 3725 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3757
 /* 3730 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3733 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3745
-/* 3737 */    MCD_OPC_CheckPredicate, 15, 68, 42, // Skip to: 14561
-/* 3741 */    MCD_OPC_Decode, 225, 13, 99, // Opcode: VRSHLuv2i32
-/* 3745 */    MCD_OPC_FilterValue, 1, 60, 42, // Skip to: 14561
-/* 3749 */    MCD_OPC_CheckPredicate, 15, 56, 42, // Skip to: 14561
-/* 3753 */    MCD_OPC_Decode, 228, 13, 100, // Opcode: VRSHLuv4i32
-/* 3757 */    MCD_OPC_FilterValue, 231, 3, 47, 42, // Skip to: 14561
+/* 3737 */    MCD_OPC_CheckPredicate, 15, 55, 42, // Skip to: 14548
+/* 3741 */    MCD_OPC_Decode, 177, 13, 98, // Opcode: VRSHLuv2i32
+/* 3745 */    MCD_OPC_FilterValue, 1, 47, 42, // Skip to: 14548
+/* 3749 */    MCD_OPC_CheckPredicate, 15, 43, 42, // Skip to: 14548
+/* 3753 */    MCD_OPC_Decode, 180, 13, 99, // Opcode: VRSHLuv4i32
+/* 3757 */    MCD_OPC_FilterValue, 231, 3, 34, 42, // Skip to: 14548
 /* 3762 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3765 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3777
-/* 3769 */    MCD_OPC_CheckPredicate, 15, 36, 42, // Skip to: 14561
-/* 3773 */    MCD_OPC_Decode, 186, 4, 102, // Opcode: VABALuv2i64
-/* 3777 */    MCD_OPC_FilterValue, 1, 28, 42, // Skip to: 14561
-/* 3781 */    MCD_OPC_CheckPredicate, 15, 24, 42, // Skip to: 14561
-/* 3785 */    MCD_OPC_Decode, 230, 10, 112, // Opcode: VMLSslfq
+/* 3769 */    MCD_OPC_CheckPredicate, 15, 23, 42, // Skip to: 14548
+/* 3773 */    MCD_OPC_Decode, 136, 4, 101, // Opcode: VABALuv2i64
+/* 3777 */    MCD_OPC_FilterValue, 1, 15, 42, // Skip to: 14548
+/* 3781 */    MCD_OPC_CheckPredicate, 15, 11, 42, // Skip to: 14548
+/* 3785 */    MCD_OPC_Decode, 180, 10, 111, // Opcode: VMLSslfq
 /* 3789 */    MCD_OPC_FilterValue, 6, 131, 0, // Skip to: 3924
 /* 3793 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3796 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3828
 /* 3801 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3804 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3816
-/* 3808 */    MCD_OPC_CheckPredicate, 15, 253, 41, // Skip to: 14561
-/* 3812 */    MCD_OPC_Decode, 160, 10, 95, // Opcode: VMAXsv2i32
-/* 3816 */    MCD_OPC_FilterValue, 1, 245, 41, // Skip to: 14561
-/* 3820 */    MCD_OPC_CheckPredicate, 15, 241, 41, // Skip to: 14561
-/* 3824 */    MCD_OPC_Decode, 162, 10, 96, // Opcode: VMAXsv4i32
+/* 3808 */    MCD_OPC_CheckPredicate, 15, 240, 41, // Skip to: 14548
+/* 3812 */    MCD_OPC_Decode, 238, 9, 94, // Opcode: VMAXsv2i32
+/* 3816 */    MCD_OPC_FilterValue, 1, 232, 41, // Skip to: 14548
+/* 3820 */    MCD_OPC_CheckPredicate, 15, 228, 41, // Skip to: 14548
+/* 3824 */    MCD_OPC_Decode, 240, 9, 95, // Opcode: VMAXsv4i32
 /* 3828 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3860
 /* 3833 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3836 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3848
-/* 3840 */    MCD_OPC_CheckPredicate, 15, 221, 41, // Skip to: 14561
-/* 3844 */    MCD_OPC_Decode, 182, 17, 101, // Opcode: VSUBHNv2i32
-/* 3848 */    MCD_OPC_FilterValue, 1, 213, 41, // Skip to: 14561
-/* 3852 */    MCD_OPC_CheckPredicate, 15, 209, 41, // Skip to: 14561
-/* 3856 */    MCD_OPC_Decode, 216, 10, 113, // Opcode: VMLSLslsv2i32
+/* 3840 */    MCD_OPC_CheckPredicate, 15, 208, 41, // Skip to: 14548
+/* 3844 */    MCD_OPC_Decode, 134, 17, 100, // Opcode: VSUBHNv2i32
+/* 3848 */    MCD_OPC_FilterValue, 1, 200, 41, // Skip to: 14548
+/* 3852 */    MCD_OPC_CheckPredicate, 15, 196, 41, // Skip to: 14548
+/* 3856 */    MCD_OPC_Decode, 166, 10, 112, // Opcode: VMLSLslsv2i32
 /* 3860 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 3892
 /* 3865 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3868 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3880
-/* 3872 */    MCD_OPC_CheckPredicate, 15, 189, 41, // Skip to: 14561
-/* 3876 */    MCD_OPC_Decode, 166, 10, 95, // Opcode: VMAXuv2i32
-/* 3880 */    MCD_OPC_FilterValue, 1, 181, 41, // Skip to: 14561
-/* 3884 */    MCD_OPC_CheckPredicate, 15, 177, 41, // Skip to: 14561
-/* 3888 */    MCD_OPC_Decode, 168, 10, 96, // Opcode: VMAXuv4i32
-/* 3892 */    MCD_OPC_FilterValue, 231, 3, 168, 41, // Skip to: 14561
+/* 3872 */    MCD_OPC_CheckPredicate, 15, 176, 41, // Skip to: 14548
+/* 3876 */    MCD_OPC_Decode, 244, 9, 94, // Opcode: VMAXuv2i32
+/* 3880 */    MCD_OPC_FilterValue, 1, 168, 41, // Skip to: 14548
+/* 3884 */    MCD_OPC_CheckPredicate, 15, 164, 41, // Skip to: 14548
+/* 3888 */    MCD_OPC_Decode, 246, 9, 95, // Opcode: VMAXuv4i32
+/* 3892 */    MCD_OPC_FilterValue, 231, 3, 155, 41, // Skip to: 14548
 /* 3897 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3900 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3912
-/* 3904 */    MCD_OPC_CheckPredicate, 15, 157, 41, // Skip to: 14561
-/* 3908 */    MCD_OPC_Decode, 144, 14, 101, // Opcode: VRSUBHNv2i32
-/* 3912 */    MCD_OPC_FilterValue, 1, 149, 41, // Skip to: 14561
-/* 3916 */    MCD_OPC_CheckPredicate, 15, 145, 41, // Skip to: 14561
-/* 3920 */    MCD_OPC_Decode, 218, 10, 113, // Opcode: VMLSLsluv2i32
+/* 3904 */    MCD_OPC_CheckPredicate, 15, 144, 41, // Skip to: 14548
+/* 3908 */    MCD_OPC_Decode, 224, 13, 100, // Opcode: VRSUBHNv2i32
+/* 3912 */    MCD_OPC_FilterValue, 1, 136, 41, // Skip to: 14548
+/* 3916 */    MCD_OPC_CheckPredicate, 15, 132, 41, // Skip to: 14548
+/* 3920 */    MCD_OPC_Decode, 168, 10, 112, // Opcode: VMLSLsluv2i32
 /* 3924 */    MCD_OPC_FilterValue, 7, 118, 0, // Skip to: 4046
 /* 3928 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3931 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 3963
 /* 3936 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3939 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3951
-/* 3943 */    MCD_OPC_CheckPredicate, 15, 118, 41, // Skip to: 14561
-/* 3947 */    MCD_OPC_Decode, 210, 4, 95, // Opcode: VABDsv2i32
-/* 3951 */    MCD_OPC_FilterValue, 1, 110, 41, // Skip to: 14561
-/* 3955 */    MCD_OPC_CheckPredicate, 15, 106, 41, // Skip to: 14561
-/* 3959 */    MCD_OPC_Decode, 212, 4, 96, // Opcode: VABDsv4i32
+/* 3943 */    MCD_OPC_CheckPredicate, 15, 105, 41, // Skip to: 14548
+/* 3947 */    MCD_OPC_Decode, 160, 4, 94, // Opcode: VABDsv2i32
+/* 3951 */    MCD_OPC_FilterValue, 1, 97, 41, // Skip to: 14548
+/* 3955 */    MCD_OPC_CheckPredicate, 15, 93, 41, // Skip to: 14548
+/* 3959 */    MCD_OPC_Decode, 162, 4, 95, // Opcode: VABDsv4i32
 /* 3963 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 3995
 /* 3968 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3971 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3983
-/* 3975 */    MCD_OPC_CheckPredicate, 15, 86, 41, // Skip to: 14561
-/* 3979 */    MCD_OPC_Decode, 201, 4, 97, // Opcode: VABDLsv2i64
-/* 3983 */    MCD_OPC_FilterValue, 1, 78, 41, // Skip to: 14561
-/* 3987 */    MCD_OPC_CheckPredicate, 15, 74, 41, // Skip to: 14561
-/* 3991 */    MCD_OPC_Decode, 155, 12, 113, // Opcode: VQDMLSLslv2i32
+/* 3975 */    MCD_OPC_CheckPredicate, 15, 73, 41, // Skip to: 14548
+/* 3979 */    MCD_OPC_Decode, 151, 4, 96, // Opcode: VABDLsv2i64
+/* 3983 */    MCD_OPC_FilterValue, 1, 65, 41, // Skip to: 14548
+/* 3987 */    MCD_OPC_CheckPredicate, 15, 61, 41, // Skip to: 14548
+/* 3991 */    MCD_OPC_Decode, 235, 11, 112, // Opcode: VQDMLSLslv2i32
 /* 3995 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 4027
 /* 4000 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4003 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4015
-/* 4007 */    MCD_OPC_CheckPredicate, 15, 54, 41, // Skip to: 14561
-/* 4011 */    MCD_OPC_Decode, 216, 4, 95, // Opcode: VABDuv2i32
-/* 4015 */    MCD_OPC_FilterValue, 1, 46, 41, // Skip to: 14561
-/* 4019 */    MCD_OPC_CheckPredicate, 15, 42, 41, // Skip to: 14561
-/* 4023 */    MCD_OPC_Decode, 218, 4, 96, // Opcode: VABDuv4i32
-/* 4027 */    MCD_OPC_FilterValue, 231, 3, 33, 41, // Skip to: 14561
-/* 4032 */    MCD_OPC_CheckPredicate, 15, 29, 41, // Skip to: 14561
-/* 4036 */    MCD_OPC_CheckField, 6, 1, 0, 23, 41, // Skip to: 14561
-/* 4042 */    MCD_OPC_Decode, 204, 4, 97, // Opcode: VABDLuv2i64
+/* 4007 */    MCD_OPC_CheckPredicate, 15, 41, 41, // Skip to: 14548
+/* 4011 */    MCD_OPC_Decode, 166, 4, 94, // Opcode: VABDuv2i32
+/* 4015 */    MCD_OPC_FilterValue, 1, 33, 41, // Skip to: 14548
+/* 4019 */    MCD_OPC_CheckPredicate, 15, 29, 41, // Skip to: 14548
+/* 4023 */    MCD_OPC_Decode, 168, 4, 95, // Opcode: VABDuv4i32
+/* 4027 */    MCD_OPC_FilterValue, 231, 3, 20, 41, // Skip to: 14548
+/* 4032 */    MCD_OPC_CheckPredicate, 15, 16, 41, // Skip to: 14548
+/* 4036 */    MCD_OPC_CheckField, 6, 1, 0, 10, 41, // Skip to: 14548
+/* 4042 */    MCD_OPC_Decode, 154, 4, 96, // Opcode: VABDLuv2i64
 /* 4046 */    MCD_OPC_FilterValue, 8, 131, 0, // Skip to: 4181
 /* 4050 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4053 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 4085
 /* 4058 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4061 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4073
-/* 4065 */    MCD_OPC_CheckPredicate, 15, 252, 40, // Skip to: 14561
-/* 4069 */    MCD_OPC_Decode, 128, 5, 95, // Opcode: VADDv2i32
-/* 4073 */    MCD_OPC_FilterValue, 1, 244, 40, // Skip to: 14561
-/* 4077 */    MCD_OPC_CheckPredicate, 15, 240, 40, // Skip to: 14561
-/* 4081 */    MCD_OPC_Decode, 131, 5, 96, // Opcode: VADDv4i32
+/* 4065 */    MCD_OPC_CheckPredicate, 15, 239, 40, // Skip to: 14548
+/* 4069 */    MCD_OPC_Decode, 206, 4, 94, // Opcode: VADDv2i32
+/* 4073 */    MCD_OPC_FilterValue, 1, 231, 40, // Skip to: 14548
+/* 4077 */    MCD_OPC_CheckPredicate, 15, 227, 40, // Skip to: 14548
+/* 4081 */    MCD_OPC_Decode, 209, 4, 95, // Opcode: VADDv4i32
 /* 4085 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 4117
 /* 4090 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4093 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4105
-/* 4097 */    MCD_OPC_CheckPredicate, 15, 220, 40, // Skip to: 14561
-/* 4101 */    MCD_OPC_Decode, 194, 10, 102, // Opcode: VMLALsv2i64
-/* 4105 */    MCD_OPC_FilterValue, 1, 212, 40, // Skip to: 14561
-/* 4109 */    MCD_OPC_CheckPredicate, 15, 208, 40, // Skip to: 14561
-/* 4113 */    MCD_OPC_Decode, 175, 11, 114, // Opcode: VMULslv2i32
+/* 4097 */    MCD_OPC_CheckPredicate, 15, 207, 40, // Skip to: 14548
+/* 4101 */    MCD_OPC_Decode, 144, 10, 101, // Opcode: VMLALsv2i64
+/* 4105 */    MCD_OPC_FilterValue, 1, 199, 40, // Skip to: 14548
+/* 4109 */    MCD_OPC_CheckPredicate, 15, 195, 40, // Skip to: 14548
+/* 4113 */    MCD_OPC_Decode, 255, 10, 113, // Opcode: VMULslv2i32
 /* 4117 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 4149
 /* 4122 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4125 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4137
-/* 4129 */    MCD_OPC_CheckPredicate, 15, 188, 40, // Skip to: 14561
-/* 4133 */    MCD_OPC_Decode, 202, 17, 95, // Opcode: VSUBv2i32
-/* 4137 */    MCD_OPC_FilterValue, 1, 180, 40, // Skip to: 14561
-/* 4141 */    MCD_OPC_CheckPredicate, 15, 176, 40, // Skip to: 14561
-/* 4145 */    MCD_OPC_Decode, 205, 17, 96, // Opcode: VSUBv4i32
-/* 4149 */    MCD_OPC_FilterValue, 231, 3, 167, 40, // Skip to: 14561
+/* 4129 */    MCD_OPC_CheckPredicate, 15, 175, 40, // Skip to: 14548
+/* 4133 */    MCD_OPC_Decode, 154, 17, 94, // Opcode: VSUBv2i32
+/* 4137 */    MCD_OPC_FilterValue, 1, 167, 40, // Skip to: 14548
+/* 4141 */    MCD_OPC_CheckPredicate, 15, 163, 40, // Skip to: 14548
+/* 4145 */    MCD_OPC_Decode, 157, 17, 95, // Opcode: VSUBv4i32
+/* 4149 */    MCD_OPC_FilterValue, 231, 3, 154, 40, // Skip to: 14548
 /* 4154 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4157 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4169
-/* 4161 */    MCD_OPC_CheckPredicate, 15, 156, 40, // Skip to: 14561
-/* 4165 */    MCD_OPC_Decode, 197, 10, 102, // Opcode: VMLALuv2i64
-/* 4169 */    MCD_OPC_FilterValue, 1, 148, 40, // Skip to: 14561
-/* 4173 */    MCD_OPC_CheckPredicate, 15, 144, 40, // Skip to: 14561
-/* 4177 */    MCD_OPC_Decode, 177, 11, 115, // Opcode: VMULslv4i32
+/* 4161 */    MCD_OPC_CheckPredicate, 15, 143, 40, // Skip to: 14548
+/* 4165 */    MCD_OPC_Decode, 147, 10, 101, // Opcode: VMLALuv2i64
+/* 4169 */    MCD_OPC_FilterValue, 1, 135, 40, // Skip to: 14548
+/* 4173 */    MCD_OPC_CheckPredicate, 15, 131, 40, // Skip to: 14548
+/* 4177 */    MCD_OPC_Decode, 129, 11, 114, // Opcode: VMULslv4i32
 /* 4181 */    MCD_OPC_FilterValue, 9, 118, 0, // Skip to: 4303
 /* 4185 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4188 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 4220
 /* 4193 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4196 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4208
-/* 4200 */    MCD_OPC_CheckPredicate, 15, 117, 40, // Skip to: 14561
-/* 4204 */    MCD_OPC_Decode, 210, 10, 103, // Opcode: VMLAv2i32
-/* 4208 */    MCD_OPC_FilterValue, 1, 109, 40, // Skip to: 14561
-/* 4212 */    MCD_OPC_CheckPredicate, 15, 105, 40, // Skip to: 14561
-/* 4216 */    MCD_OPC_Decode, 212, 10, 104, // Opcode: VMLAv4i32
+/* 4200 */    MCD_OPC_CheckPredicate, 15, 104, 40, // Skip to: 14548
+/* 4204 */    MCD_OPC_Decode, 160, 10, 102, // Opcode: VMLAv2i32
+/* 4208 */    MCD_OPC_FilterValue, 1, 96, 40, // Skip to: 14548
+/* 4212 */    MCD_OPC_CheckPredicate, 15, 92, 40, // Skip to: 14548
+/* 4216 */    MCD_OPC_Decode, 162, 10, 103, // Opcode: VMLAv4i32
 /* 4220 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 4252
 /* 4225 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4228 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4240
-/* 4232 */    MCD_OPC_CheckPredicate, 15, 85, 40, // Skip to: 14561
-/* 4236 */    MCD_OPC_Decode, 153, 12, 102, // Opcode: VQDMLALv2i64
-/* 4240 */    MCD_OPC_FilterValue, 1, 77, 40, // Skip to: 14561
-/* 4244 */    MCD_OPC_CheckPredicate, 15, 73, 40, // Skip to: 14561
-/* 4248 */    MCD_OPC_Decode, 173, 11, 114, // Opcode: VMULslfd
+/* 4232 */    MCD_OPC_CheckPredicate, 15, 72, 40, // Skip to: 14548
+/* 4236 */    MCD_OPC_Decode, 233, 11, 101, // Opcode: VQDMLALv2i64
+/* 4240 */    MCD_OPC_FilterValue, 1, 64, 40, // Skip to: 14548
+/* 4244 */    MCD_OPC_CheckPredicate, 15, 60, 40, // Skip to: 14548
+/* 4248 */    MCD_OPC_Decode, 253, 10, 113, // Opcode: VMULslfd
 /* 4252 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 4284
 /* 4257 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4260 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4272
-/* 4264 */    MCD_OPC_CheckPredicate, 15, 53, 40, // Skip to: 14561
-/* 4268 */    MCD_OPC_Decode, 236, 10, 103, // Opcode: VMLSv2i32
-/* 4272 */    MCD_OPC_FilterValue, 1, 45, 40, // Skip to: 14561
-/* 4276 */    MCD_OPC_CheckPredicate, 15, 41, 40, // Skip to: 14561
-/* 4280 */    MCD_OPC_Decode, 238, 10, 104, // Opcode: VMLSv4i32
-/* 4284 */    MCD_OPC_FilterValue, 231, 3, 32, 40, // Skip to: 14561
-/* 4289 */    MCD_OPC_CheckPredicate, 15, 28, 40, // Skip to: 14561
-/* 4293 */    MCD_OPC_CheckField, 6, 1, 1, 22, 40, // Skip to: 14561
-/* 4299 */    MCD_OPC_Decode, 174, 11, 115, // Opcode: VMULslfq
+/* 4264 */    MCD_OPC_CheckPredicate, 15, 40, 40, // Skip to: 14548
+/* 4268 */    MCD_OPC_Decode, 186, 10, 102, // Opcode: VMLSv2i32
+/* 4272 */    MCD_OPC_FilterValue, 1, 32, 40, // Skip to: 14548
+/* 4276 */    MCD_OPC_CheckPredicate, 15, 28, 40, // Skip to: 14548
+/* 4280 */    MCD_OPC_Decode, 188, 10, 103, // Opcode: VMLSv4i32
+/* 4284 */    MCD_OPC_FilterValue, 231, 3, 19, 40, // Skip to: 14548
+/* 4289 */    MCD_OPC_CheckPredicate, 15, 15, 40, // Skip to: 14548
+/* 4293 */    MCD_OPC_CheckField, 6, 1, 1, 9, 40, // Skip to: 14548
+/* 4299 */    MCD_OPC_Decode, 254, 10, 114, // Opcode: VMULslfq
 /* 4303 */    MCD_OPC_FilterValue, 10, 105, 0, // Skip to: 4412
 /* 4307 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4310 */    MCD_OPC_FilterValue, 228, 3, 14, 0, // Skip to: 4329
-/* 4315 */    MCD_OPC_CheckPredicate, 15, 2, 40, // Skip to: 14561
-/* 4319 */    MCD_OPC_CheckField, 6, 1, 0, 252, 39, // Skip to: 14561
-/* 4325 */    MCD_OPC_Decode, 245, 11, 95, // Opcode: VPMAXs32
+/* 4315 */    MCD_OPC_CheckPredicate, 15, 245, 39, // Skip to: 14548
+/* 4319 */    MCD_OPC_CheckField, 6, 1, 0, 239, 39, // Skip to: 14548
+/* 4325 */    MCD_OPC_Decode, 197, 11, 94, // Opcode: VPMAXs32
 /* 4329 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 4361
 /* 4334 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4337 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4349
-/* 4341 */    MCD_OPC_CheckPredicate, 15, 232, 39, // Skip to: 14561
-/* 4345 */    MCD_OPC_Decode, 220, 10, 102, // Opcode: VMLSLsv2i64
-/* 4349 */    MCD_OPC_FilterValue, 1, 224, 39, // Skip to: 14561
-/* 4353 */    MCD_OPC_CheckPredicate, 15, 220, 39, // Skip to: 14561
-/* 4357 */    MCD_OPC_Decode, 158, 11, 116, // Opcode: VMULLslsv2i32
+/* 4341 */    MCD_OPC_CheckPredicate, 15, 219, 39, // Skip to: 14548
+/* 4345 */    MCD_OPC_Decode, 170, 10, 101, // Opcode: VMLSLsv2i64
+/* 4349 */    MCD_OPC_FilterValue, 1, 211, 39, // Skip to: 14548
+/* 4353 */    MCD_OPC_CheckPredicate, 15, 207, 39, // Skip to: 14548
+/* 4357 */    MCD_OPC_Decode, 238, 10, 115, // Opcode: VMULLslsv2i32
 /* 4361 */    MCD_OPC_FilterValue, 230, 3, 14, 0, // Skip to: 4380
-/* 4366 */    MCD_OPC_CheckPredicate, 15, 207, 39, // Skip to: 14561
-/* 4370 */    MCD_OPC_CheckField, 6, 1, 0, 201, 39, // Skip to: 14561
-/* 4376 */    MCD_OPC_Decode, 248, 11, 95, // Opcode: VPMAXu32
-/* 4380 */    MCD_OPC_FilterValue, 231, 3, 192, 39, // Skip to: 14561
+/* 4366 */    MCD_OPC_CheckPredicate, 15, 194, 39, // Skip to: 14548
+/* 4370 */    MCD_OPC_CheckField, 6, 1, 0, 188, 39, // Skip to: 14548
+/* 4376 */    MCD_OPC_Decode, 200, 11, 94, // Opcode: VPMAXu32
+/* 4380 */    MCD_OPC_FilterValue, 231, 3, 179, 39, // Skip to: 14548
 /* 4385 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4388 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4400
-/* 4392 */    MCD_OPC_CheckPredicate, 15, 181, 39, // Skip to: 14561
-/* 4396 */    MCD_OPC_Decode, 223, 10, 102, // Opcode: VMLSLuv2i64
-/* 4400 */    MCD_OPC_FilterValue, 1, 173, 39, // Skip to: 14561
-/* 4404 */    MCD_OPC_CheckPredicate, 15, 169, 39, // Skip to: 14561
-/* 4408 */    MCD_OPC_Decode, 160, 11, 116, // Opcode: VMULLsluv2i32
+/* 4392 */    MCD_OPC_CheckPredicate, 15, 168, 39, // Skip to: 14548
+/* 4396 */    MCD_OPC_Decode, 173, 10, 101, // Opcode: VMLSLuv2i64
+/* 4400 */    MCD_OPC_FilterValue, 1, 160, 39, // Skip to: 14548
+/* 4404 */    MCD_OPC_CheckPredicate, 15, 156, 39, // Skip to: 14548
+/* 4408 */    MCD_OPC_Decode, 240, 10, 115, // Opcode: VMULLsluv2i32
 /* 4412 */    MCD_OPC_FilterValue, 11, 99, 0, // Skip to: 4515
 /* 4416 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4419 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 4451
 /* 4424 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4427 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4439
-/* 4431 */    MCD_OPC_CheckPredicate, 15, 142, 39, // Skip to: 14561
-/* 4435 */    MCD_OPC_Decode, 163, 12, 95, // Opcode: VQDMULHv2i32
-/* 4439 */    MCD_OPC_FilterValue, 1, 134, 39, // Skip to: 14561
-/* 4443 */    MCD_OPC_CheckPredicate, 15, 130, 39, // Skip to: 14561
-/* 4447 */    MCD_OPC_Decode, 165, 12, 96, // Opcode: VQDMULHv4i32
+/* 4431 */    MCD_OPC_CheckPredicate, 15, 129, 39, // Skip to: 14548
+/* 4435 */    MCD_OPC_Decode, 243, 11, 94, // Opcode: VQDMULHv2i32
+/* 4439 */    MCD_OPC_FilterValue, 1, 121, 39, // Skip to: 14548
+/* 4443 */    MCD_OPC_CheckPredicate, 15, 117, 39, // Skip to: 14548
+/* 4447 */    MCD_OPC_Decode, 245, 11, 95, // Opcode: VQDMULHv4i32
 /* 4451 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 4483
 /* 4456 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4459 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4471
-/* 4463 */    MCD_OPC_CheckPredicate, 15, 110, 39, // Skip to: 14561
-/* 4467 */    MCD_OPC_Decode, 157, 12, 102, // Opcode: VQDMLSLv2i64
-/* 4471 */    MCD_OPC_FilterValue, 1, 102, 39, // Skip to: 14561
-/* 4475 */    MCD_OPC_CheckPredicate, 15, 98, 39, // Skip to: 14561
-/* 4479 */    MCD_OPC_Decode, 167, 12, 116, // Opcode: VQDMULLslv2i32
-/* 4483 */    MCD_OPC_FilterValue, 230, 3, 89, 39, // Skip to: 14561
+/* 4463 */    MCD_OPC_CheckPredicate, 15, 97, 39, // Skip to: 14548
+/* 4467 */    MCD_OPC_Decode, 237, 11, 101, // Opcode: VQDMLSLv2i64
+/* 4471 */    MCD_OPC_FilterValue, 1, 89, 39, // Skip to: 14548
+/* 4475 */    MCD_OPC_CheckPredicate, 15, 85, 39, // Skip to: 14548
+/* 4479 */    MCD_OPC_Decode, 247, 11, 115, // Opcode: VQDMULLslv2i32
+/* 4483 */    MCD_OPC_FilterValue, 230, 3, 76, 39, // Skip to: 14548
 /* 4488 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4491 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4503
-/* 4495 */    MCD_OPC_CheckPredicate, 15, 78, 39, // Skip to: 14561
-/* 4499 */    MCD_OPC_Decode, 190, 12, 95, // Opcode: VQRDMULHv2i32
-/* 4503 */    MCD_OPC_FilterValue, 1, 70, 39, // Skip to: 14561
-/* 4507 */    MCD_OPC_CheckPredicate, 15, 66, 39, // Skip to: 14561
-/* 4511 */    MCD_OPC_Decode, 192, 12, 96, // Opcode: VQRDMULHv4i32
+/* 4495 */    MCD_OPC_CheckPredicate, 15, 65, 39, // Skip to: 14548
+/* 4499 */    MCD_OPC_Decode, 142, 12, 94, // Opcode: VQRDMULHv2i32
+/* 4503 */    MCD_OPC_FilterValue, 1, 57, 39, // Skip to: 14548
+/* 4507 */    MCD_OPC_CheckPredicate, 15, 53, 39, // Skip to: 14548
+/* 4511 */    MCD_OPC_Decode, 144, 12, 95, // Opcode: VQRDMULHv4i32
 /* 4515 */    MCD_OPC_FilterValue, 12, 69, 0, // Skip to: 4588
 /* 4519 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4522 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 4555
 /* 4526 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4529 */    MCD_OPC_FilterValue, 229, 3, 8, 0, // Skip to: 4542
-/* 4534 */    MCD_OPC_CheckPredicate, 15, 39, 39, // Skip to: 14561
-/* 4538 */    MCD_OPC_Decode, 162, 11, 97, // Opcode: VMULLsv2i64
-/* 4542 */    MCD_OPC_FilterValue, 231, 3, 30, 39, // Skip to: 14561
-/* 4547 */    MCD_OPC_CheckPredicate, 15, 26, 39, // Skip to: 14561
-/* 4551 */    MCD_OPC_Decode, 165, 11, 97, // Opcode: VMULLuv2i64
-/* 4555 */    MCD_OPC_FilterValue, 1, 18, 39, // Skip to: 14561
+/* 4534 */    MCD_OPC_CheckPredicate, 15, 26, 39, // Skip to: 14548
+/* 4538 */    MCD_OPC_Decode, 242, 10, 96, // Opcode: VMULLsv2i64
+/* 4542 */    MCD_OPC_FilterValue, 231, 3, 17, 39, // Skip to: 14548
+/* 4547 */    MCD_OPC_CheckPredicate, 15, 13, 39, // Skip to: 14548
+/* 4551 */    MCD_OPC_Decode, 245, 10, 96, // Opcode: VMULLuv2i64
+/* 4555 */    MCD_OPC_FilterValue, 1, 5, 39, // Skip to: 14548
 /* 4559 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4562 */    MCD_OPC_FilterValue, 229, 3, 8, 0, // Skip to: 4575
-/* 4567 */    MCD_OPC_CheckPredicate, 15, 6, 39, // Skip to: 14561
-/* 4571 */    MCD_OPC_Decode, 159, 12, 114, // Opcode: VQDMULHslv2i32
-/* 4575 */    MCD_OPC_FilterValue, 231, 3, 253, 38, // Skip to: 14561
-/* 4580 */    MCD_OPC_CheckPredicate, 15, 249, 38, // Skip to: 14561
-/* 4584 */    MCD_OPC_Decode, 161, 12, 115, // Opcode: VQDMULHslv4i32
+/* 4567 */    MCD_OPC_CheckPredicate, 15, 249, 38, // Skip to: 14548
+/* 4571 */    MCD_OPC_Decode, 239, 11, 113, // Opcode: VQDMULHslv2i32
+/* 4575 */    MCD_OPC_FilterValue, 231, 3, 240, 38, // Skip to: 14548
+/* 4580 */    MCD_OPC_CheckPredicate, 15, 236, 38, // Skip to: 14548
+/* 4584 */    MCD_OPC_Decode, 241, 11, 114, // Opcode: VQDMULHslv4i32
 /* 4588 */    MCD_OPC_FilterValue, 13, 118, 0, // Skip to: 4710
 /* 4592 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4595 */    MCD_OPC_FilterValue, 228, 3, 27, 0, // Skip to: 4627
 /* 4600 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4603 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4615
-/* 4607 */    MCD_OPC_CheckPredicate, 15, 222, 38, // Skip to: 14561
-/* 4611 */    MCD_OPC_Decode, 198, 17, 95, // Opcode: VSUBfd
-/* 4615 */    MCD_OPC_FilterValue, 1, 214, 38, // Skip to: 14561
-/* 4619 */    MCD_OPC_CheckPredicate, 15, 210, 38, // Skip to: 14561
-/* 4623 */    MCD_OPC_Decode, 199, 17, 96, // Opcode: VSUBfq
+/* 4607 */    MCD_OPC_CheckPredicate, 15, 209, 38, // Skip to: 14548
+/* 4611 */    MCD_OPC_Decode, 150, 17, 94, // Opcode: VSUBfd
+/* 4615 */    MCD_OPC_FilterValue, 1, 201, 38, // Skip to: 14548
+/* 4619 */    MCD_OPC_CheckPredicate, 15, 197, 38, // Skip to: 14548
+/* 4623 */    MCD_OPC_Decode, 151, 17, 95, // Opcode: VSUBfq
 /* 4627 */    MCD_OPC_FilterValue, 229, 3, 27, 0, // Skip to: 4659
 /* 4632 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4635 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4647
-/* 4639 */    MCD_OPC_CheckPredicate, 15, 190, 38, // Skip to: 14561
-/* 4643 */    MCD_OPC_Decode, 169, 12, 97, // Opcode: VQDMULLv2i64
-/* 4647 */    MCD_OPC_FilterValue, 1, 182, 38, // Skip to: 14561
-/* 4651 */    MCD_OPC_CheckPredicate, 15, 178, 38, // Skip to: 14561
-/* 4655 */    MCD_OPC_Decode, 186, 12, 114, // Opcode: VQRDMULHslv2i32
+/* 4639 */    MCD_OPC_CheckPredicate, 15, 177, 38, // Skip to: 14548
+/* 4643 */    MCD_OPC_Decode, 249, 11, 96, // Opcode: VQDMULLv2i64
+/* 4647 */    MCD_OPC_FilterValue, 1, 169, 38, // Skip to: 14548
+/* 4651 */    MCD_OPC_CheckPredicate, 15, 165, 38, // Skip to: 14548
+/* 4655 */    MCD_OPC_Decode, 138, 12, 113, // Opcode: VQRDMULHslv2i32
 /* 4659 */    MCD_OPC_FilterValue, 230, 3, 27, 0, // Skip to: 4691
 /* 4664 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4667 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4679
-/* 4671 */    MCD_OPC_CheckPredicate, 15, 158, 38, // Skip to: 14561
-/* 4675 */    MCD_OPC_Decode, 207, 4, 95, // Opcode: VABDfd
-/* 4679 */    MCD_OPC_FilterValue, 1, 150, 38, // Skip to: 14561
-/* 4683 */    MCD_OPC_CheckPredicate, 15, 146, 38, // Skip to: 14561
-/* 4687 */    MCD_OPC_Decode, 208, 4, 96, // Opcode: VABDfq
-/* 4691 */    MCD_OPC_FilterValue, 231, 3, 137, 38, // Skip to: 14561
-/* 4696 */    MCD_OPC_CheckPredicate, 15, 133, 38, // Skip to: 14561
-/* 4700 */    MCD_OPC_CheckField, 6, 1, 1, 127, 38, // Skip to: 14561
-/* 4706 */    MCD_OPC_Decode, 188, 12, 115, // Opcode: VQRDMULHslv4i32
+/* 4671 */    MCD_OPC_CheckPredicate, 15, 145, 38, // Skip to: 14548
+/* 4675 */    MCD_OPC_Decode, 157, 4, 94, // Opcode: VABDfd
+/* 4679 */    MCD_OPC_FilterValue, 1, 137, 38, // Skip to: 14548
+/* 4683 */    MCD_OPC_CheckPredicate, 15, 133, 38, // Skip to: 14548
+/* 4687 */    MCD_OPC_Decode, 158, 4, 95, // Opcode: VABDfq
+/* 4691 */    MCD_OPC_FilterValue, 231, 3, 124, 38, // Skip to: 14548
+/* 4696 */    MCD_OPC_CheckPredicate, 15, 120, 38, // Skip to: 14548
+/* 4700 */    MCD_OPC_CheckField, 6, 1, 1, 114, 38, // Skip to: 14548
+/* 4706 */    MCD_OPC_Decode, 140, 12, 114, // Opcode: VQRDMULHslv4i32
 /* 4710 */    MCD_OPC_FilterValue, 14, 55, 0, // Skip to: 4769
 /* 4714 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4717 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 4750
 /* 4721 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4724 */    MCD_OPC_FilterValue, 229, 3, 8, 0, // Skip to: 4737
-/* 4729 */    MCD_OPC_CheckPredicate, 16, 100, 38, // Skip to: 14561
-/* 4733 */    MCD_OPC_Decode, 156, 11, 97, // Opcode: VMULLp64
-/* 4737 */    MCD_OPC_FilterValue, 230, 3, 91, 38, // Skip to: 14561
-/* 4742 */    MCD_OPC_CheckPredicate, 15, 87, 38, // Skip to: 14561
-/* 4746 */    MCD_OPC_Decode, 186, 5, 95, // Opcode: VCGTfd
-/* 4750 */    MCD_OPC_FilterValue, 1, 79, 38, // Skip to: 14561
-/* 4754 */    MCD_OPC_CheckPredicate, 15, 75, 38, // Skip to: 14561
-/* 4758 */    MCD_OPC_CheckField, 23, 9, 230, 3, 68, 38, // Skip to: 14561
-/* 4765 */    MCD_OPC_Decode, 187, 5, 96, // Opcode: VCGTfq
-/* 4769 */    MCD_OPC_FilterValue, 15, 60, 38, // Skip to: 14561
+/* 4729 */    MCD_OPC_CheckPredicate, 16, 87, 38, // Skip to: 14548
+/* 4733 */    MCD_OPC_Decode, 236, 10, 96, // Opcode: VMULLp64
+/* 4737 */    MCD_OPC_FilterValue, 230, 3, 78, 38, // Skip to: 14548
+/* 4742 */    MCD_OPC_CheckPredicate, 15, 74, 38, // Skip to: 14548
+/* 4746 */    MCD_OPC_Decode, 136, 5, 94, // Opcode: VCGTfd
+/* 4750 */    MCD_OPC_FilterValue, 1, 66, 38, // Skip to: 14548
+/* 4754 */    MCD_OPC_CheckPredicate, 15, 62, 38, // Skip to: 14548
+/* 4758 */    MCD_OPC_CheckField, 23, 9, 230, 3, 55, 38, // Skip to: 14548
+/* 4765 */    MCD_OPC_Decode, 137, 5, 95, // Opcode: VCGTfq
+/* 4769 */    MCD_OPC_FilterValue, 15, 47, 38, // Skip to: 14548
 /* 4773 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4776 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 4809
 /* 4780 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4783 */    MCD_OPC_FilterValue, 228, 3, 8, 0, // Skip to: 4796
-/* 4788 */    MCD_OPC_CheckPredicate, 15, 41, 38, // Skip to: 14561
-/* 4792 */    MCD_OPC_Decode, 175, 10, 95, // Opcode: VMINfd
-/* 4796 */    MCD_OPC_FilterValue, 230, 3, 32, 38, // Skip to: 14561
-/* 4801 */    MCD_OPC_CheckPredicate, 15, 28, 38, // Skip to: 14561
-/* 4805 */    MCD_OPC_Decode, 250, 11, 95, // Opcode: VPMINf
-/* 4809 */    MCD_OPC_FilterValue, 1, 20, 38, // Skip to: 14561
-/* 4813 */    MCD_OPC_CheckPredicate, 15, 16, 38, // Skip to: 14561
-/* 4817 */    MCD_OPC_CheckField, 23, 9, 228, 3, 9, 38, // Skip to: 14561
-/* 4824 */    MCD_OPC_Decode, 176, 10, 96, // Opcode: VMINfq
-/* 4828 */    MCD_OPC_FilterValue, 3, 1, 38, // Skip to: 14561
+/* 4788 */    MCD_OPC_CheckPredicate, 15, 28, 38, // Skip to: 14548
+/* 4792 */    MCD_OPC_Decode, 253, 9, 94, // Opcode: VMINfd
+/* 4796 */    MCD_OPC_FilterValue, 230, 3, 19, 38, // Skip to: 14548
+/* 4801 */    MCD_OPC_CheckPredicate, 15, 15, 38, // Skip to: 14548
+/* 4805 */    MCD_OPC_Decode, 202, 11, 94, // Opcode: VPMINf
+/* 4809 */    MCD_OPC_FilterValue, 1, 7, 38, // Skip to: 14548
+/* 4813 */    MCD_OPC_CheckPredicate, 15, 3, 38, // Skip to: 14548
+/* 4817 */    MCD_OPC_CheckField, 23, 9, 228, 3, 252, 37, // Skip to: 14548
+/* 4824 */    MCD_OPC_Decode, 254, 9, 95, // Opcode: VMINfq
+/* 4828 */    MCD_OPC_FilterValue, 3, 244, 37, // Skip to: 14548
 /* 4832 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4835 */    MCD_OPC_FilterValue, 228, 3, 96, 0, // Skip to: 4936
 /* 4840 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 4843 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 4874
 /* 4847 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4850 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4862
-/* 4854 */    MCD_OPC_CheckPredicate, 15, 231, 37, // Skip to: 14561
-/* 4858 */    MCD_OPC_Decode, 176, 14, 99, // Opcode: VSHLsv1i64
-/* 4862 */    MCD_OPC_FilterValue, 1, 223, 37, // Skip to: 14561
-/* 4866 */    MCD_OPC_CheckPredicate, 15, 219, 37, // Skip to: 14561
-/* 4870 */    MCD_OPC_Decode, 178, 14, 100, // Opcode: VSHLsv2i64
+/* 4854 */    MCD_OPC_CheckPredicate, 15, 218, 37, // Skip to: 14548
+/* 4858 */    MCD_OPC_Decode, 128, 14, 98, // Opcode: VSHLsv1i64
+/* 4862 */    MCD_OPC_FilterValue, 1, 210, 37, // Skip to: 14548
+/* 4866 */    MCD_OPC_CheckPredicate, 15, 206, 37, // Skip to: 14548
+/* 4870 */    MCD_OPC_Decode, 130, 14, 99, // Opcode: VSHLsv2i64
 /* 4874 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 4905
 /* 4878 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4881 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4893
-/* 4885 */    MCD_OPC_CheckPredicate, 15, 200, 37, // Skip to: 14561
-/* 4889 */    MCD_OPC_Decode, 216, 13, 99, // Opcode: VRSHLsv1i64
-/* 4893 */    MCD_OPC_FilterValue, 1, 192, 37, // Skip to: 14561
-/* 4897 */    MCD_OPC_CheckPredicate, 15, 188, 37, // Skip to: 14561
-/* 4901 */    MCD_OPC_Decode, 218, 13, 100, // Opcode: VRSHLsv2i64
-/* 4905 */    MCD_OPC_FilterValue, 8, 180, 37, // Skip to: 14561
+/* 4885 */    MCD_OPC_CheckPredicate, 15, 187, 37, // Skip to: 14548
+/* 4889 */    MCD_OPC_Decode, 168, 13, 98, // Opcode: VRSHLsv1i64
+/* 4893 */    MCD_OPC_FilterValue, 1, 179, 37, // Skip to: 14548
+/* 4897 */    MCD_OPC_CheckPredicate, 15, 175, 37, // Skip to: 14548
+/* 4901 */    MCD_OPC_Decode, 170, 13, 99, // Opcode: VRSHLsv2i64
+/* 4905 */    MCD_OPC_FilterValue, 8, 167, 37, // Skip to: 14548
 /* 4909 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4912 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4924
-/* 4916 */    MCD_OPC_CheckPredicate, 15, 169, 37, // Skip to: 14561
-/* 4920 */    MCD_OPC_Decode, 255, 4, 95, // Opcode: VADDv1i64
-/* 4924 */    MCD_OPC_FilterValue, 1, 161, 37, // Skip to: 14561
-/* 4928 */    MCD_OPC_CheckPredicate, 15, 157, 37, // Skip to: 14561
-/* 4932 */    MCD_OPC_Decode, 129, 5, 96, // Opcode: VADDv2i64
+/* 4916 */    MCD_OPC_CheckPredicate, 15, 156, 37, // Skip to: 14548
+/* 4920 */    MCD_OPC_Decode, 205, 4, 94, // Opcode: VADDv1i64
+/* 4924 */    MCD_OPC_FilterValue, 1, 148, 37, // Skip to: 14548
+/* 4928 */    MCD_OPC_CheckPredicate, 15, 144, 37, // Skip to: 14548
+/* 4932 */    MCD_OPC_Decode, 207, 4, 95, // Opcode: VADDv2i64
 /* 4936 */    MCD_OPC_FilterValue, 229, 3, 104, 0, // Skip to: 5045
 /* 4941 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4944 */    MCD_OPC_FilterValue, 0, 43, 0, // Skip to: 4991
 /* 4948 */    MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
-/* 4951 */    MCD_OPC_FilterValue, 0, 134, 37, // Skip to: 14561
+/* 4951 */    MCD_OPC_FilterValue, 0, 121, 37, // Skip to: 14548
 /* 4955 */    MCD_OPC_CheckPredicate, 15, 10, 0, // Skip to: 4969
 /* 4959 */    MCD_OPC_CheckField, 8, 2, 0, 4, 0, // Skip to: 4969
-/* 4965 */    MCD_OPC_Decode, 195, 6, 117, // Opcode: VEXTd32
+/* 4965 */    MCD_OPC_Decode, 145, 6, 116, // Opcode: VEXTd32
 /* 4969 */    MCD_OPC_CheckPredicate, 15, 10, 0, // Skip to: 4983
 /* 4973 */    MCD_OPC_CheckField, 8, 1, 0, 4, 0, // Skip to: 4983
-/* 4979 */    MCD_OPC_Decode, 194, 6, 118, // Opcode: VEXTd16
-/* 4983 */    MCD_OPC_CheckPredicate, 15, 102, 37, // Skip to: 14561
-/* 4987 */    MCD_OPC_Decode, 196, 6, 119, // Opcode: VEXTd8
-/* 4991 */    MCD_OPC_FilterValue, 1, 94, 37, // Skip to: 14561
+/* 4979 */    MCD_OPC_Decode, 144, 6, 117, // Opcode: VEXTd16
+/* 4983 */    MCD_OPC_CheckPredicate, 15, 89, 37, // Skip to: 14548
+/* 4987 */    MCD_OPC_Decode, 146, 6, 118, // Opcode: VEXTd8
+/* 4991 */    MCD_OPC_FilterValue, 1, 81, 37, // Skip to: 14548
 /* 4995 */    MCD_OPC_CheckPredicate, 15, 10, 0, // Skip to: 5009
 /* 4999 */    MCD_OPC_CheckField, 8, 3, 0, 4, 0, // Skip to: 5009
-/* 5005 */    MCD_OPC_Decode, 199, 6, 120, // Opcode: VEXTq64
+/* 5005 */    MCD_OPC_Decode, 149, 6, 119, // Opcode: VEXTq64
 /* 5009 */    MCD_OPC_CheckPredicate, 15, 10, 0, // Skip to: 5023
 /* 5013 */    MCD_OPC_CheckField, 8, 2, 0, 4, 0, // Skip to: 5023
-/* 5019 */    MCD_OPC_Decode, 198, 6, 121, // Opcode: VEXTq32
+/* 5019 */    MCD_OPC_Decode, 148, 6, 120, // Opcode: VEXTq32
 /* 5023 */    MCD_OPC_CheckPredicate, 15, 10, 0, // Skip to: 5037
 /* 5027 */    MCD_OPC_CheckField, 8, 1, 0, 4, 0, // Skip to: 5037
-/* 5033 */    MCD_OPC_Decode, 197, 6, 122, // Opcode: VEXTq16
-/* 5037 */    MCD_OPC_CheckPredicate, 15, 48, 37, // Skip to: 14561
-/* 5041 */    MCD_OPC_Decode, 200, 6, 123, // Opcode: VEXTq8
+/* 5033 */    MCD_OPC_Decode, 147, 6, 121, // Opcode: VEXTq16
+/* 5037 */    MCD_OPC_CheckPredicate, 15, 35, 37, // Skip to: 14548
+/* 5041 */    MCD_OPC_Decode, 150, 6, 122, // Opcode: VEXTq8
 /* 5045 */    MCD_OPC_FilterValue, 230, 3, 96, 0, // Skip to: 5146
 /* 5050 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 5053 */    MCD_OPC_FilterValue, 4, 27, 0, // Skip to: 5084
 /* 5057 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 5060 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5072
-/* 5064 */    MCD_OPC_CheckPredicate, 15, 21, 37, // Skip to: 14561
-/* 5068 */    MCD_OPC_Decode, 184, 14, 99, // Opcode: VSHLuv1i64
-/* 5072 */    MCD_OPC_FilterValue, 1, 13, 37, // Skip to: 14561
-/* 5076 */    MCD_OPC_CheckPredicate, 15, 9, 37, // Skip to: 14561
-/* 5080 */    MCD_OPC_Decode, 186, 14, 100, // Opcode: VSHLuv2i64
+/* 5064 */    MCD_OPC_CheckPredicate, 15, 8, 37, // Skip to: 14548
+/* 5068 */    MCD_OPC_Decode, 136, 14, 98, // Opcode: VSHLuv1i64
+/* 5072 */    MCD_OPC_FilterValue, 1, 0, 37, // Skip to: 14548
+/* 5076 */    MCD_OPC_CheckPredicate, 15, 252, 36, // Skip to: 14548
+/* 5080 */    MCD_OPC_Decode, 138, 14, 99, // Opcode: VSHLuv2i64
 /* 5084 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 5115
 /* 5088 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 5091 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5103
-/* 5095 */    MCD_OPC_CheckPredicate, 15, 246, 36, // Skip to: 14561
-/* 5099 */    MCD_OPC_Decode, 224, 13, 99, // Opcode: VRSHLuv1i64
-/* 5103 */    MCD_OPC_FilterValue, 1, 238, 36, // Skip to: 14561
-/* 5107 */    MCD_OPC_CheckPredicate, 15, 234, 36, // Skip to: 14561
-/* 5111 */    MCD_OPC_Decode, 226, 13, 100, // Opcode: VRSHLuv2i64
-/* 5115 */    MCD_OPC_FilterValue, 8, 226, 36, // Skip to: 14561
+/* 5095 */    MCD_OPC_CheckPredicate, 15, 233, 36, // Skip to: 14548
+/* 5099 */    MCD_OPC_Decode, 176, 13, 98, // Opcode: VRSHLuv1i64
+/* 5103 */    MCD_OPC_FilterValue, 1, 225, 36, // Skip to: 14548
+/* 5107 */    MCD_OPC_CheckPredicate, 15, 221, 36, // Skip to: 14548
+/* 5111 */    MCD_OPC_Decode, 178, 13, 99, // Opcode: VRSHLuv2i64
+/* 5115 */    MCD_OPC_FilterValue, 8, 213, 36, // Skip to: 14548
 /* 5119 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 5122 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5134
-/* 5126 */    MCD_OPC_CheckPredicate, 15, 215, 36, // Skip to: 14561
-/* 5130 */    MCD_OPC_Decode, 201, 17, 95, // Opcode: VSUBv1i64
-/* 5134 */    MCD_OPC_FilterValue, 1, 207, 36, // Skip to: 14561
-/* 5138 */    MCD_OPC_CheckPredicate, 15, 203, 36, // Skip to: 14561
-/* 5142 */    MCD_OPC_Decode, 203, 17, 96, // Opcode: VSUBv2i64
-/* 5146 */    MCD_OPC_FilterValue, 231, 3, 194, 36, // Skip to: 14561
+/* 5126 */    MCD_OPC_CheckPredicate, 15, 202, 36, // Skip to: 14548
+/* 5130 */    MCD_OPC_Decode, 153, 17, 94, // Opcode: VSUBv1i64
+/* 5134 */    MCD_OPC_FilterValue, 1, 194, 36, // Skip to: 14548
+/* 5138 */    MCD_OPC_CheckPredicate, 15, 190, 36, // Skip to: 14548
+/* 5142 */    MCD_OPC_Decode, 155, 17, 95, // Opcode: VSUBv2i64
+/* 5146 */    MCD_OPC_FilterValue, 231, 3, 181, 36, // Skip to: 14548
 /* 5151 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 5154 */    MCD_OPC_FilterValue, 0, 170, 1, // Skip to: 5584
 /* 5158 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 5161 */    MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 5216
 /* 5165 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5168 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5180
-/* 5172 */    MCD_OPC_CheckPredicate, 15, 169, 36, // Skip to: 14561
-/* 5176 */    MCD_OPC_Decode, 173, 13, 124, // Opcode: VREV64d8
+/* 5172 */    MCD_OPC_CheckPredicate, 15, 156, 36, // Skip to: 14548
+/* 5176 */    MCD_OPC_Decode, 253, 12, 123, // Opcode: VREV64d8
 /* 5180 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5192
-/* 5184 */    MCD_OPC_CheckPredicate, 15, 157, 36, // Skip to: 14561
-/* 5188 */    MCD_OPC_Decode, 176, 13, 125, // Opcode: VREV64q8
+/* 5184 */    MCD_OPC_CheckPredicate, 15, 144, 36, // Skip to: 14548
+/* 5188 */    MCD_OPC_Decode, 128, 13, 124, // Opcode: VREV64q8
 /* 5192 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5204
-/* 5196 */    MCD_OPC_CheckPredicate, 15, 145, 36, // Skip to: 14561
-/* 5200 */    MCD_OPC_Decode, 168, 13, 124, // Opcode: VREV32d8
-/* 5204 */    MCD_OPC_FilterValue, 3, 137, 36, // Skip to: 14561
-/* 5208 */    MCD_OPC_CheckPredicate, 15, 133, 36, // Skip to: 14561
-/* 5212 */    MCD_OPC_Decode, 170, 13, 125, // Opcode: VREV32q8
+/* 5196 */    MCD_OPC_CheckPredicate, 15, 132, 36, // Skip to: 14548
+/* 5200 */    MCD_OPC_Decode, 248, 12, 123, // Opcode: VREV32d8
+/* 5204 */    MCD_OPC_FilterValue, 3, 124, 36, // Skip to: 14548
+/* 5208 */    MCD_OPC_CheckPredicate, 15, 120, 36, // Skip to: 14548
+/* 5212 */    MCD_OPC_Decode, 250, 12, 124, // Opcode: VREV32q8
 /* 5216 */    MCD_OPC_FilterValue, 1, 51, 0, // Skip to: 5271
 /* 5220 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5223 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5235
-/* 5227 */    MCD_OPC_CheckPredicate, 15, 114, 36, // Skip to: 14561
-/* 5231 */    MCD_OPC_Decode, 207, 5, 124, // Opcode: VCGTzv8i8
+/* 5227 */    MCD_OPC_CheckPredicate, 15, 101, 36, // Skip to: 14548
+/* 5231 */    MCD_OPC_Decode, 157, 5, 123, // Opcode: VCGTzv8i8
 /* 5235 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5247
-/* 5239 */    MCD_OPC_CheckPredicate, 15, 102, 36, // Skip to: 14561
-/* 5243 */    MCD_OPC_Decode, 200, 5, 125, // Opcode: VCGTzv16i8
+/* 5239 */    MCD_OPC_CheckPredicate, 15, 89, 36, // Skip to: 14548
+/* 5243 */    MCD_OPC_Decode, 150, 5, 124, // Opcode: VCGTzv16i8
 /* 5247 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5259
-/* 5251 */    MCD_OPC_CheckPredicate, 15, 90, 36, // Skip to: 14561
-/* 5255 */    MCD_OPC_Decode, 185, 5, 124, // Opcode: VCGEzv8i8
-/* 5259 */    MCD_OPC_FilterValue, 3, 82, 36, // Skip to: 14561
-/* 5263 */    MCD_OPC_CheckPredicate, 15, 78, 36, // Skip to: 14561
-/* 5267 */    MCD_OPC_Decode, 178, 5, 125, // Opcode: VCGEzv16i8
+/* 5251 */    MCD_OPC_CheckPredicate, 15, 77, 36, // Skip to: 14548
+/* 5255 */    MCD_OPC_Decode, 135, 5, 123, // Opcode: VCGEzv8i8
+/* 5259 */    MCD_OPC_FilterValue, 3, 69, 36, // Skip to: 14548
+/* 5263 */    MCD_OPC_CheckPredicate, 15, 65, 36, // Skip to: 14548
+/* 5267 */    MCD_OPC_Decode, 128, 5, 124, // Opcode: VCGEzv16i8
 /* 5271 */    MCD_OPC_FilterValue, 2, 51, 0, // Skip to: 5326
 /* 5275 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5278 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5290
-/* 5282 */    MCD_OPC_CheckPredicate, 15, 59, 36, // Skip to: 14561
-/* 5286 */    MCD_OPC_Decode, 208, 17, 126, // Opcode: VSWPd
+/* 5282 */    MCD_OPC_CheckPredicate, 15, 46, 36, // Skip to: 14548
+/* 5286 */    MCD_OPC_Decode, 160, 17, 125, // Opcode: VSWPd
 /* 5290 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5302
-/* 5294 */    MCD_OPC_CheckPredicate, 15, 47, 36, // Skip to: 14561
-/* 5298 */    MCD_OPC_Decode, 209, 17, 127, // Opcode: VSWPq
+/* 5294 */    MCD_OPC_CheckPredicate, 15, 34, 36, // Skip to: 14548
+/* 5298 */    MCD_OPC_Decode, 161, 17, 126, // Opcode: VSWPq
 /* 5302 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5314
-/* 5306 */    MCD_OPC_CheckPredicate, 15, 35, 36, // Skip to: 14561
-/* 5310 */    MCD_OPC_Decode, 240, 17, 126, // Opcode: VTRNd8
-/* 5314 */    MCD_OPC_FilterValue, 3, 27, 36, // Skip to: 14561
-/* 5318 */    MCD_OPC_CheckPredicate, 15, 23, 36, // Skip to: 14561
-/* 5322 */    MCD_OPC_Decode, 243, 17, 127, // Opcode: VTRNq8
+/* 5306 */    MCD_OPC_CheckPredicate, 15, 22, 36, // Skip to: 14548
+/* 5310 */    MCD_OPC_Decode, 192, 17, 125, // Opcode: VTRNd8
+/* 5314 */    MCD_OPC_FilterValue, 3, 14, 36, // Skip to: 14548
+/* 5318 */    MCD_OPC_CheckPredicate, 15, 10, 36, // Skip to: 14548
+/* 5322 */    MCD_OPC_Decode, 195, 17, 126, // Opcode: VTRNq8
 /* 5326 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 5381
 /* 5330 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5333 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5345
-/* 5337 */    MCD_OPC_CheckPredicate, 15, 4, 36, // Skip to: 14561
-/* 5341 */    MCD_OPC_Decode, 171, 13, 124, // Opcode: VREV64d16
+/* 5337 */    MCD_OPC_CheckPredicate, 15, 247, 35, // Skip to: 14548
+/* 5341 */    MCD_OPC_Decode, 251, 12, 123, // Opcode: VREV64d16
 /* 5345 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5357
-/* 5349 */    MCD_OPC_CheckPredicate, 15, 248, 35, // Skip to: 14561
-/* 5353 */    MCD_OPC_Decode, 174, 13, 125, // Opcode: VREV64q16
+/* 5349 */    MCD_OPC_CheckPredicate, 15, 235, 35, // Skip to: 14548
+/* 5353 */    MCD_OPC_Decode, 254, 12, 124, // Opcode: VREV64q16
 /* 5357 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5369
-/* 5361 */    MCD_OPC_CheckPredicate, 15, 236, 35, // Skip to: 14561
-/* 5365 */    MCD_OPC_Decode, 167, 13, 124, // Opcode: VREV32d16
-/* 5369 */    MCD_OPC_FilterValue, 3, 228, 35, // Skip to: 14561
-/* 5373 */    MCD_OPC_CheckPredicate, 15, 224, 35, // Skip to: 14561
-/* 5377 */    MCD_OPC_Decode, 169, 13, 125, // Opcode: VREV32q16
+/* 5361 */    MCD_OPC_CheckPredicate, 15, 223, 35, // Skip to: 14548
+/* 5365 */    MCD_OPC_Decode, 247, 12, 123, // Opcode: VREV32d16
+/* 5369 */    MCD_OPC_FilterValue, 3, 215, 35, // Skip to: 14548
+/* 5373 */    MCD_OPC_CheckPredicate, 15, 211, 35, // Skip to: 14548
+/* 5377 */    MCD_OPC_Decode, 249, 12, 124, // Opcode: VREV32q16
 /* 5381 */    MCD_OPC_FilterValue, 5, 51, 0, // Skip to: 5436
 /* 5385 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5388 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5400
-/* 5392 */    MCD_OPC_CheckPredicate, 15, 205, 35, // Skip to: 14561
-/* 5396 */    MCD_OPC_Decode, 204, 5, 124, // Opcode: VCGTzv4i16
+/* 5392 */    MCD_OPC_CheckPredicate, 15, 192, 35, // Skip to: 14548
+/* 5396 */    MCD_OPC_Decode, 154, 5, 123, // Opcode: VCGTzv4i16
 /* 5400 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5412
-/* 5404 */    MCD_OPC_CheckPredicate, 15, 193, 35, // Skip to: 14561
-/* 5408 */    MCD_OPC_Decode, 206, 5, 125, // Opcode: VCGTzv8i16
+/* 5404 */    MCD_OPC_CheckPredicate, 15, 180, 35, // Skip to: 14548
+/* 5408 */    MCD_OPC_Decode, 156, 5, 124, // Opcode: VCGTzv8i16
 /* 5412 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5424
-/* 5416 */    MCD_OPC_CheckPredicate, 15, 181, 35, // Skip to: 14561
-/* 5420 */    MCD_OPC_Decode, 182, 5, 124, // Opcode: VCGEzv4i16
-/* 5424 */    MCD_OPC_FilterValue, 3, 173, 35, // Skip to: 14561
-/* 5428 */    MCD_OPC_CheckPredicate, 15, 169, 35, // Skip to: 14561
-/* 5432 */    MCD_OPC_Decode, 184, 5, 125, // Opcode: VCGEzv8i16
+/* 5416 */    MCD_OPC_CheckPredicate, 15, 168, 35, // Skip to: 14548
+/* 5420 */    MCD_OPC_Decode, 132, 5, 123, // Opcode: VCGEzv4i16
+/* 5424 */    MCD_OPC_FilterValue, 3, 160, 35, // Skip to: 14548
+/* 5428 */    MCD_OPC_CheckPredicate, 15, 156, 35, // Skip to: 14548
+/* 5432 */    MCD_OPC_Decode, 134, 5, 124, // Opcode: VCGEzv8i16
 /* 5436 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 5467
 /* 5440 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5443 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5455
-/* 5447 */    MCD_OPC_CheckPredicate, 15, 150, 35, // Skip to: 14561
-/* 5451 */    MCD_OPC_Decode, 238, 17, 126, // Opcode: VTRNd16
-/* 5455 */    MCD_OPC_FilterValue, 3, 142, 35, // Skip to: 14561
-/* 5459 */    MCD_OPC_CheckPredicate, 15, 138, 35, // Skip to: 14561
-/* 5463 */    MCD_OPC_Decode, 241, 17, 127, // Opcode: VTRNq16
+/* 5447 */    MCD_OPC_CheckPredicate, 15, 137, 35, // Skip to: 14548
+/* 5451 */    MCD_OPC_Decode, 190, 17, 125, // Opcode: VTRNd16
+/* 5455 */    MCD_OPC_FilterValue, 3, 129, 35, // Skip to: 14548
+/* 5459 */    MCD_OPC_CheckPredicate, 15, 125, 35, // Skip to: 14548
+/* 5463 */    MCD_OPC_Decode, 193, 17, 126, // Opcode: VTRNq16
 /* 5467 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 5498
 /* 5471 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5474 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5486
-/* 5478 */    MCD_OPC_CheckPredicate, 15, 119, 35, // Skip to: 14561
-/* 5482 */    MCD_OPC_Decode, 172, 13, 124, // Opcode: VREV64d32
-/* 5486 */    MCD_OPC_FilterValue, 1, 111, 35, // Skip to: 14561
-/* 5490 */    MCD_OPC_CheckPredicate, 15, 107, 35, // Skip to: 14561
-/* 5494 */    MCD_OPC_Decode, 175, 13, 125, // Opcode: VREV64q32
+/* 5478 */    MCD_OPC_CheckPredicate, 15, 106, 35, // Skip to: 14548
+/* 5482 */    MCD_OPC_Decode, 252, 12, 123, // Opcode: VREV64d32
+/* 5486 */    MCD_OPC_FilterValue, 1, 98, 35, // Skip to: 14548
+/* 5490 */    MCD_OPC_CheckPredicate, 15, 94, 35, // Skip to: 14548
+/* 5494 */    MCD_OPC_Decode, 255, 12, 124, // Opcode: VREV64q32
 /* 5498 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 5553
 /* 5502 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5505 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5517
-/* 5509 */    MCD_OPC_CheckPredicate, 15, 88, 35, // Skip to: 14561
-/* 5513 */    MCD_OPC_Decode, 202, 5, 124, // Opcode: VCGTzv2i32
+/* 5509 */    MCD_OPC_CheckPredicate, 15, 75, 35, // Skip to: 14548
+/* 5513 */    MCD_OPC_Decode, 152, 5, 123, // Opcode: VCGTzv2i32
 /* 5517 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5529
-/* 5521 */    MCD_OPC_CheckPredicate, 15, 76, 35, // Skip to: 14561
-/* 5525 */    MCD_OPC_Decode, 205, 5, 125, // Opcode: VCGTzv4i32
+/* 5521 */    MCD_OPC_CheckPredicate, 15, 63, 35, // Skip to: 14548
+/* 5525 */    MCD_OPC_Decode, 155, 5, 124, // Opcode: VCGTzv4i32
 /* 5529 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5541
-/* 5533 */    MCD_OPC_CheckPredicate, 15, 64, 35, // Skip to: 14561
-/* 5537 */    MCD_OPC_Decode, 180, 5, 124, // Opcode: VCGEzv2i32
-/* 5541 */    MCD_OPC_FilterValue, 3, 56, 35, // Skip to: 14561
-/* 5545 */    MCD_OPC_CheckPredicate, 15, 52, 35, // Skip to: 14561
-/* 5549 */    MCD_OPC_Decode, 183, 5, 125, // Opcode: VCGEzv4i32
-/* 5553 */    MCD_OPC_FilterValue, 10, 44, 35, // Skip to: 14561
+/* 5533 */    MCD_OPC_CheckPredicate, 15, 51, 35, // Skip to: 14548
+/* 5537 */    MCD_OPC_Decode, 130, 5, 123, // Opcode: VCGEzv2i32
+/* 5541 */    MCD_OPC_FilterValue, 3, 43, 35, // Skip to: 14548
+/* 5545 */    MCD_OPC_CheckPredicate, 15, 39, 35, // Skip to: 14548
+/* 5549 */    MCD_OPC_Decode, 133, 5, 124, // Opcode: VCGEzv4i32
+/* 5553 */    MCD_OPC_FilterValue, 10, 31, 35, // Skip to: 14548
 /* 5557 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5560 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5572
-/* 5564 */    MCD_OPC_CheckPredicate, 15, 33, 35, // Skip to: 14561
-/* 5568 */    MCD_OPC_Decode, 239, 17, 126, // Opcode: VTRNd32
-/* 5572 */    MCD_OPC_FilterValue, 3, 25, 35, // Skip to: 14561
-/* 5576 */    MCD_OPC_CheckPredicate, 15, 21, 35, // Skip to: 14561
-/* 5580 */    MCD_OPC_Decode, 242, 17, 127, // Opcode: VTRNq32
+/* 5564 */    MCD_OPC_CheckPredicate, 15, 20, 35, // Skip to: 14548
+/* 5568 */    MCD_OPC_Decode, 191, 17, 125, // Opcode: VTRNd32
+/* 5572 */    MCD_OPC_FilterValue, 3, 12, 35, // Skip to: 14548
+/* 5576 */    MCD_OPC_CheckPredicate, 15, 8, 35, // Skip to: 14548
+/* 5580 */    MCD_OPC_Decode, 194, 17, 126, // Opcode: VTRNq32
 /* 5584 */    MCD_OPC_FilterValue, 1, 84, 1, // Skip to: 5928
 /* 5588 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 5591 */    MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 5622
 /* 5595 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5598 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5610
-/* 5602 */    MCD_OPC_CheckPredicate, 15, 251, 34, // Skip to: 14561
-/* 5606 */    MCD_OPC_Decode, 165, 13, 124, // Opcode: VREV16d8
-/* 5610 */    MCD_OPC_FilterValue, 1, 243, 34, // Skip to: 14561
-/* 5614 */    MCD_OPC_CheckPredicate, 15, 239, 34, // Skip to: 14561
-/* 5618 */    MCD_OPC_Decode, 166, 13, 125, // Opcode: VREV16q8
+/* 5602 */    MCD_OPC_CheckPredicate, 15, 238, 34, // Skip to: 14548
+/* 5606 */    MCD_OPC_Decode, 245, 12, 123, // Opcode: VREV16d8
+/* 5610 */    MCD_OPC_FilterValue, 1, 230, 34, // Skip to: 14548
+/* 5614 */    MCD_OPC_CheckPredicate, 15, 226, 34, // Skip to: 14548
+/* 5618 */    MCD_OPC_Decode, 246, 12, 124, // Opcode: VREV16q8
 /* 5622 */    MCD_OPC_FilterValue, 1, 51, 0, // Skip to: 5677
 /* 5626 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5629 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5641
-/* 5633 */    MCD_OPC_CheckPredicate, 15, 220, 34, // Skip to: 14561
-/* 5637 */    MCD_OPC_Decode, 163, 5, 124, // Opcode: VCEQzv8i8
+/* 5633 */    MCD_OPC_CheckPredicate, 15, 207, 34, // Skip to: 14548
+/* 5637 */    MCD_OPC_Decode, 241, 4, 123, // Opcode: VCEQzv8i8
 /* 5641 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5653
-/* 5645 */    MCD_OPC_CheckPredicate, 15, 208, 34, // Skip to: 14561
-/* 5649 */    MCD_OPC_Decode, 156, 5, 125, // Opcode: VCEQzv16i8
+/* 5645 */    MCD_OPC_CheckPredicate, 15, 195, 34, // Skip to: 14548
+/* 5649 */    MCD_OPC_Decode, 234, 4, 124, // Opcode: VCEQzv16i8
 /* 5653 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5665
-/* 5657 */    MCD_OPC_CheckPredicate, 15, 196, 34, // Skip to: 14561
-/* 5661 */    MCD_OPC_Decode, 215, 5, 124, // Opcode: VCLEzv8i8
-/* 5665 */    MCD_OPC_FilterValue, 3, 188, 34, // Skip to: 14561
-/* 5669 */    MCD_OPC_CheckPredicate, 15, 184, 34, // Skip to: 14561
-/* 5673 */    MCD_OPC_Decode, 208, 5, 125, // Opcode: VCLEzv16i8
+/* 5657 */    MCD_OPC_CheckPredicate, 15, 183, 34, // Skip to: 14548
+/* 5661 */    MCD_OPC_Decode, 165, 5, 123, // Opcode: VCLEzv8i8
+/* 5665 */    MCD_OPC_FilterValue, 3, 175, 34, // Skip to: 14548
+/* 5669 */    MCD_OPC_CheckPredicate, 15, 171, 34, // Skip to: 14548
+/* 5673 */    MCD_OPC_Decode, 158, 5, 124, // Opcode: VCLEzv16i8
 /* 5677 */    MCD_OPC_FilterValue, 2, 51, 0, // Skip to: 5732
 /* 5681 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5684 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5696
-/* 5688 */    MCD_OPC_CheckPredicate, 15, 165, 34, // Skip to: 14561
-/* 5692 */    MCD_OPC_Decode, 129, 18, 126, // Opcode: VUZPd8
+/* 5688 */    MCD_OPC_CheckPredicate, 15, 152, 34, // Skip to: 14548
+/* 5692 */    MCD_OPC_Decode, 209, 17, 125, // Opcode: VUZPd8
 /* 5696 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5708
-/* 5700 */    MCD_OPC_CheckPredicate, 15, 153, 34, // Skip to: 14561
-/* 5704 */    MCD_OPC_Decode, 132, 18, 127, // Opcode: VUZPq8
+/* 5700 */    MCD_OPC_CheckPredicate, 15, 140, 34, // Skip to: 14548
+/* 5704 */    MCD_OPC_Decode, 212, 17, 126, // Opcode: VUZPq8
 /* 5708 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5720
-/* 5712 */    MCD_OPC_CheckPredicate, 15, 141, 34, // Skip to: 14561
-/* 5716 */    MCD_OPC_Decode, 134, 18, 126, // Opcode: VZIPd8
-/* 5720 */    MCD_OPC_FilterValue, 3, 133, 34, // Skip to: 14561
-/* 5724 */    MCD_OPC_CheckPredicate, 15, 129, 34, // Skip to: 14561
-/* 5728 */    MCD_OPC_Decode, 137, 18, 127, // Opcode: VZIPq8
+/* 5712 */    MCD_OPC_CheckPredicate, 15, 128, 34, // Skip to: 14548
+/* 5716 */    MCD_OPC_Decode, 214, 17, 125, // Opcode: VZIPd8
+/* 5720 */    MCD_OPC_FilterValue, 3, 120, 34, // Skip to: 14548
+/* 5724 */    MCD_OPC_CheckPredicate, 15, 116, 34, // Skip to: 14548
+/* 5728 */    MCD_OPC_Decode, 217, 17, 126, // Opcode: VZIPq8
 /* 5732 */    MCD_OPC_FilterValue, 5, 51, 0, // Skip to: 5787
 /* 5736 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5739 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5751
-/* 5743 */    MCD_OPC_CheckPredicate, 15, 110, 34, // Skip to: 14561
-/* 5747 */    MCD_OPC_Decode, 160, 5, 124, // Opcode: VCEQzv4i16
+/* 5743 */    MCD_OPC_CheckPredicate, 15, 97, 34, // Skip to: 14548
+/* 5747 */    MCD_OPC_Decode, 238, 4, 123, // Opcode: VCEQzv4i16
 /* 5751 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5763
-/* 5755 */    MCD_OPC_CheckPredicate, 15, 98, 34, // Skip to: 14561
-/* 5759 */    MCD_OPC_Decode, 162, 5, 125, // Opcode: VCEQzv8i16
+/* 5755 */    MCD_OPC_CheckPredicate, 15, 85, 34, // Skip to: 14548
+/* 5759 */    MCD_OPC_Decode, 240, 4, 124, // Opcode: VCEQzv8i16
 /* 5763 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5775
-/* 5767 */    MCD_OPC_CheckPredicate, 15, 86, 34, // Skip to: 14561
-/* 5771 */    MCD_OPC_Decode, 212, 5, 124, // Opcode: VCLEzv4i16
-/* 5775 */    MCD_OPC_FilterValue, 3, 78, 34, // Skip to: 14561
-/* 5779 */    MCD_OPC_CheckPredicate, 15, 74, 34, // Skip to: 14561
-/* 5783 */    MCD_OPC_Decode, 214, 5, 125, // Opcode: VCLEzv8i16
+/* 5767 */    MCD_OPC_CheckPredicate, 15, 73, 34, // Skip to: 14548
+/* 5771 */    MCD_OPC_Decode, 162, 5, 123, // Opcode: VCLEzv4i16
+/* 5775 */    MCD_OPC_FilterValue, 3, 65, 34, // Skip to: 14548
+/* 5779 */    MCD_OPC_CheckPredicate, 15, 61, 34, // Skip to: 14548
+/* 5783 */    MCD_OPC_Decode, 164, 5, 124, // Opcode: VCLEzv8i16
 /* 5787 */    MCD_OPC_FilterValue, 6, 51, 0, // Skip to: 5842
 /* 5791 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5794 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5806
-/* 5798 */    MCD_OPC_CheckPredicate, 15, 55, 34, // Skip to: 14561
-/* 5802 */    MCD_OPC_Decode, 128, 18, 126, // Opcode: VUZPd16
+/* 5798 */    MCD_OPC_CheckPredicate, 15, 42, 34, // Skip to: 14548
+/* 5802 */    MCD_OPC_Decode, 208, 17, 125, // Opcode: VUZPd16
 /* 5806 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5818
-/* 5810 */    MCD_OPC_CheckPredicate, 15, 43, 34, // Skip to: 14561
-/* 5814 */    MCD_OPC_Decode, 130, 18, 127, // Opcode: VUZPq16
+/* 5810 */    MCD_OPC_CheckPredicate, 15, 30, 34, // Skip to: 14548
+/* 5814 */    MCD_OPC_Decode, 210, 17, 126, // Opcode: VUZPq16
 /* 5818 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5830
-/* 5822 */    MCD_OPC_CheckPredicate, 15, 31, 34, // Skip to: 14561
-/* 5826 */    MCD_OPC_Decode, 133, 18, 126, // Opcode: VZIPd16
-/* 5830 */    MCD_OPC_FilterValue, 3, 23, 34, // Skip to: 14561
-/* 5834 */    MCD_OPC_CheckPredicate, 15, 19, 34, // Skip to: 14561
-/* 5838 */    MCD_OPC_Decode, 135, 18, 127, // Opcode: VZIPq16
+/* 5822 */    MCD_OPC_CheckPredicate, 15, 18, 34, // Skip to: 14548
+/* 5826 */    MCD_OPC_Decode, 213, 17, 125, // Opcode: VZIPd16
+/* 5830 */    MCD_OPC_FilterValue, 3, 10, 34, // Skip to: 14548
+/* 5834 */    MCD_OPC_CheckPredicate, 15, 6, 34, // Skip to: 14548
+/* 5838 */    MCD_OPC_Decode, 215, 17, 126, // Opcode: VZIPq16
 /* 5842 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 5897
 /* 5846 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5849 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5861
-/* 5853 */    MCD_OPC_CheckPredicate, 15, 0, 34, // Skip to: 14561
-/* 5857 */    MCD_OPC_Decode, 158, 5, 124, // Opcode: VCEQzv2i32
+/* 5853 */    MCD_OPC_CheckPredicate, 15, 243, 33, // Skip to: 14548
+/* 5857 */    MCD_OPC_Decode, 236, 4, 123, // Opcode: VCEQzv2i32
 /* 5861 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5873
-/* 5865 */    MCD_OPC_CheckPredicate, 15, 244, 33, // Skip to: 14561
-/* 5869 */    MCD_OPC_Decode, 161, 5, 125, // Opcode: VCEQzv4i32
+/* 5865 */    MCD_OPC_CheckPredicate, 15, 231, 33, // Skip to: 14548
+/* 5869 */    MCD_OPC_Decode, 239, 4, 124, // Opcode: VCEQzv4i32
 /* 5873 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5885
-/* 5877 */    MCD_OPC_CheckPredicate, 15, 232, 33, // Skip to: 14561
-/* 5881 */    MCD_OPC_Decode, 210, 5, 124, // Opcode: VCLEzv2i32
-/* 5885 */    MCD_OPC_FilterValue, 3, 224, 33, // Skip to: 14561
-/* 5889 */    MCD_OPC_CheckPredicate, 15, 220, 33, // Skip to: 14561
-/* 5893 */    MCD_OPC_Decode, 213, 5, 125, // Opcode: VCLEzv4i32
-/* 5897 */    MCD_OPC_FilterValue, 10, 212, 33, // Skip to: 14561
+/* 5877 */    MCD_OPC_CheckPredicate, 15, 219, 33, // Skip to: 14548
+/* 5881 */    MCD_OPC_Decode, 160, 5, 123, // Opcode: VCLEzv2i32
+/* 5885 */    MCD_OPC_FilterValue, 3, 211, 33, // Skip to: 14548
+/* 5889 */    MCD_OPC_CheckPredicate, 15, 207, 33, // Skip to: 14548
+/* 5893 */    MCD_OPC_Decode, 163, 5, 124, // Opcode: VCLEzv4i32
+/* 5897 */    MCD_OPC_FilterValue, 10, 199, 33, // Skip to: 14548
 /* 5901 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5904 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5916
-/* 5908 */    MCD_OPC_CheckPredicate, 15, 201, 33, // Skip to: 14561
-/* 5912 */    MCD_OPC_Decode, 131, 18, 127, // Opcode: VUZPq32
-/* 5916 */    MCD_OPC_FilterValue, 3, 193, 33, // Skip to: 14561
-/* 5920 */    MCD_OPC_CheckPredicate, 15, 189, 33, // Skip to: 14561
-/* 5924 */    MCD_OPC_Decode, 136, 18, 127, // Opcode: VZIPq32
-/* 5928 */    MCD_OPC_FilterValue, 2, 182, 1, // Skip to: 6370
+/* 5908 */    MCD_OPC_CheckPredicate, 15, 188, 33, // Skip to: 14548
+/* 5912 */    MCD_OPC_Decode, 211, 17, 126, // Opcode: VUZPq32
+/* 5916 */    MCD_OPC_FilterValue, 3, 180, 33, // Skip to: 14548
+/* 5920 */    MCD_OPC_CheckPredicate, 15, 176, 33, // Skip to: 14548
+/* 5924 */    MCD_OPC_Decode, 216, 17, 126, // Opcode: VZIPq32
+/* 5928 */    MCD_OPC_FilterValue, 2, 170, 1, // Skip to: 6358
 /* 5932 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 5935 */    MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 5990
 /* 5939 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5942 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5954
-/* 5946 */    MCD_OPC_CheckPredicate, 15, 163, 33, // Skip to: 14561
-/* 5950 */    MCD_OPC_Decode, 232, 11, 124, // Opcode: VPADDLsv8i8
+/* 5946 */    MCD_OPC_CheckPredicate, 15, 150, 33, // Skip to: 14548
+/* 5950 */    MCD_OPC_Decode, 184, 11, 123, // Opcode: VPADDLsv8i8
 /* 5954 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5966
-/* 5958 */    MCD_OPC_CheckPredicate, 15, 151, 33, // Skip to: 14561
-/* 5962 */    MCD_OPC_Decode, 227, 11, 125, // Opcode: VPADDLsv16i8
+/* 5958 */    MCD_OPC_CheckPredicate, 15, 138, 33, // Skip to: 14548
+/* 5962 */    MCD_OPC_Decode, 179, 11, 124, // Opcode: VPADDLsv16i8
 /* 5966 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5978
-/* 5970 */    MCD_OPC_CheckPredicate, 15, 139, 33, // Skip to: 14561
-/* 5974 */    MCD_OPC_Decode, 238, 11, 124, // Opcode: VPADDLuv8i8
-/* 5978 */    MCD_OPC_FilterValue, 3, 131, 33, // Skip to: 14561
-/* 5982 */    MCD_OPC_CheckPredicate, 15, 127, 33, // Skip to: 14561
-/* 5986 */    MCD_OPC_Decode, 233, 11, 125, // Opcode: VPADDLuv16i8
+/* 5970 */    MCD_OPC_CheckPredicate, 15, 126, 33, // Skip to: 14548
+/* 5974 */    MCD_OPC_Decode, 190, 11, 123, // Opcode: VPADDLuv8i8
+/* 5978 */    MCD_OPC_FilterValue, 3, 118, 33, // Skip to: 14548
+/* 5982 */    MCD_OPC_CheckPredicate, 15, 114, 33, // Skip to: 14548
+/* 5986 */    MCD_OPC_Decode, 185, 11, 124, // Opcode: VPADDLuv16i8
 /* 5990 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 6021
 /* 5994 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 5997 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6009
-/* 6001 */    MCD_OPC_CheckPredicate, 15, 108, 33, // Skip to: 14561
-/* 6005 */    MCD_OPC_Decode, 229, 5, 124, // Opcode: VCLTzv8i8
-/* 6009 */    MCD_OPC_FilterValue, 1, 100, 33, // Skip to: 14561
-/* 6013 */    MCD_OPC_CheckPredicate, 15, 96, 33, // Skip to: 14561
-/* 6017 */    MCD_OPC_Decode, 222, 5, 125, // Opcode: VCLTzv16i8
-/* 6021 */    MCD_OPC_FilterValue, 2, 55, 0, // Skip to: 6080
+/* 6001 */    MCD_OPC_CheckPredicate, 15, 95, 33, // Skip to: 14548
+/* 6005 */    MCD_OPC_Decode, 179, 5, 123, // Opcode: VCLTzv8i8
+/* 6009 */    MCD_OPC_FilterValue, 1, 87, 33, // Skip to: 14548
+/* 6013 */    MCD_OPC_CheckPredicate, 15, 83, 33, // Skip to: 14548
+/* 6017 */    MCD_OPC_Decode, 172, 5, 124, // Opcode: VCLTzv16i8
+/* 6021 */    MCD_OPC_FilterValue, 2, 51, 0, // Skip to: 6076
 /* 6025 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6028 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6041
-/* 6032 */    MCD_OPC_CheckPredicate, 15, 77, 33, // Skip to: 14561
-/* 6036 */    MCD_OPC_Decode, 252, 10, 128, 1, // Opcode: VMOVNv8i8
-/* 6041 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 6054
-/* 6045 */    MCD_OPC_CheckPredicate, 15, 64, 33, // Skip to: 14561
-/* 6049 */    MCD_OPC_Decode, 173, 12, 128, 1, // Opcode: VQMOVNsuv8i8
-/* 6054 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 6067
-/* 6058 */    MCD_OPC_CheckPredicate, 15, 51, 33, // Skip to: 14561
-/* 6062 */    MCD_OPC_Decode, 176, 12, 128, 1, // Opcode: VQMOVNsv8i8
-/* 6067 */    MCD_OPC_FilterValue, 3, 42, 33, // Skip to: 14561
-/* 6071 */    MCD_OPC_CheckPredicate, 15, 38, 33, // Skip to: 14561
-/* 6075 */    MCD_OPC_Decode, 179, 12, 128, 1, // Opcode: VQMOVNuv8i8
-/* 6080 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 6135
-/* 6084 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6087 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6099
-/* 6091 */    MCD_OPC_CheckPredicate, 15, 18, 33, // Skip to: 14561
-/* 6095 */    MCD_OPC_Decode, 229, 11, 124, // Opcode: VPADDLsv4i16
-/* 6099 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6111
-/* 6103 */    MCD_OPC_CheckPredicate, 15, 6, 33, // Skip to: 14561
-/* 6107 */    MCD_OPC_Decode, 231, 11, 125, // Opcode: VPADDLsv8i16
-/* 6111 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6123
-/* 6115 */    MCD_OPC_CheckPredicate, 15, 250, 32, // Skip to: 14561
-/* 6119 */    MCD_OPC_Decode, 235, 11, 124, // Opcode: VPADDLuv4i16
-/* 6123 */    MCD_OPC_FilterValue, 3, 242, 32, // Skip to: 14561
-/* 6127 */    MCD_OPC_CheckPredicate, 15, 238, 32, // Skip to: 14561
-/* 6131 */    MCD_OPC_Decode, 237, 11, 125, // Opcode: VPADDLuv8i16
-/* 6135 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 6166
-/* 6139 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6142 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6154
-/* 6146 */    MCD_OPC_CheckPredicate, 15, 219, 32, // Skip to: 14561
-/* 6150 */    MCD_OPC_Decode, 226, 5, 124, // Opcode: VCLTzv4i16
-/* 6154 */    MCD_OPC_FilterValue, 1, 211, 32, // Skip to: 14561
-/* 6158 */    MCD_OPC_CheckPredicate, 15, 207, 32, // Skip to: 14561
-/* 6162 */    MCD_OPC_Decode, 228, 5, 125, // Opcode: VCLTzv8i16
-/* 6166 */    MCD_OPC_FilterValue, 6, 55, 0, // Skip to: 6225
-/* 6170 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6173 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6186
-/* 6177 */    MCD_OPC_CheckPredicate, 15, 188, 32, // Skip to: 14561
-/* 6181 */    MCD_OPC_Decode, 251, 10, 128, 1, // Opcode: VMOVNv4i16
-/* 6186 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 6199
-/* 6190 */    MCD_OPC_CheckPredicate, 15, 175, 32, // Skip to: 14561
-/* 6194 */    MCD_OPC_Decode, 172, 12, 128, 1, // Opcode: VQMOVNsuv4i16
-/* 6199 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 6212
-/* 6203 */    MCD_OPC_CheckPredicate, 15, 162, 32, // Skip to: 14561
-/* 6207 */    MCD_OPC_Decode, 175, 12, 128, 1, // Opcode: VQMOVNsv4i16
-/* 6212 */    MCD_OPC_FilterValue, 3, 153, 32, // Skip to: 14561
-/* 6216 */    MCD_OPC_CheckPredicate, 15, 149, 32, // Skip to: 14561
-/* 6220 */    MCD_OPC_Decode, 178, 12, 128, 1, // Opcode: VQMOVNuv4i16
-/* 6225 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 6280
-/* 6229 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6232 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6244
-/* 6236 */    MCD_OPC_CheckPredicate, 15, 129, 32, // Skip to: 14561
-/* 6240 */    MCD_OPC_Decode, 228, 11, 124, // Opcode: VPADDLsv2i32
-/* 6244 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6256
-/* 6248 */    MCD_OPC_CheckPredicate, 15, 117, 32, // Skip to: 14561
-/* 6252 */    MCD_OPC_Decode, 230, 11, 125, // Opcode: VPADDLsv4i32
-/* 6256 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6268
-/* 6260 */    MCD_OPC_CheckPredicate, 15, 105, 32, // Skip to: 14561
-/* 6264 */    MCD_OPC_Decode, 234, 11, 124, // Opcode: VPADDLuv2i32
-/* 6268 */    MCD_OPC_FilterValue, 3, 97, 32, // Skip to: 14561
-/* 6272 */    MCD_OPC_CheckPredicate, 15, 93, 32, // Skip to: 14561
-/* 6276 */    MCD_OPC_Decode, 236, 11, 125, // Opcode: VPADDLuv4i32
-/* 6280 */    MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 6311
-/* 6284 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6287 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6299
-/* 6291 */    MCD_OPC_CheckPredicate, 15, 74, 32, // Skip to: 14561
-/* 6295 */    MCD_OPC_Decode, 224, 5, 124, // Opcode: VCLTzv2i32
-/* 6299 */    MCD_OPC_FilterValue, 1, 66, 32, // Skip to: 14561
-/* 6303 */    MCD_OPC_CheckPredicate, 15, 62, 32, // Skip to: 14561
-/* 6307 */    MCD_OPC_Decode, 227, 5, 125, // Opcode: VCLTzv4i32
-/* 6311 */    MCD_OPC_FilterValue, 10, 54, 32, // Skip to: 14561
-/* 6315 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6318 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6331
-/* 6322 */    MCD_OPC_CheckPredicate, 15, 43, 32, // Skip to: 14561
-/* 6326 */    MCD_OPC_Decode, 250, 10, 128, 1, // Opcode: VMOVNv2i32
-/* 6331 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 6344
-/* 6335 */    MCD_OPC_CheckPredicate, 15, 30, 32, // Skip to: 14561
-/* 6339 */    MCD_OPC_Decode, 171, 12, 128, 1, // Opcode: VQMOVNsuv2i32
-/* 6344 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 6357
-/* 6348 */    MCD_OPC_CheckPredicate, 15, 17, 32, // Skip to: 14561
-/* 6352 */    MCD_OPC_Decode, 174, 12, 128, 1, // Opcode: VQMOVNsv2i32
-/* 6357 */    MCD_OPC_FilterValue, 3, 8, 32, // Skip to: 14561
-/* 6361 */    MCD_OPC_CheckPredicate, 15, 4, 32, // Skip to: 14561
-/* 6365 */    MCD_OPC_Decode, 177, 12, 128, 1, // Opcode: VQMOVNuv2i32
-/* 6370 */    MCD_OPC_FilterValue, 3, 225, 0, // Skip to: 6599
-/* 6374 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 6377 */    MCD_OPC_FilterValue, 1, 51, 0, // Skip to: 6432
-/* 6381 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6384 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6396
-/* 6388 */    MCD_OPC_CheckPredicate, 15, 233, 31, // Skip to: 14561
-/* 6392 */    MCD_OPC_Decode, 230, 4, 124, // Opcode: VABSv8i8
-/* 6396 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6408
-/* 6400 */    MCD_OPC_CheckPredicate, 15, 221, 31, // Skip to: 14561
-/* 6404 */    MCD_OPC_Decode, 225, 4, 125, // Opcode: VABSv16i8
-/* 6408 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6420
-/* 6412 */    MCD_OPC_CheckPredicate, 15, 209, 31, // Skip to: 14561
-/* 6416 */    MCD_OPC_Decode, 199, 11, 124, // Opcode: VNEGs8d
-/* 6420 */    MCD_OPC_FilterValue, 3, 201, 31, // Skip to: 14561
-/* 6424 */    MCD_OPC_CheckPredicate, 15, 197, 31, // Skip to: 14561
-/* 6428 */    MCD_OPC_Decode, 200, 11, 125, // Opcode: VNEGs8q
-/* 6432 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 6451
-/* 6436 */    MCD_OPC_CheckPredicate, 15, 185, 31, // Skip to: 14561
-/* 6440 */    MCD_OPC_CheckField, 6, 2, 0, 179, 31, // Skip to: 14561
-/* 6446 */    MCD_OPC_Decode, 160, 14, 129, 1, // Opcode: VSHLLi8
-/* 6451 */    MCD_OPC_FilterValue, 5, 51, 0, // Skip to: 6506
-/* 6455 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6458 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6470
-/* 6462 */    MCD_OPC_CheckPredicate, 15, 159, 31, // Skip to: 14561
-/* 6466 */    MCD_OPC_Decode, 227, 4, 124, // Opcode: VABSv4i16
-/* 6470 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6482
-/* 6474 */    MCD_OPC_CheckPredicate, 15, 147, 31, // Skip to: 14561
-/* 6478 */    MCD_OPC_Decode, 229, 4, 125, // Opcode: VABSv8i16
-/* 6482 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6494
-/* 6486 */    MCD_OPC_CheckPredicate, 15, 135, 31, // Skip to: 14561
-/* 6490 */    MCD_OPC_Decode, 195, 11, 124, // Opcode: VNEGs16d
-/* 6494 */    MCD_OPC_FilterValue, 3, 127, 31, // Skip to: 14561
-/* 6498 */    MCD_OPC_CheckPredicate, 15, 123, 31, // Skip to: 14561
-/* 6502 */    MCD_OPC_Decode, 196, 11, 125, // Opcode: VNEGs16q
-/* 6506 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 6525
-/* 6510 */    MCD_OPC_CheckPredicate, 15, 111, 31, // Skip to: 14561
-/* 6514 */    MCD_OPC_CheckField, 6, 2, 0, 105, 31, // Skip to: 14561
-/* 6520 */    MCD_OPC_Decode, 158, 14, 129, 1, // Opcode: VSHLLi16
-/* 6525 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 6580
-/* 6529 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6532 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6544
-/* 6536 */    MCD_OPC_CheckPredicate, 15, 85, 31, // Skip to: 14561
-/* 6540 */    MCD_OPC_Decode, 226, 4, 124, // Opcode: VABSv2i32
-/* 6544 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6556
-/* 6548 */    MCD_OPC_CheckPredicate, 15, 73, 31, // Skip to: 14561
-/* 6552 */    MCD_OPC_Decode, 228, 4, 125, // Opcode: VABSv4i32
-/* 6556 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6568
-/* 6560 */    MCD_OPC_CheckPredicate, 15, 61, 31, // Skip to: 14561
-/* 6564 */    MCD_OPC_Decode, 197, 11, 124, // Opcode: VNEGs32d
-/* 6568 */    MCD_OPC_FilterValue, 3, 53, 31, // Skip to: 14561
-/* 6572 */    MCD_OPC_CheckPredicate, 15, 49, 31, // Skip to: 14561
-/* 6576 */    MCD_OPC_Decode, 198, 11, 125, // Opcode: VNEGs32q
-/* 6580 */    MCD_OPC_FilterValue, 10, 41, 31, // Skip to: 14561
-/* 6584 */    MCD_OPC_CheckPredicate, 15, 37, 31, // Skip to: 14561
-/* 6588 */    MCD_OPC_CheckField, 6, 2, 0, 31, 31, // Skip to: 14561
-/* 6594 */    MCD_OPC_Decode, 159, 14, 129, 1, // Opcode: VSHLLi32
-/* 6599 */    MCD_OPC_FilterValue, 4, 22, 1, // Skip to: 6881
-/* 6603 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 6606 */    MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 6661
-/* 6610 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6613 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6625
-/* 6617 */    MCD_OPC_CheckPredicate, 15, 4, 31, // Skip to: 14561
-/* 6621 */    MCD_OPC_Decode, 221, 5, 124, // Opcode: VCLSv8i8
-/* 6625 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6637
-/* 6629 */    MCD_OPC_CheckPredicate, 15, 248, 30, // Skip to: 14561
-/* 6633 */    MCD_OPC_Decode, 216, 5, 125, // Opcode: VCLSv16i8
-/* 6637 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6649
-/* 6641 */    MCD_OPC_CheckPredicate, 15, 236, 30, // Skip to: 14561
-/* 6645 */    MCD_OPC_Decode, 235, 5, 124, // Opcode: VCLZv8i8
-/* 6649 */    MCD_OPC_FilterValue, 3, 228, 30, // Skip to: 14561
-/* 6653 */    MCD_OPC_CheckPredicate, 15, 224, 30, // Skip to: 14561
-/* 6657 */    MCD_OPC_Decode, 230, 5, 125, // Opcode: VCLZv16i8
-/* 6661 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 6716
-/* 6665 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6668 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6680
-/* 6672 */    MCD_OPC_CheckPredicate, 15, 205, 30, // Skip to: 14561
-/* 6676 */    MCD_OPC_Decode, 218, 5, 124, // Opcode: VCLSv4i16
-/* 6680 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6692
-/* 6684 */    MCD_OPC_CheckPredicate, 15, 193, 30, // Skip to: 14561
-/* 6688 */    MCD_OPC_Decode, 220, 5, 125, // Opcode: VCLSv8i16
-/* 6692 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6704
-/* 6696 */    MCD_OPC_CheckPredicate, 15, 181, 30, // Skip to: 14561
-/* 6700 */    MCD_OPC_Decode, 232, 5, 124, // Opcode: VCLZv4i16
-/* 6704 */    MCD_OPC_FilterValue, 3, 173, 30, // Skip to: 14561
-/* 6708 */    MCD_OPC_CheckPredicate, 15, 169, 30, // Skip to: 14561
-/* 6712 */    MCD_OPC_Decode, 234, 5, 125, // Opcode: VCLZv8i16
-/* 6716 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 6771
-/* 6720 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6723 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6735
-/* 6727 */    MCD_OPC_CheckPredicate, 15, 150, 30, // Skip to: 14561
-/* 6731 */    MCD_OPC_Decode, 217, 5, 124, // Opcode: VCLSv2i32
-/* 6735 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6747
-/* 6739 */    MCD_OPC_CheckPredicate, 15, 138, 30, // Skip to: 14561
-/* 6743 */    MCD_OPC_Decode, 219, 5, 125, // Opcode: VCLSv4i32
-/* 6747 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6759
-/* 6751 */    MCD_OPC_CheckPredicate, 15, 126, 30, // Skip to: 14561
-/* 6755 */    MCD_OPC_Decode, 231, 5, 124, // Opcode: VCLZv2i32
-/* 6759 */    MCD_OPC_FilterValue, 3, 118, 30, // Skip to: 14561
-/* 6763 */    MCD_OPC_CheckPredicate, 15, 114, 30, // Skip to: 14561
-/* 6767 */    MCD_OPC_Decode, 233, 5, 125, // Opcode: VCLZv4i32
-/* 6771 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 6826
-/* 6775 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6778 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6790
-/* 6782 */    MCD_OPC_CheckPredicate, 15, 95, 30, // Skip to: 14561
-/* 6786 */    MCD_OPC_Decode, 201, 5, 124, // Opcode: VCGTzv2f32
-/* 6790 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6802
-/* 6794 */    MCD_OPC_CheckPredicate, 15, 83, 30, // Skip to: 14561
-/* 6798 */    MCD_OPC_Decode, 203, 5, 125, // Opcode: VCGTzv4f32
-/* 6802 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6814
-/* 6806 */    MCD_OPC_CheckPredicate, 15, 71, 30, // Skip to: 14561
-/* 6810 */    MCD_OPC_Decode, 179, 5, 124, // Opcode: VCGEzv2f32
-/* 6814 */    MCD_OPC_FilterValue, 3, 63, 30, // Skip to: 14561
-/* 6818 */    MCD_OPC_CheckPredicate, 15, 59, 30, // Skip to: 14561
-/* 6822 */    MCD_OPC_Decode, 181, 5, 125, // Opcode: VCGEzv4f32
-/* 6826 */    MCD_OPC_FilterValue, 11, 51, 30, // Skip to: 14561
-/* 6830 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6833 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6845
-/* 6837 */    MCD_OPC_CheckPredicate, 15, 40, 30, // Skip to: 14561
-/* 6841 */    MCD_OPC_Decode, 159, 13, 124, // Opcode: VRECPEd
-/* 6845 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6857
-/* 6849 */    MCD_OPC_CheckPredicate, 15, 28, 30, // Skip to: 14561
-/* 6853 */    MCD_OPC_Decode, 162, 13, 125, // Opcode: VRECPEq
-/* 6857 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6869
-/* 6861 */    MCD_OPC_CheckPredicate, 15, 16, 30, // Skip to: 14561
-/* 6865 */    MCD_OPC_Decode, 250, 13, 124, // Opcode: VRSQRTEd
-/* 6869 */    MCD_OPC_FilterValue, 3, 8, 30, // Skip to: 14561
-/* 6873 */    MCD_OPC_CheckPredicate, 15, 4, 30, // Skip to: 14561
-/* 6877 */    MCD_OPC_Decode, 253, 13, 125, // Opcode: VRSQRTEq
-/* 6881 */    MCD_OPC_FilterValue, 5, 175, 0, // Skip to: 7060
-/* 6885 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 6888 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6931
-/* 6892 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 6895 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6907
-/* 6899 */    MCD_OPC_CheckPredicate, 15, 234, 29, // Skip to: 14561
-/* 6903 */    MCD_OPC_Decode, 244, 5, 124, // Opcode: VCNTd
-/* 6907 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6919
-/* 6911 */    MCD_OPC_CheckPredicate, 15, 222, 29, // Skip to: 14561
-/* 6915 */    MCD_OPC_Decode, 157, 5, 124, // Opcode: VCEQzv2f32
-/* 6919 */    MCD_OPC_FilterValue, 11, 214, 29, // Skip to: 14561
-/* 6923 */    MCD_OPC_CheckPredicate, 15, 210, 29, // Skip to: 14561
-/* 6927 */    MCD_OPC_Decode, 160, 13, 124, // Opcode: VRECPEfd
-/* 6931 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 6974
-/* 6935 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 6938 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6950
-/* 6942 */    MCD_OPC_CheckPredicate, 15, 191, 29, // Skip to: 14561
-/* 6946 */    MCD_OPC_Decode, 245, 5, 125, // Opcode: VCNTq
-/* 6950 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6962
-/* 6954 */    MCD_OPC_CheckPredicate, 15, 179, 29, // Skip to: 14561
-/* 6958 */    MCD_OPC_Decode, 159, 5, 125, // Opcode: VCEQzv4f32
-/* 6962 */    MCD_OPC_FilterValue, 11, 171, 29, // Skip to: 14561
-/* 6966 */    MCD_OPC_CheckPredicate, 15, 167, 29, // Skip to: 14561
-/* 6970 */    MCD_OPC_Decode, 161, 13, 125, // Opcode: VRECPEfq
-/* 6974 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 7017
-/* 6978 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 6981 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6993
-/* 6985 */    MCD_OPC_CheckPredicate, 15, 148, 29, // Skip to: 14561
-/* 6989 */    MCD_OPC_Decode, 185, 11, 124, // Opcode: VMVNd
-/* 6993 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7005
-/* 6997 */    MCD_OPC_CheckPredicate, 15, 136, 29, // Skip to: 14561
-/* 7001 */    MCD_OPC_Decode, 209, 5, 124, // Opcode: VCLEzv2f32
-/* 7005 */    MCD_OPC_FilterValue, 11, 128, 29, // Skip to: 14561
-/* 7009 */    MCD_OPC_CheckPredicate, 15, 124, 29, // Skip to: 14561
-/* 7013 */    MCD_OPC_Decode, 251, 13, 124, // Opcode: VRSQRTEfd
-/* 7017 */    MCD_OPC_FilterValue, 3, 116, 29, // Skip to: 14561
-/* 7021 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 7024 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7036
-/* 7028 */    MCD_OPC_CheckPredicate, 15, 105, 29, // Skip to: 14561
-/* 7032 */    MCD_OPC_Decode, 186, 11, 125, // Opcode: VMVNq
-/* 7036 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7048
-/* 7040 */    MCD_OPC_CheckPredicate, 15, 93, 29, // Skip to: 14561
-/* 7044 */    MCD_OPC_Decode, 211, 5, 125, // Opcode: VCLEzv4f32
-/* 7048 */    MCD_OPC_FilterValue, 11, 85, 29, // Skip to: 14561
-/* 7052 */    MCD_OPC_CheckPredicate, 15, 81, 29, // Skip to: 14561
-/* 7056 */    MCD_OPC_Decode, 252, 13, 125, // Opcode: VRSQRTEfq
-/* 7060 */    MCD_OPC_FilterValue, 6, 29, 1, // Skip to: 7349
-/* 7064 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 7067 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7126
-/* 7071 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7074 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7087
-/* 7078 */    MCD_OPC_CheckPredicate, 15, 55, 29, // Skip to: 14561
-/* 7082 */    MCD_OPC_Decode, 220, 11, 130, 1, // Opcode: VPADALsv8i8
-/* 7087 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7100
-/* 7091 */    MCD_OPC_CheckPredicate, 15, 42, 29, // Skip to: 14561
-/* 7095 */    MCD_OPC_Decode, 215, 11, 131, 1, // Opcode: VPADALsv16i8
-/* 7100 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7113
-/* 7104 */    MCD_OPC_CheckPredicate, 15, 29, 29, // Skip to: 14561
-/* 7108 */    MCD_OPC_Decode, 226, 11, 130, 1, // Opcode: VPADALuv8i8
-/* 7113 */    MCD_OPC_FilterValue, 3, 20, 29, // Skip to: 14561
-/* 7117 */    MCD_OPC_CheckPredicate, 15, 16, 29, // Skip to: 14561
-/* 7121 */    MCD_OPC_Decode, 221, 11, 131, 1, // Opcode: VPADALuv16i8
-/* 7126 */    MCD_OPC_FilterValue, 4, 55, 0, // Skip to: 7185
-/* 7130 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7133 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7146
-/* 7137 */    MCD_OPC_CheckPredicate, 15, 252, 28, // Skip to: 14561
-/* 7141 */    MCD_OPC_Decode, 217, 11, 130, 1, // Opcode: VPADALsv4i16
-/* 7146 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7159
-/* 7150 */    MCD_OPC_CheckPredicate, 15, 239, 28, // Skip to: 14561
-/* 7154 */    MCD_OPC_Decode, 219, 11, 131, 1, // Opcode: VPADALsv8i16
-/* 7159 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7172
-/* 7163 */    MCD_OPC_CheckPredicate, 15, 226, 28, // Skip to: 14561
-/* 7167 */    MCD_OPC_Decode, 223, 11, 130, 1, // Opcode: VPADALuv4i16
-/* 7172 */    MCD_OPC_FilterValue, 3, 217, 28, // Skip to: 14561
-/* 7176 */    MCD_OPC_CheckPredicate, 15, 213, 28, // Skip to: 14561
-/* 7180 */    MCD_OPC_Decode, 225, 11, 131, 1, // Opcode: VPADALuv8i16
-/* 7185 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 7204
-/* 7189 */    MCD_OPC_CheckPredicate, 17, 200, 28, // Skip to: 14561
-/* 7193 */    MCD_OPC_CheckField, 6, 2, 0, 194, 28, // Skip to: 14561
-/* 7199 */    MCD_OPC_Decode, 160, 6, 128, 1, // Opcode: VCVTf2h
-/* 7204 */    MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 7263
-/* 7208 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7211 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7224
-/* 7215 */    MCD_OPC_CheckPredicate, 15, 174, 28, // Skip to: 14561
-/* 7219 */    MCD_OPC_Decode, 216, 11, 130, 1, // Opcode: VPADALsv2i32
-/* 7224 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7237
-/* 7228 */    MCD_OPC_CheckPredicate, 15, 161, 28, // Skip to: 14561
-/* 7232 */    MCD_OPC_Decode, 218, 11, 131, 1, // Opcode: VPADALsv4i32
-/* 7237 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7250
-/* 7241 */    MCD_OPC_CheckPredicate, 15, 148, 28, // Skip to: 14561
-/* 7245 */    MCD_OPC_Decode, 222, 11, 130, 1, // Opcode: VPADALuv2i32
-/* 7250 */    MCD_OPC_FilterValue, 3, 139, 28, // Skip to: 14561
-/* 7254 */    MCD_OPC_CheckPredicate, 15, 135, 28, // Skip to: 14561
-/* 7258 */    MCD_OPC_Decode, 224, 11, 131, 1, // Opcode: VPADALuv4i32
-/* 7263 */    MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 7294
-/* 7267 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7270 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7282
-/* 7274 */    MCD_OPC_CheckPredicate, 15, 115, 28, // Skip to: 14561
-/* 7278 */    MCD_OPC_Decode, 223, 5, 124, // Opcode: VCLTzv2f32
-/* 7282 */    MCD_OPC_FilterValue, 1, 107, 28, // Skip to: 14561
-/* 7286 */    MCD_OPC_CheckPredicate, 15, 103, 28, // Skip to: 14561
-/* 7290 */    MCD_OPC_Decode, 225, 5, 125, // Opcode: VCLTzv4f32
-/* 7294 */    MCD_OPC_FilterValue, 11, 95, 28, // Skip to: 14561
-/* 7298 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7301 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7313
-/* 7305 */    MCD_OPC_CheckPredicate, 15, 84, 28, // Skip to: 14561
-/* 7309 */    MCD_OPC_Decode, 170, 6, 124, // Opcode: VCVTs2fd
-/* 7313 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7325
-/* 7317 */    MCD_OPC_CheckPredicate, 15, 72, 28, // Skip to: 14561
-/* 7321 */    MCD_OPC_Decode, 171, 6, 125, // Opcode: VCVTs2fq
-/* 7325 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7337
-/* 7329 */    MCD_OPC_CheckPredicate, 15, 60, 28, // Skip to: 14561
-/* 7333 */    MCD_OPC_Decode, 172, 6, 124, // Opcode: VCVTu2fd
-/* 7337 */    MCD_OPC_FilterValue, 3, 52, 28, // Skip to: 14561
-/* 7341 */    MCD_OPC_CheckPredicate, 15, 48, 28, // Skip to: 14561
-/* 7345 */    MCD_OPC_Decode, 173, 6, 125, // Opcode: VCVTu2fq
-/* 7349 */    MCD_OPC_FilterValue, 7, 41, 1, // Skip to: 7650
-/* 7353 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 7356 */    MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 7411
-/* 7360 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7363 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7375
-/* 7367 */    MCD_OPC_CheckPredicate, 15, 22, 28, // Skip to: 14561
-/* 7371 */    MCD_OPC_Decode, 134, 12, 124, // Opcode: VQABSv8i8
-/* 7375 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7387
-/* 7379 */    MCD_OPC_CheckPredicate, 15, 10, 28, // Skip to: 14561
-/* 7383 */    MCD_OPC_Decode, 129, 12, 125, // Opcode: VQABSv16i8
-/* 7387 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7399
-/* 7391 */    MCD_OPC_CheckPredicate, 15, 254, 27, // Skip to: 14561
-/* 7395 */    MCD_OPC_Decode, 185, 12, 124, // Opcode: VQNEGv8i8
-/* 7399 */    MCD_OPC_FilterValue, 3, 246, 27, // Skip to: 14561
-/* 7403 */    MCD_OPC_CheckPredicate, 15, 242, 27, // Skip to: 14561
-/* 7407 */    MCD_OPC_Decode, 180, 12, 125, // Opcode: VQNEGv16i8
-/* 7411 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 7466
-/* 7415 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7418 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7430
-/* 7422 */    MCD_OPC_CheckPredicate, 15, 223, 27, // Skip to: 14561
-/* 7426 */    MCD_OPC_Decode, 131, 12, 124, // Opcode: VQABSv4i16
-/* 7430 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7442
-/* 7434 */    MCD_OPC_CheckPredicate, 15, 211, 27, // Skip to: 14561
-/* 7438 */    MCD_OPC_Decode, 133, 12, 125, // Opcode: VQABSv8i16
-/* 7442 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7454
-/* 7446 */    MCD_OPC_CheckPredicate, 15, 199, 27, // Skip to: 14561
-/* 7450 */    MCD_OPC_Decode, 182, 12, 124, // Opcode: VQNEGv4i16
-/* 7454 */    MCD_OPC_FilterValue, 3, 191, 27, // Skip to: 14561
-/* 7458 */    MCD_OPC_CheckPredicate, 15, 187, 27, // Skip to: 14561
-/* 7462 */    MCD_OPC_Decode, 184, 12, 125, // Opcode: VQNEGv8i16
-/* 7466 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 7485
-/* 7470 */    MCD_OPC_CheckPredicate, 17, 175, 27, // Skip to: 14561
-/* 7474 */    MCD_OPC_CheckField, 6, 2, 0, 169, 27, // Skip to: 14561
-/* 7480 */    MCD_OPC_Decode, 169, 6, 132, 1, // Opcode: VCVTh2f
-/* 7485 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 7540
-/* 7489 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7492 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7504
-/* 7496 */    MCD_OPC_CheckPredicate, 15, 149, 27, // Skip to: 14561
-/* 7500 */    MCD_OPC_Decode, 130, 12, 124, // Opcode: VQABSv2i32
-/* 7504 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7516
-/* 7508 */    MCD_OPC_CheckPredicate, 15, 137, 27, // Skip to: 14561
-/* 7512 */    MCD_OPC_Decode, 132, 12, 125, // Opcode: VQABSv4i32
-/* 7516 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7528
-/* 7520 */    MCD_OPC_CheckPredicate, 15, 125, 27, // Skip to: 14561
-/* 7524 */    MCD_OPC_Decode, 181, 12, 124, // Opcode: VQNEGv2i32
-/* 7528 */    MCD_OPC_FilterValue, 3, 117, 27, // Skip to: 14561
-/* 7532 */    MCD_OPC_CheckPredicate, 15, 113, 27, // Skip to: 14561
-/* 7536 */    MCD_OPC_Decode, 183, 12, 125, // Opcode: VQNEGv4i32
-/* 7540 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 7595
-/* 7544 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7547 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7559
-/* 7551 */    MCD_OPC_CheckPredicate, 15, 94, 27, // Skip to: 14561
-/* 7555 */    MCD_OPC_Decode, 223, 4, 124, // Opcode: VABSfd
-/* 7559 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7571
-/* 7563 */    MCD_OPC_CheckPredicate, 15, 82, 27, // Skip to: 14561
-/* 7567 */    MCD_OPC_Decode, 224, 4, 125, // Opcode: VABSfq
-/* 7571 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7583
-/* 7575 */    MCD_OPC_CheckPredicate, 15, 70, 27, // Skip to: 14561
-/* 7579 */    MCD_OPC_Decode, 194, 11, 124, // Opcode: VNEGfd
-/* 7583 */    MCD_OPC_FilterValue, 3, 62, 27, // Skip to: 14561
-/* 7587 */    MCD_OPC_CheckPredicate, 15, 58, 27, // Skip to: 14561
-/* 7591 */    MCD_OPC_Decode, 193, 11, 125, // Opcode: VNEGf32q
-/* 7595 */    MCD_OPC_FilterValue, 11, 50, 27, // Skip to: 14561
-/* 7599 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7602 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7614
-/* 7606 */    MCD_OPC_CheckPredicate, 15, 39, 27, // Skip to: 14561
-/* 7610 */    MCD_OPC_Decode, 161, 6, 124, // Opcode: VCVTf2sd
-/* 7614 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7626
-/* 7618 */    MCD_OPC_CheckPredicate, 15, 27, 27, // Skip to: 14561
-/* 7622 */    MCD_OPC_Decode, 162, 6, 125, // Opcode: VCVTf2sq
-/* 7626 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7638
-/* 7630 */    MCD_OPC_CheckPredicate, 15, 15, 27, // Skip to: 14561
-/* 7634 */    MCD_OPC_Decode, 163, 6, 124, // Opcode: VCVTf2ud
-/* 7638 */    MCD_OPC_FilterValue, 3, 7, 27, // Skip to: 14561
-/* 7642 */    MCD_OPC_CheckPredicate, 15, 3, 27, // Skip to: 14561
-/* 7646 */    MCD_OPC_Decode, 164, 6, 125, // Opcode: VCVTf2uq
-/* 7650 */    MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 7683
-/* 7654 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 7657 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7670
-/* 7661 */    MCD_OPC_CheckPredicate, 15, 240, 26, // Skip to: 14561
-/* 7665 */    MCD_OPC_Decode, 210, 17, 133, 1, // Opcode: VTBL1
-/* 7670 */    MCD_OPC_FilterValue, 1, 231, 26, // Skip to: 14561
-/* 7674 */    MCD_OPC_CheckPredicate, 15, 227, 26, // Skip to: 14561
-/* 7678 */    MCD_OPC_Decode, 216, 17, 133, 1, // Opcode: VTBX1
-/* 7683 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 7716
-/* 7687 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 7690 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7703
-/* 7694 */    MCD_OPC_CheckPredicate, 15, 207, 26, // Skip to: 14561
-/* 7698 */    MCD_OPC_Decode, 211, 17, 133, 1, // Opcode: VTBL2
-/* 7703 */    MCD_OPC_FilterValue, 1, 198, 26, // Skip to: 14561
-/* 7707 */    MCD_OPC_CheckPredicate, 15, 194, 26, // Skip to: 14561
-/* 7711 */    MCD_OPC_Decode, 217, 17, 133, 1, // Opcode: VTBX2
-/* 7716 */    MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 7749
-/* 7720 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 7723 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7736
-/* 7727 */    MCD_OPC_CheckPredicate, 15, 174, 26, // Skip to: 14561
-/* 7731 */    MCD_OPC_Decode, 212, 17, 133, 1, // Opcode: VTBL3
-/* 7736 */    MCD_OPC_FilterValue, 1, 165, 26, // Skip to: 14561
-/* 7740 */    MCD_OPC_CheckPredicate, 15, 161, 26, // Skip to: 14561
-/* 7744 */    MCD_OPC_Decode, 218, 17, 133, 1, // Opcode: VTBX3
-/* 7749 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 7782
-/* 7753 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 7756 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7769
-/* 7760 */    MCD_OPC_CheckPredicate, 15, 141, 26, // Skip to: 14561
-/* 7764 */    MCD_OPC_Decode, 214, 17, 133, 1, // Opcode: VTBL4
-/* 7769 */    MCD_OPC_FilterValue, 1, 132, 26, // Skip to: 14561
-/* 7773 */    MCD_OPC_CheckPredicate, 15, 128, 26, // Skip to: 14561
-/* 7777 */    MCD_OPC_Decode, 220, 17, 133, 1, // Opcode: VTBX4
-/* 7782 */    MCD_OPC_FilterValue, 12, 119, 26, // Skip to: 14561
-/* 7786 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
-/* 7789 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7848
-/* 7793 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 7796 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 7835
-/* 7800 */    MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 7803 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 7822
-/* 7807 */    MCD_OPC_CheckPredicate, 15, 94, 26, // Skip to: 14561
-/* 7811 */    MCD_OPC_CheckField, 18, 1, 1, 88, 26, // Skip to: 14561
-/* 7817 */    MCD_OPC_Decode, 188, 6, 134, 1, // Opcode: VDUPLN32d
-/* 7822 */    MCD_OPC_FilterValue, 1, 79, 26, // Skip to: 14561
-/* 7826 */    MCD_OPC_CheckPredicate, 15, 75, 26, // Skip to: 14561
-/* 7830 */    MCD_OPC_Decode, 186, 6, 135, 1, // Opcode: VDUPLN16d
-/* 7835 */    MCD_OPC_FilterValue, 1, 66, 26, // Skip to: 14561
-/* 7839 */    MCD_OPC_CheckPredicate, 15, 62, 26, // Skip to: 14561
-/* 7843 */    MCD_OPC_Decode, 190, 6, 136, 1, // Opcode: VDUPLN8d
-/* 7848 */    MCD_OPC_FilterValue, 1, 53, 26, // Skip to: 14561
-/* 7852 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
-/* 7855 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 7894
-/* 7859 */    MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
-/* 7862 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 7881
-/* 7866 */    MCD_OPC_CheckPredicate, 15, 35, 26, // Skip to: 14561
-/* 7870 */    MCD_OPC_CheckField, 18, 1, 1, 29, 26, // Skip to: 14561
-/* 7876 */    MCD_OPC_Decode, 189, 6, 137, 1, // Opcode: VDUPLN32q
-/* 7881 */    MCD_OPC_FilterValue, 1, 20, 26, // Skip to: 14561
-/* 7885 */    MCD_OPC_CheckPredicate, 15, 16, 26, // Skip to: 14561
-/* 7889 */    MCD_OPC_Decode, 187, 6, 138, 1, // Opcode: VDUPLN16q
-/* 7894 */    MCD_OPC_FilterValue, 1, 7, 26, // Skip to: 14561
-/* 7898 */    MCD_OPC_CheckPredicate, 15, 3, 26, // Skip to: 14561
-/* 7902 */    MCD_OPC_Decode, 191, 6, 139, 1, // Opcode: VDUPLN8q
-/* 7907 */    MCD_OPC_FilterValue, 1, 250, 25, // Skip to: 14561
-/* 7911 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 7914 */    MCD_OPC_FilterValue, 0, 185, 13, // Skip to: 11431
-/* 7918 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 7921 */    MCD_OPC_FilterValue, 0, 28, 6, // Skip to: 9489
-/* 7925 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 7928 */    MCD_OPC_FilterValue, 0, 135, 0, // Skip to: 8067
-/* 7932 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 7935 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 7968
-/* 7939 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 7942 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 7955
-/* 7947 */    MCD_OPC_CheckPredicate, 15, 210, 25, // Skip to: 14561
-/* 7951 */    MCD_OPC_Decode, 142, 12, 95, // Opcode: VQADDsv8i8
-/* 7955 */    MCD_OPC_FilterValue, 243, 1, 201, 25, // Skip to: 14561
-/* 7960 */    MCD_OPC_CheckPredicate, 15, 197, 25, // Skip to: 14561
-/* 7964 */    MCD_OPC_Decode, 150, 12, 95, // Opcode: VQADDuv8i8
-/* 7968 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8001
-/* 7972 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 7975 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 7988
-/* 7980 */    MCD_OPC_CheckPredicate, 15, 177, 25, // Skip to: 14561
-/* 7984 */    MCD_OPC_Decode, 139, 12, 95, // Opcode: VQADDsv4i16
-/* 7988 */    MCD_OPC_FilterValue, 243, 1, 168, 25, // Skip to: 14561
-/* 7993 */    MCD_OPC_CheckPredicate, 15, 164, 25, // Skip to: 14561
-/* 7997 */    MCD_OPC_Decode, 147, 12, 95, // Opcode: VQADDuv4i16
-/* 8001 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8034
-/* 8005 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8008 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8021
-/* 8013 */    MCD_OPC_CheckPredicate, 15, 144, 25, // Skip to: 14561
-/* 8017 */    MCD_OPC_Decode, 137, 12, 95, // Opcode: VQADDsv2i32
-/* 8021 */    MCD_OPC_FilterValue, 243, 1, 135, 25, // Skip to: 14561
-/* 8026 */    MCD_OPC_CheckPredicate, 15, 131, 25, // Skip to: 14561
-/* 8030 */    MCD_OPC_Decode, 145, 12, 95, // Opcode: VQADDuv2i32
-/* 8034 */    MCD_OPC_FilterValue, 3, 123, 25, // Skip to: 14561
-/* 8038 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8041 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8054
-/* 8046 */    MCD_OPC_CheckPredicate, 15, 111, 25, // Skip to: 14561
-/* 8050 */    MCD_OPC_Decode, 136, 12, 95, // Opcode: VQADDsv1i64
-/* 8054 */    MCD_OPC_FilterValue, 243, 1, 102, 25, // Skip to: 14561
-/* 8059 */    MCD_OPC_CheckPredicate, 15, 98, 25, // Skip to: 14561
-/* 8063 */    MCD_OPC_Decode, 144, 12, 95, // Opcode: VQADDuv1i64
-/* 8067 */    MCD_OPC_FilterValue, 1, 135, 0, // Skip to: 8206
-/* 8071 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8074 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8107
-/* 8078 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8081 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8094
-/* 8086 */    MCD_OPC_CheckPredicate, 15, 71, 25, // Skip to: 14561
-/* 8090 */    MCD_OPC_Decode, 134, 5, 95, // Opcode: VANDd
-/* 8094 */    MCD_OPC_FilterValue, 243, 1, 62, 25, // Skip to: 14561
-/* 8099 */    MCD_OPC_CheckPredicate, 15, 58, 25, // Skip to: 14561
-/* 8103 */    MCD_OPC_Decode, 192, 6, 95, // Opcode: VEORd
-/* 8107 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8140
-/* 8111 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8114 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8127
-/* 8119 */    MCD_OPC_CheckPredicate, 15, 38, 25, // Skip to: 14561
-/* 8123 */    MCD_OPC_Decode, 136, 5, 95, // Opcode: VBICd
-/* 8127 */    MCD_OPC_FilterValue, 243, 1, 29, 25, // Skip to: 14561
-/* 8132 */    MCD_OPC_CheckPredicate, 15, 25, 25, // Skip to: 14561
-/* 8136 */    MCD_OPC_Decode, 146, 5, 103, // Opcode: VBSLd
-/* 8140 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8173
-/* 8144 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8147 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8160
-/* 8152 */    MCD_OPC_CheckPredicate, 15, 5, 25, // Skip to: 14561
-/* 8156 */    MCD_OPC_Decode, 209, 11, 95, // Opcode: VORRd
-/* 8160 */    MCD_OPC_FilterValue, 243, 1, 252, 24, // Skip to: 14561
-/* 8165 */    MCD_OPC_CheckPredicate, 15, 248, 24, // Skip to: 14561
-/* 8169 */    MCD_OPC_Decode, 144, 5, 103, // Opcode: VBITd
-/* 8173 */    MCD_OPC_FilterValue, 3, 240, 24, // Skip to: 14561
-/* 8177 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8180 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8193
-/* 8185 */    MCD_OPC_CheckPredicate, 15, 228, 24, // Skip to: 14561
-/* 8189 */    MCD_OPC_Decode, 207, 11, 95, // Opcode: VORNd
-/* 8193 */    MCD_OPC_FilterValue, 243, 1, 219, 24, // Skip to: 14561
-/* 8198 */    MCD_OPC_CheckPredicate, 15, 215, 24, // Skip to: 14561
-/* 8202 */    MCD_OPC_Decode, 142, 5, 103, // Opcode: VBIFd
-/* 8206 */    MCD_OPC_FilterValue, 2, 135, 0, // Skip to: 8345
-/* 8210 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8213 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8246
-/* 8217 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8220 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8233
-/* 8225 */    MCD_OPC_CheckPredicate, 15, 188, 24, // Skip to: 14561
-/* 8229 */    MCD_OPC_Decode, 147, 13, 95, // Opcode: VQSUBsv8i8
-/* 8233 */    MCD_OPC_FilterValue, 243, 1, 179, 24, // Skip to: 14561
-/* 8238 */    MCD_OPC_CheckPredicate, 15, 175, 24, // Skip to: 14561
-/* 8242 */    MCD_OPC_Decode, 155, 13, 95, // Opcode: VQSUBuv8i8
-/* 8246 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8279
-/* 8250 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8253 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8266
-/* 8258 */    MCD_OPC_CheckPredicate, 15, 155, 24, // Skip to: 14561
-/* 8262 */    MCD_OPC_Decode, 144, 13, 95, // Opcode: VQSUBsv4i16
-/* 8266 */    MCD_OPC_FilterValue, 243, 1, 146, 24, // Skip to: 14561
-/* 8271 */    MCD_OPC_CheckPredicate, 15, 142, 24, // Skip to: 14561
-/* 8275 */    MCD_OPC_Decode, 152, 13, 95, // Opcode: VQSUBuv4i16
-/* 8279 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8312
-/* 8283 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8286 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8299
-/* 8291 */    MCD_OPC_CheckPredicate, 15, 122, 24, // Skip to: 14561
-/* 8295 */    MCD_OPC_Decode, 142, 13, 95, // Opcode: VQSUBsv2i32
-/* 8299 */    MCD_OPC_FilterValue, 243, 1, 113, 24, // Skip to: 14561
-/* 8304 */    MCD_OPC_CheckPredicate, 15, 109, 24, // Skip to: 14561
-/* 8308 */    MCD_OPC_Decode, 150, 13, 95, // Opcode: VQSUBuv2i32
-/* 8312 */    MCD_OPC_FilterValue, 3, 101, 24, // Skip to: 14561
-/* 8316 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8319 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8332
-/* 8324 */    MCD_OPC_CheckPredicate, 15, 89, 24, // Skip to: 14561
-/* 8328 */    MCD_OPC_Decode, 141, 13, 95, // Opcode: VQSUBsv1i64
-/* 8332 */    MCD_OPC_FilterValue, 243, 1, 80, 24, // Skip to: 14561
-/* 8337 */    MCD_OPC_CheckPredicate, 15, 76, 24, // Skip to: 14561
-/* 8341 */    MCD_OPC_Decode, 149, 13, 95, // Opcode: VQSUBuv1i64
-/* 8345 */    MCD_OPC_FilterValue, 3, 102, 0, // Skip to: 8451
-/* 8349 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8352 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8385
-/* 8356 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8359 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8372
-/* 8364 */    MCD_OPC_CheckPredicate, 15, 49, 24, // Skip to: 14561
-/* 8368 */    MCD_OPC_Decode, 171, 5, 95, // Opcode: VCGEsv8i8
-/* 8372 */    MCD_OPC_FilterValue, 243, 1, 40, 24, // Skip to: 14561
-/* 8377 */    MCD_OPC_CheckPredicate, 15, 36, 24, // Skip to: 14561
-/* 8381 */    MCD_OPC_Decode, 177, 5, 95, // Opcode: VCGEuv8i8
-/* 8385 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8418
-/* 8389 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8392 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8405
-/* 8397 */    MCD_OPC_CheckPredicate, 15, 16, 24, // Skip to: 14561
-/* 8401 */    MCD_OPC_Decode, 168, 5, 95, // Opcode: VCGEsv4i16
-/* 8405 */    MCD_OPC_FilterValue, 243, 1, 7, 24, // Skip to: 14561
-/* 8410 */    MCD_OPC_CheckPredicate, 15, 3, 24, // Skip to: 14561
-/* 8414 */    MCD_OPC_Decode, 174, 5, 95, // Opcode: VCGEuv4i16
-/* 8418 */    MCD_OPC_FilterValue, 2, 251, 23, // Skip to: 14561
-/* 8422 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8425 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8438
-/* 8430 */    MCD_OPC_CheckPredicate, 15, 239, 23, // Skip to: 14561
-/* 8434 */    MCD_OPC_Decode, 167, 5, 95, // Opcode: VCGEsv2i32
-/* 8438 */    MCD_OPC_FilterValue, 243, 1, 230, 23, // Skip to: 14561
-/* 8443 */    MCD_OPC_CheckPredicate, 15, 226, 23, // Skip to: 14561
-/* 8447 */    MCD_OPC_Decode, 173, 5, 95, // Opcode: VCGEuv2i32
-/* 8451 */    MCD_OPC_FilterValue, 4, 135, 0, // Skip to: 8590
-/* 8455 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8458 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8491
-/* 8462 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8465 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8478
-/* 8470 */    MCD_OPC_CheckPredicate, 15, 199, 23, // Skip to: 14561
-/* 8474 */    MCD_OPC_Decode, 242, 12, 99, // Opcode: VQSHLsv8i8
-/* 8478 */    MCD_OPC_FilterValue, 243, 1, 190, 23, // Skip to: 14561
-/* 8483 */    MCD_OPC_CheckPredicate, 15, 186, 23, // Skip to: 14561
-/* 8487 */    MCD_OPC_Decode, 130, 13, 99, // Opcode: VQSHLuv8i8
-/* 8491 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8524
-/* 8495 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8498 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8511
-/* 8503 */    MCD_OPC_CheckPredicate, 15, 166, 23, // Skip to: 14561
-/* 8507 */    MCD_OPC_Decode, 239, 12, 99, // Opcode: VQSHLsv4i16
-/* 8511 */    MCD_OPC_FilterValue, 243, 1, 157, 23, // Skip to: 14561
-/* 8516 */    MCD_OPC_CheckPredicate, 15, 153, 23, // Skip to: 14561
-/* 8520 */    MCD_OPC_Decode, 255, 12, 99, // Opcode: VQSHLuv4i16
-/* 8524 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8557
-/* 8528 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8531 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8544
-/* 8536 */    MCD_OPC_CheckPredicate, 15, 133, 23, // Skip to: 14561
-/* 8540 */    MCD_OPC_Decode, 237, 12, 99, // Opcode: VQSHLsv2i32
-/* 8544 */    MCD_OPC_FilterValue, 243, 1, 124, 23, // Skip to: 14561
-/* 8549 */    MCD_OPC_CheckPredicate, 15, 120, 23, // Skip to: 14561
-/* 8553 */    MCD_OPC_Decode, 253, 12, 99, // Opcode: VQSHLuv2i32
-/* 8557 */    MCD_OPC_FilterValue, 3, 112, 23, // Skip to: 14561
-/* 8561 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8564 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8577
-/* 8569 */    MCD_OPC_CheckPredicate, 15, 100, 23, // Skip to: 14561
-/* 8573 */    MCD_OPC_Decode, 236, 12, 99, // Opcode: VQSHLsv1i64
-/* 8577 */    MCD_OPC_FilterValue, 243, 1, 91, 23, // Skip to: 14561
-/* 8582 */    MCD_OPC_CheckPredicate, 15, 87, 23, // Skip to: 14561
-/* 8586 */    MCD_OPC_Decode, 252, 12, 99, // Opcode: VQSHLuv1i64
-/* 8590 */    MCD_OPC_FilterValue, 5, 135, 0, // Skip to: 8729
-/* 8594 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8597 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8630
-/* 8601 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8604 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8617
-/* 8609 */    MCD_OPC_CheckPredicate, 15, 60, 23, // Skip to: 14561
-/* 8613 */    MCD_OPC_Decode, 201, 12, 99, // Opcode: VQRSHLsv8i8
-/* 8617 */    MCD_OPC_FilterValue, 243, 1, 51, 23, // Skip to: 14561
-/* 8622 */    MCD_OPC_CheckPredicate, 15, 47, 23, // Skip to: 14561
-/* 8626 */    MCD_OPC_Decode, 209, 12, 99, // Opcode: VQRSHLuv8i8
-/* 8630 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8663
-/* 8634 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8637 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8650
-/* 8642 */    MCD_OPC_CheckPredicate, 15, 27, 23, // Skip to: 14561
-/* 8646 */    MCD_OPC_Decode, 198, 12, 99, // Opcode: VQRSHLsv4i16
-/* 8650 */    MCD_OPC_FilterValue, 243, 1, 18, 23, // Skip to: 14561
-/* 8655 */    MCD_OPC_CheckPredicate, 15, 14, 23, // Skip to: 14561
-/* 8659 */    MCD_OPC_Decode, 206, 12, 99, // Opcode: VQRSHLuv4i16
-/* 8663 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8696
-/* 8667 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8670 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8683
-/* 8675 */    MCD_OPC_CheckPredicate, 15, 250, 22, // Skip to: 14561
-/* 8679 */    MCD_OPC_Decode, 196, 12, 99, // Opcode: VQRSHLsv2i32
-/* 8683 */    MCD_OPC_FilterValue, 243, 1, 241, 22, // Skip to: 14561
-/* 8688 */    MCD_OPC_CheckPredicate, 15, 237, 22, // Skip to: 14561
-/* 8692 */    MCD_OPC_Decode, 204, 12, 99, // Opcode: VQRSHLuv2i32
-/* 8696 */    MCD_OPC_FilterValue, 3, 229, 22, // Skip to: 14561
-/* 8700 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8703 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8716
-/* 8708 */    MCD_OPC_CheckPredicate, 15, 217, 22, // Skip to: 14561
-/* 8712 */    MCD_OPC_Decode, 195, 12, 99, // Opcode: VQRSHLsv1i64
-/* 8716 */    MCD_OPC_FilterValue, 243, 1, 208, 22, // Skip to: 14561
-/* 8721 */    MCD_OPC_CheckPredicate, 15, 204, 22, // Skip to: 14561
-/* 8725 */    MCD_OPC_Decode, 203, 12, 99, // Opcode: VQRSHLuv1i64
-/* 8729 */    MCD_OPC_FilterValue, 6, 102, 0, // Skip to: 8835
-/* 8733 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8736 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8769
-/* 8740 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8743 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8756
-/* 8748 */    MCD_OPC_CheckPredicate, 15, 177, 22, // Skip to: 14561
-/* 8752 */    MCD_OPC_Decode, 182, 10, 95, // Opcode: VMINsv8i8
-/* 8756 */    MCD_OPC_FilterValue, 243, 1, 168, 22, // Skip to: 14561
-/* 8761 */    MCD_OPC_CheckPredicate, 15, 164, 22, // Skip to: 14561
-/* 8765 */    MCD_OPC_Decode, 188, 10, 95, // Opcode: VMINuv8i8
-/* 8769 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8802
-/* 8773 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8776 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8789
-/* 8781 */    MCD_OPC_CheckPredicate, 15, 144, 22, // Skip to: 14561
-/* 8785 */    MCD_OPC_Decode, 179, 10, 95, // Opcode: VMINsv4i16
-/* 8789 */    MCD_OPC_FilterValue, 243, 1, 135, 22, // Skip to: 14561
-/* 8794 */    MCD_OPC_CheckPredicate, 15, 131, 22, // Skip to: 14561
-/* 8798 */    MCD_OPC_Decode, 185, 10, 95, // Opcode: VMINuv4i16
-/* 8802 */    MCD_OPC_FilterValue, 2, 123, 22, // Skip to: 14561
-/* 8806 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8809 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8822
-/* 8814 */    MCD_OPC_CheckPredicate, 15, 111, 22, // Skip to: 14561
-/* 8818 */    MCD_OPC_Decode, 178, 10, 95, // Opcode: VMINsv2i32
-/* 8822 */    MCD_OPC_FilterValue, 243, 1, 102, 22, // Skip to: 14561
-/* 8827 */    MCD_OPC_CheckPredicate, 15, 98, 22, // Skip to: 14561
-/* 8831 */    MCD_OPC_Decode, 184, 10, 95, // Opcode: VMINuv2i32
-/* 8835 */    MCD_OPC_FilterValue, 7, 102, 0, // Skip to: 8941
-/* 8839 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8842 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8875
-/* 8846 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8849 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8862
-/* 8854 */    MCD_OPC_CheckPredicate, 15, 71, 22, // Skip to: 14561
-/* 8858 */    MCD_OPC_Decode, 194, 4, 103, // Opcode: VABAsv8i8
-/* 8862 */    MCD_OPC_FilterValue, 243, 1, 62, 22, // Skip to: 14561
-/* 8867 */    MCD_OPC_CheckPredicate, 15, 58, 22, // Skip to: 14561
-/* 8871 */    MCD_OPC_Decode, 200, 4, 103, // Opcode: VABAuv8i8
-/* 8875 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8908
-/* 8879 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8882 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8895
-/* 8887 */    MCD_OPC_CheckPredicate, 15, 38, 22, // Skip to: 14561
-/* 8891 */    MCD_OPC_Decode, 191, 4, 103, // Opcode: VABAsv4i16
-/* 8895 */    MCD_OPC_FilterValue, 243, 1, 29, 22, // Skip to: 14561
-/* 8900 */    MCD_OPC_CheckPredicate, 15, 25, 22, // Skip to: 14561
-/* 8904 */    MCD_OPC_Decode, 197, 4, 103, // Opcode: VABAuv4i16
-/* 8908 */    MCD_OPC_FilterValue, 2, 17, 22, // Skip to: 14561
-/* 8912 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8915 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8928
-/* 8920 */    MCD_OPC_CheckPredicate, 15, 5, 22, // Skip to: 14561
-/* 8924 */    MCD_OPC_Decode, 190, 4, 103, // Opcode: VABAsv2i32
-/* 8928 */    MCD_OPC_FilterValue, 243, 1, 252, 21, // Skip to: 14561
-/* 8933 */    MCD_OPC_CheckPredicate, 15, 248, 21, // Skip to: 14561
-/* 8937 */    MCD_OPC_Decode, 196, 4, 103, // Opcode: VABAuv2i32
-/* 8941 */    MCD_OPC_FilterValue, 8, 102, 0, // Skip to: 9047
-/* 8945 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 8948 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8981
-/* 8952 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8955 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8968
-/* 8960 */    MCD_OPC_CheckPredicate, 15, 221, 21, // Skip to: 14561
-/* 8964 */    MCD_OPC_Decode, 249, 17, 95, // Opcode: VTSTv8i8
-/* 8968 */    MCD_OPC_FilterValue, 243, 1, 212, 21, // Skip to: 14561
-/* 8973 */    MCD_OPC_CheckPredicate, 15, 208, 21, // Skip to: 14561
-/* 8977 */    MCD_OPC_Decode, 155, 5, 95, // Opcode: VCEQv8i8
-/* 8981 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 9014
-/* 8985 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 8988 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9001
-/* 8993 */    MCD_OPC_CheckPredicate, 15, 188, 21, // Skip to: 14561
-/* 8997 */    MCD_OPC_Decode, 246, 17, 95, // Opcode: VTSTv4i16
-/* 9001 */    MCD_OPC_FilterValue, 243, 1, 179, 21, // Skip to: 14561
-/* 9006 */    MCD_OPC_CheckPredicate, 15, 175, 21, // Skip to: 14561
-/* 9010 */    MCD_OPC_Decode, 152, 5, 95, // Opcode: VCEQv4i16
-/* 9014 */    MCD_OPC_FilterValue, 2, 167, 21, // Skip to: 14561
-/* 9018 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 9021 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9034
-/* 9026 */    MCD_OPC_CheckPredicate, 15, 155, 21, // Skip to: 14561
-/* 9030 */    MCD_OPC_Decode, 245, 17, 95, // Opcode: VTSTv2i32
-/* 9034 */    MCD_OPC_FilterValue, 243, 1, 146, 21, // Skip to: 14561
-/* 9039 */    MCD_OPC_CheckPredicate, 15, 142, 21, // Skip to: 14561
-/* 9043 */    MCD_OPC_Decode, 151, 5, 95, // Opcode: VCEQv2i32
-/* 9047 */    MCD_OPC_FilterValue, 9, 74, 0, // Skip to: 9125
-/* 9051 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9054 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9087
-/* 9058 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 9061 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9074
-/* 9066 */    MCD_OPC_CheckPredicate, 15, 115, 21, // Skip to: 14561
-/* 9070 */    MCD_OPC_Decode, 184, 11, 95, // Opcode: VMULv8i8
-/* 9074 */    MCD_OPC_FilterValue, 243, 1, 106, 21, // Skip to: 14561
-/* 9079 */    MCD_OPC_CheckPredicate, 15, 102, 21, // Skip to: 14561
-/* 9083 */    MCD_OPC_Decode, 171, 11, 95, // Opcode: VMULpd
-/* 9087 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 9106
-/* 9091 */    MCD_OPC_CheckPredicate, 15, 90, 21, // Skip to: 14561
-/* 9095 */    MCD_OPC_CheckField, 24, 8, 242, 1, 83, 21, // Skip to: 14561
-/* 9102 */    MCD_OPC_Decode, 181, 11, 95, // Opcode: VMULv4i16
-/* 9106 */    MCD_OPC_FilterValue, 2, 75, 21, // Skip to: 14561
-/* 9110 */    MCD_OPC_CheckPredicate, 15, 71, 21, // Skip to: 14561
-/* 9114 */    MCD_OPC_CheckField, 24, 8, 242, 1, 64, 21, // Skip to: 14561
-/* 9121 */    MCD_OPC_Decode, 180, 11, 95, // Opcode: VMULv2i32
-/* 9125 */    MCD_OPC_FilterValue, 10, 102, 0, // Skip to: 9231
-/* 9129 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9132 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9165
-/* 9136 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 9139 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9152
-/* 9144 */    MCD_OPC_CheckPredicate, 15, 37, 21, // Skip to: 14561
-/* 9148 */    MCD_OPC_Decode, 253, 11, 95, // Opcode: VPMINs8
-/* 9152 */    MCD_OPC_FilterValue, 243, 1, 28, 21, // Skip to: 14561
-/* 9157 */    MCD_OPC_CheckPredicate, 15, 24, 21, // Skip to: 14561
-/* 9161 */    MCD_OPC_Decode, 128, 12, 95, // Opcode: VPMINu8
-/* 9165 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 9198
-/* 9169 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 9172 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9185
-/* 9177 */    MCD_OPC_CheckPredicate, 15, 4, 21, // Skip to: 14561
-/* 9181 */    MCD_OPC_Decode, 251, 11, 95, // Opcode: VPMINs16
-/* 9185 */    MCD_OPC_FilterValue, 243, 1, 251, 20, // Skip to: 14561
-/* 9190 */    MCD_OPC_CheckPredicate, 15, 247, 20, // Skip to: 14561
-/* 9194 */    MCD_OPC_Decode, 254, 11, 95, // Opcode: VPMINu16
-/* 9198 */    MCD_OPC_FilterValue, 2, 239, 20, // Skip to: 14561
-/* 9202 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 9205 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9218
-/* 9210 */    MCD_OPC_CheckPredicate, 15, 227, 20, // Skip to: 14561
-/* 9214 */    MCD_OPC_Decode, 252, 11, 95, // Opcode: VPMINs32
-/* 9218 */    MCD_OPC_FilterValue, 243, 1, 218, 20, // Skip to: 14561
-/* 9223 */    MCD_OPC_CheckPredicate, 15, 214, 20, // Skip to: 14561
-/* 9227 */    MCD_OPC_Decode, 255, 11, 95, // Opcode: VPMINu32
-/* 9231 */    MCD_OPC_FilterValue, 11, 60, 0, // Skip to: 9295
-/* 9235 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9238 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9257
-/* 9242 */    MCD_OPC_CheckPredicate, 15, 195, 20, // Skip to: 14561
-/* 9246 */    MCD_OPC_CheckField, 24, 8, 242, 1, 188, 20, // Skip to: 14561
-/* 9253 */    MCD_OPC_Decode, 242, 11, 95, // Opcode: VPADDi8
-/* 9257 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 9276
-/* 9261 */    MCD_OPC_CheckPredicate, 15, 176, 20, // Skip to: 14561
-/* 9265 */    MCD_OPC_CheckField, 24, 8, 242, 1, 169, 20, // Skip to: 14561
-/* 9272 */    MCD_OPC_Decode, 240, 11, 95, // Opcode: VPADDi16
-/* 9276 */    MCD_OPC_FilterValue, 2, 161, 20, // Skip to: 14561
-/* 9280 */    MCD_OPC_CheckPredicate, 15, 157, 20, // Skip to: 14561
-/* 9284 */    MCD_OPC_CheckField, 24, 8, 242, 1, 150, 20, // Skip to: 14561
-/* 9291 */    MCD_OPC_Decode, 241, 11, 95, // Opcode: VPADDi32
-/* 9295 */    MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 9340
-/* 9299 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9302 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9321
-/* 9306 */    MCD_OPC_CheckPredicate, 18, 131, 20, // Skip to: 14561
-/* 9310 */    MCD_OPC_CheckField, 24, 8, 242, 1, 124, 20, // Skip to: 14561
-/* 9317 */    MCD_OPC_Decode, 203, 6, 103, // Opcode: VFMAfd
-/* 9321 */    MCD_OPC_FilterValue, 2, 116, 20, // Skip to: 14561
-/* 9325 */    MCD_OPC_CheckPredicate, 18, 112, 20, // Skip to: 14561
-/* 9329 */    MCD_OPC_CheckField, 24, 8, 242, 1, 105, 20, // Skip to: 14561
-/* 9336 */    MCD_OPC_Decode, 207, 6, 103, // Opcode: VFMSfd
-/* 9340 */    MCD_OPC_FilterValue, 13, 55, 0, // Skip to: 9399
-/* 9344 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9347 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9380
-/* 9351 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 9354 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9367
-/* 9359 */    MCD_OPC_CheckPredicate, 15, 78, 20, // Skip to: 14561
-/* 9363 */    MCD_OPC_Decode, 201, 10, 103, // Opcode: VMLAfd
-/* 9367 */    MCD_OPC_FilterValue, 243, 1, 69, 20, // Skip to: 14561
-/* 9372 */    MCD_OPC_CheckPredicate, 15, 65, 20, // Skip to: 14561
-/* 9376 */    MCD_OPC_Decode, 169, 11, 95, // Opcode: VMULfd
-/* 9380 */    MCD_OPC_FilterValue, 2, 57, 20, // Skip to: 14561
-/* 9384 */    MCD_OPC_CheckPredicate, 15, 53, 20, // Skip to: 14561
-/* 9388 */    MCD_OPC_CheckField, 24, 8, 242, 1, 46, 20, // Skip to: 14561
-/* 9395 */    MCD_OPC_Decode, 227, 10, 103, // Opcode: VMLSfd
-/* 9399 */    MCD_OPC_FilterValue, 14, 41, 0, // Skip to: 9444
-/* 9403 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9406 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9425
-/* 9410 */    MCD_OPC_CheckPredicate, 15, 27, 20, // Skip to: 14561
-/* 9414 */    MCD_OPC_CheckField, 24, 8, 243, 1, 20, 20, // Skip to: 14561
-/* 9421 */    MCD_OPC_Decode, 231, 4, 95, // Opcode: VACGEd
-/* 9425 */    MCD_OPC_FilterValue, 2, 12, 20, // Skip to: 14561
-/* 9429 */    MCD_OPC_CheckPredicate, 15, 8, 20, // Skip to: 14561
-/* 9433 */    MCD_OPC_CheckField, 24, 8, 243, 1, 1, 20, // Skip to: 14561
-/* 9440 */    MCD_OPC_Decode, 233, 4, 95, // Opcode: VACGTd
-/* 9444 */    MCD_OPC_FilterValue, 15, 249, 19, // Skip to: 14561
-/* 9448 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 9451 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9470
-/* 9455 */    MCD_OPC_CheckPredicate, 15, 238, 19, // Skip to: 14561
-/* 9459 */    MCD_OPC_CheckField, 24, 8, 242, 1, 231, 19, // Skip to: 14561
-/* 9466 */    MCD_OPC_Decode, 163, 13, 95, // Opcode: VRECPSfd
-/* 9470 */    MCD_OPC_FilterValue, 2, 223, 19, // Skip to: 14561
-/* 9474 */    MCD_OPC_CheckPredicate, 15, 219, 19, // Skip to: 14561
-/* 9478 */    MCD_OPC_CheckField, 24, 8, 242, 1, 212, 19, // Skip to: 14561
-/* 9485 */    MCD_OPC_Decode, 254, 13, 95, // Opcode: VRSQRTSfd
-/* 9489 */    MCD_OPC_FilterValue, 1, 204, 19, // Skip to: 14561
-/* 9493 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 9496 */    MCD_OPC_FilterValue, 0, 138, 6, // Skip to: 11174
-/* 9500 */    MCD_OPC_ExtractField, 25, 7,  // Inst{31-25} ...
-/* 9503 */    MCD_OPC_FilterValue, 121, 190, 19, // Skip to: 14561
-/* 9507 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 9510 */    MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 9635
-/* 9514 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9517 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9602
-/* 9521 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 9524 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9569
-/* 9528 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9531 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9550
-/* 9535 */    MCD_OPC_CheckPredicate, 15, 190, 5, // Skip to: 11009
-/* 9539 */    MCD_OPC_CheckField, 19, 1, 1, 184, 5, // Skip to: 11009
-/* 9545 */    MCD_OPC_Decode, 201, 14, 140, 1, // Opcode: VSHRsv8i8
-/* 9550 */    MCD_OPC_FilterValue, 1, 175, 5, // Skip to: 11009
-/* 9554 */    MCD_OPC_CheckPredicate, 15, 171, 5, // Skip to: 11009
-/* 9558 */    MCD_OPC_CheckField, 19, 1, 1, 165, 5, // Skip to: 11009
-/* 9564 */    MCD_OPC_Decode, 209, 14, 140, 1, // Opcode: VSHRuv8i8
-/* 9569 */    MCD_OPC_FilterValue, 1, 156, 5, // Skip to: 11009
-/* 9573 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9576 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9589
-/* 9580 */    MCD_OPC_CheckPredicate, 15, 145, 5, // Skip to: 11009
-/* 9584 */    MCD_OPC_Decode, 198, 14, 141, 1, // Opcode: VSHRsv4i16
-/* 9589 */    MCD_OPC_FilterValue, 1, 136, 5, // Skip to: 11009
-/* 9593 */    MCD_OPC_CheckPredicate, 15, 132, 5, // Skip to: 11009
-/* 9597 */    MCD_OPC_Decode, 206, 14, 141, 1, // Opcode: VSHRuv4i16
-/* 9602 */    MCD_OPC_FilterValue, 1, 123, 5, // Skip to: 11009
-/* 9606 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9609 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9622
-/* 9613 */    MCD_OPC_CheckPredicate, 15, 112, 5, // Skip to: 11009
-/* 9617 */    MCD_OPC_Decode, 196, 14, 142, 1, // Opcode: VSHRsv2i32
-/* 9622 */    MCD_OPC_FilterValue, 1, 103, 5, // Skip to: 11009
-/* 9626 */    MCD_OPC_CheckPredicate, 15, 99, 5, // Skip to: 11009
-/* 9630 */    MCD_OPC_Decode, 204, 14, 142, 1, // Opcode: VSHRuv2i32
-/* 9635 */    MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 9760
-/* 9639 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9642 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9727
-/* 9646 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 9649 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9694
-/* 9653 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9656 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9675
-/* 9660 */    MCD_OPC_CheckPredicate, 15, 65, 5, // Skip to: 11009
-/* 9664 */    MCD_OPC_CheckField, 19, 1, 1, 59, 5, // Skip to: 11009
-/* 9670 */    MCD_OPC_Decode, 233, 14, 143, 1, // Opcode: VSRAsv8i8
-/* 9675 */    MCD_OPC_FilterValue, 1, 50, 5, // Skip to: 11009
-/* 9679 */    MCD_OPC_CheckPredicate, 15, 46, 5, // Skip to: 11009
-/* 9683 */    MCD_OPC_CheckField, 19, 1, 1, 40, 5, // Skip to: 11009
-/* 9689 */    MCD_OPC_Decode, 241, 14, 143, 1, // Opcode: VSRAuv8i8
-/* 9694 */    MCD_OPC_FilterValue, 1, 31, 5, // Skip to: 11009
-/* 9698 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9701 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9714
-/* 9705 */    MCD_OPC_CheckPredicate, 15, 20, 5, // Skip to: 11009
-/* 9709 */    MCD_OPC_Decode, 230, 14, 144, 1, // Opcode: VSRAsv4i16
-/* 9714 */    MCD_OPC_FilterValue, 1, 11, 5, // Skip to: 11009
-/* 9718 */    MCD_OPC_CheckPredicate, 15, 7, 5, // Skip to: 11009
-/* 9722 */    MCD_OPC_Decode, 238, 14, 144, 1, // Opcode: VSRAuv4i16
-/* 9727 */    MCD_OPC_FilterValue, 1, 254, 4, // Skip to: 11009
-/* 9731 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9734 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9747
-/* 9738 */    MCD_OPC_CheckPredicate, 15, 243, 4, // Skip to: 11009
-/* 9742 */    MCD_OPC_Decode, 228, 14, 145, 1, // Opcode: VSRAsv2i32
-/* 9747 */    MCD_OPC_FilterValue, 1, 234, 4, // Skip to: 11009
-/* 9751 */    MCD_OPC_CheckPredicate, 15, 230, 4, // Skip to: 11009
-/* 9755 */    MCD_OPC_Decode, 236, 14, 145, 1, // Opcode: VSRAuv2i32
-/* 9760 */    MCD_OPC_FilterValue, 2, 121, 0, // Skip to: 9885
-/* 9764 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9767 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9852
-/* 9771 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 9774 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9819
-/* 9778 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9781 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9800
-/* 9785 */    MCD_OPC_CheckPredicate, 15, 196, 4, // Skip to: 11009
-/* 9789 */    MCD_OPC_CheckField, 19, 1, 1, 190, 4, // Skip to: 11009
-/* 9795 */    MCD_OPC_Decode, 241, 13, 140, 1, // Opcode: VRSHRsv8i8
-/* 9800 */    MCD_OPC_FilterValue, 1, 181, 4, // Skip to: 11009
-/* 9804 */    MCD_OPC_CheckPredicate, 15, 177, 4, // Skip to: 11009
-/* 9808 */    MCD_OPC_CheckField, 19, 1, 1, 171, 4, // Skip to: 11009
-/* 9814 */    MCD_OPC_Decode, 249, 13, 140, 1, // Opcode: VRSHRuv8i8
-/* 9819 */    MCD_OPC_FilterValue, 1, 162, 4, // Skip to: 11009
-/* 9823 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9826 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9839
-/* 9830 */    MCD_OPC_CheckPredicate, 15, 151, 4, // Skip to: 11009
-/* 9834 */    MCD_OPC_Decode, 238, 13, 141, 1, // Opcode: VRSHRsv4i16
-/* 9839 */    MCD_OPC_FilterValue, 1, 142, 4, // Skip to: 11009
-/* 9843 */    MCD_OPC_CheckPredicate, 15, 138, 4, // Skip to: 11009
-/* 9847 */    MCD_OPC_Decode, 246, 13, 141, 1, // Opcode: VRSHRuv4i16
-/* 9852 */    MCD_OPC_FilterValue, 1, 129, 4, // Skip to: 11009
-/* 9856 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9859 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9872
-/* 9863 */    MCD_OPC_CheckPredicate, 15, 118, 4, // Skip to: 11009
-/* 9867 */    MCD_OPC_Decode, 236, 13, 142, 1, // Opcode: VRSHRsv2i32
-/* 9872 */    MCD_OPC_FilterValue, 1, 109, 4, // Skip to: 11009
-/* 9876 */    MCD_OPC_CheckPredicate, 15, 105, 4, // Skip to: 11009
-/* 9880 */    MCD_OPC_Decode, 244, 13, 142, 1, // Opcode: VRSHRuv2i32
-/* 9885 */    MCD_OPC_FilterValue, 3, 121, 0, // Skip to: 10010
-/* 9889 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 9892 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9977
-/* 9896 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 9899 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9944
-/* 9903 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9906 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9925
-/* 9910 */    MCD_OPC_CheckPredicate, 15, 71, 4, // Skip to: 11009
-/* 9914 */    MCD_OPC_CheckField, 19, 1, 1, 65, 4, // Skip to: 11009
-/* 9920 */    MCD_OPC_Decode, 135, 14, 143, 1, // Opcode: VRSRAsv8i8
-/* 9925 */    MCD_OPC_FilterValue, 1, 56, 4, // Skip to: 11009
-/* 9929 */    MCD_OPC_CheckPredicate, 15, 52, 4, // Skip to: 11009
-/* 9933 */    MCD_OPC_CheckField, 19, 1, 1, 46, 4, // Skip to: 11009
-/* 9939 */    MCD_OPC_Decode, 143, 14, 143, 1, // Opcode: VRSRAuv8i8
-/* 9944 */    MCD_OPC_FilterValue, 1, 37, 4, // Skip to: 11009
-/* 9948 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9951 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9964
-/* 9955 */    MCD_OPC_CheckPredicate, 15, 26, 4, // Skip to: 11009
-/* 9959 */    MCD_OPC_Decode, 132, 14, 144, 1, // Opcode: VRSRAsv4i16
-/* 9964 */    MCD_OPC_FilterValue, 1, 17, 4, // Skip to: 11009
-/* 9968 */    MCD_OPC_CheckPredicate, 15, 13, 4, // Skip to: 11009
-/* 9972 */    MCD_OPC_Decode, 140, 14, 144, 1, // Opcode: VRSRAuv4i16
-/* 9977 */    MCD_OPC_FilterValue, 1, 4, 4, // Skip to: 11009
-/* 9981 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 9984 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9997
-/* 9988 */    MCD_OPC_CheckPredicate, 15, 249, 3, // Skip to: 11009
-/* 9992 */    MCD_OPC_Decode, 130, 14, 145, 1, // Opcode: VRSRAsv2i32
-/* 9997 */    MCD_OPC_FilterValue, 1, 240, 3, // Skip to: 11009
-/* 10001 */   MCD_OPC_CheckPredicate, 15, 236, 3, // Skip to: 11009
-/* 10005 */   MCD_OPC_Decode, 138, 14, 145, 1, // Opcode: VRSRAuv2i32
-/* 10010 */   MCD_OPC_FilterValue, 4, 73, 0, // Skip to: 10087
-/* 10014 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10017 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 10068
-/* 10021 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10024 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 10049
-/* 10028 */   MCD_OPC_CheckPredicate, 15, 209, 3, // Skip to: 11009
-/* 10032 */   MCD_OPC_CheckField, 24, 1, 1, 203, 3, // Skip to: 11009
-/* 10038 */   MCD_OPC_CheckField, 19, 1, 1, 197, 3, // Skip to: 11009
-/* 10044 */   MCD_OPC_Decode, 249, 14, 143, 1, // Opcode: VSRIv8i8
-/* 10049 */   MCD_OPC_FilterValue, 1, 188, 3, // Skip to: 11009
-/* 10053 */   MCD_OPC_CheckPredicate, 15, 184, 3, // Skip to: 11009
-/* 10057 */   MCD_OPC_CheckField, 24, 1, 1, 178, 3, // Skip to: 11009
-/* 10063 */   MCD_OPC_Decode, 246, 14, 144, 1, // Opcode: VSRIv4i16
-/* 10068 */   MCD_OPC_FilterValue, 1, 169, 3, // Skip to: 11009
-/* 10072 */   MCD_OPC_CheckPredicate, 15, 165, 3, // Skip to: 11009
-/* 10076 */   MCD_OPC_CheckField, 24, 1, 1, 159, 3, // Skip to: 11009
-/* 10082 */   MCD_OPC_Decode, 244, 14, 145, 1, // Opcode: VSRIv2i32
-/* 10087 */   MCD_OPC_FilterValue, 5, 121, 0, // Skip to: 10212
-/* 10091 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10094 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10179
-/* 10098 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10101 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10146
-/* 10105 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10108 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10127
-/* 10112 */   MCD_OPC_CheckPredicate, 15, 125, 3, // Skip to: 11009
-/* 10116 */   MCD_OPC_CheckField, 19, 1, 1, 119, 3, // Skip to: 11009
-/* 10122 */   MCD_OPC_Decode, 174, 14, 146, 1, // Opcode: VSHLiv8i8
-/* 10127 */   MCD_OPC_FilterValue, 1, 110, 3, // Skip to: 11009
-/* 10131 */   MCD_OPC_CheckPredicate, 15, 106, 3, // Skip to: 11009
-/* 10135 */   MCD_OPC_CheckField, 19, 1, 1, 100, 3, // Skip to: 11009
-/* 10141 */   MCD_OPC_Decode, 221, 14, 147, 1, // Opcode: VSLIv8i8
-/* 10146 */   MCD_OPC_FilterValue, 1, 91, 3, // Skip to: 11009
-/* 10150 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10153 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10166
-/* 10157 */   MCD_OPC_CheckPredicate, 15, 80, 3, // Skip to: 11009
-/* 10161 */   MCD_OPC_Decode, 171, 14, 148, 1, // Opcode: VSHLiv4i16
-/* 10166 */   MCD_OPC_FilterValue, 1, 71, 3, // Skip to: 11009
-/* 10170 */   MCD_OPC_CheckPredicate, 15, 67, 3, // Skip to: 11009
-/* 10174 */   MCD_OPC_Decode, 218, 14, 149, 1, // Opcode: VSLIv4i16
-/* 10179 */   MCD_OPC_FilterValue, 1, 58, 3, // Skip to: 11009
-/* 10183 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10186 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10199
-/* 10190 */   MCD_OPC_CheckPredicate, 15, 47, 3, // Skip to: 11009
-/* 10194 */   MCD_OPC_Decode, 169, 14, 150, 1, // Opcode: VSHLiv2i32
-/* 10199 */   MCD_OPC_FilterValue, 1, 38, 3, // Skip to: 11009
-/* 10203 */   MCD_OPC_CheckPredicate, 15, 34, 3, // Skip to: 11009
-/* 10207 */   MCD_OPC_Decode, 216, 14, 151, 1, // Opcode: VSLIv2i32
-/* 10212 */   MCD_OPC_FilterValue, 6, 73, 0, // Skip to: 10289
-/* 10216 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10219 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 10270
-/* 10223 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10226 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 10251
-/* 10230 */   MCD_OPC_CheckPredicate, 15, 7, 3, // Skip to: 11009
-/* 10234 */   MCD_OPC_CheckField, 24, 1, 1, 1, 3, // Skip to: 11009
-/* 10240 */   MCD_OPC_CheckField, 19, 1, 1, 251, 2, // Skip to: 11009
-/* 10246 */   MCD_OPC_Decode, 234, 12, 146, 1, // Opcode: VQSHLsuv8i8
-/* 10251 */   MCD_OPC_FilterValue, 1, 242, 2, // Skip to: 11009
-/* 10255 */   MCD_OPC_CheckPredicate, 15, 238, 2, // Skip to: 11009
-/* 10259 */   MCD_OPC_CheckField, 24, 1, 1, 232, 2, // Skip to: 11009
-/* 10265 */   MCD_OPC_Decode, 231, 12, 148, 1, // Opcode: VQSHLsuv4i16
-/* 10270 */   MCD_OPC_FilterValue, 1, 223, 2, // Skip to: 11009
-/* 10274 */   MCD_OPC_CheckPredicate, 15, 219, 2, // Skip to: 11009
-/* 10278 */   MCD_OPC_CheckField, 24, 1, 1, 213, 2, // Skip to: 11009
-/* 10284 */   MCD_OPC_Decode, 229, 12, 150, 1, // Opcode: VQSHLsuv2i32
-/* 10289 */   MCD_OPC_FilterValue, 7, 121, 0, // Skip to: 10414
-/* 10293 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10296 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10381
-/* 10300 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10303 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10348
-/* 10307 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10310 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10329
-/* 10314 */   MCD_OPC_CheckPredicate, 15, 179, 2, // Skip to: 11009
-/* 10318 */   MCD_OPC_CheckField, 19, 1, 1, 173, 2, // Skip to: 11009
-/* 10324 */   MCD_OPC_Decode, 226, 12, 146, 1, // Opcode: VQSHLsiv8i8
-/* 10329 */   MCD_OPC_FilterValue, 1, 164, 2, // Skip to: 11009
-/* 10333 */   MCD_OPC_CheckPredicate, 15, 160, 2, // Skip to: 11009
-/* 10337 */   MCD_OPC_CheckField, 19, 1, 1, 154, 2, // Skip to: 11009
-/* 10343 */   MCD_OPC_Decode, 250, 12, 146, 1, // Opcode: VQSHLuiv8i8
-/* 10348 */   MCD_OPC_FilterValue, 1, 145, 2, // Skip to: 11009
-/* 10352 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10355 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10368
-/* 10359 */   MCD_OPC_CheckPredicate, 15, 134, 2, // Skip to: 11009
-/* 10363 */   MCD_OPC_Decode, 223, 12, 148, 1, // Opcode: VQSHLsiv4i16
-/* 10368 */   MCD_OPC_FilterValue, 1, 125, 2, // Skip to: 11009
-/* 10372 */   MCD_OPC_CheckPredicate, 15, 121, 2, // Skip to: 11009
-/* 10376 */   MCD_OPC_Decode, 247, 12, 148, 1, // Opcode: VQSHLuiv4i16
-/* 10381 */   MCD_OPC_FilterValue, 1, 112, 2, // Skip to: 11009
-/* 10385 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10388 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10401
-/* 10392 */   MCD_OPC_CheckPredicate, 15, 101, 2, // Skip to: 11009
-/* 10396 */   MCD_OPC_Decode, 221, 12, 150, 1, // Opcode: VQSHLsiv2i32
-/* 10401 */   MCD_OPC_FilterValue, 1, 92, 2, // Skip to: 11009
-/* 10405 */   MCD_OPC_CheckPredicate, 15, 88, 2, // Skip to: 11009
-/* 10409 */   MCD_OPC_Decode, 245, 12, 150, 1, // Opcode: VQSHLuiv2i32
-/* 10414 */   MCD_OPC_FilterValue, 8, 121, 0, // Skip to: 10539
-/* 10418 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10421 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10506
-/* 10425 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10428 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10473
-/* 10432 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10435 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10454
-/* 10439 */   MCD_OPC_CheckPredicate, 15, 54, 2, // Skip to: 11009
-/* 10443 */   MCD_OPC_CheckField, 19, 1, 1, 48, 2, // Skip to: 11009
-/* 10449 */   MCD_OPC_Decode, 193, 14, 152, 1, // Opcode: VSHRNv8i8
-/* 10454 */   MCD_OPC_FilterValue, 1, 39, 2, // Skip to: 11009
-/* 10458 */   MCD_OPC_CheckPredicate, 15, 35, 2, // Skip to: 11009
-/* 10462 */   MCD_OPC_CheckField, 19, 1, 1, 29, 2, // Skip to: 11009
-/* 10468 */   MCD_OPC_Decode, 139, 13, 152, 1, // Opcode: VQSHRUNv8i8
-/* 10473 */   MCD_OPC_FilterValue, 1, 20, 2, // Skip to: 11009
-/* 10477 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10480 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10493
-/* 10484 */   MCD_OPC_CheckPredicate, 15, 9, 2, // Skip to: 11009
-/* 10488 */   MCD_OPC_Decode, 192, 14, 153, 1, // Opcode: VSHRNv4i16
-/* 10493 */   MCD_OPC_FilterValue, 1, 0, 2, // Skip to: 11009
-/* 10497 */   MCD_OPC_CheckPredicate, 15, 252, 1, // Skip to: 11009
-/* 10501 */   MCD_OPC_Decode, 138, 13, 153, 1, // Opcode: VQSHRUNv4i16
-/* 10506 */   MCD_OPC_FilterValue, 1, 243, 1, // Skip to: 11009
-/* 10510 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10513 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10526
-/* 10517 */   MCD_OPC_CheckPredicate, 15, 232, 1, // Skip to: 11009
-/* 10521 */   MCD_OPC_Decode, 191, 14, 154, 1, // Opcode: VSHRNv2i32
-/* 10526 */   MCD_OPC_FilterValue, 1, 223, 1, // Skip to: 11009
-/* 10530 */   MCD_OPC_CheckPredicate, 15, 219, 1, // Skip to: 11009
-/* 10534 */   MCD_OPC_Decode, 137, 13, 154, 1, // Opcode: VQSHRUNv2i32
-/* 10539 */   MCD_OPC_FilterValue, 9, 121, 0, // Skip to: 10664
-/* 10543 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10546 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10631
-/* 10550 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10553 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10598
-/* 10557 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10560 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10579
-/* 10564 */   MCD_OPC_CheckPredicate, 15, 185, 1, // Skip to: 11009
-/* 10568 */   MCD_OPC_CheckField, 19, 1, 1, 179, 1, // Skip to: 11009
-/* 10574 */   MCD_OPC_Decode, 133, 13, 152, 1, // Opcode: VQSHRNsv8i8
-/* 10579 */   MCD_OPC_FilterValue, 1, 170, 1, // Skip to: 11009
-/* 10583 */   MCD_OPC_CheckPredicate, 15, 166, 1, // Skip to: 11009
-/* 10587 */   MCD_OPC_CheckField, 19, 1, 1, 160, 1, // Skip to: 11009
-/* 10593 */   MCD_OPC_Decode, 136, 13, 152, 1, // Opcode: VQSHRNuv8i8
-/* 10598 */   MCD_OPC_FilterValue, 1, 151, 1, // Skip to: 11009
-/* 10602 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10605 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10618
-/* 10609 */   MCD_OPC_CheckPredicate, 15, 140, 1, // Skip to: 11009
-/* 10613 */   MCD_OPC_Decode, 132, 13, 153, 1, // Opcode: VQSHRNsv4i16
-/* 10618 */   MCD_OPC_FilterValue, 1, 131, 1, // Skip to: 11009
-/* 10622 */   MCD_OPC_CheckPredicate, 15, 127, 1, // Skip to: 11009
-/* 10626 */   MCD_OPC_Decode, 135, 13, 153, 1, // Opcode: VQSHRNuv4i16
-/* 10631 */   MCD_OPC_FilterValue, 1, 118, 1, // Skip to: 11009
-/* 10635 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10638 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10651
-/* 10642 */   MCD_OPC_CheckPredicate, 15, 107, 1, // Skip to: 11009
-/* 10646 */   MCD_OPC_Decode, 131, 13, 154, 1, // Opcode: VQSHRNsv2i32
-/* 10651 */   MCD_OPC_FilterValue, 1, 98, 1, // Skip to: 11009
-/* 10655 */   MCD_OPC_CheckPredicate, 15, 94, 1, // Skip to: 11009
-/* 10659 */   MCD_OPC_Decode, 134, 13, 154, 1, // Opcode: VQSHRNuv2i32
-/* 10664 */   MCD_OPC_FilterValue, 10, 213, 0, // Skip to: 10881
-/* 10668 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 10671 */   MCD_OPC_FilterValue, 0, 143, 0, // Skip to: 10818
-/* 10675 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 10678 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 10755
-/* 10682 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10685 */   MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 10720
-/* 10689 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 10692 */   MCD_OPC_FilterValue, 1, 57, 1, // Skip to: 11009
-/* 10696 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10711
-/* 10700 */   MCD_OPC_CheckField, 16, 3, 0, 5, 0, // Skip to: 10711
-/* 10706 */   MCD_OPC_Decode, 246, 10, 132, 1, // Opcode: VMOVLsv8i16
-/* 10711 */   MCD_OPC_CheckPredicate, 15, 38, 1, // Skip to: 11009
-/* 10715 */   MCD_OPC_Decode, 163, 14, 155, 1, // Opcode: VSHLLsv8i16
-/* 10720 */   MCD_OPC_FilterValue, 1, 29, 1, // Skip to: 11009
-/* 10724 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
-/* 10727 */   MCD_OPC_FilterValue, 1, 22, 1, // Skip to: 11009
-/* 10731 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10746
-/* 10735 */   MCD_OPC_CheckField, 16, 3, 0, 5, 0, // Skip to: 10746
-/* 10741 */   MCD_OPC_Decode, 249, 10, 132, 1, // Opcode: VMOVLuv8i16
-/* 10746 */   MCD_OPC_CheckPredicate, 15, 3, 1, // Skip to: 11009
-/* 10750 */   MCD_OPC_Decode, 166, 14, 155, 1, // Opcode: VSHLLuv8i16
-/* 10755 */   MCD_OPC_FilterValue, 1, 250, 0, // Skip to: 11009
-/* 10759 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10762 */   MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 10790
-/* 10766 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10781
-/* 10770 */   MCD_OPC_CheckField, 16, 4, 0, 5, 0, // Skip to: 10781
-/* 10776 */   MCD_OPC_Decode, 245, 10, 132, 1, // Opcode: VMOVLsv4i32
-/* 10781 */   MCD_OPC_CheckPredicate, 15, 224, 0, // Skip to: 11009
-/* 10785 */   MCD_OPC_Decode, 162, 14, 156, 1, // Opcode: VSHLLsv4i32
-/* 10790 */   MCD_OPC_FilterValue, 1, 215, 0, // Skip to: 11009
-/* 10794 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10809
-/* 10798 */   MCD_OPC_CheckField, 16, 4, 0, 5, 0, // Skip to: 10809
-/* 10804 */   MCD_OPC_Decode, 248, 10, 132, 1, // Opcode: VMOVLuv4i32
-/* 10809 */   MCD_OPC_CheckPredicate, 15, 196, 0, // Skip to: 11009
-/* 10813 */   MCD_OPC_Decode, 165, 14, 156, 1, // Opcode: VSHLLuv4i32
-/* 10818 */   MCD_OPC_FilterValue, 1, 187, 0, // Skip to: 11009
-/* 10822 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10825 */   MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 10853
-/* 10829 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10844
-/* 10833 */   MCD_OPC_CheckField, 16, 5, 0, 5, 0, // Skip to: 10844
-/* 10839 */   MCD_OPC_Decode, 244, 10, 132, 1, // Opcode: VMOVLsv2i64
-/* 10844 */   MCD_OPC_CheckPredicate, 15, 161, 0, // Skip to: 11009
-/* 10848 */   MCD_OPC_Decode, 161, 14, 157, 1, // Opcode: VSHLLsv2i64
-/* 10853 */   MCD_OPC_FilterValue, 1, 152, 0, // Skip to: 11009
-/* 10857 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10872
-/* 10861 */   MCD_OPC_CheckField, 16, 5, 0, 5, 0, // Skip to: 10872
-/* 10867 */   MCD_OPC_Decode, 247, 10, 132, 1, // Opcode: VMOVLuv2i64
-/* 10872 */   MCD_OPC_CheckPredicate, 15, 133, 0, // Skip to: 11009
-/* 10876 */   MCD_OPC_Decode, 164, 14, 157, 1, // Opcode: VSHLLuv2i64
-/* 10881 */   MCD_OPC_FilterValue, 14, 70, 0, // Skip to: 10955
-/* 10885 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 10888 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10907
-/* 10892 */   MCD_OPC_CheckPredicate, 15, 30, 0, // Skip to: 10926
-/* 10896 */   MCD_OPC_CheckField, 19, 3, 0, 24, 0, // Skip to: 10926
-/* 10902 */   MCD_OPC_Decode, 141, 11, 158, 1, // Opcode: VMOVv8i8
-/* 10907 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10926
-/* 10911 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10926
-/* 10915 */   MCD_OPC_CheckField, 19, 3, 0, 5, 0, // Skip to: 10926
-/* 10921 */   MCD_OPC_Decode, 133, 11, 158, 1, // Opcode: VMOVv1i64
-/* 10926 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10929 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10942
-/* 10933 */   MCD_OPC_CheckPredicate, 15, 72, 0, // Skip to: 11009
-/* 10937 */   MCD_OPC_Decode, 174, 6, 159, 1, // Opcode: VCVTxs2fd
-/* 10942 */   MCD_OPC_FilterValue, 1, 63, 0, // Skip to: 11009
-/* 10946 */   MCD_OPC_CheckPredicate, 15, 59, 0, // Skip to: 11009
-/* 10950 */   MCD_OPC_Decode, 176, 6, 159, 1, // Opcode: VCVTxu2fd
-/* 10955 */   MCD_OPC_FilterValue, 15, 50, 0, // Skip to: 11009
-/* 10959 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 10962 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10975
-/* 10966 */   MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 10988
-/* 10970 */   MCD_OPC_Decode, 165, 6, 159, 1, // Opcode: VCVTf2xsd
-/* 10975 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10988
-/* 10979 */   MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 10988
-/* 10983 */   MCD_OPC_Decode, 167, 6, 159, 1, // Opcode: VCVTf2xud
-/* 10988 */   MCD_OPC_CheckPredicate, 15, 17, 0, // Skip to: 11009
-/* 10992 */   MCD_OPC_CheckField, 19, 3, 0, 11, 0, // Skip to: 11009
-/* 10998 */   MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 11009
-/* 11004 */   MCD_OPC_Decode, 134, 11, 158, 1, // Opcode: VMOVv2f32
-/* 11009 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 11012 */   MCD_OPC_FilterValue, 0, 77, 0, // Skip to: 11093
-/* 11016 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
-/* 11019 */   MCD_OPC_FilterValue, 0, 210, 13, // Skip to: 14561
-/* 11023 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 11026 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 11045
-/* 11030 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 11084
-/* 11034 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 11084
-/* 11040 */   MCD_OPC_Decode, 138, 11, 158, 1, // Opcode: VMOVv4i16
-/* 11045 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 11084
-/* 11049 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
-/* 11052 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11065
-/* 11056 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 11084
-/* 11060 */   MCD_OPC_Decode, 210, 11, 158, 1, // Opcode: VORRiv2i32
-/* 11065 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 11084
-/* 11069 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 11084
-/* 11073 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 11084
-/* 11079 */   MCD_OPC_Decode, 211, 11, 158, 1, // Opcode: VORRiv4i16
-/* 11084 */   MCD_OPC_CheckPredicate, 15, 145, 13, // Skip to: 14561
-/* 11088 */   MCD_OPC_Decode, 135, 11, 158, 1, // Opcode: VMOVv2i32
-/* 11093 */   MCD_OPC_FilterValue, 1, 136, 13, // Skip to: 14561
-/* 11097 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
-/* 11100 */   MCD_OPC_FilterValue, 0, 129, 13, // Skip to: 14561
-/* 11104 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 11107 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 11126
-/* 11111 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 11165
-/* 11115 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 11165
-/* 11121 */   MCD_OPC_Decode, 188, 11, 158, 1, // Opcode: VMVNv4i16
-/* 11126 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 11165
-/* 11130 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
-/* 11133 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11146
-/* 11137 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 11165
-/* 11141 */   MCD_OPC_Decode, 137, 5, 158, 1, // Opcode: VBICiv2i32
-/* 11146 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 11165
-/* 11150 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 11165
-/* 11154 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 11165
-/* 11160 */   MCD_OPC_Decode, 138, 5, 158, 1, // Opcode: VBICiv4i16
-/* 11165 */   MCD_OPC_CheckPredicate, 15, 64, 13, // Skip to: 14561
-/* 11169 */   MCD_OPC_Decode, 187, 11, 158, 1, // Opcode: VMVNv2i32
-/* 11174 */   MCD_OPC_FilterValue, 1, 55, 13, // Skip to: 14561
-/* 11178 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 11181 */   MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 11216
-/* 11185 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11188 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11202
-/* 11193 */   MCD_OPC_CheckPredicate, 15, 36, 13, // Skip to: 14561
-/* 11197 */   MCD_OPC_Decode, 195, 14, 160, 1, // Opcode: VSHRsv1i64
-/* 11202 */   MCD_OPC_FilterValue, 243, 1, 26, 13, // Skip to: 14561
-/* 11207 */   MCD_OPC_CheckPredicate, 15, 22, 13, // Skip to: 14561
-/* 11211 */   MCD_OPC_Decode, 203, 14, 160, 1, // Opcode: VSHRuv1i64
-/* 11216 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 11251
-/* 11220 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11223 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11237
-/* 11228 */   MCD_OPC_CheckPredicate, 15, 1, 13, // Skip to: 14561
-/* 11232 */   MCD_OPC_Decode, 227, 14, 161, 1, // Opcode: VSRAsv1i64
-/* 11237 */   MCD_OPC_FilterValue, 243, 1, 247, 12, // Skip to: 14561
-/* 11242 */   MCD_OPC_CheckPredicate, 15, 243, 12, // Skip to: 14561
-/* 11246 */   MCD_OPC_Decode, 235, 14, 161, 1, // Opcode: VSRAuv1i64
-/* 11251 */   MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 11286
-/* 11255 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11258 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11272
-/* 11263 */   MCD_OPC_CheckPredicate, 15, 222, 12, // Skip to: 14561
-/* 11267 */   MCD_OPC_Decode, 235, 13, 160, 1, // Opcode: VRSHRsv1i64
-/* 11272 */   MCD_OPC_FilterValue, 243, 1, 212, 12, // Skip to: 14561
-/* 11277 */   MCD_OPC_CheckPredicate, 15, 208, 12, // Skip to: 14561
-/* 11281 */   MCD_OPC_Decode, 243, 13, 160, 1, // Opcode: VRSHRuv1i64
-/* 11286 */   MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 11321
-/* 11290 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11293 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11307
-/* 11298 */   MCD_OPC_CheckPredicate, 15, 187, 12, // Skip to: 14561
-/* 11302 */   MCD_OPC_Decode, 129, 14, 161, 1, // Opcode: VRSRAsv1i64
-/* 11307 */   MCD_OPC_FilterValue, 243, 1, 177, 12, // Skip to: 14561
-/* 11312 */   MCD_OPC_CheckPredicate, 15, 173, 12, // Skip to: 14561
-/* 11316 */   MCD_OPC_Decode, 137, 14, 161, 1, // Opcode: VRSRAuv1i64
-/* 11321 */   MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 11341
-/* 11325 */   MCD_OPC_CheckPredicate, 15, 160, 12, // Skip to: 14561
-/* 11329 */   MCD_OPC_CheckField, 24, 8, 243, 1, 153, 12, // Skip to: 14561
-/* 11336 */   MCD_OPC_Decode, 243, 14, 161, 1, // Opcode: VSRIv1i64
-/* 11341 */   MCD_OPC_FilterValue, 5, 31, 0, // Skip to: 11376
-/* 11345 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11348 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11362
-/* 11353 */   MCD_OPC_CheckPredicate, 15, 132, 12, // Skip to: 14561
-/* 11357 */   MCD_OPC_Decode, 168, 14, 162, 1, // Opcode: VSHLiv1i64
-/* 11362 */   MCD_OPC_FilterValue, 243, 1, 122, 12, // Skip to: 14561
-/* 11367 */   MCD_OPC_CheckPredicate, 15, 118, 12, // Skip to: 14561
-/* 11371 */   MCD_OPC_Decode, 215, 14, 163, 1, // Opcode: VSLIv1i64
-/* 11376 */   MCD_OPC_FilterValue, 6, 16, 0, // Skip to: 11396
-/* 11380 */   MCD_OPC_CheckPredicate, 15, 105, 12, // Skip to: 14561
-/* 11384 */   MCD_OPC_CheckField, 24, 8, 243, 1, 98, 12, // Skip to: 14561
-/* 11391 */   MCD_OPC_Decode, 228, 12, 162, 1, // Opcode: VQSHLsuv1i64
-/* 11396 */   MCD_OPC_FilterValue, 7, 89, 12, // Skip to: 14561
-/* 11400 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11403 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11417
-/* 11408 */   MCD_OPC_CheckPredicate, 15, 77, 12, // Skip to: 14561
-/* 11412 */   MCD_OPC_Decode, 220, 12, 162, 1, // Opcode: VQSHLsiv1i64
-/* 11417 */   MCD_OPC_FilterValue, 243, 1, 67, 12, // Skip to: 14561
-/* 11422 */   MCD_OPC_CheckPredicate, 15, 63, 12, // Skip to: 14561
-/* 11426 */   MCD_OPC_Decode, 244, 12, 162, 1, // Opcode: VQSHLuiv1i64
-/* 11431 */   MCD_OPC_FilterValue, 1, 54, 12, // Skip to: 14561
-/* 11435 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 11438 */   MCD_OPC_FilterValue, 0, 114, 5, // Skip to: 12836
-/* 11442 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 11445 */   MCD_OPC_FilterValue, 0, 135, 0, // Skip to: 11584
-/* 11449 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 11452 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11485
-/* 11456 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11459 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11472
-/* 11464 */   MCD_OPC_CheckPredicate, 15, 21, 12, // Skip to: 14561
-/* 11468 */   MCD_OPC_Decode, 135, 12, 96, // Opcode: VQADDsv16i8
-/* 11472 */   MCD_OPC_FilterValue, 243, 1, 12, 12, // Skip to: 14561
-/* 11477 */   MCD_OPC_CheckPredicate, 15, 8, 12, // Skip to: 14561
-/* 11481 */   MCD_OPC_Decode, 143, 12, 96, // Opcode: VQADDuv16i8
-/* 11485 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11518
-/* 11489 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11492 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11505
-/* 11497 */   MCD_OPC_CheckPredicate, 15, 244, 11, // Skip to: 14561
-/* 11501 */   MCD_OPC_Decode, 141, 12, 96, // Opcode: VQADDsv8i16
-/* 11505 */   MCD_OPC_FilterValue, 243, 1, 235, 11, // Skip to: 14561
-/* 11510 */   MCD_OPC_CheckPredicate, 15, 231, 11, // Skip to: 14561
-/* 11514 */   MCD_OPC_Decode, 149, 12, 96, // Opcode: VQADDuv8i16
-/* 11518 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 11551
-/* 11522 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11525 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11538
-/* 11530 */   MCD_OPC_CheckPredicate, 15, 211, 11, // Skip to: 14561
-/* 11534 */   MCD_OPC_Decode, 140, 12, 96, // Opcode: VQADDsv4i32
-/* 11538 */   MCD_OPC_FilterValue, 243, 1, 202, 11, // Skip to: 14561
-/* 11543 */   MCD_OPC_CheckPredicate, 15, 198, 11, // Skip to: 14561
-/* 11547 */   MCD_OPC_Decode, 148, 12, 96, // Opcode: VQADDuv4i32
-/* 11551 */   MCD_OPC_FilterValue, 3, 190, 11, // Skip to: 14561
-/* 11555 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11558 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11571
-/* 11563 */   MCD_OPC_CheckPredicate, 15, 178, 11, // Skip to: 14561
-/* 11567 */   MCD_OPC_Decode, 138, 12, 96, // Opcode: VQADDsv2i64
-/* 11571 */   MCD_OPC_FilterValue, 243, 1, 169, 11, // Skip to: 14561
-/* 11576 */   MCD_OPC_CheckPredicate, 15, 165, 11, // Skip to: 14561
-/* 11580 */   MCD_OPC_Decode, 146, 12, 96, // Opcode: VQADDuv2i64
-/* 11584 */   MCD_OPC_FilterValue, 1, 135, 0, // Skip to: 11723
-/* 11588 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 11591 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11624
-/* 11595 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11598 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11611
-/* 11603 */   MCD_OPC_CheckPredicate, 15, 138, 11, // Skip to: 14561
-/* 11607 */   MCD_OPC_Decode, 135, 5, 96, // Opcode: VANDq
-/* 11611 */   MCD_OPC_FilterValue, 243, 1, 129, 11, // Skip to: 14561
-/* 11616 */   MCD_OPC_CheckPredicate, 15, 125, 11, // Skip to: 14561
-/* 11620 */   MCD_OPC_Decode, 193, 6, 96, // Opcode: VEORq
-/* 11624 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11657
-/* 11628 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11631 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11644
-/* 11636 */   MCD_OPC_CheckPredicate, 15, 105, 11, // Skip to: 14561
-/* 11640 */   MCD_OPC_Decode, 141, 5, 96, // Opcode: VBICq
-/* 11644 */   MCD_OPC_FilterValue, 243, 1, 96, 11, // Skip to: 14561
-/* 11649 */   MCD_OPC_CheckPredicate, 15, 92, 11, // Skip to: 14561
-/* 11653 */   MCD_OPC_Decode, 147, 5, 104, // Opcode: VBSLq
-/* 11657 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 11690
-/* 11661 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11664 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11677
-/* 11669 */   MCD_OPC_CheckPredicate, 15, 72, 11, // Skip to: 14561
-/* 11673 */   MCD_OPC_Decode, 214, 11, 96, // Opcode: VORRq
-/* 11677 */   MCD_OPC_FilterValue, 243, 1, 63, 11, // Skip to: 14561
-/* 11682 */   MCD_OPC_CheckPredicate, 15, 59, 11, // Skip to: 14561
-/* 11686 */   MCD_OPC_Decode, 145, 5, 104, // Opcode: VBITq
-/* 11690 */   MCD_OPC_FilterValue, 3, 51, 11, // Skip to: 14561
-/* 11694 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11697 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11710
-/* 11702 */   MCD_OPC_CheckPredicate, 15, 39, 11, // Skip to: 14561
-/* 11706 */   MCD_OPC_Decode, 208, 11, 96, // Opcode: VORNq
-/* 11710 */   MCD_OPC_FilterValue, 243, 1, 30, 11, // Skip to: 14561
-/* 11715 */   MCD_OPC_CheckPredicate, 15, 26, 11, // Skip to: 14561
-/* 11719 */   MCD_OPC_Decode, 143, 5, 104, // Opcode: VBIFq
-/* 11723 */   MCD_OPC_FilterValue, 2, 135, 0, // Skip to: 11862
-/* 11727 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 11730 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11763
-/* 11734 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11737 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11750
-/* 11742 */   MCD_OPC_CheckPredicate, 15, 255, 10, // Skip to: 14561
-/* 11746 */   MCD_OPC_Decode, 140, 13, 96, // Opcode: VQSUBsv16i8
-/* 11750 */   MCD_OPC_FilterValue, 243, 1, 246, 10, // Skip to: 14561
-/* 11755 */   MCD_OPC_CheckPredicate, 15, 242, 10, // Skip to: 14561
-/* 11759 */   MCD_OPC_Decode, 148, 13, 96, // Opcode: VQSUBuv16i8
-/* 11763 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11796
-/* 11767 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11770 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11783
-/* 11775 */   MCD_OPC_CheckPredicate, 15, 222, 10, // Skip to: 14561
-/* 11779 */   MCD_OPC_Decode, 146, 13, 96, // Opcode: VQSUBsv8i16
-/* 11783 */   MCD_OPC_FilterValue, 243, 1, 213, 10, // Skip to: 14561
-/* 11788 */   MCD_OPC_CheckPredicate, 15, 209, 10, // Skip to: 14561
-/* 11792 */   MCD_OPC_Decode, 154, 13, 96, // Opcode: VQSUBuv8i16
-/* 11796 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 11829
-/* 11800 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11803 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11816
-/* 11808 */   MCD_OPC_CheckPredicate, 15, 189, 10, // Skip to: 14561
-/* 11812 */   MCD_OPC_Decode, 145, 13, 96, // Opcode: VQSUBsv4i32
-/* 11816 */   MCD_OPC_FilterValue, 243, 1, 180, 10, // Skip to: 14561
-/* 11821 */   MCD_OPC_CheckPredicate, 15, 176, 10, // Skip to: 14561
-/* 11825 */   MCD_OPC_Decode, 153, 13, 96, // Opcode: VQSUBuv4i32
-/* 11829 */   MCD_OPC_FilterValue, 3, 168, 10, // Skip to: 14561
-/* 11833 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11836 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11849
-/* 11841 */   MCD_OPC_CheckPredicate, 15, 156, 10, // Skip to: 14561
-/* 11845 */   MCD_OPC_Decode, 143, 13, 96, // Opcode: VQSUBsv2i64
-/* 11849 */   MCD_OPC_FilterValue, 243, 1, 147, 10, // Skip to: 14561
-/* 11854 */   MCD_OPC_CheckPredicate, 15, 143, 10, // Skip to: 14561
-/* 11858 */   MCD_OPC_Decode, 151, 13, 96, // Opcode: VQSUBuv2i64
-/* 11862 */   MCD_OPC_FilterValue, 3, 102, 0, // Skip to: 11968
-/* 11866 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 11869 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11902
-/* 11873 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11876 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11889
-/* 11881 */   MCD_OPC_CheckPredicate, 15, 116, 10, // Skip to: 14561
-/* 11885 */   MCD_OPC_Decode, 166, 5, 96, // Opcode: VCGEsv16i8
-/* 11889 */   MCD_OPC_FilterValue, 243, 1, 107, 10, // Skip to: 14561
-/* 11894 */   MCD_OPC_CheckPredicate, 15, 103, 10, // Skip to: 14561
-/* 11898 */   MCD_OPC_Decode, 172, 5, 96, // Opcode: VCGEuv16i8
-/* 11902 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11935
-/* 11906 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11909 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11922
-/* 11914 */   MCD_OPC_CheckPredicate, 15, 83, 10, // Skip to: 14561
-/* 11918 */   MCD_OPC_Decode, 170, 5, 96, // Opcode: VCGEsv8i16
-/* 11922 */   MCD_OPC_FilterValue, 243, 1, 74, 10, // Skip to: 14561
-/* 11927 */   MCD_OPC_CheckPredicate, 15, 70, 10, // Skip to: 14561
-/* 11931 */   MCD_OPC_Decode, 176, 5, 96, // Opcode: VCGEuv8i16
-/* 11935 */   MCD_OPC_FilterValue, 2, 62, 10, // Skip to: 14561
-/* 11939 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11942 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11955
-/* 11947 */   MCD_OPC_CheckPredicate, 15, 50, 10, // Skip to: 14561
-/* 11951 */   MCD_OPC_Decode, 169, 5, 96, // Opcode: VCGEsv4i32
-/* 11955 */   MCD_OPC_FilterValue, 243, 1, 41, 10, // Skip to: 14561
-/* 11960 */   MCD_OPC_CheckPredicate, 15, 37, 10, // Skip to: 14561
-/* 11964 */   MCD_OPC_Decode, 175, 5, 96, // Opcode: VCGEuv4i32
-/* 11968 */   MCD_OPC_FilterValue, 4, 135, 0, // Skip to: 12107
-/* 11972 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 11975 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12008
-/* 11979 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 11982 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11995
-/* 11987 */   MCD_OPC_CheckPredicate, 15, 10, 10, // Skip to: 14561
-/* 11991 */   MCD_OPC_Decode, 235, 12, 100, // Opcode: VQSHLsv16i8
-/* 11995 */   MCD_OPC_FilterValue, 243, 1, 1, 10, // Skip to: 14561
-/* 12000 */   MCD_OPC_CheckPredicate, 15, 253, 9, // Skip to: 14561
-/* 12004 */   MCD_OPC_Decode, 251, 12, 100, // Opcode: VQSHLuv16i8
-/* 12008 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12041
-/* 12012 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12015 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12028
-/* 12020 */   MCD_OPC_CheckPredicate, 15, 233, 9, // Skip to: 14561
-/* 12024 */   MCD_OPC_Decode, 241, 12, 100, // Opcode: VQSHLsv8i16
-/* 12028 */   MCD_OPC_FilterValue, 243, 1, 224, 9, // Skip to: 14561
-/* 12033 */   MCD_OPC_CheckPredicate, 15, 220, 9, // Skip to: 14561
-/* 12037 */   MCD_OPC_Decode, 129, 13, 100, // Opcode: VQSHLuv8i16
-/* 12041 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 12074
-/* 12045 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12048 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12061
-/* 12053 */   MCD_OPC_CheckPredicate, 15, 200, 9, // Skip to: 14561
-/* 12057 */   MCD_OPC_Decode, 240, 12, 100, // Opcode: VQSHLsv4i32
-/* 12061 */   MCD_OPC_FilterValue, 243, 1, 191, 9, // Skip to: 14561
-/* 12066 */   MCD_OPC_CheckPredicate, 15, 187, 9, // Skip to: 14561
-/* 12070 */   MCD_OPC_Decode, 128, 13, 100, // Opcode: VQSHLuv4i32
-/* 12074 */   MCD_OPC_FilterValue, 3, 179, 9, // Skip to: 14561
-/* 12078 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12081 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12094
-/* 12086 */   MCD_OPC_CheckPredicate, 15, 167, 9, // Skip to: 14561
-/* 12090 */   MCD_OPC_Decode, 238, 12, 100, // Opcode: VQSHLsv2i64
-/* 12094 */   MCD_OPC_FilterValue, 243, 1, 158, 9, // Skip to: 14561
-/* 12099 */   MCD_OPC_CheckPredicate, 15, 154, 9, // Skip to: 14561
-/* 12103 */   MCD_OPC_Decode, 254, 12, 100, // Opcode: VQSHLuv2i64
-/* 12107 */   MCD_OPC_FilterValue, 5, 135, 0, // Skip to: 12246
-/* 12111 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12114 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12147
-/* 12118 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12121 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12134
-/* 12126 */   MCD_OPC_CheckPredicate, 15, 127, 9, // Skip to: 14561
-/* 12130 */   MCD_OPC_Decode, 194, 12, 100, // Opcode: VQRSHLsv16i8
-/* 12134 */   MCD_OPC_FilterValue, 243, 1, 118, 9, // Skip to: 14561
-/* 12139 */   MCD_OPC_CheckPredicate, 15, 114, 9, // Skip to: 14561
-/* 12143 */   MCD_OPC_Decode, 202, 12, 100, // Opcode: VQRSHLuv16i8
-/* 12147 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12180
-/* 12151 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12154 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12167
-/* 12159 */   MCD_OPC_CheckPredicate, 15, 94, 9, // Skip to: 14561
-/* 12163 */   MCD_OPC_Decode, 200, 12, 100, // Opcode: VQRSHLsv8i16
-/* 12167 */   MCD_OPC_FilterValue, 243, 1, 85, 9, // Skip to: 14561
-/* 12172 */   MCD_OPC_CheckPredicate, 15, 81, 9, // Skip to: 14561
-/* 12176 */   MCD_OPC_Decode, 208, 12, 100, // Opcode: VQRSHLuv8i16
-/* 12180 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 12213
-/* 12184 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12187 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12200
-/* 12192 */   MCD_OPC_CheckPredicate, 15, 61, 9, // Skip to: 14561
-/* 12196 */   MCD_OPC_Decode, 199, 12, 100, // Opcode: VQRSHLsv4i32
-/* 12200 */   MCD_OPC_FilterValue, 243, 1, 52, 9, // Skip to: 14561
-/* 12205 */   MCD_OPC_CheckPredicate, 15, 48, 9, // Skip to: 14561
-/* 12209 */   MCD_OPC_Decode, 207, 12, 100, // Opcode: VQRSHLuv4i32
-/* 12213 */   MCD_OPC_FilterValue, 3, 40, 9, // Skip to: 14561
-/* 12217 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12220 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12233
-/* 12225 */   MCD_OPC_CheckPredicate, 15, 28, 9, // Skip to: 14561
-/* 12229 */   MCD_OPC_Decode, 197, 12, 100, // Opcode: VQRSHLsv2i64
-/* 12233 */   MCD_OPC_FilterValue, 243, 1, 19, 9, // Skip to: 14561
-/* 12238 */   MCD_OPC_CheckPredicate, 15, 15, 9, // Skip to: 14561
-/* 12242 */   MCD_OPC_Decode, 205, 12, 100, // Opcode: VQRSHLuv2i64
-/* 12246 */   MCD_OPC_FilterValue, 6, 102, 0, // Skip to: 12352
-/* 12250 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12253 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12286
-/* 12257 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12260 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12273
-/* 12265 */   MCD_OPC_CheckPredicate, 15, 244, 8, // Skip to: 14561
-/* 12269 */   MCD_OPC_Decode, 177, 10, 96, // Opcode: VMINsv16i8
-/* 12273 */   MCD_OPC_FilterValue, 243, 1, 235, 8, // Skip to: 14561
-/* 12278 */   MCD_OPC_CheckPredicate, 15, 231, 8, // Skip to: 14561
-/* 12282 */   MCD_OPC_Decode, 183, 10, 96, // Opcode: VMINuv16i8
-/* 12286 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12319
-/* 12290 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12293 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12306
-/* 12298 */   MCD_OPC_CheckPredicate, 15, 211, 8, // Skip to: 14561
-/* 12302 */   MCD_OPC_Decode, 181, 10, 96, // Opcode: VMINsv8i16
-/* 12306 */   MCD_OPC_FilterValue, 243, 1, 202, 8, // Skip to: 14561
-/* 12311 */   MCD_OPC_CheckPredicate, 15, 198, 8, // Skip to: 14561
-/* 12315 */   MCD_OPC_Decode, 187, 10, 96, // Opcode: VMINuv8i16
-/* 12319 */   MCD_OPC_FilterValue, 2, 190, 8, // Skip to: 14561
-/* 12323 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12326 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12339
-/* 12331 */   MCD_OPC_CheckPredicate, 15, 178, 8, // Skip to: 14561
-/* 12335 */   MCD_OPC_Decode, 180, 10, 96, // Opcode: VMINsv4i32
-/* 12339 */   MCD_OPC_FilterValue, 243, 1, 169, 8, // Skip to: 14561
-/* 12344 */   MCD_OPC_CheckPredicate, 15, 165, 8, // Skip to: 14561
-/* 12348 */   MCD_OPC_Decode, 186, 10, 96, // Opcode: VMINuv4i32
-/* 12352 */   MCD_OPC_FilterValue, 7, 102, 0, // Skip to: 12458
-/* 12356 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12359 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12392
-/* 12363 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12366 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12379
-/* 12371 */   MCD_OPC_CheckPredicate, 15, 138, 8, // Skip to: 14561
-/* 12375 */   MCD_OPC_Decode, 189, 4, 104, // Opcode: VABAsv16i8
-/* 12379 */   MCD_OPC_FilterValue, 243, 1, 129, 8, // Skip to: 14561
-/* 12384 */   MCD_OPC_CheckPredicate, 15, 125, 8, // Skip to: 14561
-/* 12388 */   MCD_OPC_Decode, 195, 4, 104, // Opcode: VABAuv16i8
-/* 12392 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12425
-/* 12396 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12399 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12412
-/* 12404 */   MCD_OPC_CheckPredicate, 15, 105, 8, // Skip to: 14561
-/* 12408 */   MCD_OPC_Decode, 193, 4, 104, // Opcode: VABAsv8i16
-/* 12412 */   MCD_OPC_FilterValue, 243, 1, 96, 8, // Skip to: 14561
-/* 12417 */   MCD_OPC_CheckPredicate, 15, 92, 8, // Skip to: 14561
-/* 12421 */   MCD_OPC_Decode, 199, 4, 104, // Opcode: VABAuv8i16
-/* 12425 */   MCD_OPC_FilterValue, 2, 84, 8, // Skip to: 14561
-/* 12429 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12432 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12445
-/* 12437 */   MCD_OPC_CheckPredicate, 15, 72, 8, // Skip to: 14561
-/* 12441 */   MCD_OPC_Decode, 192, 4, 104, // Opcode: VABAsv4i32
-/* 12445 */   MCD_OPC_FilterValue, 243, 1, 63, 8, // Skip to: 14561
-/* 12450 */   MCD_OPC_CheckPredicate, 15, 59, 8, // Skip to: 14561
-/* 12454 */   MCD_OPC_Decode, 198, 4, 104, // Opcode: VABAuv4i32
-/* 12458 */   MCD_OPC_FilterValue, 8, 102, 0, // Skip to: 12564
-/* 12462 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12465 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12498
-/* 12469 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12472 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12485
-/* 12477 */   MCD_OPC_CheckPredicate, 15, 32, 8, // Skip to: 14561
-/* 12481 */   MCD_OPC_Decode, 244, 17, 96, // Opcode: VTSTv16i8
-/* 12485 */   MCD_OPC_FilterValue, 243, 1, 23, 8, // Skip to: 14561
-/* 12490 */   MCD_OPC_CheckPredicate, 15, 19, 8, // Skip to: 14561
-/* 12494 */   MCD_OPC_Decode, 150, 5, 96, // Opcode: VCEQv16i8
-/* 12498 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12531
-/* 12502 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12505 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12518
-/* 12510 */   MCD_OPC_CheckPredicate, 15, 255, 7, // Skip to: 14561
-/* 12514 */   MCD_OPC_Decode, 248, 17, 96, // Opcode: VTSTv8i16
-/* 12518 */   MCD_OPC_FilterValue, 243, 1, 246, 7, // Skip to: 14561
-/* 12523 */   MCD_OPC_CheckPredicate, 15, 242, 7, // Skip to: 14561
-/* 12527 */   MCD_OPC_Decode, 154, 5, 96, // Opcode: VCEQv8i16
-/* 12531 */   MCD_OPC_FilterValue, 2, 234, 7, // Skip to: 14561
-/* 12535 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12538 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12551
-/* 12543 */   MCD_OPC_CheckPredicate, 15, 222, 7, // Skip to: 14561
-/* 12547 */   MCD_OPC_Decode, 247, 17, 96, // Opcode: VTSTv4i32
-/* 12551 */   MCD_OPC_FilterValue, 243, 1, 213, 7, // Skip to: 14561
-/* 12556 */   MCD_OPC_CheckPredicate, 15, 209, 7, // Skip to: 14561
-/* 12560 */   MCD_OPC_Decode, 153, 5, 96, // Opcode: VCEQv4i32
-/* 12564 */   MCD_OPC_FilterValue, 9, 74, 0, // Skip to: 12642
-/* 12568 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12571 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12604
-/* 12575 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12578 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12591
-/* 12583 */   MCD_OPC_CheckPredicate, 15, 182, 7, // Skip to: 14561
-/* 12587 */   MCD_OPC_Decode, 179, 11, 96, // Opcode: VMULv16i8
-/* 12591 */   MCD_OPC_FilterValue, 243, 1, 173, 7, // Skip to: 14561
-/* 12596 */   MCD_OPC_CheckPredicate, 15, 169, 7, // Skip to: 14561
-/* 12600 */   MCD_OPC_Decode, 172, 11, 96, // Opcode: VMULpq
-/* 12604 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12623
-/* 12608 */   MCD_OPC_CheckPredicate, 15, 157, 7, // Skip to: 14561
-/* 12612 */   MCD_OPC_CheckField, 24, 8, 242, 1, 150, 7, // Skip to: 14561
-/* 12619 */   MCD_OPC_Decode, 183, 11, 96, // Opcode: VMULv8i16
-/* 12623 */   MCD_OPC_FilterValue, 2, 142, 7, // Skip to: 14561
-/* 12627 */   MCD_OPC_CheckPredicate, 15, 138, 7, // Skip to: 14561
-/* 12631 */   MCD_OPC_CheckField, 24, 8, 242, 1, 131, 7, // Skip to: 14561
-/* 12638 */   MCD_OPC_Decode, 182, 11, 96, // Opcode: VMULv4i32
-/* 12642 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 12687
-/* 12646 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12649 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12668
-/* 12653 */   MCD_OPC_CheckPredicate, 18, 112, 7, // Skip to: 14561
-/* 12657 */   MCD_OPC_CheckField, 24, 8, 242, 1, 105, 7, // Skip to: 14561
-/* 12664 */   MCD_OPC_Decode, 204, 6, 104, // Opcode: VFMAfq
-/* 12668 */   MCD_OPC_FilterValue, 2, 97, 7, // Skip to: 14561
-/* 12672 */   MCD_OPC_CheckPredicate, 18, 93, 7, // Skip to: 14561
-/* 12676 */   MCD_OPC_CheckField, 24, 8, 242, 1, 86, 7, // Skip to: 14561
-/* 12683 */   MCD_OPC_Decode, 208, 6, 104, // Opcode: VFMSfq
-/* 12687 */   MCD_OPC_FilterValue, 13, 55, 0, // Skip to: 12746
-/* 12691 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12694 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12727
-/* 12698 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 12701 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12714
-/* 12706 */   MCD_OPC_CheckPredicate, 15, 59, 7, // Skip to: 14561
-/* 12710 */   MCD_OPC_Decode, 202, 10, 104, // Opcode: VMLAfq
-/* 12714 */   MCD_OPC_FilterValue, 243, 1, 50, 7, // Skip to: 14561
-/* 12719 */   MCD_OPC_CheckPredicate, 15, 46, 7, // Skip to: 14561
-/* 12723 */   MCD_OPC_Decode, 170, 11, 96, // Opcode: VMULfq
-/* 12727 */   MCD_OPC_FilterValue, 2, 38, 7, // Skip to: 14561
-/* 12731 */   MCD_OPC_CheckPredicate, 15, 34, 7, // Skip to: 14561
-/* 12735 */   MCD_OPC_CheckField, 24, 8, 242, 1, 27, 7, // Skip to: 14561
-/* 12742 */   MCD_OPC_Decode, 228, 10, 104, // Opcode: VMLSfq
-/* 12746 */   MCD_OPC_FilterValue, 14, 41, 0, // Skip to: 12791
-/* 12750 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12753 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12772
-/* 12757 */   MCD_OPC_CheckPredicate, 15, 8, 7, // Skip to: 14561
-/* 12761 */   MCD_OPC_CheckField, 24, 8, 243, 1, 1, 7, // Skip to: 14561
-/* 12768 */   MCD_OPC_Decode, 232, 4, 96, // Opcode: VACGEq
-/* 12772 */   MCD_OPC_FilterValue, 2, 249, 6, // Skip to: 14561
-/* 12776 */   MCD_OPC_CheckPredicate, 15, 245, 6, // Skip to: 14561
-/* 12780 */   MCD_OPC_CheckField, 24, 8, 243, 1, 238, 6, // Skip to: 14561
-/* 12787 */   MCD_OPC_Decode, 234, 4, 96, // Opcode: VACGTq
-/* 12791 */   MCD_OPC_FilterValue, 15, 230, 6, // Skip to: 14561
-/* 12795 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 12798 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12817
-/* 12802 */   MCD_OPC_CheckPredicate, 15, 219, 6, // Skip to: 14561
-/* 12806 */   MCD_OPC_CheckField, 24, 8, 242, 1, 212, 6, // Skip to: 14561
-/* 12813 */   MCD_OPC_Decode, 164, 13, 96, // Opcode: VRECPSfq
-/* 12817 */   MCD_OPC_FilterValue, 2, 204, 6, // Skip to: 14561
-/* 12821 */   MCD_OPC_CheckPredicate, 15, 200, 6, // Skip to: 14561
-/* 12825 */   MCD_OPC_CheckField, 24, 8, 242, 1, 193, 6, // Skip to: 14561
-/* 12832 */   MCD_OPC_Decode, 255, 13, 96, // Opcode: VRSQRTSfq
-/* 12836 */   MCD_OPC_FilterValue, 1, 185, 6, // Skip to: 14561
-/* 12840 */   MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 12843 */   MCD_OPC_FilterValue, 0, 177, 5, // Skip to: 14304
-/* 12847 */   MCD_OPC_ExtractField, 25, 7,  // Inst{31-25} ...
-/* 12850 */   MCD_OPC_FilterValue, 121, 171, 6, // Skip to: 14561
-/* 12854 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 12857 */   MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 12982
-/* 12861 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12864 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 12949
-/* 12868 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 12871 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 12916
-/* 12875 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 12878 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12897
-/* 12882 */   MCD_OPC_CheckPredicate, 15, 229, 4, // Skip to: 14139
-/* 12886 */   MCD_OPC_CheckField, 19, 1, 1, 223, 4, // Skip to: 14139
-/* 12892 */   MCD_OPC_Decode, 194, 14, 164, 1, // Opcode: VSHRsv16i8
-/* 12897 */   MCD_OPC_FilterValue, 1, 214, 4, // Skip to: 14139
-/* 12901 */   MCD_OPC_CheckPredicate, 15, 210, 4, // Skip to: 14139
-/* 12905 */   MCD_OPC_CheckField, 19, 1, 1, 204, 4, // Skip to: 14139
-/* 12911 */   MCD_OPC_Decode, 202, 14, 164, 1, // Opcode: VSHRuv16i8
-/* 12916 */   MCD_OPC_FilterValue, 1, 195, 4, // Skip to: 14139
-/* 12920 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 12923 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 12936
-/* 12927 */   MCD_OPC_CheckPredicate, 15, 184, 4, // Skip to: 14139
-/* 12931 */   MCD_OPC_Decode, 200, 14, 165, 1, // Opcode: VSHRsv8i16
-/* 12936 */   MCD_OPC_FilterValue, 1, 175, 4, // Skip to: 14139
-/* 12940 */   MCD_OPC_CheckPredicate, 15, 171, 4, // Skip to: 14139
-/* 12944 */   MCD_OPC_Decode, 208, 14, 165, 1, // Opcode: VSHRuv8i16
-/* 12949 */   MCD_OPC_FilterValue, 1, 162, 4, // Skip to: 14139
-/* 12953 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 12956 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 12969
-/* 12960 */   MCD_OPC_CheckPredicate, 15, 151, 4, // Skip to: 14139
-/* 12964 */   MCD_OPC_Decode, 199, 14, 166, 1, // Opcode: VSHRsv4i32
-/* 12969 */   MCD_OPC_FilterValue, 1, 142, 4, // Skip to: 14139
-/* 12973 */   MCD_OPC_CheckPredicate, 15, 138, 4, // Skip to: 14139
-/* 12977 */   MCD_OPC_Decode, 207, 14, 166, 1, // Opcode: VSHRuv4i32
-/* 12982 */   MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 13107
-/* 12986 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 12989 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13074
-/* 12993 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 12996 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13041
-/* 13000 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13003 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13022
-/* 13007 */   MCD_OPC_CheckPredicate, 15, 104, 4, // Skip to: 14139
-/* 13011 */   MCD_OPC_CheckField, 19, 1, 1, 98, 4, // Skip to: 14139
-/* 13017 */   MCD_OPC_Decode, 226, 14, 167, 1, // Opcode: VSRAsv16i8
-/* 13022 */   MCD_OPC_FilterValue, 1, 89, 4, // Skip to: 14139
-/* 13026 */   MCD_OPC_CheckPredicate, 15, 85, 4, // Skip to: 14139
-/* 13030 */   MCD_OPC_CheckField, 19, 1, 1, 79, 4, // Skip to: 14139
-/* 13036 */   MCD_OPC_Decode, 234, 14, 167, 1, // Opcode: VSRAuv16i8
-/* 13041 */   MCD_OPC_FilterValue, 1, 70, 4, // Skip to: 14139
-/* 13045 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13048 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13061
-/* 13052 */   MCD_OPC_CheckPredicate, 15, 59, 4, // Skip to: 14139
-/* 13056 */   MCD_OPC_Decode, 232, 14, 168, 1, // Opcode: VSRAsv8i16
-/* 13061 */   MCD_OPC_FilterValue, 1, 50, 4, // Skip to: 14139
-/* 13065 */   MCD_OPC_CheckPredicate, 15, 46, 4, // Skip to: 14139
-/* 13069 */   MCD_OPC_Decode, 240, 14, 168, 1, // Opcode: VSRAuv8i16
-/* 13074 */   MCD_OPC_FilterValue, 1, 37, 4, // Skip to: 14139
-/* 13078 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13081 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13094
-/* 13085 */   MCD_OPC_CheckPredicate, 15, 26, 4, // Skip to: 14139
-/* 13089 */   MCD_OPC_Decode, 231, 14, 169, 1, // Opcode: VSRAsv4i32
-/* 13094 */   MCD_OPC_FilterValue, 1, 17, 4, // Skip to: 14139
-/* 13098 */   MCD_OPC_CheckPredicate, 15, 13, 4, // Skip to: 14139
-/* 13102 */   MCD_OPC_Decode, 239, 14, 169, 1, // Opcode: VSRAuv4i32
-/* 13107 */   MCD_OPC_FilterValue, 2, 121, 0, // Skip to: 13232
-/* 13111 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13114 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13199
-/* 13118 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13121 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13166
-/* 13125 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13128 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13147
-/* 13132 */   MCD_OPC_CheckPredicate, 15, 235, 3, // Skip to: 14139
-/* 13136 */   MCD_OPC_CheckField, 19, 1, 1, 229, 3, // Skip to: 14139
-/* 13142 */   MCD_OPC_Decode, 234, 13, 164, 1, // Opcode: VRSHRsv16i8
-/* 13147 */   MCD_OPC_FilterValue, 1, 220, 3, // Skip to: 14139
-/* 13151 */   MCD_OPC_CheckPredicate, 15, 216, 3, // Skip to: 14139
-/* 13155 */   MCD_OPC_CheckField, 19, 1, 1, 210, 3, // Skip to: 14139
-/* 13161 */   MCD_OPC_Decode, 242, 13, 164, 1, // Opcode: VRSHRuv16i8
-/* 13166 */   MCD_OPC_FilterValue, 1, 201, 3, // Skip to: 14139
-/* 13170 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13173 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13186
-/* 13177 */   MCD_OPC_CheckPredicate, 15, 190, 3, // Skip to: 14139
-/* 13181 */   MCD_OPC_Decode, 240, 13, 165, 1, // Opcode: VRSHRsv8i16
-/* 13186 */   MCD_OPC_FilterValue, 1, 181, 3, // Skip to: 14139
-/* 13190 */   MCD_OPC_CheckPredicate, 15, 177, 3, // Skip to: 14139
-/* 13194 */   MCD_OPC_Decode, 248, 13, 165, 1, // Opcode: VRSHRuv8i16
-/* 13199 */   MCD_OPC_FilterValue, 1, 168, 3, // Skip to: 14139
-/* 13203 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13206 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13219
-/* 13210 */   MCD_OPC_CheckPredicate, 15, 157, 3, // Skip to: 14139
-/* 13214 */   MCD_OPC_Decode, 239, 13, 166, 1, // Opcode: VRSHRsv4i32
-/* 13219 */   MCD_OPC_FilterValue, 1, 148, 3, // Skip to: 14139
-/* 13223 */   MCD_OPC_CheckPredicate, 15, 144, 3, // Skip to: 14139
-/* 13227 */   MCD_OPC_Decode, 247, 13, 166, 1, // Opcode: VRSHRuv4i32
-/* 13232 */   MCD_OPC_FilterValue, 3, 121, 0, // Skip to: 13357
-/* 13236 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13239 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13324
-/* 13243 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13246 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13291
-/* 13250 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13253 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13272
-/* 13257 */   MCD_OPC_CheckPredicate, 15, 110, 3, // Skip to: 14139
-/* 13261 */   MCD_OPC_CheckField, 19, 1, 1, 104, 3, // Skip to: 14139
-/* 13267 */   MCD_OPC_Decode, 128, 14, 167, 1, // Opcode: VRSRAsv16i8
-/* 13272 */   MCD_OPC_FilterValue, 1, 95, 3, // Skip to: 14139
-/* 13276 */   MCD_OPC_CheckPredicate, 15, 91, 3, // Skip to: 14139
-/* 13280 */   MCD_OPC_CheckField, 19, 1, 1, 85, 3, // Skip to: 14139
-/* 13286 */   MCD_OPC_Decode, 136, 14, 167, 1, // Opcode: VRSRAuv16i8
-/* 13291 */   MCD_OPC_FilterValue, 1, 76, 3, // Skip to: 14139
-/* 13295 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13298 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13311
-/* 13302 */   MCD_OPC_CheckPredicate, 15, 65, 3, // Skip to: 14139
-/* 13306 */   MCD_OPC_Decode, 134, 14, 168, 1, // Opcode: VRSRAsv8i16
-/* 13311 */   MCD_OPC_FilterValue, 1, 56, 3, // Skip to: 14139
-/* 13315 */   MCD_OPC_CheckPredicate, 15, 52, 3, // Skip to: 14139
-/* 13319 */   MCD_OPC_Decode, 142, 14, 168, 1, // Opcode: VRSRAuv8i16
-/* 13324 */   MCD_OPC_FilterValue, 1, 43, 3, // Skip to: 14139
-/* 13328 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13331 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13344
-/* 13335 */   MCD_OPC_CheckPredicate, 15, 32, 3, // Skip to: 14139
-/* 13339 */   MCD_OPC_Decode, 133, 14, 169, 1, // Opcode: VRSRAsv4i32
-/* 13344 */   MCD_OPC_FilterValue, 1, 23, 3, // Skip to: 14139
-/* 13348 */   MCD_OPC_CheckPredicate, 15, 19, 3, // Skip to: 14139
-/* 13352 */   MCD_OPC_Decode, 141, 14, 169, 1, // Opcode: VRSRAuv4i32
-/* 13357 */   MCD_OPC_FilterValue, 4, 73, 0, // Skip to: 13434
-/* 13361 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13364 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 13415
-/* 13368 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13371 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 13396
-/* 13375 */   MCD_OPC_CheckPredicate, 15, 248, 2, // Skip to: 14139
-/* 13379 */   MCD_OPC_CheckField, 24, 1, 1, 242, 2, // Skip to: 14139
-/* 13385 */   MCD_OPC_CheckField, 19, 1, 1, 236, 2, // Skip to: 14139
-/* 13391 */   MCD_OPC_Decode, 242, 14, 167, 1, // Opcode: VSRIv16i8
-/* 13396 */   MCD_OPC_FilterValue, 1, 227, 2, // Skip to: 14139
-/* 13400 */   MCD_OPC_CheckPredicate, 15, 223, 2, // Skip to: 14139
-/* 13404 */   MCD_OPC_CheckField, 24, 1, 1, 217, 2, // Skip to: 14139
-/* 13410 */   MCD_OPC_Decode, 248, 14, 168, 1, // Opcode: VSRIv8i16
-/* 13415 */   MCD_OPC_FilterValue, 1, 208, 2, // Skip to: 14139
-/* 13419 */   MCD_OPC_CheckPredicate, 15, 204, 2, // Skip to: 14139
-/* 13423 */   MCD_OPC_CheckField, 24, 1, 1, 198, 2, // Skip to: 14139
-/* 13429 */   MCD_OPC_Decode, 247, 14, 169, 1, // Opcode: VSRIv4i32
-/* 13434 */   MCD_OPC_FilterValue, 5, 121, 0, // Skip to: 13559
-/* 13438 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13441 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13526
-/* 13445 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13448 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13493
-/* 13452 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13455 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13474
-/* 13459 */   MCD_OPC_CheckPredicate, 15, 164, 2, // Skip to: 14139
-/* 13463 */   MCD_OPC_CheckField, 19, 1, 1, 158, 2, // Skip to: 14139
-/* 13469 */   MCD_OPC_Decode, 167, 14, 170, 1, // Opcode: VSHLiv16i8
-/* 13474 */   MCD_OPC_FilterValue, 1, 149, 2, // Skip to: 14139
-/* 13478 */   MCD_OPC_CheckPredicate, 15, 145, 2, // Skip to: 14139
-/* 13482 */   MCD_OPC_CheckField, 19, 1, 1, 139, 2, // Skip to: 14139
-/* 13488 */   MCD_OPC_Decode, 214, 14, 171, 1, // Opcode: VSLIv16i8
-/* 13493 */   MCD_OPC_FilterValue, 1, 130, 2, // Skip to: 14139
-/* 13497 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13500 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13513
-/* 13504 */   MCD_OPC_CheckPredicate, 15, 119, 2, // Skip to: 14139
-/* 13508 */   MCD_OPC_Decode, 173, 14, 172, 1, // Opcode: VSHLiv8i16
-/* 13513 */   MCD_OPC_FilterValue, 1, 110, 2, // Skip to: 14139
-/* 13517 */   MCD_OPC_CheckPredicate, 15, 106, 2, // Skip to: 14139
-/* 13521 */   MCD_OPC_Decode, 220, 14, 173, 1, // Opcode: VSLIv8i16
-/* 13526 */   MCD_OPC_FilterValue, 1, 97, 2, // Skip to: 14139
-/* 13530 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13533 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13546
-/* 13537 */   MCD_OPC_CheckPredicate, 15, 86, 2, // Skip to: 14139
-/* 13541 */   MCD_OPC_Decode, 172, 14, 174, 1, // Opcode: VSHLiv4i32
-/* 13546 */   MCD_OPC_FilterValue, 1, 77, 2, // Skip to: 14139
-/* 13550 */   MCD_OPC_CheckPredicate, 15, 73, 2, // Skip to: 14139
-/* 13554 */   MCD_OPC_Decode, 219, 14, 175, 1, // Opcode: VSLIv4i32
-/* 13559 */   MCD_OPC_FilterValue, 6, 73, 0, // Skip to: 13636
-/* 13563 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13566 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 13617
-/* 13570 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13573 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 13598
-/* 13577 */   MCD_OPC_CheckPredicate, 15, 46, 2, // Skip to: 14139
-/* 13581 */   MCD_OPC_CheckField, 24, 1, 1, 40, 2, // Skip to: 14139
-/* 13587 */   MCD_OPC_CheckField, 19, 1, 1, 34, 2, // Skip to: 14139
-/* 13593 */   MCD_OPC_Decode, 227, 12, 170, 1, // Opcode: VQSHLsuv16i8
-/* 13598 */   MCD_OPC_FilterValue, 1, 25, 2, // Skip to: 14139
-/* 13602 */   MCD_OPC_CheckPredicate, 15, 21, 2, // Skip to: 14139
-/* 13606 */   MCD_OPC_CheckField, 24, 1, 1, 15, 2, // Skip to: 14139
-/* 13612 */   MCD_OPC_Decode, 233, 12, 172, 1, // Opcode: VQSHLsuv8i16
-/* 13617 */   MCD_OPC_FilterValue, 1, 6, 2, // Skip to: 14139
-/* 13621 */   MCD_OPC_CheckPredicate, 15, 2, 2, // Skip to: 14139
-/* 13625 */   MCD_OPC_CheckField, 24, 1, 1, 252, 1, // Skip to: 14139
-/* 13631 */   MCD_OPC_Decode, 232, 12, 174, 1, // Opcode: VQSHLsuv4i32
-/* 13636 */   MCD_OPC_FilterValue, 7, 121, 0, // Skip to: 13761
-/* 13640 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13643 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13728
-/* 13647 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13650 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13695
-/* 13654 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13657 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13676
-/* 13661 */   MCD_OPC_CheckPredicate, 15, 218, 1, // Skip to: 14139
-/* 13665 */   MCD_OPC_CheckField, 19, 1, 1, 212, 1, // Skip to: 14139
-/* 13671 */   MCD_OPC_Decode, 219, 12, 170, 1, // Opcode: VQSHLsiv16i8
-/* 13676 */   MCD_OPC_FilterValue, 1, 203, 1, // Skip to: 14139
-/* 13680 */   MCD_OPC_CheckPredicate, 15, 199, 1, // Skip to: 14139
-/* 13684 */   MCD_OPC_CheckField, 19, 1, 1, 193, 1, // Skip to: 14139
-/* 13690 */   MCD_OPC_Decode, 243, 12, 170, 1, // Opcode: VQSHLuiv16i8
-/* 13695 */   MCD_OPC_FilterValue, 1, 184, 1, // Skip to: 14139
-/* 13699 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13702 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13715
-/* 13706 */   MCD_OPC_CheckPredicate, 15, 173, 1, // Skip to: 14139
-/* 13710 */   MCD_OPC_Decode, 225, 12, 172, 1, // Opcode: VQSHLsiv8i16
-/* 13715 */   MCD_OPC_FilterValue, 1, 164, 1, // Skip to: 14139
-/* 13719 */   MCD_OPC_CheckPredicate, 15, 160, 1, // Skip to: 14139
-/* 13723 */   MCD_OPC_Decode, 249, 12, 172, 1, // Opcode: VQSHLuiv8i16
-/* 13728 */   MCD_OPC_FilterValue, 1, 151, 1, // Skip to: 14139
-/* 13732 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13735 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13748
-/* 13739 */   MCD_OPC_CheckPredicate, 15, 140, 1, // Skip to: 14139
-/* 13743 */   MCD_OPC_Decode, 224, 12, 174, 1, // Opcode: VQSHLsiv4i32
-/* 13748 */   MCD_OPC_FilterValue, 1, 131, 1, // Skip to: 14139
-/* 13752 */   MCD_OPC_CheckPredicate, 15, 127, 1, // Skip to: 14139
-/* 13756 */   MCD_OPC_Decode, 248, 12, 174, 1, // Opcode: VQSHLuiv4i32
-/* 13761 */   MCD_OPC_FilterValue, 8, 121, 0, // Skip to: 13886
-/* 13765 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13768 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13853
-/* 13772 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13775 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13820
-/* 13779 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13782 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13801
-/* 13786 */   MCD_OPC_CheckPredicate, 15, 93, 1, // Skip to: 14139
-/* 13790 */   MCD_OPC_CheckField, 19, 1, 1, 87, 1, // Skip to: 14139
-/* 13796 */   MCD_OPC_Decode, 233, 13, 152, 1, // Opcode: VRSHRNv8i8
-/* 13801 */   MCD_OPC_FilterValue, 1, 78, 1, // Skip to: 14139
-/* 13805 */   MCD_OPC_CheckPredicate, 15, 74, 1, // Skip to: 14139
-/* 13809 */   MCD_OPC_CheckField, 19, 1, 1, 68, 1, // Skip to: 14139
-/* 13815 */   MCD_OPC_Decode, 218, 12, 152, 1, // Opcode: VQRSHRUNv8i8
-/* 13820 */   MCD_OPC_FilterValue, 1, 59, 1, // Skip to: 14139
-/* 13824 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13827 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13840
-/* 13831 */   MCD_OPC_CheckPredicate, 15, 48, 1, // Skip to: 14139
-/* 13835 */   MCD_OPC_Decode, 232, 13, 153, 1, // Opcode: VRSHRNv4i16
-/* 13840 */   MCD_OPC_FilterValue, 1, 39, 1, // Skip to: 14139
-/* 13844 */   MCD_OPC_CheckPredicate, 15, 35, 1, // Skip to: 14139
-/* 13848 */   MCD_OPC_Decode, 217, 12, 153, 1, // Opcode: VQRSHRUNv4i16
-/* 13853 */   MCD_OPC_FilterValue, 1, 26, 1, // Skip to: 14139
-/* 13857 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13860 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13873
-/* 13864 */   MCD_OPC_CheckPredicate, 15, 15, 1, // Skip to: 14139
-/* 13868 */   MCD_OPC_Decode, 231, 13, 154, 1, // Opcode: VRSHRNv2i32
-/* 13873 */   MCD_OPC_FilterValue, 1, 6, 1, // Skip to: 14139
-/* 13877 */   MCD_OPC_CheckPredicate, 15, 2, 1, // Skip to: 14139
-/* 13881 */   MCD_OPC_Decode, 216, 12, 154, 1, // Opcode: VQRSHRUNv2i32
-/* 13886 */   MCD_OPC_FilterValue, 9, 121, 0, // Skip to: 14011
-/* 13890 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 13893 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13978
-/* 13897 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 13900 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13945
-/* 13904 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13907 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13926
-/* 13911 */   MCD_OPC_CheckPredicate, 15, 224, 0, // Skip to: 14139
-/* 13915 */   MCD_OPC_CheckField, 19, 1, 1, 218, 0, // Skip to: 14139
-/* 13921 */   MCD_OPC_Decode, 212, 12, 152, 1, // Opcode: VQRSHRNsv8i8
-/* 13926 */   MCD_OPC_FilterValue, 1, 209, 0, // Skip to: 14139
-/* 13930 */   MCD_OPC_CheckPredicate, 15, 205, 0, // Skip to: 14139
-/* 13934 */   MCD_OPC_CheckField, 19, 1, 1, 199, 0, // Skip to: 14139
-/* 13940 */   MCD_OPC_Decode, 215, 12, 152, 1, // Opcode: VQRSHRNuv8i8
-/* 13945 */   MCD_OPC_FilterValue, 1, 190, 0, // Skip to: 14139
-/* 13949 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13952 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13965
-/* 13956 */   MCD_OPC_CheckPredicate, 15, 179, 0, // Skip to: 14139
-/* 13960 */   MCD_OPC_Decode, 211, 12, 153, 1, // Opcode: VQRSHRNsv4i16
-/* 13965 */   MCD_OPC_FilterValue, 1, 170, 0, // Skip to: 14139
-/* 13969 */   MCD_OPC_CheckPredicate, 15, 166, 0, // Skip to: 14139
-/* 13973 */   MCD_OPC_Decode, 214, 12, 153, 1, // Opcode: VQRSHRNuv4i16
-/* 13978 */   MCD_OPC_FilterValue, 1, 157, 0, // Skip to: 14139
-/* 13982 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 13985 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13998
-/* 13989 */   MCD_OPC_CheckPredicate, 15, 146, 0, // Skip to: 14139
-/* 13993 */   MCD_OPC_Decode, 210, 12, 154, 1, // Opcode: VQRSHRNsv2i32
-/* 13998 */   MCD_OPC_FilterValue, 1, 137, 0, // Skip to: 14139
-/* 14002 */   MCD_OPC_CheckPredicate, 15, 133, 0, // Skip to: 14139
-/* 14006 */   MCD_OPC_Decode, 213, 12, 154, 1, // Opcode: VQRSHRNuv2i32
-/* 14011 */   MCD_OPC_FilterValue, 14, 70, 0, // Skip to: 14085
-/* 14015 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 14018 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 14037
-/* 14022 */   MCD_OPC_CheckPredicate, 15, 30, 0, // Skip to: 14056
-/* 14026 */   MCD_OPC_CheckField, 19, 3, 0, 24, 0, // Skip to: 14056
-/* 14032 */   MCD_OPC_Decode, 132, 11, 158, 1, // Opcode: VMOVv16i8
-/* 14037 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 14056
-/* 14041 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 14056
-/* 14045 */   MCD_OPC_CheckField, 19, 3, 0, 5, 0, // Skip to: 14056
-/* 14051 */   MCD_OPC_Decode, 136, 11, 158, 1, // Opcode: VMOVv2i64
-/* 14056 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 14059 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14072
-/* 14063 */   MCD_OPC_CheckPredicate, 15, 72, 0, // Skip to: 14139
-/* 14067 */   MCD_OPC_Decode, 175, 6, 176, 1, // Opcode: VCVTxs2fq
-/* 14072 */   MCD_OPC_FilterValue, 1, 63, 0, // Skip to: 14139
-/* 14076 */   MCD_OPC_CheckPredicate, 15, 59, 0, // Skip to: 14139
-/* 14080 */   MCD_OPC_Decode, 177, 6, 176, 1, // Opcode: VCVTxu2fq
-/* 14085 */   MCD_OPC_FilterValue, 15, 50, 0, // Skip to: 14139
-/* 14089 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
-/* 14092 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14105
-/* 14096 */   MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 14118
-/* 14100 */   MCD_OPC_Decode, 166, 6, 176, 1, // Opcode: VCVTf2xsq
-/* 14105 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 14118
-/* 14109 */   MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 14118
-/* 14113 */   MCD_OPC_Decode, 168, 6, 176, 1, // Opcode: VCVTf2xuq
-/* 14118 */   MCD_OPC_CheckPredicate, 15, 17, 0, // Skip to: 14139
-/* 14122 */   MCD_OPC_CheckField, 19, 3, 0, 11, 0, // Skip to: 14139
-/* 14128 */   MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 14139
-/* 14134 */   MCD_OPC_Decode, 137, 11, 158, 1, // Opcode: VMOVv4f32
-/* 14139 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 14142 */   MCD_OPC_FilterValue, 0, 77, 0, // Skip to: 14223
-/* 14146 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
-/* 14149 */   MCD_OPC_FilterValue, 0, 152, 1, // Skip to: 14561
-/* 14153 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 14156 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 14175
-/* 14160 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 14214
-/* 14164 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 14214
-/* 14170 */   MCD_OPC_Decode, 140, 11, 158, 1, // Opcode: VMOVv8i16
-/* 14175 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 14214
-/* 14179 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
-/* 14182 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14195
-/* 14186 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 14214
-/* 14190 */   MCD_OPC_Decode, 212, 11, 158, 1, // Opcode: VORRiv4i32
-/* 14195 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 14214
-/* 14199 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 14214
-/* 14203 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 14214
-/* 14209 */   MCD_OPC_Decode, 213, 11, 158, 1, // Opcode: VORRiv8i16
-/* 14214 */   MCD_OPC_CheckPredicate, 15, 87, 1, // Skip to: 14561
-/* 14218 */   MCD_OPC_Decode, 139, 11, 158, 1, // Opcode: VMOVv4i32
-/* 14223 */   MCD_OPC_FilterValue, 1, 78, 1, // Skip to: 14561
-/* 14227 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
-/* 14230 */   MCD_OPC_FilterValue, 0, 71, 1, // Skip to: 14561
-/* 14234 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 14237 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 14256
-/* 14241 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 14295
-/* 14245 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 14295
-/* 14251 */   MCD_OPC_Decode, 190, 11, 158, 1, // Opcode: VMVNv8i16
-/* 14256 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 14295
-/* 14260 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
-/* 14263 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14276
-/* 14267 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 14295
-/* 14271 */   MCD_OPC_Decode, 139, 5, 158, 1, // Opcode: VBICiv4i32
-/* 14276 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 14295
-/* 14280 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 14295
-/* 14284 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 14295
-/* 14290 */   MCD_OPC_Decode, 140, 5, 158, 1, // Opcode: VBICiv8i16
-/* 14295 */   MCD_OPC_CheckPredicate, 15, 6, 1, // Skip to: 14561
-/* 14299 */   MCD_OPC_Decode, 189, 11, 158, 1, // Opcode: VMVNv4i32
-/* 14304 */   MCD_OPC_FilterValue, 1, 253, 0, // Skip to: 14561
-/* 14308 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 14311 */   MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 14346
-/* 14315 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 14318 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14332
-/* 14323 */   MCD_OPC_CheckPredicate, 15, 234, 0, // Skip to: 14561
-/* 14327 */   MCD_OPC_Decode, 197, 14, 177, 1, // Opcode: VSHRsv2i64
-/* 14332 */   MCD_OPC_FilterValue, 243, 1, 224, 0, // Skip to: 14561
-/* 14337 */   MCD_OPC_CheckPredicate, 15, 220, 0, // Skip to: 14561
-/* 14341 */   MCD_OPC_Decode, 205, 14, 177, 1, // Opcode: VSHRuv2i64
-/* 14346 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 14381
-/* 14350 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 14353 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14367
-/* 14358 */   MCD_OPC_CheckPredicate, 15, 199, 0, // Skip to: 14561
-/* 14362 */   MCD_OPC_Decode, 229, 14, 178, 1, // Opcode: VSRAsv2i64
-/* 14367 */   MCD_OPC_FilterValue, 243, 1, 189, 0, // Skip to: 14561
-/* 14372 */   MCD_OPC_CheckPredicate, 15, 185, 0, // Skip to: 14561
-/* 14376 */   MCD_OPC_Decode, 237, 14, 178, 1, // Opcode: VSRAuv2i64
-/* 14381 */   MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 14416
-/* 14385 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 14388 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14402
-/* 14393 */   MCD_OPC_CheckPredicate, 15, 164, 0, // Skip to: 14561
-/* 14397 */   MCD_OPC_Decode, 237, 13, 177, 1, // Opcode: VRSHRsv2i64
-/* 14402 */   MCD_OPC_FilterValue, 243, 1, 154, 0, // Skip to: 14561
-/* 14407 */   MCD_OPC_CheckPredicate, 15, 150, 0, // Skip to: 14561
-/* 14411 */   MCD_OPC_Decode, 245, 13, 177, 1, // Opcode: VRSHRuv2i64
-/* 14416 */   MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 14451
-/* 14420 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 14423 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14437
-/* 14428 */   MCD_OPC_CheckPredicate, 15, 129, 0, // Skip to: 14561
-/* 14432 */   MCD_OPC_Decode, 131, 14, 178, 1, // Opcode: VRSRAsv2i64
-/* 14437 */   MCD_OPC_FilterValue, 243, 1, 119, 0, // Skip to: 14561
-/* 14442 */   MCD_OPC_CheckPredicate, 15, 115, 0, // Skip to: 14561
-/* 14446 */   MCD_OPC_Decode, 139, 14, 178, 1, // Opcode: VRSRAuv2i64
-/* 14451 */   MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 14471
-/* 14455 */   MCD_OPC_CheckPredicate, 15, 102, 0, // Skip to: 14561
-/* 14459 */   MCD_OPC_CheckField, 24, 8, 243, 1, 95, 0, // Skip to: 14561
-/* 14466 */   MCD_OPC_Decode, 245, 14, 178, 1, // Opcode: VSRIv2i64
-/* 14471 */   MCD_OPC_FilterValue, 5, 31, 0, // Skip to: 14506
-/* 14475 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 14478 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14492
-/* 14483 */   MCD_OPC_CheckPredicate, 15, 74, 0, // Skip to: 14561
-/* 14487 */   MCD_OPC_Decode, 170, 14, 179, 1, // Opcode: VSHLiv2i64
-/* 14492 */   MCD_OPC_FilterValue, 243, 1, 64, 0, // Skip to: 14561
-/* 14497 */   MCD_OPC_CheckPredicate, 15, 60, 0, // Skip to: 14561
-/* 14501 */   MCD_OPC_Decode, 217, 14, 180, 1, // Opcode: VSLIv2i64
-/* 14506 */   MCD_OPC_FilterValue, 6, 16, 0, // Skip to: 14526
-/* 14510 */   MCD_OPC_CheckPredicate, 15, 47, 0, // Skip to: 14561
-/* 14514 */   MCD_OPC_CheckField, 24, 8, 243, 1, 40, 0, // Skip to: 14561
-/* 14521 */   MCD_OPC_Decode, 230, 12, 179, 1, // Opcode: VQSHLsuv2i64
-/* 14526 */   MCD_OPC_FilterValue, 7, 31, 0, // Skip to: 14561
-/* 14530 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
-/* 14533 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14547
-/* 14538 */   MCD_OPC_CheckPredicate, 15, 19, 0, // Skip to: 14561
-/* 14542 */   MCD_OPC_Decode, 222, 12, 179, 1, // Opcode: VQSHLsiv2i64
-/* 14547 */   MCD_OPC_FilterValue, 243, 1, 9, 0, // Skip to: 14561
-/* 14552 */   MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 14561
-/* 14556 */   MCD_OPC_Decode, 246, 12, 179, 1, // Opcode: VQSHLuiv2i64
-/* 14561 */   MCD_OPC_Fail,
+/* 6028 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6040
+/* 6032 */    MCD_OPC_CheckPredicate, 15, 64, 33, // Skip to: 14548
+/* 6036 */    MCD_OPC_Decode, 203, 10, 127, // Opcode: VMOVNv8i8
+/* 6040 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6052
+/* 6044 */    MCD_OPC_CheckPredicate, 15, 52, 33, // Skip to: 14548
+/* 6048 */    MCD_OPC_Decode, 253, 11, 127, // Opcode: VQMOVNsuv8i8
+/* 6052 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6064
+/* 6056 */    MCD_OPC_CheckPredicate, 15, 40, 33, // Skip to: 14548
+/* 6060 */    MCD_OPC_Decode, 128, 12, 127, // Opcode: VQMOVNsv8i8
+/* 6064 */    MCD_OPC_FilterValue, 3, 32, 33, // Skip to: 14548
+/* 6068 */    MCD_OPC_CheckPredicate, 15, 28, 33, // Skip to: 14548
+/* 6072 */    MCD_OPC_Decode, 131, 12, 127, // Opcode: VQMOVNuv8i8
+/* 6076 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 6131
+/* 6080 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6083 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6095
+/* 6087 */    MCD_OPC_CheckPredicate, 15, 9, 33, // Skip to: 14548
+/* 6091 */    MCD_OPC_Decode, 181, 11, 123, // Opcode: VPADDLsv4i16
+/* 6095 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6107
+/* 6099 */    MCD_OPC_CheckPredicate, 15, 253, 32, // Skip to: 14548
+/* 6103 */    MCD_OPC_Decode, 183, 11, 124, // Opcode: VPADDLsv8i16
+/* 6107 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6119
+/* 6111 */    MCD_OPC_CheckPredicate, 15, 241, 32, // Skip to: 14548
+/* 6115 */    MCD_OPC_Decode, 187, 11, 123, // Opcode: VPADDLuv4i16
+/* 6119 */    MCD_OPC_FilterValue, 3, 233, 32, // Skip to: 14548
+/* 6123 */    MCD_OPC_CheckPredicate, 15, 229, 32, // Skip to: 14548
+/* 6127 */    MCD_OPC_Decode, 189, 11, 124, // Opcode: VPADDLuv8i16
+/* 6131 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 6162
+/* 6135 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6138 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6150
+/* 6142 */    MCD_OPC_CheckPredicate, 15, 210, 32, // Skip to: 14548
+/* 6146 */    MCD_OPC_Decode, 176, 5, 123, // Opcode: VCLTzv4i16
+/* 6150 */    MCD_OPC_FilterValue, 1, 202, 32, // Skip to: 14548
+/* 6154 */    MCD_OPC_CheckPredicate, 15, 198, 32, // Skip to: 14548
+/* 6158 */    MCD_OPC_Decode, 178, 5, 124, // Opcode: VCLTzv8i16
+/* 6162 */    MCD_OPC_FilterValue, 6, 51, 0, // Skip to: 6217
+/* 6166 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6169 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6181
+/* 6173 */    MCD_OPC_CheckPredicate, 15, 179, 32, // Skip to: 14548
+/* 6177 */    MCD_OPC_Decode, 202, 10, 127, // Opcode: VMOVNv4i16
+/* 6181 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6193
+/* 6185 */    MCD_OPC_CheckPredicate, 15, 167, 32, // Skip to: 14548
+/* 6189 */    MCD_OPC_Decode, 252, 11, 127, // Opcode: VQMOVNsuv4i16
+/* 6193 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6205
+/* 6197 */    MCD_OPC_CheckPredicate, 15, 155, 32, // Skip to: 14548
+/* 6201 */    MCD_OPC_Decode, 255, 11, 127, // Opcode: VQMOVNsv4i16
+/* 6205 */    MCD_OPC_FilterValue, 3, 147, 32, // Skip to: 14548
+/* 6209 */    MCD_OPC_CheckPredicate, 15, 143, 32, // Skip to: 14548
+/* 6213 */    MCD_OPC_Decode, 130, 12, 127, // Opcode: VQMOVNuv4i16
+/* 6217 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 6272
+/* 6221 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6224 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6236
+/* 6228 */    MCD_OPC_CheckPredicate, 15, 124, 32, // Skip to: 14548
+/* 6232 */    MCD_OPC_Decode, 180, 11, 123, // Opcode: VPADDLsv2i32
+/* 6236 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6248
+/* 6240 */    MCD_OPC_CheckPredicate, 15, 112, 32, // Skip to: 14548
+/* 6244 */    MCD_OPC_Decode, 182, 11, 124, // Opcode: VPADDLsv4i32
+/* 6248 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6260
+/* 6252 */    MCD_OPC_CheckPredicate, 15, 100, 32, // Skip to: 14548
+/* 6256 */    MCD_OPC_Decode, 186, 11, 123, // Opcode: VPADDLuv2i32
+/* 6260 */    MCD_OPC_FilterValue, 3, 92, 32, // Skip to: 14548
+/* 6264 */    MCD_OPC_CheckPredicate, 15, 88, 32, // Skip to: 14548
+/* 6268 */    MCD_OPC_Decode, 188, 11, 124, // Opcode: VPADDLuv4i32
+/* 6272 */    MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 6303
+/* 6276 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6279 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6291
+/* 6283 */    MCD_OPC_CheckPredicate, 15, 69, 32, // Skip to: 14548
+/* 6287 */    MCD_OPC_Decode, 174, 5, 123, // Opcode: VCLTzv2i32
+/* 6291 */    MCD_OPC_FilterValue, 1, 61, 32, // Skip to: 14548
+/* 6295 */    MCD_OPC_CheckPredicate, 15, 57, 32, // Skip to: 14548
+/* 6299 */    MCD_OPC_Decode, 177, 5, 124, // Opcode: VCLTzv4i32
+/* 6303 */    MCD_OPC_FilterValue, 10, 49, 32, // Skip to: 14548
+/* 6307 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6310 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6322
+/* 6314 */    MCD_OPC_CheckPredicate, 15, 38, 32, // Skip to: 14548
+/* 6318 */    MCD_OPC_Decode, 201, 10, 127, // Opcode: VMOVNv2i32
+/* 6322 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6334
+/* 6326 */    MCD_OPC_CheckPredicate, 15, 26, 32, // Skip to: 14548
+/* 6330 */    MCD_OPC_Decode, 251, 11, 127, // Opcode: VQMOVNsuv2i32
+/* 6334 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6346
+/* 6338 */    MCD_OPC_CheckPredicate, 15, 14, 32, // Skip to: 14548
+/* 6342 */    MCD_OPC_Decode, 254, 11, 127, // Opcode: VQMOVNsv2i32
+/* 6346 */    MCD_OPC_FilterValue, 3, 6, 32, // Skip to: 14548
+/* 6350 */    MCD_OPC_CheckPredicate, 15, 2, 32, // Skip to: 14548
+/* 6354 */    MCD_OPC_Decode, 129, 12, 127, // Opcode: VQMOVNuv2i32
+/* 6358 */    MCD_OPC_FilterValue, 3, 225, 0, // Skip to: 6587
+/* 6362 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 6365 */    MCD_OPC_FilterValue, 1, 51, 0, // Skip to: 6420
+/* 6369 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6372 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6384
+/* 6376 */    MCD_OPC_CheckPredicate, 15, 232, 31, // Skip to: 14548
+/* 6380 */    MCD_OPC_Decode, 180, 4, 123, // Opcode: VABSv8i8
+/* 6384 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6396
+/* 6388 */    MCD_OPC_CheckPredicate, 15, 220, 31, // Skip to: 14548
+/* 6392 */    MCD_OPC_Decode, 175, 4, 124, // Opcode: VABSv16i8
+/* 6396 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6408
+/* 6400 */    MCD_OPC_CheckPredicate, 15, 208, 31, // Skip to: 14548
+/* 6404 */    MCD_OPC_Decode, 151, 11, 123, // Opcode: VNEGs8d
+/* 6408 */    MCD_OPC_FilterValue, 3, 200, 31, // Skip to: 14548
+/* 6412 */    MCD_OPC_CheckPredicate, 15, 196, 31, // Skip to: 14548
+/* 6416 */    MCD_OPC_Decode, 152, 11, 124, // Opcode: VNEGs8q
+/* 6420 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 6439
+/* 6424 */    MCD_OPC_CheckPredicate, 15, 184, 31, // Skip to: 14548
+/* 6428 */    MCD_OPC_CheckField, 6, 2, 0, 178, 31, // Skip to: 14548
+/* 6434 */    MCD_OPC_Decode, 240, 13, 128, 1, // Opcode: VSHLLi8
+/* 6439 */    MCD_OPC_FilterValue, 5, 51, 0, // Skip to: 6494
+/* 6443 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6446 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6458
+/* 6450 */    MCD_OPC_CheckPredicate, 15, 158, 31, // Skip to: 14548
+/* 6454 */    MCD_OPC_Decode, 177, 4, 123, // Opcode: VABSv4i16
+/* 6458 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6470
+/* 6462 */    MCD_OPC_CheckPredicate, 15, 146, 31, // Skip to: 14548
+/* 6466 */    MCD_OPC_Decode, 179, 4, 124, // Opcode: VABSv8i16
+/* 6470 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6482
+/* 6474 */    MCD_OPC_CheckPredicate, 15, 134, 31, // Skip to: 14548
+/* 6478 */    MCD_OPC_Decode, 147, 11, 123, // Opcode: VNEGs16d
+/* 6482 */    MCD_OPC_FilterValue, 3, 126, 31, // Skip to: 14548
+/* 6486 */    MCD_OPC_CheckPredicate, 15, 122, 31, // Skip to: 14548
+/* 6490 */    MCD_OPC_Decode, 148, 11, 124, // Opcode: VNEGs16q
+/* 6494 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 6513
+/* 6498 */    MCD_OPC_CheckPredicate, 15, 110, 31, // Skip to: 14548
+/* 6502 */    MCD_OPC_CheckField, 6, 2, 0, 104, 31, // Skip to: 14548
+/* 6508 */    MCD_OPC_Decode, 238, 13, 128, 1, // Opcode: VSHLLi16
+/* 6513 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 6568
+/* 6517 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6520 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6532
+/* 6524 */    MCD_OPC_CheckPredicate, 15, 84, 31, // Skip to: 14548
+/* 6528 */    MCD_OPC_Decode, 176, 4, 123, // Opcode: VABSv2i32
+/* 6532 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6544
+/* 6536 */    MCD_OPC_CheckPredicate, 15, 72, 31, // Skip to: 14548
+/* 6540 */    MCD_OPC_Decode, 178, 4, 124, // Opcode: VABSv4i32
+/* 6544 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6556
+/* 6548 */    MCD_OPC_CheckPredicate, 15, 60, 31, // Skip to: 14548
+/* 6552 */    MCD_OPC_Decode, 149, 11, 123, // Opcode: VNEGs32d
+/* 6556 */    MCD_OPC_FilterValue, 3, 52, 31, // Skip to: 14548
+/* 6560 */    MCD_OPC_CheckPredicate, 15, 48, 31, // Skip to: 14548
+/* 6564 */    MCD_OPC_Decode, 150, 11, 124, // Opcode: VNEGs32q
+/* 6568 */    MCD_OPC_FilterValue, 10, 40, 31, // Skip to: 14548
+/* 6572 */    MCD_OPC_CheckPredicate, 15, 36, 31, // Skip to: 14548
+/* 6576 */    MCD_OPC_CheckField, 6, 2, 0, 30, 31, // Skip to: 14548
+/* 6582 */    MCD_OPC_Decode, 239, 13, 128, 1, // Opcode: VSHLLi32
+/* 6587 */    MCD_OPC_FilterValue, 4, 22, 1, // Skip to: 6869
+/* 6591 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 6594 */    MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 6649
+/* 6598 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6601 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6613
+/* 6605 */    MCD_OPC_CheckPredicate, 15, 3, 31, // Skip to: 14548
+/* 6609 */    MCD_OPC_Decode, 171, 5, 123, // Opcode: VCLSv8i8
+/* 6613 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6625
+/* 6617 */    MCD_OPC_CheckPredicate, 15, 247, 30, // Skip to: 14548
+/* 6621 */    MCD_OPC_Decode, 166, 5, 124, // Opcode: VCLSv16i8
+/* 6625 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6637
+/* 6629 */    MCD_OPC_CheckPredicate, 15, 235, 30, // Skip to: 14548
+/* 6633 */    MCD_OPC_Decode, 185, 5, 123, // Opcode: VCLZv8i8
+/* 6637 */    MCD_OPC_FilterValue, 3, 227, 30, // Skip to: 14548
+/* 6641 */    MCD_OPC_CheckPredicate, 15, 223, 30, // Skip to: 14548
+/* 6645 */    MCD_OPC_Decode, 180, 5, 124, // Opcode: VCLZv16i8
+/* 6649 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 6704
+/* 6653 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6656 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6668
+/* 6660 */    MCD_OPC_CheckPredicate, 15, 204, 30, // Skip to: 14548
+/* 6664 */    MCD_OPC_Decode, 168, 5, 123, // Opcode: VCLSv4i16
+/* 6668 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6680
+/* 6672 */    MCD_OPC_CheckPredicate, 15, 192, 30, // Skip to: 14548
+/* 6676 */    MCD_OPC_Decode, 170, 5, 124, // Opcode: VCLSv8i16
+/* 6680 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6692
+/* 6684 */    MCD_OPC_CheckPredicate, 15, 180, 30, // Skip to: 14548
+/* 6688 */    MCD_OPC_Decode, 182, 5, 123, // Opcode: VCLZv4i16
+/* 6692 */    MCD_OPC_FilterValue, 3, 172, 30, // Skip to: 14548
+/* 6696 */    MCD_OPC_CheckPredicate, 15, 168, 30, // Skip to: 14548
+/* 6700 */    MCD_OPC_Decode, 184, 5, 124, // Opcode: VCLZv8i16
+/* 6704 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 6759
+/* 6708 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6711 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6723
+/* 6715 */    MCD_OPC_CheckPredicate, 15, 149, 30, // Skip to: 14548
+/* 6719 */    MCD_OPC_Decode, 167, 5, 123, // Opcode: VCLSv2i32
+/* 6723 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6735
+/* 6727 */    MCD_OPC_CheckPredicate, 15, 137, 30, // Skip to: 14548
+/* 6731 */    MCD_OPC_Decode, 169, 5, 124, // Opcode: VCLSv4i32
+/* 6735 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6747
+/* 6739 */    MCD_OPC_CheckPredicate, 15, 125, 30, // Skip to: 14548
+/* 6743 */    MCD_OPC_Decode, 181, 5, 123, // Opcode: VCLZv2i32
+/* 6747 */    MCD_OPC_FilterValue, 3, 117, 30, // Skip to: 14548
+/* 6751 */    MCD_OPC_CheckPredicate, 15, 113, 30, // Skip to: 14548
+/* 6755 */    MCD_OPC_Decode, 183, 5, 124, // Opcode: VCLZv4i32
+/* 6759 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 6814
+/* 6763 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6766 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6778
+/* 6770 */    MCD_OPC_CheckPredicate, 15, 94, 30, // Skip to: 14548
+/* 6774 */    MCD_OPC_Decode, 151, 5, 123, // Opcode: VCGTzv2f32
+/* 6778 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6790
+/* 6782 */    MCD_OPC_CheckPredicate, 15, 82, 30, // Skip to: 14548
+/* 6786 */    MCD_OPC_Decode, 153, 5, 124, // Opcode: VCGTzv4f32
+/* 6790 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6802
+/* 6794 */    MCD_OPC_CheckPredicate, 15, 70, 30, // Skip to: 14548
+/* 6798 */    MCD_OPC_Decode, 129, 5, 123, // Opcode: VCGEzv2f32
+/* 6802 */    MCD_OPC_FilterValue, 3, 62, 30, // Skip to: 14548
+/* 6806 */    MCD_OPC_CheckPredicate, 15, 58, 30, // Skip to: 14548
+/* 6810 */    MCD_OPC_Decode, 131, 5, 124, // Opcode: VCGEzv4f32
+/* 6814 */    MCD_OPC_FilterValue, 11, 50, 30, // Skip to: 14548
+/* 6818 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6821 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6833
+/* 6825 */    MCD_OPC_CheckPredicate, 15, 39, 30, // Skip to: 14548
+/* 6829 */    MCD_OPC_Decode, 239, 12, 123, // Opcode: VRECPEd
+/* 6833 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6845
+/* 6837 */    MCD_OPC_CheckPredicate, 15, 27, 30, // Skip to: 14548
+/* 6841 */    MCD_OPC_Decode, 242, 12, 124, // Opcode: VRECPEq
+/* 6845 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6857
+/* 6849 */    MCD_OPC_CheckPredicate, 15, 15, 30, // Skip to: 14548
+/* 6853 */    MCD_OPC_Decode, 202, 13, 123, // Opcode: VRSQRTEd
+/* 6857 */    MCD_OPC_FilterValue, 3, 7, 30, // Skip to: 14548
+/* 6861 */    MCD_OPC_CheckPredicate, 15, 3, 30, // Skip to: 14548
+/* 6865 */    MCD_OPC_Decode, 205, 13, 124, // Opcode: VRSQRTEq
+/* 6869 */    MCD_OPC_FilterValue, 5, 175, 0, // Skip to: 7048
+/* 6873 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6876 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 6919
+/* 6880 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 6883 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6895
+/* 6887 */    MCD_OPC_CheckPredicate, 15, 233, 29, // Skip to: 14548
+/* 6891 */    MCD_OPC_Decode, 194, 5, 123, // Opcode: VCNTd
+/* 6895 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6907
+/* 6899 */    MCD_OPC_CheckPredicate, 15, 221, 29, // Skip to: 14548
+/* 6903 */    MCD_OPC_Decode, 235, 4, 123, // Opcode: VCEQzv2f32
+/* 6907 */    MCD_OPC_FilterValue, 11, 213, 29, // Skip to: 14548
+/* 6911 */    MCD_OPC_CheckPredicate, 15, 209, 29, // Skip to: 14548
+/* 6915 */    MCD_OPC_Decode, 240, 12, 123, // Opcode: VRECPEfd
+/* 6919 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 6962
+/* 6923 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 6926 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6938
+/* 6930 */    MCD_OPC_CheckPredicate, 15, 190, 29, // Skip to: 14548
+/* 6934 */    MCD_OPC_Decode, 195, 5, 124, // Opcode: VCNTq
+/* 6938 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6950
+/* 6942 */    MCD_OPC_CheckPredicate, 15, 178, 29, // Skip to: 14548
+/* 6946 */    MCD_OPC_Decode, 237, 4, 124, // Opcode: VCEQzv4f32
+/* 6950 */    MCD_OPC_FilterValue, 11, 170, 29, // Skip to: 14548
+/* 6954 */    MCD_OPC_CheckPredicate, 15, 166, 29, // Skip to: 14548
+/* 6958 */    MCD_OPC_Decode, 241, 12, 124, // Opcode: VRECPEfq
+/* 6962 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 7005
+/* 6966 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 6969 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6981
+/* 6973 */    MCD_OPC_CheckPredicate, 15, 147, 29, // Skip to: 14548
+/* 6977 */    MCD_OPC_Decode, 137, 11, 123, // Opcode: VMVNd
+/* 6981 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6993
+/* 6985 */    MCD_OPC_CheckPredicate, 15, 135, 29, // Skip to: 14548
+/* 6989 */    MCD_OPC_Decode, 159, 5, 123, // Opcode: VCLEzv2f32
+/* 6993 */    MCD_OPC_FilterValue, 11, 127, 29, // Skip to: 14548
+/* 6997 */    MCD_OPC_CheckPredicate, 15, 123, 29, // Skip to: 14548
+/* 7001 */    MCD_OPC_Decode, 203, 13, 123, // Opcode: VRSQRTEfd
+/* 7005 */    MCD_OPC_FilterValue, 3, 115, 29, // Skip to: 14548
+/* 7009 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 7012 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7024
+/* 7016 */    MCD_OPC_CheckPredicate, 15, 104, 29, // Skip to: 14548
+/* 7020 */    MCD_OPC_Decode, 138, 11, 124, // Opcode: VMVNq
+/* 7024 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7036
+/* 7028 */    MCD_OPC_CheckPredicate, 15, 92, 29, // Skip to: 14548
+/* 7032 */    MCD_OPC_Decode, 161, 5, 124, // Opcode: VCLEzv4f32
+/* 7036 */    MCD_OPC_FilterValue, 11, 84, 29, // Skip to: 14548
+/* 7040 */    MCD_OPC_CheckPredicate, 15, 80, 29, // Skip to: 14548
+/* 7044 */    MCD_OPC_Decode, 204, 13, 124, // Opcode: VRSQRTEfq
+/* 7048 */    MCD_OPC_FilterValue, 6, 28, 1, // Skip to: 7336
+/* 7052 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 7055 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7114
+/* 7059 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7062 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7075
+/* 7066 */    MCD_OPC_CheckPredicate, 15, 54, 29, // Skip to: 14548
+/* 7070 */    MCD_OPC_Decode, 172, 11, 129, 1, // Opcode: VPADALsv8i8
+/* 7075 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7088
+/* 7079 */    MCD_OPC_CheckPredicate, 15, 41, 29, // Skip to: 14548
+/* 7083 */    MCD_OPC_Decode, 167, 11, 130, 1, // Opcode: VPADALsv16i8
+/* 7088 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7101
+/* 7092 */    MCD_OPC_CheckPredicate, 15, 28, 29, // Skip to: 14548
+/* 7096 */    MCD_OPC_Decode, 178, 11, 129, 1, // Opcode: VPADALuv8i8
+/* 7101 */    MCD_OPC_FilterValue, 3, 19, 29, // Skip to: 14548
+/* 7105 */    MCD_OPC_CheckPredicate, 15, 15, 29, // Skip to: 14548
+/* 7109 */    MCD_OPC_Decode, 173, 11, 130, 1, // Opcode: VPADALuv16i8
+/* 7114 */    MCD_OPC_FilterValue, 4, 55, 0, // Skip to: 7173
+/* 7118 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7121 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7134
+/* 7125 */    MCD_OPC_CheckPredicate, 15, 251, 28, // Skip to: 14548
+/* 7129 */    MCD_OPC_Decode, 169, 11, 129, 1, // Opcode: VPADALsv4i16
+/* 7134 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7147
+/* 7138 */    MCD_OPC_CheckPredicate, 15, 238, 28, // Skip to: 14548
+/* 7142 */    MCD_OPC_Decode, 171, 11, 130, 1, // Opcode: VPADALsv8i16
+/* 7147 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7160
+/* 7151 */    MCD_OPC_CheckPredicate, 15, 225, 28, // Skip to: 14548
+/* 7155 */    MCD_OPC_Decode, 175, 11, 129, 1, // Opcode: VPADALuv4i16
+/* 7160 */    MCD_OPC_FilterValue, 3, 216, 28, // Skip to: 14548
+/* 7164 */    MCD_OPC_CheckPredicate, 15, 212, 28, // Skip to: 14548
+/* 7168 */    MCD_OPC_Decode, 177, 11, 130, 1, // Opcode: VPADALuv8i16
+/* 7173 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 7191
+/* 7177 */    MCD_OPC_CheckPredicate, 17, 199, 28, // Skip to: 14548
+/* 7181 */    MCD_OPC_CheckField, 6, 2, 0, 193, 28, // Skip to: 14548
+/* 7187 */    MCD_OPC_Decode, 238, 5, 127, // Opcode: VCVTf2h
+/* 7191 */    MCD_OPC_FilterValue, 8, 55, 0, // Skip to: 7250
+/* 7195 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7198 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7211
+/* 7202 */    MCD_OPC_CheckPredicate, 15, 174, 28, // Skip to: 14548
+/* 7206 */    MCD_OPC_Decode, 168, 11, 129, 1, // Opcode: VPADALsv2i32
+/* 7211 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 7224
+/* 7215 */    MCD_OPC_CheckPredicate, 15, 161, 28, // Skip to: 14548
+/* 7219 */    MCD_OPC_Decode, 170, 11, 130, 1, // Opcode: VPADALsv4i32
+/* 7224 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7237
+/* 7228 */    MCD_OPC_CheckPredicate, 15, 148, 28, // Skip to: 14548
+/* 7232 */    MCD_OPC_Decode, 174, 11, 129, 1, // Opcode: VPADALuv2i32
+/* 7237 */    MCD_OPC_FilterValue, 3, 139, 28, // Skip to: 14548
+/* 7241 */    MCD_OPC_CheckPredicate, 15, 135, 28, // Skip to: 14548
+/* 7245 */    MCD_OPC_Decode, 176, 11, 130, 1, // Opcode: VPADALuv4i32
+/* 7250 */    MCD_OPC_FilterValue, 9, 27, 0, // Skip to: 7281
+/* 7254 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7257 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7269
+/* 7261 */    MCD_OPC_CheckPredicate, 15, 115, 28, // Skip to: 14548
+/* 7265 */    MCD_OPC_Decode, 173, 5, 123, // Opcode: VCLTzv2f32
+/* 7269 */    MCD_OPC_FilterValue, 1, 107, 28, // Skip to: 14548
+/* 7273 */    MCD_OPC_CheckPredicate, 15, 103, 28, // Skip to: 14548
+/* 7277 */    MCD_OPC_Decode, 175, 5, 124, // Opcode: VCLTzv4f32
+/* 7281 */    MCD_OPC_FilterValue, 11, 95, 28, // Skip to: 14548
+/* 7285 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7288 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7300
+/* 7292 */    MCD_OPC_CheckPredicate, 15, 84, 28, // Skip to: 14548
+/* 7296 */    MCD_OPC_Decode, 248, 5, 123, // Opcode: VCVTs2fd
+/* 7300 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7312
+/* 7304 */    MCD_OPC_CheckPredicate, 15, 72, 28, // Skip to: 14548
+/* 7308 */    MCD_OPC_Decode, 249, 5, 124, // Opcode: VCVTs2fq
+/* 7312 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7324
+/* 7316 */    MCD_OPC_CheckPredicate, 15, 60, 28, // Skip to: 14548
+/* 7320 */    MCD_OPC_Decode, 250, 5, 123, // Opcode: VCVTu2fd
+/* 7324 */    MCD_OPC_FilterValue, 3, 52, 28, // Skip to: 14548
+/* 7328 */    MCD_OPC_CheckPredicate, 15, 48, 28, // Skip to: 14548
+/* 7332 */    MCD_OPC_Decode, 251, 5, 124, // Opcode: VCVTu2fq
+/* 7336 */    MCD_OPC_FilterValue, 7, 41, 1, // Skip to: 7637
+/* 7340 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 7343 */    MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 7398
+/* 7347 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7350 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7362
+/* 7354 */    MCD_OPC_CheckPredicate, 15, 22, 28, // Skip to: 14548
+/* 7358 */    MCD_OPC_Decode, 214, 11, 123, // Opcode: VQABSv8i8
+/* 7362 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7374
+/* 7366 */    MCD_OPC_CheckPredicate, 15, 10, 28, // Skip to: 14548
+/* 7370 */    MCD_OPC_Decode, 209, 11, 124, // Opcode: VQABSv16i8
+/* 7374 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7386
+/* 7378 */    MCD_OPC_CheckPredicate, 15, 254, 27, // Skip to: 14548
+/* 7382 */    MCD_OPC_Decode, 137, 12, 123, // Opcode: VQNEGv8i8
+/* 7386 */    MCD_OPC_FilterValue, 3, 246, 27, // Skip to: 14548
+/* 7390 */    MCD_OPC_CheckPredicate, 15, 242, 27, // Skip to: 14548
+/* 7394 */    MCD_OPC_Decode, 132, 12, 124, // Opcode: VQNEGv16i8
+/* 7398 */    MCD_OPC_FilterValue, 4, 51, 0, // Skip to: 7453
+/* 7402 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7405 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7417
+/* 7409 */    MCD_OPC_CheckPredicate, 15, 223, 27, // Skip to: 14548
+/* 7413 */    MCD_OPC_Decode, 211, 11, 123, // Opcode: VQABSv4i16
+/* 7417 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7429
+/* 7421 */    MCD_OPC_CheckPredicate, 15, 211, 27, // Skip to: 14548
+/* 7425 */    MCD_OPC_Decode, 213, 11, 124, // Opcode: VQABSv8i16
+/* 7429 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7441
+/* 7433 */    MCD_OPC_CheckPredicate, 15, 199, 27, // Skip to: 14548
+/* 7437 */    MCD_OPC_Decode, 134, 12, 123, // Opcode: VQNEGv4i16
+/* 7441 */    MCD_OPC_FilterValue, 3, 191, 27, // Skip to: 14548
+/* 7445 */    MCD_OPC_CheckPredicate, 15, 187, 27, // Skip to: 14548
+/* 7449 */    MCD_OPC_Decode, 136, 12, 124, // Opcode: VQNEGv8i16
+/* 7453 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 7472
+/* 7457 */    MCD_OPC_CheckPredicate, 17, 175, 27, // Skip to: 14548
+/* 7461 */    MCD_OPC_CheckField, 6, 2, 0, 169, 27, // Skip to: 14548
+/* 7467 */    MCD_OPC_Decode, 247, 5, 131, 1, // Opcode: VCVTh2f
+/* 7472 */    MCD_OPC_FilterValue, 8, 51, 0, // Skip to: 7527
+/* 7476 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7479 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7491
+/* 7483 */    MCD_OPC_CheckPredicate, 15, 149, 27, // Skip to: 14548
+/* 7487 */    MCD_OPC_Decode, 210, 11, 123, // Opcode: VQABSv2i32
+/* 7491 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7503
+/* 7495 */    MCD_OPC_CheckPredicate, 15, 137, 27, // Skip to: 14548
+/* 7499 */    MCD_OPC_Decode, 212, 11, 124, // Opcode: VQABSv4i32
+/* 7503 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7515
+/* 7507 */    MCD_OPC_CheckPredicate, 15, 125, 27, // Skip to: 14548
+/* 7511 */    MCD_OPC_Decode, 133, 12, 123, // Opcode: VQNEGv2i32
+/* 7515 */    MCD_OPC_FilterValue, 3, 117, 27, // Skip to: 14548
+/* 7519 */    MCD_OPC_CheckPredicate, 15, 113, 27, // Skip to: 14548
+/* 7523 */    MCD_OPC_Decode, 135, 12, 124, // Opcode: VQNEGv4i32
+/* 7527 */    MCD_OPC_FilterValue, 9, 51, 0, // Skip to: 7582
+/* 7531 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7534 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7546
+/* 7538 */    MCD_OPC_CheckPredicate, 15, 94, 27, // Skip to: 14548
+/* 7542 */    MCD_OPC_Decode, 173, 4, 123, // Opcode: VABSfd
+/* 7546 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7558
+/* 7550 */    MCD_OPC_CheckPredicate, 15, 82, 27, // Skip to: 14548
+/* 7554 */    MCD_OPC_Decode, 174, 4, 124, // Opcode: VABSfq
+/* 7558 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7570
+/* 7562 */    MCD_OPC_CheckPredicate, 15, 70, 27, // Skip to: 14548
+/* 7566 */    MCD_OPC_Decode, 146, 11, 123, // Opcode: VNEGfd
+/* 7570 */    MCD_OPC_FilterValue, 3, 62, 27, // Skip to: 14548
+/* 7574 */    MCD_OPC_CheckPredicate, 15, 58, 27, // Skip to: 14548
+/* 7578 */    MCD_OPC_Decode, 145, 11, 124, // Opcode: VNEGf32q
+/* 7582 */    MCD_OPC_FilterValue, 11, 50, 27, // Skip to: 14548
+/* 7586 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7589 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7601
+/* 7593 */    MCD_OPC_CheckPredicate, 15, 39, 27, // Skip to: 14548
+/* 7597 */    MCD_OPC_Decode, 239, 5, 123, // Opcode: VCVTf2sd
+/* 7601 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7613
+/* 7605 */    MCD_OPC_CheckPredicate, 15, 27, 27, // Skip to: 14548
+/* 7609 */    MCD_OPC_Decode, 240, 5, 124, // Opcode: VCVTf2sq
+/* 7613 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7625
+/* 7617 */    MCD_OPC_CheckPredicate, 15, 15, 27, // Skip to: 14548
+/* 7621 */    MCD_OPC_Decode, 241, 5, 123, // Opcode: VCVTf2ud
+/* 7625 */    MCD_OPC_FilterValue, 3, 7, 27, // Skip to: 14548
+/* 7629 */    MCD_OPC_CheckPredicate, 15, 3, 27, // Skip to: 14548
+/* 7633 */    MCD_OPC_Decode, 242, 5, 124, // Opcode: VCVTf2uq
+/* 7637 */    MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 7670
+/* 7641 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 7644 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7657
+/* 7648 */    MCD_OPC_CheckPredicate, 15, 240, 26, // Skip to: 14548
+/* 7652 */    MCD_OPC_Decode, 162, 17, 132, 1, // Opcode: VTBL1
+/* 7657 */    MCD_OPC_FilterValue, 1, 231, 26, // Skip to: 14548
+/* 7661 */    MCD_OPC_CheckPredicate, 15, 227, 26, // Skip to: 14548
+/* 7665 */    MCD_OPC_Decode, 168, 17, 132, 1, // Opcode: VTBX1
+/* 7670 */    MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 7703
+/* 7674 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 7677 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7690
+/* 7681 */    MCD_OPC_CheckPredicate, 15, 207, 26, // Skip to: 14548
+/* 7685 */    MCD_OPC_Decode, 163, 17, 132, 1, // Opcode: VTBL2
+/* 7690 */    MCD_OPC_FilterValue, 1, 198, 26, // Skip to: 14548
+/* 7694 */    MCD_OPC_CheckPredicate, 15, 194, 26, // Skip to: 14548
+/* 7698 */    MCD_OPC_Decode, 169, 17, 132, 1, // Opcode: VTBX2
+/* 7703 */    MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 7736
+/* 7707 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 7710 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7723
+/* 7714 */    MCD_OPC_CheckPredicate, 15, 174, 26, // Skip to: 14548
+/* 7718 */    MCD_OPC_Decode, 164, 17, 132, 1, // Opcode: VTBL3
+/* 7723 */    MCD_OPC_FilterValue, 1, 165, 26, // Skip to: 14548
+/* 7727 */    MCD_OPC_CheckPredicate, 15, 161, 26, // Skip to: 14548
+/* 7731 */    MCD_OPC_Decode, 170, 17, 132, 1, // Opcode: VTBX3
+/* 7736 */    MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 7769
+/* 7740 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 7743 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7756
+/* 7747 */    MCD_OPC_CheckPredicate, 15, 141, 26, // Skip to: 14548
+/* 7751 */    MCD_OPC_Decode, 166, 17, 132, 1, // Opcode: VTBL4
+/* 7756 */    MCD_OPC_FilterValue, 1, 132, 26, // Skip to: 14548
+/* 7760 */    MCD_OPC_CheckPredicate, 15, 128, 26, // Skip to: 14548
+/* 7764 */    MCD_OPC_Decode, 172, 17, 132, 1, // Opcode: VTBX4
+/* 7769 */    MCD_OPC_FilterValue, 12, 119, 26, // Skip to: 14548
+/* 7773 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 7776 */    MCD_OPC_FilterValue, 0, 55, 0, // Skip to: 7835
+/* 7780 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 7783 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 7822
+/* 7787 */    MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 7790 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 7809
+/* 7794 */    MCD_OPC_CheckPredicate, 15, 94, 26, // Skip to: 14548
+/* 7798 */    MCD_OPC_CheckField, 18, 1, 1, 88, 26, // Skip to: 14548
+/* 7804 */    MCD_OPC_Decode, 138, 6, 133, 1, // Opcode: VDUPLN32d
+/* 7809 */    MCD_OPC_FilterValue, 1, 79, 26, // Skip to: 14548
+/* 7813 */    MCD_OPC_CheckPredicate, 15, 75, 26, // Skip to: 14548
+/* 7817 */    MCD_OPC_Decode, 136, 6, 134, 1, // Opcode: VDUPLN16d
+/* 7822 */    MCD_OPC_FilterValue, 1, 66, 26, // Skip to: 14548
+/* 7826 */    MCD_OPC_CheckPredicate, 15, 62, 26, // Skip to: 14548
+/* 7830 */    MCD_OPC_Decode, 140, 6, 135, 1, // Opcode: VDUPLN8d
+/* 7835 */    MCD_OPC_FilterValue, 1, 53, 26, // Skip to: 14548
+/* 7839 */    MCD_OPC_ExtractField, 16, 1,  // Inst{16} ...
+/* 7842 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 7881
+/* 7846 */    MCD_OPC_ExtractField, 17, 1,  // Inst{17} ...
+/* 7849 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 7868
+/* 7853 */    MCD_OPC_CheckPredicate, 15, 35, 26, // Skip to: 14548
+/* 7857 */    MCD_OPC_CheckField, 18, 1, 1, 29, 26, // Skip to: 14548
+/* 7863 */    MCD_OPC_Decode, 139, 6, 136, 1, // Opcode: VDUPLN32q
+/* 7868 */    MCD_OPC_FilterValue, 1, 20, 26, // Skip to: 14548
+/* 7872 */    MCD_OPC_CheckPredicate, 15, 16, 26, // Skip to: 14548
+/* 7876 */    MCD_OPC_Decode, 137, 6, 137, 1, // Opcode: VDUPLN16q
+/* 7881 */    MCD_OPC_FilterValue, 1, 7, 26, // Skip to: 14548
+/* 7885 */    MCD_OPC_CheckPredicate, 15, 3, 26, // Skip to: 14548
+/* 7889 */    MCD_OPC_Decode, 141, 6, 138, 1, // Opcode: VDUPLN8q
+/* 7894 */    MCD_OPC_FilterValue, 1, 250, 25, // Skip to: 14548
+/* 7898 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 7901 */    MCD_OPC_FilterValue, 0, 185, 13, // Skip to: 11418
+/* 7905 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 7908 */    MCD_OPC_FilterValue, 0, 28, 6, // Skip to: 9476
+/* 7912 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 7915 */    MCD_OPC_FilterValue, 0, 135, 0, // Skip to: 8054
+/* 7919 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 7922 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 7955
+/* 7926 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 7929 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 7942
+/* 7934 */    MCD_OPC_CheckPredicate, 15, 210, 25, // Skip to: 14548
+/* 7938 */    MCD_OPC_Decode, 222, 11, 94, // Opcode: VQADDsv8i8
+/* 7942 */    MCD_OPC_FilterValue, 243, 1, 201, 25, // Skip to: 14548
+/* 7947 */    MCD_OPC_CheckPredicate, 15, 197, 25, // Skip to: 14548
+/* 7951 */    MCD_OPC_Decode, 230, 11, 94, // Opcode: VQADDuv8i8
+/* 7955 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 7988
+/* 7959 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 7962 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 7975
+/* 7967 */    MCD_OPC_CheckPredicate, 15, 177, 25, // Skip to: 14548
+/* 7971 */    MCD_OPC_Decode, 219, 11, 94, // Opcode: VQADDsv4i16
+/* 7975 */    MCD_OPC_FilterValue, 243, 1, 168, 25, // Skip to: 14548
+/* 7980 */    MCD_OPC_CheckPredicate, 15, 164, 25, // Skip to: 14548
+/* 7984 */    MCD_OPC_Decode, 227, 11, 94, // Opcode: VQADDuv4i16
+/* 7988 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8021
+/* 7992 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 7995 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8008
+/* 8000 */    MCD_OPC_CheckPredicate, 15, 144, 25, // Skip to: 14548
+/* 8004 */    MCD_OPC_Decode, 217, 11, 94, // Opcode: VQADDsv2i32
+/* 8008 */    MCD_OPC_FilterValue, 243, 1, 135, 25, // Skip to: 14548
+/* 8013 */    MCD_OPC_CheckPredicate, 15, 131, 25, // Skip to: 14548
+/* 8017 */    MCD_OPC_Decode, 225, 11, 94, // Opcode: VQADDuv2i32
+/* 8021 */    MCD_OPC_FilterValue, 3, 123, 25, // Skip to: 14548
+/* 8025 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8028 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8041
+/* 8033 */    MCD_OPC_CheckPredicate, 15, 111, 25, // Skip to: 14548
+/* 8037 */    MCD_OPC_Decode, 216, 11, 94, // Opcode: VQADDsv1i64
+/* 8041 */    MCD_OPC_FilterValue, 243, 1, 102, 25, // Skip to: 14548
+/* 8046 */    MCD_OPC_CheckPredicate, 15, 98, 25, // Skip to: 14548
+/* 8050 */    MCD_OPC_Decode, 224, 11, 94, // Opcode: VQADDuv1i64
+/* 8054 */    MCD_OPC_FilterValue, 1, 135, 0, // Skip to: 8193
+/* 8058 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8061 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8094
+/* 8065 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8068 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8081
+/* 8073 */    MCD_OPC_CheckPredicate, 15, 71, 25, // Skip to: 14548
+/* 8077 */    MCD_OPC_Decode, 212, 4, 94, // Opcode: VANDd
+/* 8081 */    MCD_OPC_FilterValue, 243, 1, 62, 25, // Skip to: 14548
+/* 8086 */    MCD_OPC_CheckPredicate, 15, 58, 25, // Skip to: 14548
+/* 8090 */    MCD_OPC_Decode, 142, 6, 94, // Opcode: VEORd
+/* 8094 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8127
+/* 8098 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8101 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8114
+/* 8106 */    MCD_OPC_CheckPredicate, 15, 38, 25, // Skip to: 14548
+/* 8110 */    MCD_OPC_Decode, 214, 4, 94, // Opcode: VBICd
+/* 8114 */    MCD_OPC_FilterValue, 243, 1, 29, 25, // Skip to: 14548
+/* 8119 */    MCD_OPC_CheckPredicate, 15, 25, 25, // Skip to: 14548
+/* 8123 */    MCD_OPC_Decode, 224, 4, 102, // Opcode: VBSLd
+/* 8127 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8160
+/* 8131 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8134 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8147
+/* 8139 */    MCD_OPC_CheckPredicate, 15, 5, 25, // Skip to: 14548
+/* 8143 */    MCD_OPC_Decode, 161, 11, 94, // Opcode: VORRd
+/* 8147 */    MCD_OPC_FilterValue, 243, 1, 252, 24, // Skip to: 14548
+/* 8152 */    MCD_OPC_CheckPredicate, 15, 248, 24, // Skip to: 14548
+/* 8156 */    MCD_OPC_Decode, 222, 4, 102, // Opcode: VBITd
+/* 8160 */    MCD_OPC_FilterValue, 3, 240, 24, // Skip to: 14548
+/* 8164 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8167 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8180
+/* 8172 */    MCD_OPC_CheckPredicate, 15, 228, 24, // Skip to: 14548
+/* 8176 */    MCD_OPC_Decode, 159, 11, 94, // Opcode: VORNd
+/* 8180 */    MCD_OPC_FilterValue, 243, 1, 219, 24, // Skip to: 14548
+/* 8185 */    MCD_OPC_CheckPredicate, 15, 215, 24, // Skip to: 14548
+/* 8189 */    MCD_OPC_Decode, 220, 4, 102, // Opcode: VBIFd
+/* 8193 */    MCD_OPC_FilterValue, 2, 135, 0, // Skip to: 8332
+/* 8197 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8200 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8233
+/* 8204 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8207 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8220
+/* 8212 */    MCD_OPC_CheckPredicate, 15, 188, 24, // Skip to: 14548
+/* 8216 */    MCD_OPC_Decode, 227, 12, 94, // Opcode: VQSUBsv8i8
+/* 8220 */    MCD_OPC_FilterValue, 243, 1, 179, 24, // Skip to: 14548
+/* 8225 */    MCD_OPC_CheckPredicate, 15, 175, 24, // Skip to: 14548
+/* 8229 */    MCD_OPC_Decode, 235, 12, 94, // Opcode: VQSUBuv8i8
+/* 8233 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8266
+/* 8237 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8240 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8253
+/* 8245 */    MCD_OPC_CheckPredicate, 15, 155, 24, // Skip to: 14548
+/* 8249 */    MCD_OPC_Decode, 224, 12, 94, // Opcode: VQSUBsv4i16
+/* 8253 */    MCD_OPC_FilterValue, 243, 1, 146, 24, // Skip to: 14548
+/* 8258 */    MCD_OPC_CheckPredicate, 15, 142, 24, // Skip to: 14548
+/* 8262 */    MCD_OPC_Decode, 232, 12, 94, // Opcode: VQSUBuv4i16
+/* 8266 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8299
+/* 8270 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8273 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8286
+/* 8278 */    MCD_OPC_CheckPredicate, 15, 122, 24, // Skip to: 14548
+/* 8282 */    MCD_OPC_Decode, 222, 12, 94, // Opcode: VQSUBsv2i32
+/* 8286 */    MCD_OPC_FilterValue, 243, 1, 113, 24, // Skip to: 14548
+/* 8291 */    MCD_OPC_CheckPredicate, 15, 109, 24, // Skip to: 14548
+/* 8295 */    MCD_OPC_Decode, 230, 12, 94, // Opcode: VQSUBuv2i32
+/* 8299 */    MCD_OPC_FilterValue, 3, 101, 24, // Skip to: 14548
+/* 8303 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8306 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8319
+/* 8311 */    MCD_OPC_CheckPredicate, 15, 89, 24, // Skip to: 14548
+/* 8315 */    MCD_OPC_Decode, 221, 12, 94, // Opcode: VQSUBsv1i64
+/* 8319 */    MCD_OPC_FilterValue, 243, 1, 80, 24, // Skip to: 14548
+/* 8324 */    MCD_OPC_CheckPredicate, 15, 76, 24, // Skip to: 14548
+/* 8328 */    MCD_OPC_Decode, 229, 12, 94, // Opcode: VQSUBuv1i64
+/* 8332 */    MCD_OPC_FilterValue, 3, 102, 0, // Skip to: 8438
+/* 8336 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8339 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8372
+/* 8343 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8346 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8359
+/* 8351 */    MCD_OPC_CheckPredicate, 15, 49, 24, // Skip to: 14548
+/* 8355 */    MCD_OPC_Decode, 249, 4, 94, // Opcode: VCGEsv8i8
+/* 8359 */    MCD_OPC_FilterValue, 243, 1, 40, 24, // Skip to: 14548
+/* 8364 */    MCD_OPC_CheckPredicate, 15, 36, 24, // Skip to: 14548
+/* 8368 */    MCD_OPC_Decode, 255, 4, 94, // Opcode: VCGEuv8i8
+/* 8372 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8405
+/* 8376 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8379 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8392
+/* 8384 */    MCD_OPC_CheckPredicate, 15, 16, 24, // Skip to: 14548
+/* 8388 */    MCD_OPC_Decode, 246, 4, 94, // Opcode: VCGEsv4i16
+/* 8392 */    MCD_OPC_FilterValue, 243, 1, 7, 24, // Skip to: 14548
+/* 8397 */    MCD_OPC_CheckPredicate, 15, 3, 24, // Skip to: 14548
+/* 8401 */    MCD_OPC_Decode, 252, 4, 94, // Opcode: VCGEuv4i16
+/* 8405 */    MCD_OPC_FilterValue, 2, 251, 23, // Skip to: 14548
+/* 8409 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8412 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8425
+/* 8417 */    MCD_OPC_CheckPredicate, 15, 239, 23, // Skip to: 14548
+/* 8421 */    MCD_OPC_Decode, 245, 4, 94, // Opcode: VCGEsv2i32
+/* 8425 */    MCD_OPC_FilterValue, 243, 1, 230, 23, // Skip to: 14548
+/* 8430 */    MCD_OPC_CheckPredicate, 15, 226, 23, // Skip to: 14548
+/* 8434 */    MCD_OPC_Decode, 251, 4, 94, // Opcode: VCGEuv2i32
+/* 8438 */    MCD_OPC_FilterValue, 4, 135, 0, // Skip to: 8577
+/* 8442 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8445 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8478
+/* 8449 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8452 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8465
+/* 8457 */    MCD_OPC_CheckPredicate, 15, 199, 23, // Skip to: 14548
+/* 8461 */    MCD_OPC_Decode, 194, 12, 98, // Opcode: VQSHLsv8i8
+/* 8465 */    MCD_OPC_FilterValue, 243, 1, 190, 23, // Skip to: 14548
+/* 8470 */    MCD_OPC_CheckPredicate, 15, 186, 23, // Skip to: 14548
+/* 8474 */    MCD_OPC_Decode, 210, 12, 98, // Opcode: VQSHLuv8i8
+/* 8478 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8511
+/* 8482 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8485 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8498
+/* 8490 */    MCD_OPC_CheckPredicate, 15, 166, 23, // Skip to: 14548
+/* 8494 */    MCD_OPC_Decode, 191, 12, 98, // Opcode: VQSHLsv4i16
+/* 8498 */    MCD_OPC_FilterValue, 243, 1, 157, 23, // Skip to: 14548
+/* 8503 */    MCD_OPC_CheckPredicate, 15, 153, 23, // Skip to: 14548
+/* 8507 */    MCD_OPC_Decode, 207, 12, 98, // Opcode: VQSHLuv4i16
+/* 8511 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8544
+/* 8515 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8518 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8531
+/* 8523 */    MCD_OPC_CheckPredicate, 15, 133, 23, // Skip to: 14548
+/* 8527 */    MCD_OPC_Decode, 189, 12, 98, // Opcode: VQSHLsv2i32
+/* 8531 */    MCD_OPC_FilterValue, 243, 1, 124, 23, // Skip to: 14548
+/* 8536 */    MCD_OPC_CheckPredicate, 15, 120, 23, // Skip to: 14548
+/* 8540 */    MCD_OPC_Decode, 205, 12, 98, // Opcode: VQSHLuv2i32
+/* 8544 */    MCD_OPC_FilterValue, 3, 112, 23, // Skip to: 14548
+/* 8548 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8551 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8564
+/* 8556 */    MCD_OPC_CheckPredicate, 15, 100, 23, // Skip to: 14548
+/* 8560 */    MCD_OPC_Decode, 188, 12, 98, // Opcode: VQSHLsv1i64
+/* 8564 */    MCD_OPC_FilterValue, 243, 1, 91, 23, // Skip to: 14548
+/* 8569 */    MCD_OPC_CheckPredicate, 15, 87, 23, // Skip to: 14548
+/* 8573 */    MCD_OPC_Decode, 204, 12, 98, // Opcode: VQSHLuv1i64
+/* 8577 */    MCD_OPC_FilterValue, 5, 135, 0, // Skip to: 8716
+/* 8581 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8584 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8617
+/* 8588 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8591 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8604
+/* 8596 */    MCD_OPC_CheckPredicate, 15, 60, 23, // Skip to: 14548
+/* 8600 */    MCD_OPC_Decode, 153, 12, 98, // Opcode: VQRSHLsv8i8
+/* 8604 */    MCD_OPC_FilterValue, 243, 1, 51, 23, // Skip to: 14548
+/* 8609 */    MCD_OPC_CheckPredicate, 15, 47, 23, // Skip to: 14548
+/* 8613 */    MCD_OPC_Decode, 161, 12, 98, // Opcode: VQRSHLuv8i8
+/* 8617 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8650
+/* 8621 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8624 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8637
+/* 8629 */    MCD_OPC_CheckPredicate, 15, 27, 23, // Skip to: 14548
+/* 8633 */    MCD_OPC_Decode, 150, 12, 98, // Opcode: VQRSHLsv4i16
+/* 8637 */    MCD_OPC_FilterValue, 243, 1, 18, 23, // Skip to: 14548
+/* 8642 */    MCD_OPC_CheckPredicate, 15, 14, 23, // Skip to: 14548
+/* 8646 */    MCD_OPC_Decode, 158, 12, 98, // Opcode: VQRSHLuv4i16
+/* 8650 */    MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 8683
+/* 8654 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8657 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8670
+/* 8662 */    MCD_OPC_CheckPredicate, 15, 250, 22, // Skip to: 14548
+/* 8666 */    MCD_OPC_Decode, 148, 12, 98, // Opcode: VQRSHLsv2i32
+/* 8670 */    MCD_OPC_FilterValue, 243, 1, 241, 22, // Skip to: 14548
+/* 8675 */    MCD_OPC_CheckPredicate, 15, 237, 22, // Skip to: 14548
+/* 8679 */    MCD_OPC_Decode, 156, 12, 98, // Opcode: VQRSHLuv2i32
+/* 8683 */    MCD_OPC_FilterValue, 3, 229, 22, // Skip to: 14548
+/* 8687 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8690 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8703
+/* 8695 */    MCD_OPC_CheckPredicate, 15, 217, 22, // Skip to: 14548
+/* 8699 */    MCD_OPC_Decode, 147, 12, 98, // Opcode: VQRSHLsv1i64
+/* 8703 */    MCD_OPC_FilterValue, 243, 1, 208, 22, // Skip to: 14548
+/* 8708 */    MCD_OPC_CheckPredicate, 15, 204, 22, // Skip to: 14548
+/* 8712 */    MCD_OPC_Decode, 155, 12, 98, // Opcode: VQRSHLuv1i64
+/* 8716 */    MCD_OPC_FilterValue, 6, 102, 0, // Skip to: 8822
+/* 8720 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8723 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8756
+/* 8727 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8730 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8743
+/* 8735 */    MCD_OPC_CheckPredicate, 15, 177, 22, // Skip to: 14548
+/* 8739 */    MCD_OPC_Decode, 132, 10, 94, // Opcode: VMINsv8i8
+/* 8743 */    MCD_OPC_FilterValue, 243, 1, 168, 22, // Skip to: 14548
+/* 8748 */    MCD_OPC_CheckPredicate, 15, 164, 22, // Skip to: 14548
+/* 8752 */    MCD_OPC_Decode, 138, 10, 94, // Opcode: VMINuv8i8
+/* 8756 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8789
+/* 8760 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8763 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8776
+/* 8768 */    MCD_OPC_CheckPredicate, 15, 144, 22, // Skip to: 14548
+/* 8772 */    MCD_OPC_Decode, 129, 10, 94, // Opcode: VMINsv4i16
+/* 8776 */    MCD_OPC_FilterValue, 243, 1, 135, 22, // Skip to: 14548
+/* 8781 */    MCD_OPC_CheckPredicate, 15, 131, 22, // Skip to: 14548
+/* 8785 */    MCD_OPC_Decode, 135, 10, 94, // Opcode: VMINuv4i16
+/* 8789 */    MCD_OPC_FilterValue, 2, 123, 22, // Skip to: 14548
+/* 8793 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8796 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8809
+/* 8801 */    MCD_OPC_CheckPredicate, 15, 111, 22, // Skip to: 14548
+/* 8805 */    MCD_OPC_Decode, 128, 10, 94, // Opcode: VMINsv2i32
+/* 8809 */    MCD_OPC_FilterValue, 243, 1, 102, 22, // Skip to: 14548
+/* 8814 */    MCD_OPC_CheckPredicate, 15, 98, 22, // Skip to: 14548
+/* 8818 */    MCD_OPC_Decode, 134, 10, 94, // Opcode: VMINuv2i32
+/* 8822 */    MCD_OPC_FilterValue, 7, 102, 0, // Skip to: 8928
+/* 8826 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8829 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8862
+/* 8833 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8836 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8849
+/* 8841 */    MCD_OPC_CheckPredicate, 15, 71, 22, // Skip to: 14548
+/* 8845 */    MCD_OPC_Decode, 144, 4, 102, // Opcode: VABAsv8i8
+/* 8849 */    MCD_OPC_FilterValue, 243, 1, 62, 22, // Skip to: 14548
+/* 8854 */    MCD_OPC_CheckPredicate, 15, 58, 22, // Skip to: 14548
+/* 8858 */    MCD_OPC_Decode, 150, 4, 102, // Opcode: VABAuv8i8
+/* 8862 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 8895
+/* 8866 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8869 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8882
+/* 8874 */    MCD_OPC_CheckPredicate, 15, 38, 22, // Skip to: 14548
+/* 8878 */    MCD_OPC_Decode, 141, 4, 102, // Opcode: VABAsv4i16
+/* 8882 */    MCD_OPC_FilterValue, 243, 1, 29, 22, // Skip to: 14548
+/* 8887 */    MCD_OPC_CheckPredicate, 15, 25, 22, // Skip to: 14548
+/* 8891 */    MCD_OPC_Decode, 147, 4, 102, // Opcode: VABAuv4i16
+/* 8895 */    MCD_OPC_FilterValue, 2, 17, 22, // Skip to: 14548
+/* 8899 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8902 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8915
+/* 8907 */    MCD_OPC_CheckPredicate, 15, 5, 22, // Skip to: 14548
+/* 8911 */    MCD_OPC_Decode, 140, 4, 102, // Opcode: VABAsv2i32
+/* 8915 */    MCD_OPC_FilterValue, 243, 1, 252, 21, // Skip to: 14548
+/* 8920 */    MCD_OPC_CheckPredicate, 15, 248, 21, // Skip to: 14548
+/* 8924 */    MCD_OPC_Decode, 146, 4, 102, // Opcode: VABAuv2i32
+/* 8928 */    MCD_OPC_FilterValue, 8, 102, 0, // Skip to: 9034
+/* 8932 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 8935 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 8968
+/* 8939 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8942 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8955
+/* 8947 */    MCD_OPC_CheckPredicate, 15, 221, 21, // Skip to: 14548
+/* 8951 */    MCD_OPC_Decode, 201, 17, 94, // Opcode: VTSTv8i8
+/* 8955 */    MCD_OPC_FilterValue, 243, 1, 212, 21, // Skip to: 14548
+/* 8960 */    MCD_OPC_CheckPredicate, 15, 208, 21, // Skip to: 14548
+/* 8964 */    MCD_OPC_Decode, 233, 4, 94, // Opcode: VCEQv8i8
+/* 8968 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 9001
+/* 8972 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 8975 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 8988
+/* 8980 */    MCD_OPC_CheckPredicate, 15, 188, 21, // Skip to: 14548
+/* 8984 */    MCD_OPC_Decode, 198, 17, 94, // Opcode: VTSTv4i16
+/* 8988 */    MCD_OPC_FilterValue, 243, 1, 179, 21, // Skip to: 14548
+/* 8993 */    MCD_OPC_CheckPredicate, 15, 175, 21, // Skip to: 14548
+/* 8997 */    MCD_OPC_Decode, 230, 4, 94, // Opcode: VCEQv4i16
+/* 9001 */    MCD_OPC_FilterValue, 2, 167, 21, // Skip to: 14548
+/* 9005 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 9008 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9021
+/* 9013 */    MCD_OPC_CheckPredicate, 15, 155, 21, // Skip to: 14548
+/* 9017 */    MCD_OPC_Decode, 197, 17, 94, // Opcode: VTSTv2i32
+/* 9021 */    MCD_OPC_FilterValue, 243, 1, 146, 21, // Skip to: 14548
+/* 9026 */    MCD_OPC_CheckPredicate, 15, 142, 21, // Skip to: 14548
+/* 9030 */    MCD_OPC_Decode, 229, 4, 94, // Opcode: VCEQv2i32
+/* 9034 */    MCD_OPC_FilterValue, 9, 74, 0, // Skip to: 9112
+/* 9038 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9041 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9074
+/* 9045 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 9048 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9061
+/* 9053 */    MCD_OPC_CheckPredicate, 15, 115, 21, // Skip to: 14548
+/* 9057 */    MCD_OPC_Decode, 136, 11, 94, // Opcode: VMULv8i8
+/* 9061 */    MCD_OPC_FilterValue, 243, 1, 106, 21, // Skip to: 14548
+/* 9066 */    MCD_OPC_CheckPredicate, 15, 102, 21, // Skip to: 14548
+/* 9070 */    MCD_OPC_Decode, 251, 10, 94, // Opcode: VMULpd
+/* 9074 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 9093
+/* 9078 */    MCD_OPC_CheckPredicate, 15, 90, 21, // Skip to: 14548
+/* 9082 */    MCD_OPC_CheckField, 24, 8, 242, 1, 83, 21, // Skip to: 14548
+/* 9089 */    MCD_OPC_Decode, 133, 11, 94, // Opcode: VMULv4i16
+/* 9093 */    MCD_OPC_FilterValue, 2, 75, 21, // Skip to: 14548
+/* 9097 */    MCD_OPC_CheckPredicate, 15, 71, 21, // Skip to: 14548
+/* 9101 */    MCD_OPC_CheckField, 24, 8, 242, 1, 64, 21, // Skip to: 14548
+/* 9108 */    MCD_OPC_Decode, 132, 11, 94, // Opcode: VMULv2i32
+/* 9112 */    MCD_OPC_FilterValue, 10, 102, 0, // Skip to: 9218
+/* 9116 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9119 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9152
+/* 9123 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 9126 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9139
+/* 9131 */    MCD_OPC_CheckPredicate, 15, 37, 21, // Skip to: 14548
+/* 9135 */    MCD_OPC_Decode, 205, 11, 94, // Opcode: VPMINs8
+/* 9139 */    MCD_OPC_FilterValue, 243, 1, 28, 21, // Skip to: 14548
+/* 9144 */    MCD_OPC_CheckPredicate, 15, 24, 21, // Skip to: 14548
+/* 9148 */    MCD_OPC_Decode, 208, 11, 94, // Opcode: VPMINu8
+/* 9152 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 9185
+/* 9156 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 9159 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9172
+/* 9164 */    MCD_OPC_CheckPredicate, 15, 4, 21, // Skip to: 14548
+/* 9168 */    MCD_OPC_Decode, 203, 11, 94, // Opcode: VPMINs16
+/* 9172 */    MCD_OPC_FilterValue, 243, 1, 251, 20, // Skip to: 14548
+/* 9177 */    MCD_OPC_CheckPredicate, 15, 247, 20, // Skip to: 14548
+/* 9181 */    MCD_OPC_Decode, 206, 11, 94, // Opcode: VPMINu16
+/* 9185 */    MCD_OPC_FilterValue, 2, 239, 20, // Skip to: 14548
+/* 9189 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 9192 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9205
+/* 9197 */    MCD_OPC_CheckPredicate, 15, 227, 20, // Skip to: 14548
+/* 9201 */    MCD_OPC_Decode, 204, 11, 94, // Opcode: VPMINs32
+/* 9205 */    MCD_OPC_FilterValue, 243, 1, 218, 20, // Skip to: 14548
+/* 9210 */    MCD_OPC_CheckPredicate, 15, 214, 20, // Skip to: 14548
+/* 9214 */    MCD_OPC_Decode, 207, 11, 94, // Opcode: VPMINu32
+/* 9218 */    MCD_OPC_FilterValue, 11, 60, 0, // Skip to: 9282
+/* 9222 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9225 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9244
+/* 9229 */    MCD_OPC_CheckPredicate, 15, 195, 20, // Skip to: 14548
+/* 9233 */    MCD_OPC_CheckField, 24, 8, 242, 1, 188, 20, // Skip to: 14548
+/* 9240 */    MCD_OPC_Decode, 194, 11, 94, // Opcode: VPADDi8
+/* 9244 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 9263
+/* 9248 */    MCD_OPC_CheckPredicate, 15, 176, 20, // Skip to: 14548
+/* 9252 */    MCD_OPC_CheckField, 24, 8, 242, 1, 169, 20, // Skip to: 14548
+/* 9259 */    MCD_OPC_Decode, 192, 11, 94, // Opcode: VPADDi16
+/* 9263 */    MCD_OPC_FilterValue, 2, 161, 20, // Skip to: 14548
+/* 9267 */    MCD_OPC_CheckPredicate, 15, 157, 20, // Skip to: 14548
+/* 9271 */    MCD_OPC_CheckField, 24, 8, 242, 1, 150, 20, // Skip to: 14548
+/* 9278 */    MCD_OPC_Decode, 193, 11, 94, // Opcode: VPADDi32
+/* 9282 */    MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 9327
+/* 9286 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9289 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9308
+/* 9293 */    MCD_OPC_CheckPredicate, 18, 131, 20, // Skip to: 14548
+/* 9297 */    MCD_OPC_CheckField, 24, 8, 242, 1, 124, 20, // Skip to: 14548
+/* 9304 */    MCD_OPC_Decode, 153, 6, 102, // Opcode: VFMAfd
+/* 9308 */    MCD_OPC_FilterValue, 2, 116, 20, // Skip to: 14548
+/* 9312 */    MCD_OPC_CheckPredicate, 18, 112, 20, // Skip to: 14548
+/* 9316 */    MCD_OPC_CheckField, 24, 8, 242, 1, 105, 20, // Skip to: 14548
+/* 9323 */    MCD_OPC_Decode, 157, 6, 102, // Opcode: VFMSfd
+/* 9327 */    MCD_OPC_FilterValue, 13, 55, 0, // Skip to: 9386
+/* 9331 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9334 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 9367
+/* 9338 */    MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 9341 */    MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 9354
+/* 9346 */    MCD_OPC_CheckPredicate, 15, 78, 20, // Skip to: 14548
+/* 9350 */    MCD_OPC_Decode, 151, 10, 102, // Opcode: VMLAfd
+/* 9354 */    MCD_OPC_FilterValue, 243, 1, 69, 20, // Skip to: 14548
+/* 9359 */    MCD_OPC_CheckPredicate, 15, 65, 20, // Skip to: 14548
+/* 9363 */    MCD_OPC_Decode, 249, 10, 94, // Opcode: VMULfd
+/* 9367 */    MCD_OPC_FilterValue, 2, 57, 20, // Skip to: 14548
+/* 9371 */    MCD_OPC_CheckPredicate, 15, 53, 20, // Skip to: 14548
+/* 9375 */    MCD_OPC_CheckField, 24, 8, 242, 1, 46, 20, // Skip to: 14548
+/* 9382 */    MCD_OPC_Decode, 177, 10, 102, // Opcode: VMLSfd
+/* 9386 */    MCD_OPC_FilterValue, 14, 41, 0, // Skip to: 9431
+/* 9390 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9393 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9412
+/* 9397 */    MCD_OPC_CheckPredicate, 15, 27, 20, // Skip to: 14548
+/* 9401 */    MCD_OPC_CheckField, 24, 8, 243, 1, 20, 20, // Skip to: 14548
+/* 9408 */    MCD_OPC_Decode, 181, 4, 94, // Opcode: VACGEd
+/* 9412 */    MCD_OPC_FilterValue, 2, 12, 20, // Skip to: 14548
+/* 9416 */    MCD_OPC_CheckPredicate, 15, 8, 20, // Skip to: 14548
+/* 9420 */    MCD_OPC_CheckField, 24, 8, 243, 1, 1, 20, // Skip to: 14548
+/* 9427 */    MCD_OPC_Decode, 183, 4, 94, // Opcode: VACGTd
+/* 9431 */    MCD_OPC_FilterValue, 15, 249, 19, // Skip to: 14548
+/* 9435 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 9438 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9457
+/* 9442 */    MCD_OPC_CheckPredicate, 15, 238, 19, // Skip to: 14548
+/* 9446 */    MCD_OPC_CheckField, 24, 8, 242, 1, 231, 19, // Skip to: 14548
+/* 9453 */    MCD_OPC_Decode, 243, 12, 94, // Opcode: VRECPSfd
+/* 9457 */    MCD_OPC_FilterValue, 2, 223, 19, // Skip to: 14548
+/* 9461 */    MCD_OPC_CheckPredicate, 15, 219, 19, // Skip to: 14548
+/* 9465 */    MCD_OPC_CheckField, 24, 8, 242, 1, 212, 19, // Skip to: 14548
+/* 9472 */    MCD_OPC_Decode, 206, 13, 94, // Opcode: VRSQRTSfd
+/* 9476 */    MCD_OPC_FilterValue, 1, 204, 19, // Skip to: 14548
+/* 9480 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 9483 */    MCD_OPC_FilterValue, 0, 138, 6, // Skip to: 11161
+/* 9487 */    MCD_OPC_ExtractField, 25, 7,  // Inst{31-25} ...
+/* 9490 */    MCD_OPC_FilterValue, 121, 190, 19, // Skip to: 14548
+/* 9494 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 9497 */    MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 9622
+/* 9501 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 9504 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9589
+/* 9508 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 9511 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9556
+/* 9515 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9518 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9537
+/* 9522 */    MCD_OPC_CheckPredicate, 15, 190, 5, // Skip to: 10996
+/* 9526 */    MCD_OPC_CheckField, 19, 1, 1, 184, 5, // Skip to: 10996
+/* 9532 */    MCD_OPC_Decode, 153, 14, 139, 1, // Opcode: VSHRsv8i8
+/* 9537 */    MCD_OPC_FilterValue, 1, 175, 5, // Skip to: 10996
+/* 9541 */    MCD_OPC_CheckPredicate, 15, 171, 5, // Skip to: 10996
+/* 9545 */    MCD_OPC_CheckField, 19, 1, 1, 165, 5, // Skip to: 10996
+/* 9551 */    MCD_OPC_Decode, 161, 14, 139, 1, // Opcode: VSHRuv8i8
+/* 9556 */    MCD_OPC_FilterValue, 1, 156, 5, // Skip to: 10996
+/* 9560 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9563 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9576
+/* 9567 */    MCD_OPC_CheckPredicate, 15, 145, 5, // Skip to: 10996
+/* 9571 */    MCD_OPC_Decode, 150, 14, 140, 1, // Opcode: VSHRsv4i16
+/* 9576 */    MCD_OPC_FilterValue, 1, 136, 5, // Skip to: 10996
+/* 9580 */    MCD_OPC_CheckPredicate, 15, 132, 5, // Skip to: 10996
+/* 9584 */    MCD_OPC_Decode, 158, 14, 140, 1, // Opcode: VSHRuv4i16
+/* 9589 */    MCD_OPC_FilterValue, 1, 123, 5, // Skip to: 10996
+/* 9593 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9596 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9609
+/* 9600 */    MCD_OPC_CheckPredicate, 15, 112, 5, // Skip to: 10996
+/* 9604 */    MCD_OPC_Decode, 148, 14, 141, 1, // Opcode: VSHRsv2i32
+/* 9609 */    MCD_OPC_FilterValue, 1, 103, 5, // Skip to: 10996
+/* 9613 */    MCD_OPC_CheckPredicate, 15, 99, 5, // Skip to: 10996
+/* 9617 */    MCD_OPC_Decode, 156, 14, 141, 1, // Opcode: VSHRuv2i32
+/* 9622 */    MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 9747
+/* 9626 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 9629 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9714
+/* 9633 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 9636 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9681
+/* 9640 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9643 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9662
+/* 9647 */    MCD_OPC_CheckPredicate, 15, 65, 5, // Skip to: 10996
+/* 9651 */    MCD_OPC_CheckField, 19, 1, 1, 59, 5, // Skip to: 10996
+/* 9657 */    MCD_OPC_Decode, 185, 14, 142, 1, // Opcode: VSRAsv8i8
+/* 9662 */    MCD_OPC_FilterValue, 1, 50, 5, // Skip to: 10996
+/* 9666 */    MCD_OPC_CheckPredicate, 15, 46, 5, // Skip to: 10996
+/* 9670 */    MCD_OPC_CheckField, 19, 1, 1, 40, 5, // Skip to: 10996
+/* 9676 */    MCD_OPC_Decode, 193, 14, 142, 1, // Opcode: VSRAuv8i8
+/* 9681 */    MCD_OPC_FilterValue, 1, 31, 5, // Skip to: 10996
+/* 9685 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9688 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9701
+/* 9692 */    MCD_OPC_CheckPredicate, 15, 20, 5, // Skip to: 10996
+/* 9696 */    MCD_OPC_Decode, 182, 14, 143, 1, // Opcode: VSRAsv4i16
+/* 9701 */    MCD_OPC_FilterValue, 1, 11, 5, // Skip to: 10996
+/* 9705 */    MCD_OPC_CheckPredicate, 15, 7, 5, // Skip to: 10996
+/* 9709 */    MCD_OPC_Decode, 190, 14, 143, 1, // Opcode: VSRAuv4i16
+/* 9714 */    MCD_OPC_FilterValue, 1, 254, 4, // Skip to: 10996
+/* 9718 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9721 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9734
+/* 9725 */    MCD_OPC_CheckPredicate, 15, 243, 4, // Skip to: 10996
+/* 9729 */    MCD_OPC_Decode, 180, 14, 144, 1, // Opcode: VSRAsv2i32
+/* 9734 */    MCD_OPC_FilterValue, 1, 234, 4, // Skip to: 10996
+/* 9738 */    MCD_OPC_CheckPredicate, 15, 230, 4, // Skip to: 10996
+/* 9742 */    MCD_OPC_Decode, 188, 14, 144, 1, // Opcode: VSRAuv2i32
+/* 9747 */    MCD_OPC_FilterValue, 2, 121, 0, // Skip to: 9872
+/* 9751 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 9754 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9839
+/* 9758 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 9761 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9806
+/* 9765 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9768 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9787
+/* 9772 */    MCD_OPC_CheckPredicate, 15, 196, 4, // Skip to: 10996
+/* 9776 */    MCD_OPC_CheckField, 19, 1, 1, 190, 4, // Skip to: 10996
+/* 9782 */    MCD_OPC_Decode, 193, 13, 139, 1, // Opcode: VRSHRsv8i8
+/* 9787 */    MCD_OPC_FilterValue, 1, 181, 4, // Skip to: 10996
+/* 9791 */    MCD_OPC_CheckPredicate, 15, 177, 4, // Skip to: 10996
+/* 9795 */    MCD_OPC_CheckField, 19, 1, 1, 171, 4, // Skip to: 10996
+/* 9801 */    MCD_OPC_Decode, 201, 13, 139, 1, // Opcode: VRSHRuv8i8
+/* 9806 */    MCD_OPC_FilterValue, 1, 162, 4, // Skip to: 10996
+/* 9810 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9813 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9826
+/* 9817 */    MCD_OPC_CheckPredicate, 15, 151, 4, // Skip to: 10996
+/* 9821 */    MCD_OPC_Decode, 190, 13, 140, 1, // Opcode: VRSHRsv4i16
+/* 9826 */    MCD_OPC_FilterValue, 1, 142, 4, // Skip to: 10996
+/* 9830 */    MCD_OPC_CheckPredicate, 15, 138, 4, // Skip to: 10996
+/* 9834 */    MCD_OPC_Decode, 198, 13, 140, 1, // Opcode: VRSHRuv4i16
+/* 9839 */    MCD_OPC_FilterValue, 1, 129, 4, // Skip to: 10996
+/* 9843 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9846 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9859
+/* 9850 */    MCD_OPC_CheckPredicate, 15, 118, 4, // Skip to: 10996
+/* 9854 */    MCD_OPC_Decode, 188, 13, 141, 1, // Opcode: VRSHRsv2i32
+/* 9859 */    MCD_OPC_FilterValue, 1, 109, 4, // Skip to: 10996
+/* 9863 */    MCD_OPC_CheckPredicate, 15, 105, 4, // Skip to: 10996
+/* 9867 */    MCD_OPC_Decode, 196, 13, 141, 1, // Opcode: VRSHRuv2i32
+/* 9872 */    MCD_OPC_FilterValue, 3, 121, 0, // Skip to: 9997
+/* 9876 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 9879 */    MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 9964
+/* 9883 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 9886 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 9931
+/* 9890 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9893 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 9912
+/* 9897 */    MCD_OPC_CheckPredicate, 15, 71, 4, // Skip to: 10996
+/* 9901 */    MCD_OPC_CheckField, 19, 1, 1, 65, 4, // Skip to: 10996
+/* 9907 */    MCD_OPC_Decode, 215, 13, 142, 1, // Opcode: VRSRAsv8i8
+/* 9912 */    MCD_OPC_FilterValue, 1, 56, 4, // Skip to: 10996
+/* 9916 */    MCD_OPC_CheckPredicate, 15, 52, 4, // Skip to: 10996
+/* 9920 */    MCD_OPC_CheckField, 19, 1, 1, 46, 4, // Skip to: 10996
+/* 9926 */    MCD_OPC_Decode, 223, 13, 142, 1, // Opcode: VRSRAuv8i8
+/* 9931 */    MCD_OPC_FilterValue, 1, 37, 4, // Skip to: 10996
+/* 9935 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9938 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9951
+/* 9942 */    MCD_OPC_CheckPredicate, 15, 26, 4, // Skip to: 10996
+/* 9946 */    MCD_OPC_Decode, 212, 13, 143, 1, // Opcode: VRSRAsv4i16
+/* 9951 */    MCD_OPC_FilterValue, 1, 17, 4, // Skip to: 10996
+/* 9955 */    MCD_OPC_CheckPredicate, 15, 13, 4, // Skip to: 10996
+/* 9959 */    MCD_OPC_Decode, 220, 13, 143, 1, // Opcode: VRSRAuv4i16
+/* 9964 */    MCD_OPC_FilterValue, 1, 4, 4, // Skip to: 10996
+/* 9968 */    MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 9971 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9984
+/* 9975 */    MCD_OPC_CheckPredicate, 15, 249, 3, // Skip to: 10996
+/* 9979 */    MCD_OPC_Decode, 210, 13, 144, 1, // Opcode: VRSRAsv2i32
+/* 9984 */    MCD_OPC_FilterValue, 1, 240, 3, // Skip to: 10996
+/* 9988 */    MCD_OPC_CheckPredicate, 15, 236, 3, // Skip to: 10996
+/* 9992 */    MCD_OPC_Decode, 218, 13, 144, 1, // Opcode: VRSRAuv2i32
+/* 9997 */    MCD_OPC_FilterValue, 4, 73, 0, // Skip to: 10074
+/* 10001 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10004 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 10055
+/* 10008 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10011 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 10036
+/* 10015 */   MCD_OPC_CheckPredicate, 15, 209, 3, // Skip to: 10996
+/* 10019 */   MCD_OPC_CheckField, 24, 1, 1, 203, 3, // Skip to: 10996
+/* 10025 */   MCD_OPC_CheckField, 19, 1, 1, 197, 3, // Skip to: 10996
+/* 10031 */   MCD_OPC_Decode, 201, 14, 142, 1, // Opcode: VSRIv8i8
+/* 10036 */   MCD_OPC_FilterValue, 1, 188, 3, // Skip to: 10996
+/* 10040 */   MCD_OPC_CheckPredicate, 15, 184, 3, // Skip to: 10996
+/* 10044 */   MCD_OPC_CheckField, 24, 1, 1, 178, 3, // Skip to: 10996
+/* 10050 */   MCD_OPC_Decode, 198, 14, 143, 1, // Opcode: VSRIv4i16
+/* 10055 */   MCD_OPC_FilterValue, 1, 169, 3, // Skip to: 10996
+/* 10059 */   MCD_OPC_CheckPredicate, 15, 165, 3, // Skip to: 10996
+/* 10063 */   MCD_OPC_CheckField, 24, 1, 1, 159, 3, // Skip to: 10996
+/* 10069 */   MCD_OPC_Decode, 196, 14, 144, 1, // Opcode: VSRIv2i32
+/* 10074 */   MCD_OPC_FilterValue, 5, 121, 0, // Skip to: 10199
+/* 10078 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10081 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10166
+/* 10085 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10088 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10133
+/* 10092 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10095 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10114
+/* 10099 */   MCD_OPC_CheckPredicate, 15, 125, 3, // Skip to: 10996
+/* 10103 */   MCD_OPC_CheckField, 19, 1, 1, 119, 3, // Skip to: 10996
+/* 10109 */   MCD_OPC_Decode, 254, 13, 145, 1, // Opcode: VSHLiv8i8
+/* 10114 */   MCD_OPC_FilterValue, 1, 110, 3, // Skip to: 10996
+/* 10118 */   MCD_OPC_CheckPredicate, 15, 106, 3, // Skip to: 10996
+/* 10122 */   MCD_OPC_CheckField, 19, 1, 1, 100, 3, // Skip to: 10996
+/* 10128 */   MCD_OPC_Decode, 173, 14, 146, 1, // Opcode: VSLIv8i8
+/* 10133 */   MCD_OPC_FilterValue, 1, 91, 3, // Skip to: 10996
+/* 10137 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10140 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10153
+/* 10144 */   MCD_OPC_CheckPredicate, 15, 80, 3, // Skip to: 10996
+/* 10148 */   MCD_OPC_Decode, 251, 13, 147, 1, // Opcode: VSHLiv4i16
+/* 10153 */   MCD_OPC_FilterValue, 1, 71, 3, // Skip to: 10996
+/* 10157 */   MCD_OPC_CheckPredicate, 15, 67, 3, // Skip to: 10996
+/* 10161 */   MCD_OPC_Decode, 170, 14, 148, 1, // Opcode: VSLIv4i16
+/* 10166 */   MCD_OPC_FilterValue, 1, 58, 3, // Skip to: 10996
+/* 10170 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10173 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10186
+/* 10177 */   MCD_OPC_CheckPredicate, 15, 47, 3, // Skip to: 10996
+/* 10181 */   MCD_OPC_Decode, 249, 13, 149, 1, // Opcode: VSHLiv2i32
+/* 10186 */   MCD_OPC_FilterValue, 1, 38, 3, // Skip to: 10996
+/* 10190 */   MCD_OPC_CheckPredicate, 15, 34, 3, // Skip to: 10996
+/* 10194 */   MCD_OPC_Decode, 168, 14, 150, 1, // Opcode: VSLIv2i32
+/* 10199 */   MCD_OPC_FilterValue, 6, 73, 0, // Skip to: 10276
+/* 10203 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10206 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 10257
+/* 10210 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10213 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 10238
+/* 10217 */   MCD_OPC_CheckPredicate, 15, 7, 3, // Skip to: 10996
+/* 10221 */   MCD_OPC_CheckField, 24, 1, 1, 1, 3, // Skip to: 10996
+/* 10227 */   MCD_OPC_CheckField, 19, 1, 1, 251, 2, // Skip to: 10996
+/* 10233 */   MCD_OPC_Decode, 186, 12, 145, 1, // Opcode: VQSHLsuv8i8
+/* 10238 */   MCD_OPC_FilterValue, 1, 242, 2, // Skip to: 10996
+/* 10242 */   MCD_OPC_CheckPredicate, 15, 238, 2, // Skip to: 10996
+/* 10246 */   MCD_OPC_CheckField, 24, 1, 1, 232, 2, // Skip to: 10996
+/* 10252 */   MCD_OPC_Decode, 183, 12, 147, 1, // Opcode: VQSHLsuv4i16
+/* 10257 */   MCD_OPC_FilterValue, 1, 223, 2, // Skip to: 10996
+/* 10261 */   MCD_OPC_CheckPredicate, 15, 219, 2, // Skip to: 10996
+/* 10265 */   MCD_OPC_CheckField, 24, 1, 1, 213, 2, // Skip to: 10996
+/* 10271 */   MCD_OPC_Decode, 181, 12, 149, 1, // Opcode: VQSHLsuv2i32
+/* 10276 */   MCD_OPC_FilterValue, 7, 121, 0, // Skip to: 10401
+/* 10280 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10283 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10368
+/* 10287 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10290 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10335
+/* 10294 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10297 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10316
+/* 10301 */   MCD_OPC_CheckPredicate, 15, 179, 2, // Skip to: 10996
+/* 10305 */   MCD_OPC_CheckField, 19, 1, 1, 173, 2, // Skip to: 10996
+/* 10311 */   MCD_OPC_Decode, 178, 12, 145, 1, // Opcode: VQSHLsiv8i8
+/* 10316 */   MCD_OPC_FilterValue, 1, 164, 2, // Skip to: 10996
+/* 10320 */   MCD_OPC_CheckPredicate, 15, 160, 2, // Skip to: 10996
+/* 10324 */   MCD_OPC_CheckField, 19, 1, 1, 154, 2, // Skip to: 10996
+/* 10330 */   MCD_OPC_Decode, 202, 12, 145, 1, // Opcode: VQSHLuiv8i8
+/* 10335 */   MCD_OPC_FilterValue, 1, 145, 2, // Skip to: 10996
+/* 10339 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10342 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10355
+/* 10346 */   MCD_OPC_CheckPredicate, 15, 134, 2, // Skip to: 10996
+/* 10350 */   MCD_OPC_Decode, 175, 12, 147, 1, // Opcode: VQSHLsiv4i16
+/* 10355 */   MCD_OPC_FilterValue, 1, 125, 2, // Skip to: 10996
+/* 10359 */   MCD_OPC_CheckPredicate, 15, 121, 2, // Skip to: 10996
+/* 10363 */   MCD_OPC_Decode, 199, 12, 147, 1, // Opcode: VQSHLuiv4i16
+/* 10368 */   MCD_OPC_FilterValue, 1, 112, 2, // Skip to: 10996
+/* 10372 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10375 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10388
+/* 10379 */   MCD_OPC_CheckPredicate, 15, 101, 2, // Skip to: 10996
+/* 10383 */   MCD_OPC_Decode, 173, 12, 149, 1, // Opcode: VQSHLsiv2i32
+/* 10388 */   MCD_OPC_FilterValue, 1, 92, 2, // Skip to: 10996
+/* 10392 */   MCD_OPC_CheckPredicate, 15, 88, 2, // Skip to: 10996
+/* 10396 */   MCD_OPC_Decode, 197, 12, 149, 1, // Opcode: VQSHLuiv2i32
+/* 10401 */   MCD_OPC_FilterValue, 8, 121, 0, // Skip to: 10526
+/* 10405 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10408 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10493
+/* 10412 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10415 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10460
+/* 10419 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10422 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10441
+/* 10426 */   MCD_OPC_CheckPredicate, 15, 54, 2, // Skip to: 10996
+/* 10430 */   MCD_OPC_CheckField, 19, 1, 1, 48, 2, // Skip to: 10996
+/* 10436 */   MCD_OPC_Decode, 145, 14, 151, 1, // Opcode: VSHRNv8i8
+/* 10441 */   MCD_OPC_FilterValue, 1, 39, 2, // Skip to: 10996
+/* 10445 */   MCD_OPC_CheckPredicate, 15, 35, 2, // Skip to: 10996
+/* 10449 */   MCD_OPC_CheckField, 19, 1, 1, 29, 2, // Skip to: 10996
+/* 10455 */   MCD_OPC_Decode, 219, 12, 151, 1, // Opcode: VQSHRUNv8i8
+/* 10460 */   MCD_OPC_FilterValue, 1, 20, 2, // Skip to: 10996
+/* 10464 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10467 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10480
+/* 10471 */   MCD_OPC_CheckPredicate, 15, 9, 2, // Skip to: 10996
+/* 10475 */   MCD_OPC_Decode, 144, 14, 152, 1, // Opcode: VSHRNv4i16
+/* 10480 */   MCD_OPC_FilterValue, 1, 0, 2, // Skip to: 10996
+/* 10484 */   MCD_OPC_CheckPredicate, 15, 252, 1, // Skip to: 10996
+/* 10488 */   MCD_OPC_Decode, 218, 12, 152, 1, // Opcode: VQSHRUNv4i16
+/* 10493 */   MCD_OPC_FilterValue, 1, 243, 1, // Skip to: 10996
+/* 10497 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10500 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10513
+/* 10504 */   MCD_OPC_CheckPredicate, 15, 232, 1, // Skip to: 10996
+/* 10508 */   MCD_OPC_Decode, 143, 14, 153, 1, // Opcode: VSHRNv2i32
+/* 10513 */   MCD_OPC_FilterValue, 1, 223, 1, // Skip to: 10996
+/* 10517 */   MCD_OPC_CheckPredicate, 15, 219, 1, // Skip to: 10996
+/* 10521 */   MCD_OPC_Decode, 217, 12, 153, 1, // Opcode: VQSHRUNv2i32
+/* 10526 */   MCD_OPC_FilterValue, 9, 121, 0, // Skip to: 10651
+/* 10530 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10533 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 10618
+/* 10537 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10540 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 10585
+/* 10544 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10547 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10566
+/* 10551 */   MCD_OPC_CheckPredicate, 15, 185, 1, // Skip to: 10996
+/* 10555 */   MCD_OPC_CheckField, 19, 1, 1, 179, 1, // Skip to: 10996
+/* 10561 */   MCD_OPC_Decode, 213, 12, 151, 1, // Opcode: VQSHRNsv8i8
+/* 10566 */   MCD_OPC_FilterValue, 1, 170, 1, // Skip to: 10996
+/* 10570 */   MCD_OPC_CheckPredicate, 15, 166, 1, // Skip to: 10996
+/* 10574 */   MCD_OPC_CheckField, 19, 1, 1, 160, 1, // Skip to: 10996
+/* 10580 */   MCD_OPC_Decode, 216, 12, 151, 1, // Opcode: VQSHRNuv8i8
+/* 10585 */   MCD_OPC_FilterValue, 1, 151, 1, // Skip to: 10996
+/* 10589 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10592 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10605
+/* 10596 */   MCD_OPC_CheckPredicate, 15, 140, 1, // Skip to: 10996
+/* 10600 */   MCD_OPC_Decode, 212, 12, 152, 1, // Opcode: VQSHRNsv4i16
+/* 10605 */   MCD_OPC_FilterValue, 1, 131, 1, // Skip to: 10996
+/* 10609 */   MCD_OPC_CheckPredicate, 15, 127, 1, // Skip to: 10996
+/* 10613 */   MCD_OPC_Decode, 215, 12, 152, 1, // Opcode: VQSHRNuv4i16
+/* 10618 */   MCD_OPC_FilterValue, 1, 118, 1, // Skip to: 10996
+/* 10622 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10625 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10638
+/* 10629 */   MCD_OPC_CheckPredicate, 15, 107, 1, // Skip to: 10996
+/* 10633 */   MCD_OPC_Decode, 211, 12, 153, 1, // Opcode: VQSHRNsv2i32
+/* 10638 */   MCD_OPC_FilterValue, 1, 98, 1, // Skip to: 10996
+/* 10642 */   MCD_OPC_CheckPredicate, 15, 94, 1, // Skip to: 10996
+/* 10646 */   MCD_OPC_Decode, 214, 12, 153, 1, // Opcode: VQSHRNuv2i32
+/* 10651 */   MCD_OPC_FilterValue, 10, 213, 0, // Skip to: 10868
+/* 10655 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 10658 */   MCD_OPC_FilterValue, 0, 143, 0, // Skip to: 10805
+/* 10662 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 10665 */   MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 10742
+/* 10669 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10672 */   MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 10707
+/* 10676 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 10679 */   MCD_OPC_FilterValue, 1, 57, 1, // Skip to: 10996
+/* 10683 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10698
+/* 10687 */   MCD_OPC_CheckField, 16, 3, 0, 5, 0, // Skip to: 10698
+/* 10693 */   MCD_OPC_Decode, 197, 10, 131, 1, // Opcode: VMOVLsv8i16
+/* 10698 */   MCD_OPC_CheckPredicate, 15, 38, 1, // Skip to: 10996
+/* 10702 */   MCD_OPC_Decode, 243, 13, 154, 1, // Opcode: VSHLLsv8i16
+/* 10707 */   MCD_OPC_FilterValue, 1, 29, 1, // Skip to: 10996
+/* 10711 */   MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
+/* 10714 */   MCD_OPC_FilterValue, 1, 22, 1, // Skip to: 10996
+/* 10718 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10733
+/* 10722 */   MCD_OPC_CheckField, 16, 3, 0, 5, 0, // Skip to: 10733
+/* 10728 */   MCD_OPC_Decode, 200, 10, 131, 1, // Opcode: VMOVLuv8i16
+/* 10733 */   MCD_OPC_CheckPredicate, 15, 3, 1, // Skip to: 10996
+/* 10737 */   MCD_OPC_Decode, 246, 13, 154, 1, // Opcode: VSHLLuv8i16
+/* 10742 */   MCD_OPC_FilterValue, 1, 250, 0, // Skip to: 10996
+/* 10746 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10749 */   MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 10777
+/* 10753 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10768
+/* 10757 */   MCD_OPC_CheckField, 16, 4, 0, 5, 0, // Skip to: 10768
+/* 10763 */   MCD_OPC_Decode, 196, 10, 131, 1, // Opcode: VMOVLsv4i32
+/* 10768 */   MCD_OPC_CheckPredicate, 15, 224, 0, // Skip to: 10996
+/* 10772 */   MCD_OPC_Decode, 242, 13, 155, 1, // Opcode: VSHLLsv4i32
+/* 10777 */   MCD_OPC_FilterValue, 1, 215, 0, // Skip to: 10996
+/* 10781 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10796
+/* 10785 */   MCD_OPC_CheckField, 16, 4, 0, 5, 0, // Skip to: 10796
+/* 10791 */   MCD_OPC_Decode, 199, 10, 131, 1, // Opcode: VMOVLuv4i32
+/* 10796 */   MCD_OPC_CheckPredicate, 15, 196, 0, // Skip to: 10996
+/* 10800 */   MCD_OPC_Decode, 245, 13, 155, 1, // Opcode: VSHLLuv4i32
+/* 10805 */   MCD_OPC_FilterValue, 1, 187, 0, // Skip to: 10996
+/* 10809 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10812 */   MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 10840
+/* 10816 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10831
+/* 10820 */   MCD_OPC_CheckField, 16, 5, 0, 5, 0, // Skip to: 10831
+/* 10826 */   MCD_OPC_Decode, 195, 10, 131, 1, // Opcode: VMOVLsv2i64
+/* 10831 */   MCD_OPC_CheckPredicate, 15, 161, 0, // Skip to: 10996
+/* 10835 */   MCD_OPC_Decode, 241, 13, 156, 1, // Opcode: VSHLLsv2i64
+/* 10840 */   MCD_OPC_FilterValue, 1, 152, 0, // Skip to: 10996
+/* 10844 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10859
+/* 10848 */   MCD_OPC_CheckField, 16, 5, 0, 5, 0, // Skip to: 10859
+/* 10854 */   MCD_OPC_Decode, 198, 10, 131, 1, // Opcode: VMOVLuv2i64
+/* 10859 */   MCD_OPC_CheckPredicate, 15, 133, 0, // Skip to: 10996
+/* 10863 */   MCD_OPC_Decode, 244, 13, 156, 1, // Opcode: VSHLLuv2i64
+/* 10868 */   MCD_OPC_FilterValue, 14, 70, 0, // Skip to: 10942
+/* 10872 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
+/* 10875 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10894
+/* 10879 */   MCD_OPC_CheckPredicate, 15, 30, 0, // Skip to: 10913
+/* 10883 */   MCD_OPC_CheckField, 19, 3, 0, 24, 0, // Skip to: 10913
+/* 10889 */   MCD_OPC_Decode, 221, 10, 157, 1, // Opcode: VMOVv8i8
+/* 10894 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10913
+/* 10898 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 10913
+/* 10902 */   MCD_OPC_CheckField, 19, 3, 0, 5, 0, // Skip to: 10913
+/* 10908 */   MCD_OPC_Decode, 213, 10, 157, 1, // Opcode: VMOVv1i64
+/* 10913 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10916 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10929
+/* 10920 */   MCD_OPC_CheckPredicate, 15, 72, 0, // Skip to: 10996
+/* 10924 */   MCD_OPC_Decode, 252, 5, 158, 1, // Opcode: VCVTxs2fd
+/* 10929 */   MCD_OPC_FilterValue, 1, 63, 0, // Skip to: 10996
+/* 10933 */   MCD_OPC_CheckPredicate, 15, 59, 0, // Skip to: 10996
+/* 10937 */   MCD_OPC_Decode, 254, 5, 158, 1, // Opcode: VCVTxu2fd
+/* 10942 */   MCD_OPC_FilterValue, 15, 50, 0, // Skip to: 10996
+/* 10946 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 10949 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10962
+/* 10953 */   MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 10975
+/* 10957 */   MCD_OPC_Decode, 243, 5, 158, 1, // Opcode: VCVTf2xsd
+/* 10962 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10975
+/* 10966 */   MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 10975
+/* 10970 */   MCD_OPC_Decode, 245, 5, 158, 1, // Opcode: VCVTf2xud
+/* 10975 */   MCD_OPC_CheckPredicate, 15, 17, 0, // Skip to: 10996
+/* 10979 */   MCD_OPC_CheckField, 19, 3, 0, 11, 0, // Skip to: 10996
+/* 10985 */   MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 10996
+/* 10991 */   MCD_OPC_Decode, 214, 10, 157, 1, // Opcode: VMOVv2f32
+/* 10996 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
+/* 10999 */   MCD_OPC_FilterValue, 0, 77, 0, // Skip to: 11080
+/* 11003 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
+/* 11006 */   MCD_OPC_FilterValue, 0, 210, 13, // Skip to: 14548
+/* 11010 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 11013 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 11032
+/* 11017 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 11071
+/* 11021 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 11071
+/* 11027 */   MCD_OPC_Decode, 218, 10, 157, 1, // Opcode: VMOVv4i16
+/* 11032 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 11071
+/* 11036 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
+/* 11039 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11052
+/* 11043 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 11071
+/* 11047 */   MCD_OPC_Decode, 162, 11, 157, 1, // Opcode: VORRiv2i32
+/* 11052 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 11071
+/* 11056 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 11071
+/* 11060 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 11071
+/* 11066 */   MCD_OPC_Decode, 163, 11, 157, 1, // Opcode: VORRiv4i16
+/* 11071 */   MCD_OPC_CheckPredicate, 15, 145, 13, // Skip to: 14548
+/* 11075 */   MCD_OPC_Decode, 215, 10, 157, 1, // Opcode: VMOVv2i32
+/* 11080 */   MCD_OPC_FilterValue, 1, 136, 13, // Skip to: 14548
+/* 11084 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
+/* 11087 */   MCD_OPC_FilterValue, 0, 129, 13, // Skip to: 14548
+/* 11091 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 11094 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 11113
+/* 11098 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 11152
+/* 11102 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 11152
+/* 11108 */   MCD_OPC_Decode, 140, 11, 157, 1, // Opcode: VMVNv4i16
+/* 11113 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 11152
+/* 11117 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
+/* 11120 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11133
+/* 11124 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 11152
+/* 11128 */   MCD_OPC_Decode, 215, 4, 157, 1, // Opcode: VBICiv2i32
+/* 11133 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 11152
+/* 11137 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 11152
+/* 11141 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 11152
+/* 11147 */   MCD_OPC_Decode, 216, 4, 157, 1, // Opcode: VBICiv4i16
+/* 11152 */   MCD_OPC_CheckPredicate, 15, 64, 13, // Skip to: 14548
+/* 11156 */   MCD_OPC_Decode, 139, 11, 157, 1, // Opcode: VMVNv2i32
+/* 11161 */   MCD_OPC_FilterValue, 1, 55, 13, // Skip to: 14548
+/* 11165 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 11168 */   MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 11203
+/* 11172 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11175 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11189
+/* 11180 */   MCD_OPC_CheckPredicate, 15, 36, 13, // Skip to: 14548
+/* 11184 */   MCD_OPC_Decode, 147, 14, 159, 1, // Opcode: VSHRsv1i64
+/* 11189 */   MCD_OPC_FilterValue, 243, 1, 26, 13, // Skip to: 14548
+/* 11194 */   MCD_OPC_CheckPredicate, 15, 22, 13, // Skip to: 14548
+/* 11198 */   MCD_OPC_Decode, 155, 14, 159, 1, // Opcode: VSHRuv1i64
+/* 11203 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 11238
+/* 11207 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11210 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11224
+/* 11215 */   MCD_OPC_CheckPredicate, 15, 1, 13, // Skip to: 14548
+/* 11219 */   MCD_OPC_Decode, 179, 14, 160, 1, // Opcode: VSRAsv1i64
+/* 11224 */   MCD_OPC_FilterValue, 243, 1, 247, 12, // Skip to: 14548
+/* 11229 */   MCD_OPC_CheckPredicate, 15, 243, 12, // Skip to: 14548
+/* 11233 */   MCD_OPC_Decode, 187, 14, 160, 1, // Opcode: VSRAuv1i64
+/* 11238 */   MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 11273
+/* 11242 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11245 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11259
+/* 11250 */   MCD_OPC_CheckPredicate, 15, 222, 12, // Skip to: 14548
+/* 11254 */   MCD_OPC_Decode, 187, 13, 159, 1, // Opcode: VRSHRsv1i64
+/* 11259 */   MCD_OPC_FilterValue, 243, 1, 212, 12, // Skip to: 14548
+/* 11264 */   MCD_OPC_CheckPredicate, 15, 208, 12, // Skip to: 14548
+/* 11268 */   MCD_OPC_Decode, 195, 13, 159, 1, // Opcode: VRSHRuv1i64
+/* 11273 */   MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 11308
+/* 11277 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11280 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11294
+/* 11285 */   MCD_OPC_CheckPredicate, 15, 187, 12, // Skip to: 14548
+/* 11289 */   MCD_OPC_Decode, 209, 13, 160, 1, // Opcode: VRSRAsv1i64
+/* 11294 */   MCD_OPC_FilterValue, 243, 1, 177, 12, // Skip to: 14548
+/* 11299 */   MCD_OPC_CheckPredicate, 15, 173, 12, // Skip to: 14548
+/* 11303 */   MCD_OPC_Decode, 217, 13, 160, 1, // Opcode: VRSRAuv1i64
+/* 11308 */   MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 11328
+/* 11312 */   MCD_OPC_CheckPredicate, 15, 160, 12, // Skip to: 14548
+/* 11316 */   MCD_OPC_CheckField, 24, 8, 243, 1, 153, 12, // Skip to: 14548
+/* 11323 */   MCD_OPC_Decode, 195, 14, 160, 1, // Opcode: VSRIv1i64
+/* 11328 */   MCD_OPC_FilterValue, 5, 31, 0, // Skip to: 11363
+/* 11332 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11335 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11349
+/* 11340 */   MCD_OPC_CheckPredicate, 15, 132, 12, // Skip to: 14548
+/* 11344 */   MCD_OPC_Decode, 248, 13, 161, 1, // Opcode: VSHLiv1i64
+/* 11349 */   MCD_OPC_FilterValue, 243, 1, 122, 12, // Skip to: 14548
+/* 11354 */   MCD_OPC_CheckPredicate, 15, 118, 12, // Skip to: 14548
+/* 11358 */   MCD_OPC_Decode, 167, 14, 162, 1, // Opcode: VSLIv1i64
+/* 11363 */   MCD_OPC_FilterValue, 6, 16, 0, // Skip to: 11383
+/* 11367 */   MCD_OPC_CheckPredicate, 15, 105, 12, // Skip to: 14548
+/* 11371 */   MCD_OPC_CheckField, 24, 8, 243, 1, 98, 12, // Skip to: 14548
+/* 11378 */   MCD_OPC_Decode, 180, 12, 161, 1, // Opcode: VQSHLsuv1i64
+/* 11383 */   MCD_OPC_FilterValue, 7, 89, 12, // Skip to: 14548
+/* 11387 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11390 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 11404
+/* 11395 */   MCD_OPC_CheckPredicate, 15, 77, 12, // Skip to: 14548
+/* 11399 */   MCD_OPC_Decode, 172, 12, 161, 1, // Opcode: VQSHLsiv1i64
+/* 11404 */   MCD_OPC_FilterValue, 243, 1, 67, 12, // Skip to: 14548
+/* 11409 */   MCD_OPC_CheckPredicate, 15, 63, 12, // Skip to: 14548
+/* 11413 */   MCD_OPC_Decode, 196, 12, 161, 1, // Opcode: VQSHLuiv1i64
+/* 11418 */   MCD_OPC_FilterValue, 1, 54, 12, // Skip to: 14548
+/* 11422 */   MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 11425 */   MCD_OPC_FilterValue, 0, 114, 5, // Skip to: 12823
+/* 11429 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 11432 */   MCD_OPC_FilterValue, 0, 135, 0, // Skip to: 11571
+/* 11436 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 11439 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11472
+/* 11443 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11446 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11459
+/* 11451 */   MCD_OPC_CheckPredicate, 15, 21, 12, // Skip to: 14548
+/* 11455 */   MCD_OPC_Decode, 215, 11, 95, // Opcode: VQADDsv16i8
+/* 11459 */   MCD_OPC_FilterValue, 243, 1, 12, 12, // Skip to: 14548
+/* 11464 */   MCD_OPC_CheckPredicate, 15, 8, 12, // Skip to: 14548
+/* 11468 */   MCD_OPC_Decode, 223, 11, 95, // Opcode: VQADDuv16i8
+/* 11472 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11505
+/* 11476 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11479 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11492
+/* 11484 */   MCD_OPC_CheckPredicate, 15, 244, 11, // Skip to: 14548
+/* 11488 */   MCD_OPC_Decode, 221, 11, 95, // Opcode: VQADDsv8i16
+/* 11492 */   MCD_OPC_FilterValue, 243, 1, 235, 11, // Skip to: 14548
+/* 11497 */   MCD_OPC_CheckPredicate, 15, 231, 11, // Skip to: 14548
+/* 11501 */   MCD_OPC_Decode, 229, 11, 95, // Opcode: VQADDuv8i16
+/* 11505 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 11538
+/* 11509 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11512 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11525
+/* 11517 */   MCD_OPC_CheckPredicate, 15, 211, 11, // Skip to: 14548
+/* 11521 */   MCD_OPC_Decode, 220, 11, 95, // Opcode: VQADDsv4i32
+/* 11525 */   MCD_OPC_FilterValue, 243, 1, 202, 11, // Skip to: 14548
+/* 11530 */   MCD_OPC_CheckPredicate, 15, 198, 11, // Skip to: 14548
+/* 11534 */   MCD_OPC_Decode, 228, 11, 95, // Opcode: VQADDuv4i32
+/* 11538 */   MCD_OPC_FilterValue, 3, 190, 11, // Skip to: 14548
+/* 11542 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11545 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11558
+/* 11550 */   MCD_OPC_CheckPredicate, 15, 178, 11, // Skip to: 14548
+/* 11554 */   MCD_OPC_Decode, 218, 11, 95, // Opcode: VQADDsv2i64
+/* 11558 */   MCD_OPC_FilterValue, 243, 1, 169, 11, // Skip to: 14548
+/* 11563 */   MCD_OPC_CheckPredicate, 15, 165, 11, // Skip to: 14548
+/* 11567 */   MCD_OPC_Decode, 226, 11, 95, // Opcode: VQADDuv2i64
+/* 11571 */   MCD_OPC_FilterValue, 1, 135, 0, // Skip to: 11710
+/* 11575 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 11578 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11611
+/* 11582 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11585 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11598
+/* 11590 */   MCD_OPC_CheckPredicate, 15, 138, 11, // Skip to: 14548
+/* 11594 */   MCD_OPC_Decode, 213, 4, 95, // Opcode: VANDq
+/* 11598 */   MCD_OPC_FilterValue, 243, 1, 129, 11, // Skip to: 14548
+/* 11603 */   MCD_OPC_CheckPredicate, 15, 125, 11, // Skip to: 14548
+/* 11607 */   MCD_OPC_Decode, 143, 6, 95, // Opcode: VEORq
+/* 11611 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11644
+/* 11615 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11618 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11631
+/* 11623 */   MCD_OPC_CheckPredicate, 15, 105, 11, // Skip to: 14548
+/* 11627 */   MCD_OPC_Decode, 219, 4, 95, // Opcode: VBICq
+/* 11631 */   MCD_OPC_FilterValue, 243, 1, 96, 11, // Skip to: 14548
+/* 11636 */   MCD_OPC_CheckPredicate, 15, 92, 11, // Skip to: 14548
+/* 11640 */   MCD_OPC_Decode, 225, 4, 103, // Opcode: VBSLq
+/* 11644 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 11677
+/* 11648 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11651 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11664
+/* 11656 */   MCD_OPC_CheckPredicate, 15, 72, 11, // Skip to: 14548
+/* 11660 */   MCD_OPC_Decode, 166, 11, 95, // Opcode: VORRq
+/* 11664 */   MCD_OPC_FilterValue, 243, 1, 63, 11, // Skip to: 14548
+/* 11669 */   MCD_OPC_CheckPredicate, 15, 59, 11, // Skip to: 14548
+/* 11673 */   MCD_OPC_Decode, 223, 4, 103, // Opcode: VBITq
+/* 11677 */   MCD_OPC_FilterValue, 3, 51, 11, // Skip to: 14548
+/* 11681 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11684 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11697
+/* 11689 */   MCD_OPC_CheckPredicate, 15, 39, 11, // Skip to: 14548
+/* 11693 */   MCD_OPC_Decode, 160, 11, 95, // Opcode: VORNq
+/* 11697 */   MCD_OPC_FilterValue, 243, 1, 30, 11, // Skip to: 14548
+/* 11702 */   MCD_OPC_CheckPredicate, 15, 26, 11, // Skip to: 14548
+/* 11706 */   MCD_OPC_Decode, 221, 4, 103, // Opcode: VBIFq
+/* 11710 */   MCD_OPC_FilterValue, 2, 135, 0, // Skip to: 11849
+/* 11714 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 11717 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11750
+/* 11721 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11724 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11737
+/* 11729 */   MCD_OPC_CheckPredicate, 15, 255, 10, // Skip to: 14548
+/* 11733 */   MCD_OPC_Decode, 220, 12, 95, // Opcode: VQSUBsv16i8
+/* 11737 */   MCD_OPC_FilterValue, 243, 1, 246, 10, // Skip to: 14548
+/* 11742 */   MCD_OPC_CheckPredicate, 15, 242, 10, // Skip to: 14548
+/* 11746 */   MCD_OPC_Decode, 228, 12, 95, // Opcode: VQSUBuv16i8
+/* 11750 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11783
+/* 11754 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11757 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11770
+/* 11762 */   MCD_OPC_CheckPredicate, 15, 222, 10, // Skip to: 14548
+/* 11766 */   MCD_OPC_Decode, 226, 12, 95, // Opcode: VQSUBsv8i16
+/* 11770 */   MCD_OPC_FilterValue, 243, 1, 213, 10, // Skip to: 14548
+/* 11775 */   MCD_OPC_CheckPredicate, 15, 209, 10, // Skip to: 14548
+/* 11779 */   MCD_OPC_Decode, 234, 12, 95, // Opcode: VQSUBuv8i16
+/* 11783 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 11816
+/* 11787 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11790 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11803
+/* 11795 */   MCD_OPC_CheckPredicate, 15, 189, 10, // Skip to: 14548
+/* 11799 */   MCD_OPC_Decode, 225, 12, 95, // Opcode: VQSUBsv4i32
+/* 11803 */   MCD_OPC_FilterValue, 243, 1, 180, 10, // Skip to: 14548
+/* 11808 */   MCD_OPC_CheckPredicate, 15, 176, 10, // Skip to: 14548
+/* 11812 */   MCD_OPC_Decode, 233, 12, 95, // Opcode: VQSUBuv4i32
+/* 11816 */   MCD_OPC_FilterValue, 3, 168, 10, // Skip to: 14548
+/* 11820 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11823 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11836
+/* 11828 */   MCD_OPC_CheckPredicate, 15, 156, 10, // Skip to: 14548
+/* 11832 */   MCD_OPC_Decode, 223, 12, 95, // Opcode: VQSUBsv2i64
+/* 11836 */   MCD_OPC_FilterValue, 243, 1, 147, 10, // Skip to: 14548
+/* 11841 */   MCD_OPC_CheckPredicate, 15, 143, 10, // Skip to: 14548
+/* 11845 */   MCD_OPC_Decode, 231, 12, 95, // Opcode: VQSUBuv2i64
+/* 11849 */   MCD_OPC_FilterValue, 3, 102, 0, // Skip to: 11955
+/* 11853 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 11856 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11889
+/* 11860 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11863 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11876
+/* 11868 */   MCD_OPC_CheckPredicate, 15, 116, 10, // Skip to: 14548
+/* 11872 */   MCD_OPC_Decode, 244, 4, 95, // Opcode: VCGEsv16i8
+/* 11876 */   MCD_OPC_FilterValue, 243, 1, 107, 10, // Skip to: 14548
+/* 11881 */   MCD_OPC_CheckPredicate, 15, 103, 10, // Skip to: 14548
+/* 11885 */   MCD_OPC_Decode, 250, 4, 95, // Opcode: VCGEuv16i8
+/* 11889 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 11922
+/* 11893 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11896 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11909
+/* 11901 */   MCD_OPC_CheckPredicate, 15, 83, 10, // Skip to: 14548
+/* 11905 */   MCD_OPC_Decode, 248, 4, 95, // Opcode: VCGEsv8i16
+/* 11909 */   MCD_OPC_FilterValue, 243, 1, 74, 10, // Skip to: 14548
+/* 11914 */   MCD_OPC_CheckPredicate, 15, 70, 10, // Skip to: 14548
+/* 11918 */   MCD_OPC_Decode, 254, 4, 95, // Opcode: VCGEuv8i16
+/* 11922 */   MCD_OPC_FilterValue, 2, 62, 10, // Skip to: 14548
+/* 11926 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11929 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11942
+/* 11934 */   MCD_OPC_CheckPredicate, 15, 50, 10, // Skip to: 14548
+/* 11938 */   MCD_OPC_Decode, 247, 4, 95, // Opcode: VCGEsv4i32
+/* 11942 */   MCD_OPC_FilterValue, 243, 1, 41, 10, // Skip to: 14548
+/* 11947 */   MCD_OPC_CheckPredicate, 15, 37, 10, // Skip to: 14548
+/* 11951 */   MCD_OPC_Decode, 253, 4, 95, // Opcode: VCGEuv4i32
+/* 11955 */   MCD_OPC_FilterValue, 4, 135, 0, // Skip to: 12094
+/* 11959 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 11962 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 11995
+/* 11966 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 11969 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 11982
+/* 11974 */   MCD_OPC_CheckPredicate, 15, 10, 10, // Skip to: 14548
+/* 11978 */   MCD_OPC_Decode, 187, 12, 99, // Opcode: VQSHLsv16i8
+/* 11982 */   MCD_OPC_FilterValue, 243, 1, 1, 10, // Skip to: 14548
+/* 11987 */   MCD_OPC_CheckPredicate, 15, 253, 9, // Skip to: 14548
+/* 11991 */   MCD_OPC_Decode, 203, 12, 99, // Opcode: VQSHLuv16i8
+/* 11995 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12028
+/* 11999 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12002 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12015
+/* 12007 */   MCD_OPC_CheckPredicate, 15, 233, 9, // Skip to: 14548
+/* 12011 */   MCD_OPC_Decode, 193, 12, 99, // Opcode: VQSHLsv8i16
+/* 12015 */   MCD_OPC_FilterValue, 243, 1, 224, 9, // Skip to: 14548
+/* 12020 */   MCD_OPC_CheckPredicate, 15, 220, 9, // Skip to: 14548
+/* 12024 */   MCD_OPC_Decode, 209, 12, 99, // Opcode: VQSHLuv8i16
+/* 12028 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 12061
+/* 12032 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12035 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12048
+/* 12040 */   MCD_OPC_CheckPredicate, 15, 200, 9, // Skip to: 14548
+/* 12044 */   MCD_OPC_Decode, 192, 12, 99, // Opcode: VQSHLsv4i32
+/* 12048 */   MCD_OPC_FilterValue, 243, 1, 191, 9, // Skip to: 14548
+/* 12053 */   MCD_OPC_CheckPredicate, 15, 187, 9, // Skip to: 14548
+/* 12057 */   MCD_OPC_Decode, 208, 12, 99, // Opcode: VQSHLuv4i32
+/* 12061 */   MCD_OPC_FilterValue, 3, 179, 9, // Skip to: 14548
+/* 12065 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12068 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12081
+/* 12073 */   MCD_OPC_CheckPredicate, 15, 167, 9, // Skip to: 14548
+/* 12077 */   MCD_OPC_Decode, 190, 12, 99, // Opcode: VQSHLsv2i64
+/* 12081 */   MCD_OPC_FilterValue, 243, 1, 158, 9, // Skip to: 14548
+/* 12086 */   MCD_OPC_CheckPredicate, 15, 154, 9, // Skip to: 14548
+/* 12090 */   MCD_OPC_Decode, 206, 12, 99, // Opcode: VQSHLuv2i64
+/* 12094 */   MCD_OPC_FilterValue, 5, 135, 0, // Skip to: 12233
+/* 12098 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12101 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12134
+/* 12105 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12108 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12121
+/* 12113 */   MCD_OPC_CheckPredicate, 15, 127, 9, // Skip to: 14548
+/* 12117 */   MCD_OPC_Decode, 146, 12, 99, // Opcode: VQRSHLsv16i8
+/* 12121 */   MCD_OPC_FilterValue, 243, 1, 118, 9, // Skip to: 14548
+/* 12126 */   MCD_OPC_CheckPredicate, 15, 114, 9, // Skip to: 14548
+/* 12130 */   MCD_OPC_Decode, 154, 12, 99, // Opcode: VQRSHLuv16i8
+/* 12134 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12167
+/* 12138 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12141 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12154
+/* 12146 */   MCD_OPC_CheckPredicate, 15, 94, 9, // Skip to: 14548
+/* 12150 */   MCD_OPC_Decode, 152, 12, 99, // Opcode: VQRSHLsv8i16
+/* 12154 */   MCD_OPC_FilterValue, 243, 1, 85, 9, // Skip to: 14548
+/* 12159 */   MCD_OPC_CheckPredicate, 15, 81, 9, // Skip to: 14548
+/* 12163 */   MCD_OPC_Decode, 160, 12, 99, // Opcode: VQRSHLuv8i16
+/* 12167 */   MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 12200
+/* 12171 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12174 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12187
+/* 12179 */   MCD_OPC_CheckPredicate, 15, 61, 9, // Skip to: 14548
+/* 12183 */   MCD_OPC_Decode, 151, 12, 99, // Opcode: VQRSHLsv4i32
+/* 12187 */   MCD_OPC_FilterValue, 243, 1, 52, 9, // Skip to: 14548
+/* 12192 */   MCD_OPC_CheckPredicate, 15, 48, 9, // Skip to: 14548
+/* 12196 */   MCD_OPC_Decode, 159, 12, 99, // Opcode: VQRSHLuv4i32
+/* 12200 */   MCD_OPC_FilterValue, 3, 40, 9, // Skip to: 14548
+/* 12204 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12207 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12220
+/* 12212 */   MCD_OPC_CheckPredicate, 15, 28, 9, // Skip to: 14548
+/* 12216 */   MCD_OPC_Decode, 149, 12, 99, // Opcode: VQRSHLsv2i64
+/* 12220 */   MCD_OPC_FilterValue, 243, 1, 19, 9, // Skip to: 14548
+/* 12225 */   MCD_OPC_CheckPredicate, 15, 15, 9, // Skip to: 14548
+/* 12229 */   MCD_OPC_Decode, 157, 12, 99, // Opcode: VQRSHLuv2i64
+/* 12233 */   MCD_OPC_FilterValue, 6, 102, 0, // Skip to: 12339
+/* 12237 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12240 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12273
+/* 12244 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12247 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12260
+/* 12252 */   MCD_OPC_CheckPredicate, 15, 244, 8, // Skip to: 14548
+/* 12256 */   MCD_OPC_Decode, 255, 9, 95, // Opcode: VMINsv16i8
+/* 12260 */   MCD_OPC_FilterValue, 243, 1, 235, 8, // Skip to: 14548
+/* 12265 */   MCD_OPC_CheckPredicate, 15, 231, 8, // Skip to: 14548
+/* 12269 */   MCD_OPC_Decode, 133, 10, 95, // Opcode: VMINuv16i8
+/* 12273 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12306
+/* 12277 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12280 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12293
+/* 12285 */   MCD_OPC_CheckPredicate, 15, 211, 8, // Skip to: 14548
+/* 12289 */   MCD_OPC_Decode, 131, 10, 95, // Opcode: VMINsv8i16
+/* 12293 */   MCD_OPC_FilterValue, 243, 1, 202, 8, // Skip to: 14548
+/* 12298 */   MCD_OPC_CheckPredicate, 15, 198, 8, // Skip to: 14548
+/* 12302 */   MCD_OPC_Decode, 137, 10, 95, // Opcode: VMINuv8i16
+/* 12306 */   MCD_OPC_FilterValue, 2, 190, 8, // Skip to: 14548
+/* 12310 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12313 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12326
+/* 12318 */   MCD_OPC_CheckPredicate, 15, 178, 8, // Skip to: 14548
+/* 12322 */   MCD_OPC_Decode, 130, 10, 95, // Opcode: VMINsv4i32
+/* 12326 */   MCD_OPC_FilterValue, 243, 1, 169, 8, // Skip to: 14548
+/* 12331 */   MCD_OPC_CheckPredicate, 15, 165, 8, // Skip to: 14548
+/* 12335 */   MCD_OPC_Decode, 136, 10, 95, // Opcode: VMINuv4i32
+/* 12339 */   MCD_OPC_FilterValue, 7, 102, 0, // Skip to: 12445
+/* 12343 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12346 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12379
+/* 12350 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12353 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12366
+/* 12358 */   MCD_OPC_CheckPredicate, 15, 138, 8, // Skip to: 14548
+/* 12362 */   MCD_OPC_Decode, 139, 4, 103, // Opcode: VABAsv16i8
+/* 12366 */   MCD_OPC_FilterValue, 243, 1, 129, 8, // Skip to: 14548
+/* 12371 */   MCD_OPC_CheckPredicate, 15, 125, 8, // Skip to: 14548
+/* 12375 */   MCD_OPC_Decode, 145, 4, 103, // Opcode: VABAuv16i8
+/* 12379 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12412
+/* 12383 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12386 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12399
+/* 12391 */   MCD_OPC_CheckPredicate, 15, 105, 8, // Skip to: 14548
+/* 12395 */   MCD_OPC_Decode, 143, 4, 103, // Opcode: VABAsv8i16
+/* 12399 */   MCD_OPC_FilterValue, 243, 1, 96, 8, // Skip to: 14548
+/* 12404 */   MCD_OPC_CheckPredicate, 15, 92, 8, // Skip to: 14548
+/* 12408 */   MCD_OPC_Decode, 149, 4, 103, // Opcode: VABAuv8i16
+/* 12412 */   MCD_OPC_FilterValue, 2, 84, 8, // Skip to: 14548
+/* 12416 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12419 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12432
+/* 12424 */   MCD_OPC_CheckPredicate, 15, 72, 8, // Skip to: 14548
+/* 12428 */   MCD_OPC_Decode, 142, 4, 103, // Opcode: VABAsv4i32
+/* 12432 */   MCD_OPC_FilterValue, 243, 1, 63, 8, // Skip to: 14548
+/* 12437 */   MCD_OPC_CheckPredicate, 15, 59, 8, // Skip to: 14548
+/* 12441 */   MCD_OPC_Decode, 148, 4, 103, // Opcode: VABAuv4i32
+/* 12445 */   MCD_OPC_FilterValue, 8, 102, 0, // Skip to: 12551
+/* 12449 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12452 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12485
+/* 12456 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12459 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12472
+/* 12464 */   MCD_OPC_CheckPredicate, 15, 32, 8, // Skip to: 14548
+/* 12468 */   MCD_OPC_Decode, 196, 17, 95, // Opcode: VTSTv16i8
+/* 12472 */   MCD_OPC_FilterValue, 243, 1, 23, 8, // Skip to: 14548
+/* 12477 */   MCD_OPC_CheckPredicate, 15, 19, 8, // Skip to: 14548
+/* 12481 */   MCD_OPC_Decode, 228, 4, 95, // Opcode: VCEQv16i8
+/* 12485 */   MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 12518
+/* 12489 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12492 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12505
+/* 12497 */   MCD_OPC_CheckPredicate, 15, 255, 7, // Skip to: 14548
+/* 12501 */   MCD_OPC_Decode, 200, 17, 95, // Opcode: VTSTv8i16
+/* 12505 */   MCD_OPC_FilterValue, 243, 1, 246, 7, // Skip to: 14548
+/* 12510 */   MCD_OPC_CheckPredicate, 15, 242, 7, // Skip to: 14548
+/* 12514 */   MCD_OPC_Decode, 232, 4, 95, // Opcode: VCEQv8i16
+/* 12518 */   MCD_OPC_FilterValue, 2, 234, 7, // Skip to: 14548
+/* 12522 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12525 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12538
+/* 12530 */   MCD_OPC_CheckPredicate, 15, 222, 7, // Skip to: 14548
+/* 12534 */   MCD_OPC_Decode, 199, 17, 95, // Opcode: VTSTv4i32
+/* 12538 */   MCD_OPC_FilterValue, 243, 1, 213, 7, // Skip to: 14548
+/* 12543 */   MCD_OPC_CheckPredicate, 15, 209, 7, // Skip to: 14548
+/* 12547 */   MCD_OPC_Decode, 231, 4, 95, // Opcode: VCEQv4i32
+/* 12551 */   MCD_OPC_FilterValue, 9, 74, 0, // Skip to: 12629
+/* 12555 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12558 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12591
+/* 12562 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12565 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12578
+/* 12570 */   MCD_OPC_CheckPredicate, 15, 182, 7, // Skip to: 14548
+/* 12574 */   MCD_OPC_Decode, 131, 11, 95, // Opcode: VMULv16i8
+/* 12578 */   MCD_OPC_FilterValue, 243, 1, 173, 7, // Skip to: 14548
+/* 12583 */   MCD_OPC_CheckPredicate, 15, 169, 7, // Skip to: 14548
+/* 12587 */   MCD_OPC_Decode, 252, 10, 95, // Opcode: VMULpq
+/* 12591 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12610
+/* 12595 */   MCD_OPC_CheckPredicate, 15, 157, 7, // Skip to: 14548
+/* 12599 */   MCD_OPC_CheckField, 24, 8, 242, 1, 150, 7, // Skip to: 14548
+/* 12606 */   MCD_OPC_Decode, 135, 11, 95, // Opcode: VMULv8i16
+/* 12610 */   MCD_OPC_FilterValue, 2, 142, 7, // Skip to: 14548
+/* 12614 */   MCD_OPC_CheckPredicate, 15, 138, 7, // Skip to: 14548
+/* 12618 */   MCD_OPC_CheckField, 24, 8, 242, 1, 131, 7, // Skip to: 14548
+/* 12625 */   MCD_OPC_Decode, 134, 11, 95, // Opcode: VMULv4i32
+/* 12629 */   MCD_OPC_FilterValue, 12, 41, 0, // Skip to: 12674
+/* 12633 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12636 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12655
+/* 12640 */   MCD_OPC_CheckPredicate, 18, 112, 7, // Skip to: 14548
+/* 12644 */   MCD_OPC_CheckField, 24, 8, 242, 1, 105, 7, // Skip to: 14548
+/* 12651 */   MCD_OPC_Decode, 154, 6, 103, // Opcode: VFMAfq
+/* 12655 */   MCD_OPC_FilterValue, 2, 97, 7, // Skip to: 14548
+/* 12659 */   MCD_OPC_CheckPredicate, 18, 93, 7, // Skip to: 14548
+/* 12663 */   MCD_OPC_CheckField, 24, 8, 242, 1, 86, 7, // Skip to: 14548
+/* 12670 */   MCD_OPC_Decode, 158, 6, 103, // Opcode: VFMSfq
+/* 12674 */   MCD_OPC_FilterValue, 13, 55, 0, // Skip to: 12733
+/* 12678 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12681 */   MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 12714
+/* 12685 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 12688 */   MCD_OPC_FilterValue, 242, 1, 8, 0, // Skip to: 12701
+/* 12693 */   MCD_OPC_CheckPredicate, 15, 59, 7, // Skip to: 14548
+/* 12697 */   MCD_OPC_Decode, 152, 10, 103, // Opcode: VMLAfq
+/* 12701 */   MCD_OPC_FilterValue, 243, 1, 50, 7, // Skip to: 14548
+/* 12706 */   MCD_OPC_CheckPredicate, 15, 46, 7, // Skip to: 14548
+/* 12710 */   MCD_OPC_Decode, 250, 10, 95, // Opcode: VMULfq
+/* 12714 */   MCD_OPC_FilterValue, 2, 38, 7, // Skip to: 14548
+/* 12718 */   MCD_OPC_CheckPredicate, 15, 34, 7, // Skip to: 14548
+/* 12722 */   MCD_OPC_CheckField, 24, 8, 242, 1, 27, 7, // Skip to: 14548
+/* 12729 */   MCD_OPC_Decode, 178, 10, 103, // Opcode: VMLSfq
+/* 12733 */   MCD_OPC_FilterValue, 14, 41, 0, // Skip to: 12778
+/* 12737 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12740 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12759
+/* 12744 */   MCD_OPC_CheckPredicate, 15, 8, 7, // Skip to: 14548
+/* 12748 */   MCD_OPC_CheckField, 24, 8, 243, 1, 1, 7, // Skip to: 14548
+/* 12755 */   MCD_OPC_Decode, 182, 4, 95, // Opcode: VACGEq
+/* 12759 */   MCD_OPC_FilterValue, 2, 249, 6, // Skip to: 14548
+/* 12763 */   MCD_OPC_CheckPredicate, 15, 245, 6, // Skip to: 14548
+/* 12767 */   MCD_OPC_CheckField, 24, 8, 243, 1, 238, 6, // Skip to: 14548
+/* 12774 */   MCD_OPC_Decode, 184, 4, 95, // Opcode: VACGTq
+/* 12778 */   MCD_OPC_FilterValue, 15, 230, 6, // Skip to: 14548
+/* 12782 */   MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
+/* 12785 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12804
+/* 12789 */   MCD_OPC_CheckPredicate, 15, 219, 6, // Skip to: 14548
+/* 12793 */   MCD_OPC_CheckField, 24, 8, 242, 1, 212, 6, // Skip to: 14548
+/* 12800 */   MCD_OPC_Decode, 244, 12, 95, // Opcode: VRECPSfq
+/* 12804 */   MCD_OPC_FilterValue, 2, 204, 6, // Skip to: 14548
+/* 12808 */   MCD_OPC_CheckPredicate, 15, 200, 6, // Skip to: 14548
+/* 12812 */   MCD_OPC_CheckField, 24, 8, 242, 1, 193, 6, // Skip to: 14548
+/* 12819 */   MCD_OPC_Decode, 207, 13, 95, // Opcode: VRSQRTSfq
+/* 12823 */   MCD_OPC_FilterValue, 1, 185, 6, // Skip to: 14548
+/* 12827 */   MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 12830 */   MCD_OPC_FilterValue, 0, 177, 5, // Skip to: 14291
+/* 12834 */   MCD_OPC_ExtractField, 25, 7,  // Inst{31-25} ...
+/* 12837 */   MCD_OPC_FilterValue, 121, 171, 6, // Skip to: 14548
+/* 12841 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 12844 */   MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 12969
+/* 12848 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 12851 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 12936
+/* 12855 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 12858 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 12903
+/* 12862 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 12865 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12884
+/* 12869 */   MCD_OPC_CheckPredicate, 15, 229, 4, // Skip to: 14126
+/* 12873 */   MCD_OPC_CheckField, 19, 1, 1, 223, 4, // Skip to: 14126
+/* 12879 */   MCD_OPC_Decode, 146, 14, 163, 1, // Opcode: VSHRsv16i8
+/* 12884 */   MCD_OPC_FilterValue, 1, 214, 4, // Skip to: 14126
+/* 12888 */   MCD_OPC_CheckPredicate, 15, 210, 4, // Skip to: 14126
+/* 12892 */   MCD_OPC_CheckField, 19, 1, 1, 204, 4, // Skip to: 14126
+/* 12898 */   MCD_OPC_Decode, 154, 14, 163, 1, // Opcode: VSHRuv16i8
+/* 12903 */   MCD_OPC_FilterValue, 1, 195, 4, // Skip to: 14126
+/* 12907 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 12910 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 12923
+/* 12914 */   MCD_OPC_CheckPredicate, 15, 184, 4, // Skip to: 14126
+/* 12918 */   MCD_OPC_Decode, 152, 14, 164, 1, // Opcode: VSHRsv8i16
+/* 12923 */   MCD_OPC_FilterValue, 1, 175, 4, // Skip to: 14126
+/* 12927 */   MCD_OPC_CheckPredicate, 15, 171, 4, // Skip to: 14126
+/* 12931 */   MCD_OPC_Decode, 160, 14, 164, 1, // Opcode: VSHRuv8i16
+/* 12936 */   MCD_OPC_FilterValue, 1, 162, 4, // Skip to: 14126
+/* 12940 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 12943 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 12956
+/* 12947 */   MCD_OPC_CheckPredicate, 15, 151, 4, // Skip to: 14126
+/* 12951 */   MCD_OPC_Decode, 151, 14, 165, 1, // Opcode: VSHRsv4i32
+/* 12956 */   MCD_OPC_FilterValue, 1, 142, 4, // Skip to: 14126
+/* 12960 */   MCD_OPC_CheckPredicate, 15, 138, 4, // Skip to: 14126
+/* 12964 */   MCD_OPC_Decode, 159, 14, 165, 1, // Opcode: VSHRuv4i32
+/* 12969 */   MCD_OPC_FilterValue, 1, 121, 0, // Skip to: 13094
+/* 12973 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 12976 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13061
+/* 12980 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 12983 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13028
+/* 12987 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 12990 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13009
+/* 12994 */   MCD_OPC_CheckPredicate, 15, 104, 4, // Skip to: 14126
+/* 12998 */   MCD_OPC_CheckField, 19, 1, 1, 98, 4, // Skip to: 14126
+/* 13004 */   MCD_OPC_Decode, 178, 14, 166, 1, // Opcode: VSRAsv16i8
+/* 13009 */   MCD_OPC_FilterValue, 1, 89, 4, // Skip to: 14126
+/* 13013 */   MCD_OPC_CheckPredicate, 15, 85, 4, // Skip to: 14126
+/* 13017 */   MCD_OPC_CheckField, 19, 1, 1, 79, 4, // Skip to: 14126
+/* 13023 */   MCD_OPC_Decode, 186, 14, 166, 1, // Opcode: VSRAuv16i8
+/* 13028 */   MCD_OPC_FilterValue, 1, 70, 4, // Skip to: 14126
+/* 13032 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13035 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13048
+/* 13039 */   MCD_OPC_CheckPredicate, 15, 59, 4, // Skip to: 14126
+/* 13043 */   MCD_OPC_Decode, 184, 14, 167, 1, // Opcode: VSRAsv8i16
+/* 13048 */   MCD_OPC_FilterValue, 1, 50, 4, // Skip to: 14126
+/* 13052 */   MCD_OPC_CheckPredicate, 15, 46, 4, // Skip to: 14126
+/* 13056 */   MCD_OPC_Decode, 192, 14, 167, 1, // Opcode: VSRAuv8i16
+/* 13061 */   MCD_OPC_FilterValue, 1, 37, 4, // Skip to: 14126
+/* 13065 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13068 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13081
+/* 13072 */   MCD_OPC_CheckPredicate, 15, 26, 4, // Skip to: 14126
+/* 13076 */   MCD_OPC_Decode, 183, 14, 168, 1, // Opcode: VSRAsv4i32
+/* 13081 */   MCD_OPC_FilterValue, 1, 17, 4, // Skip to: 14126
+/* 13085 */   MCD_OPC_CheckPredicate, 15, 13, 4, // Skip to: 14126
+/* 13089 */   MCD_OPC_Decode, 191, 14, 168, 1, // Opcode: VSRAuv4i32
+/* 13094 */   MCD_OPC_FilterValue, 2, 121, 0, // Skip to: 13219
+/* 13098 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13101 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13186
+/* 13105 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13108 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13153
+/* 13112 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13115 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13134
+/* 13119 */   MCD_OPC_CheckPredicate, 15, 235, 3, // Skip to: 14126
+/* 13123 */   MCD_OPC_CheckField, 19, 1, 1, 229, 3, // Skip to: 14126
+/* 13129 */   MCD_OPC_Decode, 186, 13, 163, 1, // Opcode: VRSHRsv16i8
+/* 13134 */   MCD_OPC_FilterValue, 1, 220, 3, // Skip to: 14126
+/* 13138 */   MCD_OPC_CheckPredicate, 15, 216, 3, // Skip to: 14126
+/* 13142 */   MCD_OPC_CheckField, 19, 1, 1, 210, 3, // Skip to: 14126
+/* 13148 */   MCD_OPC_Decode, 194, 13, 163, 1, // Opcode: VRSHRuv16i8
+/* 13153 */   MCD_OPC_FilterValue, 1, 201, 3, // Skip to: 14126
+/* 13157 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13160 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13173
+/* 13164 */   MCD_OPC_CheckPredicate, 15, 190, 3, // Skip to: 14126
+/* 13168 */   MCD_OPC_Decode, 192, 13, 164, 1, // Opcode: VRSHRsv8i16
+/* 13173 */   MCD_OPC_FilterValue, 1, 181, 3, // Skip to: 14126
+/* 13177 */   MCD_OPC_CheckPredicate, 15, 177, 3, // Skip to: 14126
+/* 13181 */   MCD_OPC_Decode, 200, 13, 164, 1, // Opcode: VRSHRuv8i16
+/* 13186 */   MCD_OPC_FilterValue, 1, 168, 3, // Skip to: 14126
+/* 13190 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13193 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13206
+/* 13197 */   MCD_OPC_CheckPredicate, 15, 157, 3, // Skip to: 14126
+/* 13201 */   MCD_OPC_Decode, 191, 13, 165, 1, // Opcode: VRSHRsv4i32
+/* 13206 */   MCD_OPC_FilterValue, 1, 148, 3, // Skip to: 14126
+/* 13210 */   MCD_OPC_CheckPredicate, 15, 144, 3, // Skip to: 14126
+/* 13214 */   MCD_OPC_Decode, 199, 13, 165, 1, // Opcode: VRSHRuv4i32
+/* 13219 */   MCD_OPC_FilterValue, 3, 121, 0, // Skip to: 13344
+/* 13223 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13226 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13311
+/* 13230 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13233 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13278
+/* 13237 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13240 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13259
+/* 13244 */   MCD_OPC_CheckPredicate, 15, 110, 3, // Skip to: 14126
+/* 13248 */   MCD_OPC_CheckField, 19, 1, 1, 104, 3, // Skip to: 14126
+/* 13254 */   MCD_OPC_Decode, 208, 13, 166, 1, // Opcode: VRSRAsv16i8
+/* 13259 */   MCD_OPC_FilterValue, 1, 95, 3, // Skip to: 14126
+/* 13263 */   MCD_OPC_CheckPredicate, 15, 91, 3, // Skip to: 14126
+/* 13267 */   MCD_OPC_CheckField, 19, 1, 1, 85, 3, // Skip to: 14126
+/* 13273 */   MCD_OPC_Decode, 216, 13, 166, 1, // Opcode: VRSRAuv16i8
+/* 13278 */   MCD_OPC_FilterValue, 1, 76, 3, // Skip to: 14126
+/* 13282 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13285 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13298
+/* 13289 */   MCD_OPC_CheckPredicate, 15, 65, 3, // Skip to: 14126
+/* 13293 */   MCD_OPC_Decode, 214, 13, 167, 1, // Opcode: VRSRAsv8i16
+/* 13298 */   MCD_OPC_FilterValue, 1, 56, 3, // Skip to: 14126
+/* 13302 */   MCD_OPC_CheckPredicate, 15, 52, 3, // Skip to: 14126
+/* 13306 */   MCD_OPC_Decode, 222, 13, 167, 1, // Opcode: VRSRAuv8i16
+/* 13311 */   MCD_OPC_FilterValue, 1, 43, 3, // Skip to: 14126
+/* 13315 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13318 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13331
+/* 13322 */   MCD_OPC_CheckPredicate, 15, 32, 3, // Skip to: 14126
+/* 13326 */   MCD_OPC_Decode, 213, 13, 168, 1, // Opcode: VRSRAsv4i32
+/* 13331 */   MCD_OPC_FilterValue, 1, 23, 3, // Skip to: 14126
+/* 13335 */   MCD_OPC_CheckPredicate, 15, 19, 3, // Skip to: 14126
+/* 13339 */   MCD_OPC_Decode, 221, 13, 168, 1, // Opcode: VRSRAuv4i32
+/* 13344 */   MCD_OPC_FilterValue, 4, 73, 0, // Skip to: 13421
+/* 13348 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13351 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 13402
+/* 13355 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13358 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 13383
+/* 13362 */   MCD_OPC_CheckPredicate, 15, 248, 2, // Skip to: 14126
+/* 13366 */   MCD_OPC_CheckField, 24, 1, 1, 242, 2, // Skip to: 14126
+/* 13372 */   MCD_OPC_CheckField, 19, 1, 1, 236, 2, // Skip to: 14126
+/* 13378 */   MCD_OPC_Decode, 194, 14, 166, 1, // Opcode: VSRIv16i8
+/* 13383 */   MCD_OPC_FilterValue, 1, 227, 2, // Skip to: 14126
+/* 13387 */   MCD_OPC_CheckPredicate, 15, 223, 2, // Skip to: 14126
+/* 13391 */   MCD_OPC_CheckField, 24, 1, 1, 217, 2, // Skip to: 14126
+/* 13397 */   MCD_OPC_Decode, 200, 14, 167, 1, // Opcode: VSRIv8i16
+/* 13402 */   MCD_OPC_FilterValue, 1, 208, 2, // Skip to: 14126
+/* 13406 */   MCD_OPC_CheckPredicate, 15, 204, 2, // Skip to: 14126
+/* 13410 */   MCD_OPC_CheckField, 24, 1, 1, 198, 2, // Skip to: 14126
+/* 13416 */   MCD_OPC_Decode, 199, 14, 168, 1, // Opcode: VSRIv4i32
+/* 13421 */   MCD_OPC_FilterValue, 5, 121, 0, // Skip to: 13546
+/* 13425 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13428 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13513
+/* 13432 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13435 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13480
+/* 13439 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13442 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13461
+/* 13446 */   MCD_OPC_CheckPredicate, 15, 164, 2, // Skip to: 14126
+/* 13450 */   MCD_OPC_CheckField, 19, 1, 1, 158, 2, // Skip to: 14126
+/* 13456 */   MCD_OPC_Decode, 247, 13, 169, 1, // Opcode: VSHLiv16i8
+/* 13461 */   MCD_OPC_FilterValue, 1, 149, 2, // Skip to: 14126
+/* 13465 */   MCD_OPC_CheckPredicate, 15, 145, 2, // Skip to: 14126
+/* 13469 */   MCD_OPC_CheckField, 19, 1, 1, 139, 2, // Skip to: 14126
+/* 13475 */   MCD_OPC_Decode, 166, 14, 170, 1, // Opcode: VSLIv16i8
+/* 13480 */   MCD_OPC_FilterValue, 1, 130, 2, // Skip to: 14126
+/* 13484 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13487 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13500
+/* 13491 */   MCD_OPC_CheckPredicate, 15, 119, 2, // Skip to: 14126
+/* 13495 */   MCD_OPC_Decode, 253, 13, 171, 1, // Opcode: VSHLiv8i16
+/* 13500 */   MCD_OPC_FilterValue, 1, 110, 2, // Skip to: 14126
+/* 13504 */   MCD_OPC_CheckPredicate, 15, 106, 2, // Skip to: 14126
+/* 13508 */   MCD_OPC_Decode, 172, 14, 172, 1, // Opcode: VSLIv8i16
+/* 13513 */   MCD_OPC_FilterValue, 1, 97, 2, // Skip to: 14126
+/* 13517 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13520 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13533
+/* 13524 */   MCD_OPC_CheckPredicate, 15, 86, 2, // Skip to: 14126
+/* 13528 */   MCD_OPC_Decode, 252, 13, 173, 1, // Opcode: VSHLiv4i32
+/* 13533 */   MCD_OPC_FilterValue, 1, 77, 2, // Skip to: 14126
+/* 13537 */   MCD_OPC_CheckPredicate, 15, 73, 2, // Skip to: 14126
+/* 13541 */   MCD_OPC_Decode, 171, 14, 174, 1, // Opcode: VSLIv4i32
+/* 13546 */   MCD_OPC_FilterValue, 6, 73, 0, // Skip to: 13623
+/* 13550 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13553 */   MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 13604
+/* 13557 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13560 */   MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 13585
+/* 13564 */   MCD_OPC_CheckPredicate, 15, 46, 2, // Skip to: 14126
+/* 13568 */   MCD_OPC_CheckField, 24, 1, 1, 40, 2, // Skip to: 14126
+/* 13574 */   MCD_OPC_CheckField, 19, 1, 1, 34, 2, // Skip to: 14126
+/* 13580 */   MCD_OPC_Decode, 179, 12, 169, 1, // Opcode: VQSHLsuv16i8
+/* 13585 */   MCD_OPC_FilterValue, 1, 25, 2, // Skip to: 14126
+/* 13589 */   MCD_OPC_CheckPredicate, 15, 21, 2, // Skip to: 14126
+/* 13593 */   MCD_OPC_CheckField, 24, 1, 1, 15, 2, // Skip to: 14126
+/* 13599 */   MCD_OPC_Decode, 185, 12, 171, 1, // Opcode: VQSHLsuv8i16
+/* 13604 */   MCD_OPC_FilterValue, 1, 6, 2, // Skip to: 14126
+/* 13608 */   MCD_OPC_CheckPredicate, 15, 2, 2, // Skip to: 14126
+/* 13612 */   MCD_OPC_CheckField, 24, 1, 1, 252, 1, // Skip to: 14126
+/* 13618 */   MCD_OPC_Decode, 184, 12, 173, 1, // Opcode: VQSHLsuv4i32
+/* 13623 */   MCD_OPC_FilterValue, 7, 121, 0, // Skip to: 13748
+/* 13627 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13630 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13715
+/* 13634 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13637 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13682
+/* 13641 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13644 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13663
+/* 13648 */   MCD_OPC_CheckPredicate, 15, 218, 1, // Skip to: 14126
+/* 13652 */   MCD_OPC_CheckField, 19, 1, 1, 212, 1, // Skip to: 14126
+/* 13658 */   MCD_OPC_Decode, 171, 12, 169, 1, // Opcode: VQSHLsiv16i8
+/* 13663 */   MCD_OPC_FilterValue, 1, 203, 1, // Skip to: 14126
+/* 13667 */   MCD_OPC_CheckPredicate, 15, 199, 1, // Skip to: 14126
+/* 13671 */   MCD_OPC_CheckField, 19, 1, 1, 193, 1, // Skip to: 14126
+/* 13677 */   MCD_OPC_Decode, 195, 12, 169, 1, // Opcode: VQSHLuiv16i8
+/* 13682 */   MCD_OPC_FilterValue, 1, 184, 1, // Skip to: 14126
+/* 13686 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13689 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13702
+/* 13693 */   MCD_OPC_CheckPredicate, 15, 173, 1, // Skip to: 14126
+/* 13697 */   MCD_OPC_Decode, 177, 12, 171, 1, // Opcode: VQSHLsiv8i16
+/* 13702 */   MCD_OPC_FilterValue, 1, 164, 1, // Skip to: 14126
+/* 13706 */   MCD_OPC_CheckPredicate, 15, 160, 1, // Skip to: 14126
+/* 13710 */   MCD_OPC_Decode, 201, 12, 171, 1, // Opcode: VQSHLuiv8i16
+/* 13715 */   MCD_OPC_FilterValue, 1, 151, 1, // Skip to: 14126
+/* 13719 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13722 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13735
+/* 13726 */   MCD_OPC_CheckPredicate, 15, 140, 1, // Skip to: 14126
+/* 13730 */   MCD_OPC_Decode, 176, 12, 173, 1, // Opcode: VQSHLsiv4i32
+/* 13735 */   MCD_OPC_FilterValue, 1, 131, 1, // Skip to: 14126
+/* 13739 */   MCD_OPC_CheckPredicate, 15, 127, 1, // Skip to: 14126
+/* 13743 */   MCD_OPC_Decode, 200, 12, 173, 1, // Opcode: VQSHLuiv4i32
+/* 13748 */   MCD_OPC_FilterValue, 8, 121, 0, // Skip to: 13873
+/* 13752 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13755 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13840
+/* 13759 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13762 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13807
+/* 13766 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13769 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13788
+/* 13773 */   MCD_OPC_CheckPredicate, 15, 93, 1, // Skip to: 14126
+/* 13777 */   MCD_OPC_CheckField, 19, 1, 1, 87, 1, // Skip to: 14126
+/* 13783 */   MCD_OPC_Decode, 185, 13, 151, 1, // Opcode: VRSHRNv8i8
+/* 13788 */   MCD_OPC_FilterValue, 1, 78, 1, // Skip to: 14126
+/* 13792 */   MCD_OPC_CheckPredicate, 15, 74, 1, // Skip to: 14126
+/* 13796 */   MCD_OPC_CheckField, 19, 1, 1, 68, 1, // Skip to: 14126
+/* 13802 */   MCD_OPC_Decode, 170, 12, 151, 1, // Opcode: VQRSHRUNv8i8
+/* 13807 */   MCD_OPC_FilterValue, 1, 59, 1, // Skip to: 14126
+/* 13811 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13814 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13827
+/* 13818 */   MCD_OPC_CheckPredicate, 15, 48, 1, // Skip to: 14126
+/* 13822 */   MCD_OPC_Decode, 184, 13, 152, 1, // Opcode: VRSHRNv4i16
+/* 13827 */   MCD_OPC_FilterValue, 1, 39, 1, // Skip to: 14126
+/* 13831 */   MCD_OPC_CheckPredicate, 15, 35, 1, // Skip to: 14126
+/* 13835 */   MCD_OPC_Decode, 169, 12, 152, 1, // Opcode: VQRSHRUNv4i16
+/* 13840 */   MCD_OPC_FilterValue, 1, 26, 1, // Skip to: 14126
+/* 13844 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13847 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13860
+/* 13851 */   MCD_OPC_CheckPredicate, 15, 15, 1, // Skip to: 14126
+/* 13855 */   MCD_OPC_Decode, 183, 13, 153, 1, // Opcode: VRSHRNv2i32
+/* 13860 */   MCD_OPC_FilterValue, 1, 6, 1, // Skip to: 14126
+/* 13864 */   MCD_OPC_CheckPredicate, 15, 2, 1, // Skip to: 14126
+/* 13868 */   MCD_OPC_Decode, 168, 12, 153, 1, // Opcode: VQRSHRUNv2i32
+/* 13873 */   MCD_OPC_FilterValue, 9, 121, 0, // Skip to: 13998
+/* 13877 */   MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 13880 */   MCD_OPC_FilterValue, 0, 81, 0, // Skip to: 13965
+/* 13884 */   MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 13887 */   MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 13932
+/* 13891 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13894 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 13913
+/* 13898 */   MCD_OPC_CheckPredicate, 15, 224, 0, // Skip to: 14126
+/* 13902 */   MCD_OPC_CheckField, 19, 1, 1, 218, 0, // Skip to: 14126
+/* 13908 */   MCD_OPC_Decode, 164, 12, 151, 1, // Opcode: VQRSHRNsv8i8
+/* 13913 */   MCD_OPC_FilterValue, 1, 209, 0, // Skip to: 14126
+/* 13917 */   MCD_OPC_CheckPredicate, 15, 205, 0, // Skip to: 14126
+/* 13921 */   MCD_OPC_CheckField, 19, 1, 1, 199, 0, // Skip to: 14126
+/* 13927 */   MCD_OPC_Decode, 167, 12, 151, 1, // Opcode: VQRSHRNuv8i8
+/* 13932 */   MCD_OPC_FilterValue, 1, 190, 0, // Skip to: 14126
+/* 13936 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13939 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13952
+/* 13943 */   MCD_OPC_CheckPredicate, 15, 179, 0, // Skip to: 14126
+/* 13947 */   MCD_OPC_Decode, 163, 12, 152, 1, // Opcode: VQRSHRNsv4i16
+/* 13952 */   MCD_OPC_FilterValue, 1, 170, 0, // Skip to: 14126
+/* 13956 */   MCD_OPC_CheckPredicate, 15, 166, 0, // Skip to: 14126
+/* 13960 */   MCD_OPC_Decode, 166, 12, 152, 1, // Opcode: VQRSHRNuv4i16
+/* 13965 */   MCD_OPC_FilterValue, 1, 157, 0, // Skip to: 14126
+/* 13969 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 13972 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 13985
+/* 13976 */   MCD_OPC_CheckPredicate, 15, 146, 0, // Skip to: 14126
+/* 13980 */   MCD_OPC_Decode, 162, 12, 153, 1, // Opcode: VQRSHRNsv2i32
+/* 13985 */   MCD_OPC_FilterValue, 1, 137, 0, // Skip to: 14126
+/* 13989 */   MCD_OPC_CheckPredicate, 15, 133, 0, // Skip to: 14126
+/* 13993 */   MCD_OPC_Decode, 165, 12, 153, 1, // Opcode: VQRSHRNuv2i32
+/* 13998 */   MCD_OPC_FilterValue, 14, 70, 0, // Skip to: 14072
+/* 14002 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
+/* 14005 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 14024
+/* 14009 */   MCD_OPC_CheckPredicate, 15, 30, 0, // Skip to: 14043
+/* 14013 */   MCD_OPC_CheckField, 19, 3, 0, 24, 0, // Skip to: 14043
+/* 14019 */   MCD_OPC_Decode, 212, 10, 157, 1, // Opcode: VMOVv16i8
+/* 14024 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 14043
+/* 14028 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 14043
+/* 14032 */   MCD_OPC_CheckField, 19, 3, 0, 5, 0, // Skip to: 14043
+/* 14038 */   MCD_OPC_Decode, 216, 10, 157, 1, // Opcode: VMOVv2i64
+/* 14043 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 14046 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14059
+/* 14050 */   MCD_OPC_CheckPredicate, 15, 72, 0, // Skip to: 14126
+/* 14054 */   MCD_OPC_Decode, 253, 5, 175, 1, // Opcode: VCVTxs2fq
+/* 14059 */   MCD_OPC_FilterValue, 1, 63, 0, // Skip to: 14126
+/* 14063 */   MCD_OPC_CheckPredicate, 15, 59, 0, // Skip to: 14126
+/* 14067 */   MCD_OPC_Decode, 255, 5, 175, 1, // Opcode: VCVTxu2fq
+/* 14072 */   MCD_OPC_FilterValue, 15, 50, 0, // Skip to: 14126
+/* 14076 */   MCD_OPC_ExtractField, 24, 1,  // Inst{24} ...
+/* 14079 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14092
+/* 14083 */   MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 14105
+/* 14087 */   MCD_OPC_Decode, 244, 5, 175, 1, // Opcode: VCVTf2xsq
+/* 14092 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 14105
+/* 14096 */   MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 14105
+/* 14100 */   MCD_OPC_Decode, 246, 5, 175, 1, // Opcode: VCVTf2xuq
+/* 14105 */   MCD_OPC_CheckPredicate, 15, 17, 0, // Skip to: 14126
+/* 14109 */   MCD_OPC_CheckField, 19, 3, 0, 11, 0, // Skip to: 14126
+/* 14115 */   MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 14126
+/* 14121 */   MCD_OPC_Decode, 217, 10, 157, 1, // Opcode: VMOVv4f32
+/* 14126 */   MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
+/* 14129 */   MCD_OPC_FilterValue, 0, 77, 0, // Skip to: 14210
+/* 14133 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
+/* 14136 */   MCD_OPC_FilterValue, 0, 152, 1, // Skip to: 14548
+/* 14140 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 14143 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 14162
+/* 14147 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 14201
+/* 14151 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 14201
+/* 14157 */   MCD_OPC_Decode, 220, 10, 157, 1, // Opcode: VMOVv8i16
+/* 14162 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 14201
+/* 14166 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
+/* 14169 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14182
+/* 14173 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 14201
+/* 14177 */   MCD_OPC_Decode, 164, 11, 157, 1, // Opcode: VORRiv4i32
+/* 14182 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 14201
+/* 14186 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 14201
+/* 14190 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 14201
+/* 14196 */   MCD_OPC_Decode, 165, 11, 157, 1, // Opcode: VORRiv8i16
+/* 14201 */   MCD_OPC_CheckPredicate, 15, 87, 1, // Skip to: 14548
+/* 14205 */   MCD_OPC_Decode, 219, 10, 157, 1, // Opcode: VMOVv4i32
+/* 14210 */   MCD_OPC_FilterValue, 1, 78, 1, // Skip to: 14548
+/* 14214 */   MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
+/* 14217 */   MCD_OPC_FilterValue, 0, 71, 1, // Skip to: 14548
+/* 14221 */   MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 14224 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 14243
+/* 14228 */   MCD_OPC_CheckPredicate, 15, 50, 0, // Skip to: 14282
+/* 14232 */   MCD_OPC_CheckField, 10, 2, 2, 44, 0, // Skip to: 14282
+/* 14238 */   MCD_OPC_Decode, 142, 11, 157, 1, // Opcode: VMVNv8i16
+/* 14243 */   MCD_OPC_FilterValue, 1, 35, 0, // Skip to: 14282
+/* 14247 */   MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
+/* 14250 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 14263
+/* 14254 */   MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 14282
+/* 14258 */   MCD_OPC_Decode, 217, 4, 157, 1, // Opcode: VBICiv4i32
+/* 14263 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 14282
+/* 14267 */   MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 14282
+/* 14271 */   MCD_OPC_CheckField, 10, 1, 0, 5, 0, // Skip to: 14282
+/* 14277 */   MCD_OPC_Decode, 218, 4, 157, 1, // Opcode: VBICiv8i16
+/* 14282 */   MCD_OPC_CheckPredicate, 15, 6, 1, // Skip to: 14548
+/* 14286 */   MCD_OPC_Decode, 141, 11, 157, 1, // Opcode: VMVNv4i32
+/* 14291 */   MCD_OPC_FilterValue, 1, 253, 0, // Skip to: 14548
+/* 14295 */   MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 14298 */   MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 14333
+/* 14302 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 14305 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14319
+/* 14310 */   MCD_OPC_CheckPredicate, 15, 234, 0, // Skip to: 14548
+/* 14314 */   MCD_OPC_Decode, 149, 14, 176, 1, // Opcode: VSHRsv2i64
+/* 14319 */   MCD_OPC_FilterValue, 243, 1, 224, 0, // Skip to: 14548
+/* 14324 */   MCD_OPC_CheckPredicate, 15, 220, 0, // Skip to: 14548
+/* 14328 */   MCD_OPC_Decode, 157, 14, 176, 1, // Opcode: VSHRuv2i64
+/* 14333 */   MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 14368
+/* 14337 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 14340 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14354
+/* 14345 */   MCD_OPC_CheckPredicate, 15, 199, 0, // Skip to: 14548
+/* 14349 */   MCD_OPC_Decode, 181, 14, 177, 1, // Opcode: VSRAsv2i64
+/* 14354 */   MCD_OPC_FilterValue, 243, 1, 189, 0, // Skip to: 14548
+/* 14359 */   MCD_OPC_CheckPredicate, 15, 185, 0, // Skip to: 14548
+/* 14363 */   MCD_OPC_Decode, 189, 14, 177, 1, // Opcode: VSRAuv2i64
+/* 14368 */   MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 14403
+/* 14372 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 14375 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14389
+/* 14380 */   MCD_OPC_CheckPredicate, 15, 164, 0, // Skip to: 14548
+/* 14384 */   MCD_OPC_Decode, 189, 13, 176, 1, // Opcode: VRSHRsv2i64
+/* 14389 */   MCD_OPC_FilterValue, 243, 1, 154, 0, // Skip to: 14548
+/* 14394 */   MCD_OPC_CheckPredicate, 15, 150, 0, // Skip to: 14548
+/* 14398 */   MCD_OPC_Decode, 197, 13, 176, 1, // Opcode: VRSHRuv2i64
+/* 14403 */   MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 14438
+/* 14407 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 14410 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14424
+/* 14415 */   MCD_OPC_CheckPredicate, 15, 129, 0, // Skip to: 14548
+/* 14419 */   MCD_OPC_Decode, 211, 13, 177, 1, // Opcode: VRSRAsv2i64
+/* 14424 */   MCD_OPC_FilterValue, 243, 1, 119, 0, // Skip to: 14548
+/* 14429 */   MCD_OPC_CheckPredicate, 15, 115, 0, // Skip to: 14548
+/* 14433 */   MCD_OPC_Decode, 219, 13, 177, 1, // Opcode: VRSRAuv2i64
+/* 14438 */   MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 14458
+/* 14442 */   MCD_OPC_CheckPredicate, 15, 102, 0, // Skip to: 14548
+/* 14446 */   MCD_OPC_CheckField, 24, 8, 243, 1, 95, 0, // Skip to: 14548
+/* 14453 */   MCD_OPC_Decode, 197, 14, 177, 1, // Opcode: VSRIv2i64
+/* 14458 */   MCD_OPC_FilterValue, 5, 31, 0, // Skip to: 14493
+/* 14462 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 14465 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14479
+/* 14470 */   MCD_OPC_CheckPredicate, 15, 74, 0, // Skip to: 14548
+/* 14474 */   MCD_OPC_Decode, 250, 13, 178, 1, // Opcode: VSHLiv2i64
+/* 14479 */   MCD_OPC_FilterValue, 243, 1, 64, 0, // Skip to: 14548
+/* 14484 */   MCD_OPC_CheckPredicate, 15, 60, 0, // Skip to: 14548
+/* 14488 */   MCD_OPC_Decode, 169, 14, 179, 1, // Opcode: VSLIv2i64
+/* 14493 */   MCD_OPC_FilterValue, 6, 16, 0, // Skip to: 14513
+/* 14497 */   MCD_OPC_CheckPredicate, 15, 47, 0, // Skip to: 14548
+/* 14501 */   MCD_OPC_CheckField, 24, 8, 243, 1, 40, 0, // Skip to: 14548
+/* 14508 */   MCD_OPC_Decode, 182, 12, 178, 1, // Opcode: VQSHLsuv2i64
+/* 14513 */   MCD_OPC_FilterValue, 7, 31, 0, // Skip to: 14548
+/* 14517 */   MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
+/* 14520 */   MCD_OPC_FilterValue, 242, 1, 9, 0, // Skip to: 14534
+/* 14525 */   MCD_OPC_CheckPredicate, 15, 19, 0, // Skip to: 14548
+/* 14529 */   MCD_OPC_Decode, 174, 12, 178, 1, // Opcode: VQSHLsiv2i64
+/* 14534 */   MCD_OPC_FilterValue, 243, 1, 9, 0, // Skip to: 14548
+/* 14539 */   MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 14548
+/* 14543 */   MCD_OPC_Decode, 198, 12, 178, 1, // Opcode: VQSHLuiv2i64
+/* 14548 */   MCD_OPC_Fail,
   0
 };
 
@@ -5417,34 +5423,34 @@
 /* 21 */      MCD_OPC_CheckPredicate, 15, 124, 1, // Skip to: 405
 /* 25 */      MCD_OPC_CheckField, 8, 4, 11, 118, 1, // Skip to: 405
 /* 31 */      MCD_OPC_CheckField, 6, 1, 0, 112, 1, // Skip to: 405
-/* 37 */      MCD_OPC_Decode, 156, 14, 181, 1, // Opcode: VSETLNi32
+/* 37 */      MCD_OPC_Decode, 236, 13, 180, 1, // Opcode: VSETLNi32
 /* 42 */      MCD_OPC_FilterValue, 1, 103, 1, // Skip to: 405
 /* 46 */      MCD_OPC_CheckPredicate, 15, 99, 1, // Skip to: 405
 /* 50 */      MCD_OPC_CheckField, 8, 4, 11, 93, 1, // Skip to: 405
 /* 56 */      MCD_OPC_CheckField, 6, 1, 0, 87, 1, // Skip to: 405
-/* 62 */      MCD_OPC_Decode, 213, 6, 182, 1, // Opcode: VGETLNi32
+/* 62 */      MCD_OPC_Decode, 163, 6, 181, 1, // Opcode: VGETLNi32
 /* 67 */      MCD_OPC_FilterValue, 48, 78, 1, // Skip to: 405
 /* 71 */      MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 74 */      MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 93
 /* 78 */      MCD_OPC_CheckPredicate, 15, 67, 1, // Skip to: 405
 /* 82 */      MCD_OPC_CheckField, 8, 4, 11, 61, 1, // Skip to: 405
-/* 88 */      MCD_OPC_Decode, 155, 14, 183, 1, // Opcode: VSETLNi16
+/* 88 */      MCD_OPC_Decode, 235, 13, 182, 1, // Opcode: VSETLNi16
 /* 93 */      MCD_OPC_FilterValue, 1, 52, 1, // Skip to: 405
 /* 97 */      MCD_OPC_CheckPredicate, 15, 48, 1, // Skip to: 405
 /* 101 */     MCD_OPC_CheckField, 8, 4, 11, 42, 1, // Skip to: 405
-/* 107 */     MCD_OPC_Decode, 214, 6, 184, 1, // Opcode: VGETLNs16
+/* 107 */     MCD_OPC_Decode, 164, 6, 183, 1, // Opcode: VGETLNs16
 /* 112 */     MCD_OPC_FilterValue, 57, 53, 0, // Skip to: 169
 /* 116 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 119 */     MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 144
 /* 123 */     MCD_OPC_CheckPredicate, 15, 22, 1, // Skip to: 405
 /* 127 */     MCD_OPC_CheckField, 8, 4, 11, 16, 1, // Skip to: 405
 /* 133 */     MCD_OPC_CheckField, 0, 5, 16, 10, 1, // Skip to: 405
-/* 139 */     MCD_OPC_Decode, 157, 14, 185, 1, // Opcode: VSETLNi8
+/* 139 */     MCD_OPC_Decode, 237, 13, 184, 1, // Opcode: VSETLNi8
 /* 144 */     MCD_OPC_FilterValue, 1, 1, 1, // Skip to: 405
 /* 148 */     MCD_OPC_CheckPredicate, 15, 253, 0, // Skip to: 405
 /* 152 */     MCD_OPC_CheckField, 8, 4, 11, 247, 0, // Skip to: 405
 /* 158 */     MCD_OPC_CheckField, 0, 5, 16, 241, 0, // Skip to: 405
-/* 164 */     MCD_OPC_Decode, 215, 6, 186, 1, // Opcode: VGETLNs8
+/* 164 */     MCD_OPC_Decode, 165, 6, 185, 1, // Opcode: VGETLNs8
 /* 169 */     MCD_OPC_FilterValue, 58, 143, 0, // Skip to: 316
 /* 173 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 176 */     MCD_OPC_FilterValue, 16, 53, 0, // Skip to: 233
@@ -5453,12 +5459,12 @@
 /* 187 */     MCD_OPC_CheckPredicate, 15, 214, 0, // Skip to: 405
 /* 191 */     MCD_OPC_CheckField, 8, 4, 11, 208, 0, // Skip to: 405
 /* 197 */     MCD_OPC_CheckField, 6, 1, 0, 202, 0, // Skip to: 405
-/* 203 */     MCD_OPC_Decode, 182, 6, 187, 1, // Opcode: VDUP32d
+/* 203 */     MCD_OPC_Decode, 132, 6, 186, 1, // Opcode: VDUP32d
 /* 208 */     MCD_OPC_FilterValue, 2, 193, 0, // Skip to: 405
 /* 212 */     MCD_OPC_CheckPredicate, 15, 189, 0, // Skip to: 405
 /* 216 */     MCD_OPC_CheckField, 8, 4, 11, 183, 0, // Skip to: 405
 /* 222 */     MCD_OPC_CheckField, 6, 1, 0, 177, 0, // Skip to: 405
-/* 228 */     MCD_OPC_Decode, 183, 6, 188, 1, // Opcode: VDUP32q
+/* 228 */     MCD_OPC_Decode, 133, 6, 187, 1, // Opcode: VDUP32q
 /* 233 */     MCD_OPC_FilterValue, 48, 168, 0, // Skip to: 405
 /* 237 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 240 */     MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 297
@@ -5467,16 +5473,16 @@
 /* 251 */     MCD_OPC_CheckPredicate, 15, 150, 0, // Skip to: 405
 /* 255 */     MCD_OPC_CheckField, 8, 4, 11, 144, 0, // Skip to: 405
 /* 261 */     MCD_OPC_CheckField, 6, 1, 0, 138, 0, // Skip to: 405
-/* 267 */     MCD_OPC_Decode, 180, 6, 187, 1, // Opcode: VDUP16d
+/* 267 */     MCD_OPC_Decode, 130, 6, 186, 1, // Opcode: VDUP16d
 /* 272 */     MCD_OPC_FilterValue, 1, 129, 0, // Skip to: 405
 /* 276 */     MCD_OPC_CheckPredicate, 15, 125, 0, // Skip to: 405
 /* 280 */     MCD_OPC_CheckField, 8, 4, 11, 119, 0, // Skip to: 405
 /* 286 */     MCD_OPC_CheckField, 6, 1, 0, 113, 0, // Skip to: 405
-/* 292 */     MCD_OPC_Decode, 181, 6, 188, 1, // Opcode: VDUP16q
+/* 292 */     MCD_OPC_Decode, 131, 6, 187, 1, // Opcode: VDUP16q
 /* 297 */     MCD_OPC_FilterValue, 1, 104, 0, // Skip to: 405
 /* 301 */     MCD_OPC_CheckPredicate, 15, 100, 0, // Skip to: 405
 /* 305 */     MCD_OPC_CheckField, 8, 4, 11, 94, 0, // Skip to: 405
-/* 311 */     MCD_OPC_Decode, 216, 6, 184, 1, // Opcode: VGETLNu16
+/* 311 */     MCD_OPC_Decode, 166, 6, 183, 1, // Opcode: VGETLNu16
 /* 316 */     MCD_OPC_FilterValue, 59, 85, 0, // Skip to: 405
 /* 320 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 323 */     MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 380
@@ -5485,17 +5491,17 @@
 /* 334 */     MCD_OPC_CheckPredicate, 15, 67, 0, // Skip to: 405
 /* 338 */     MCD_OPC_CheckField, 8, 4, 11, 61, 0, // Skip to: 405
 /* 344 */     MCD_OPC_CheckField, 0, 7, 16, 55, 0, // Skip to: 405
-/* 350 */     MCD_OPC_Decode, 184, 6, 187, 1, // Opcode: VDUP8d
+/* 350 */     MCD_OPC_Decode, 134, 6, 186, 1, // Opcode: VDUP8d
 /* 355 */     MCD_OPC_FilterValue, 1, 46, 0, // Skip to: 405
 /* 359 */     MCD_OPC_CheckPredicate, 15, 42, 0, // Skip to: 405
 /* 363 */     MCD_OPC_CheckField, 8, 4, 11, 36, 0, // Skip to: 405
 /* 369 */     MCD_OPC_CheckField, 0, 7, 16, 30, 0, // Skip to: 405
-/* 375 */     MCD_OPC_Decode, 185, 6, 188, 1, // Opcode: VDUP8q
+/* 375 */     MCD_OPC_Decode, 135, 6, 187, 1, // Opcode: VDUP8q
 /* 380 */     MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 405
 /* 384 */     MCD_OPC_CheckPredicate, 15, 17, 0, // Skip to: 405
 /* 388 */     MCD_OPC_CheckField, 8, 4, 11, 11, 0, // Skip to: 405
 /* 394 */     MCD_OPC_CheckField, 0, 5, 16, 5, 0, // Skip to: 405
-/* 400 */     MCD_OPC_Decode, 217, 6, 186, 1, // Opcode: VGETLNu8
+/* 400 */     MCD_OPC_Decode, 167, 6, 185, 1, // Opcode: VGETLNu8
 /* 405 */     MCD_OPC_Fail,
   0
 };
@@ -5511,29 +5517,29 @@
 /* 25 */      MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 53
 /* 29 */      MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 44
 /* 33 */      MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 44
-/* 39 */      MCD_OPC_Decode, 135, 17, 189, 1, // Opcode: VST4d8
+/* 39 */      MCD_OPC_Decode, 215, 16, 188, 1, // Opcode: VST4d8
 /* 44 */      MCD_OPC_CheckPredicate, 15, 194, 22, // Skip to: 5874
-/* 48 */      MCD_OPC_Decode, 138, 17, 189, 1, // Opcode: VST4d8_UPD
+/* 48 */      MCD_OPC_Decode, 218, 16, 188, 1, // Opcode: VST4d8_UPD
 /* 53 */      MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 81
 /* 57 */      MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 72
 /* 61 */      MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 72
-/* 67 */      MCD_OPC_Decode, 255, 16, 189, 1, // Opcode: VST4d16
+/* 67 */      MCD_OPC_Decode, 207, 16, 188, 1, // Opcode: VST4d16
 /* 72 */      MCD_OPC_CheckPredicate, 15, 166, 22, // Skip to: 5874
-/* 76 */      MCD_OPC_Decode, 130, 17, 189, 1, // Opcode: VST4d16_UPD
+/* 76 */      MCD_OPC_Decode, 210, 16, 188, 1, // Opcode: VST4d16_UPD
 /* 81 */      MCD_OPC_FilterValue, 2, 157, 22, // Skip to: 5874
 /* 85 */      MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 100
 /* 89 */      MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 100
-/* 95 */      MCD_OPC_Decode, 131, 17, 189, 1, // Opcode: VST4d32
+/* 95 */      MCD_OPC_Decode, 211, 16, 188, 1, // Opcode: VST4d32
 /* 100 */     MCD_OPC_CheckPredicate, 15, 138, 22, // Skip to: 5874
-/* 104 */     MCD_OPC_Decode, 134, 17, 189, 1, // Opcode: VST4d32_UPD
+/* 104 */     MCD_OPC_Decode, 214, 16, 188, 1, // Opcode: VST4d32_UPD
 /* 109 */     MCD_OPC_FilterValue, 233, 3, 128, 22, // Skip to: 5874
 /* 114 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 117 */     MCD_OPC_FilterValue, 0, 121, 22, // Skip to: 5874
 /* 121 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 136
 /* 125 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 136
-/* 131 */     MCD_OPC_Decode, 254, 14, 190, 1, // Opcode: VST1LNd8
+/* 131 */     MCD_OPC_Decode, 206, 14, 189, 1, // Opcode: VST1LNd8
 /* 136 */     MCD_OPC_CheckPredicate, 15, 102, 22, // Skip to: 5874
-/* 140 */     MCD_OPC_Decode, 255, 14, 190, 1, // Opcode: VST1LNd8_UPD
+/* 140 */     MCD_OPC_Decode, 207, 14, 189, 1, // Opcode: VST1LNd8_UPD
 /* 145 */     MCD_OPC_FilterValue, 2, 93, 22, // Skip to: 5874
 /* 149 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 152 */     MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 244
@@ -5541,29 +5547,29 @@
 /* 160 */     MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 188
 /* 164 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 179
 /* 168 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 179
-/* 174 */     MCD_OPC_Decode, 235, 9, 189, 1, // Opcode: VLD4d8
+/* 174 */     MCD_OPC_Decode, 185, 9, 188, 1, // Opcode: VLD4d8
 /* 179 */     MCD_OPC_CheckPredicate, 15, 59, 22, // Skip to: 5874
-/* 183 */     MCD_OPC_Decode, 238, 9, 189, 1, // Opcode: VLD4d8_UPD
+/* 183 */     MCD_OPC_Decode, 188, 9, 188, 1, // Opcode: VLD4d8_UPD
 /* 188 */     MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 216
 /* 192 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 207
 /* 196 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 207
-/* 202 */     MCD_OPC_Decode, 227, 9, 189, 1, // Opcode: VLD4d16
+/* 202 */     MCD_OPC_Decode, 177, 9, 188, 1, // Opcode: VLD4d16
 /* 207 */     MCD_OPC_CheckPredicate, 15, 31, 22, // Skip to: 5874
-/* 211 */     MCD_OPC_Decode, 230, 9, 189, 1, // Opcode: VLD4d16_UPD
+/* 211 */     MCD_OPC_Decode, 180, 9, 188, 1, // Opcode: VLD4d16_UPD
 /* 216 */     MCD_OPC_FilterValue, 2, 22, 22, // Skip to: 5874
 /* 220 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 235
 /* 224 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 235
-/* 230 */     MCD_OPC_Decode, 231, 9, 189, 1, // Opcode: VLD4d32
+/* 230 */     MCD_OPC_Decode, 181, 9, 188, 1, // Opcode: VLD4d32
 /* 235 */     MCD_OPC_CheckPredicate, 15, 3, 22, // Skip to: 5874
-/* 239 */     MCD_OPC_Decode, 234, 9, 189, 1, // Opcode: VLD4d32_UPD
+/* 239 */     MCD_OPC_Decode, 184, 9, 188, 1, // Opcode: VLD4d32_UPD
 /* 244 */     MCD_OPC_FilterValue, 233, 3, 249, 21, // Skip to: 5874
 /* 249 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 252 */     MCD_OPC_FilterValue, 0, 242, 21, // Skip to: 5874
 /* 256 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 271
 /* 260 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 271
-/* 266 */     MCD_OPC_Decode, 136, 7, 191, 1, // Opcode: VLD1LNd8
+/* 266 */     MCD_OPC_Decode, 214, 6, 190, 1, // Opcode: VLD1LNd8
 /* 271 */     MCD_OPC_CheckPredicate, 15, 223, 21, // Skip to: 5874
-/* 275 */     MCD_OPC_Decode, 137, 7, 191, 1, // Opcode: VLD1LNd8_UPD
+/* 275 */     MCD_OPC_Decode, 215, 6, 190, 1, // Opcode: VLD1LNd8_UPD
 /* 280 */     MCD_OPC_FilterValue, 1, 3, 1, // Skip to: 543
 /* 284 */     MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 287 */     MCD_OPC_FilterValue, 0, 124, 0, // Skip to: 415
@@ -5573,27 +5579,27 @@
 /* 302 */     MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 330
 /* 306 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 321
 /* 310 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 321
-/* 316 */     MCD_OPC_Decode, 158, 17, 189, 1, // Opcode: VST4q8
+/* 316 */     MCD_OPC_Decode, 238, 16, 188, 1, // Opcode: VST4q8
 /* 321 */     MCD_OPC_CheckPredicate, 15, 173, 21, // Skip to: 5874
-/* 325 */     MCD_OPC_Decode, 160, 17, 189, 1, // Opcode: VST4q8_UPD
+/* 325 */     MCD_OPC_Decode, 240, 16, 188, 1, // Opcode: VST4q8_UPD
 /* 330 */     MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 358
 /* 334 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 349
 /* 338 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 349
-/* 344 */     MCD_OPC_Decode, 148, 17, 189, 1, // Opcode: VST4q16
+/* 344 */     MCD_OPC_Decode, 228, 16, 188, 1, // Opcode: VST4q16
 /* 349 */     MCD_OPC_CheckPredicate, 15, 145, 21, // Skip to: 5874
-/* 353 */     MCD_OPC_Decode, 150, 17, 189, 1, // Opcode: VST4q16_UPD
+/* 353 */     MCD_OPC_Decode, 230, 16, 188, 1, // Opcode: VST4q16_UPD
 /* 358 */     MCD_OPC_FilterValue, 2, 136, 21, // Skip to: 5874
 /* 362 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 377
 /* 366 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 377
-/* 372 */     MCD_OPC_Decode, 153, 17, 189, 1, // Opcode: VST4q32
+/* 372 */     MCD_OPC_Decode, 233, 16, 188, 1, // Opcode: VST4q32
 /* 377 */     MCD_OPC_CheckPredicate, 15, 117, 21, // Skip to: 5874
-/* 381 */     MCD_OPC_Decode, 155, 17, 189, 1, // Opcode: VST4q32_UPD
+/* 381 */     MCD_OPC_Decode, 235, 16, 188, 1, // Opcode: VST4q32_UPD
 /* 386 */     MCD_OPC_FilterValue, 233, 3, 107, 21, // Skip to: 5874
 /* 391 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 406
 /* 395 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 406
-/* 401 */     MCD_OPC_Decode, 205, 15, 192, 1, // Opcode: VST2LNd8
+/* 401 */     MCD_OPC_Decode, 157, 15, 191, 1, // Opcode: VST2LNd8
 /* 406 */     MCD_OPC_CheckPredicate, 15, 88, 21, // Skip to: 5874
-/* 410 */     MCD_OPC_Decode, 208, 15, 192, 1, // Opcode: VST2LNd8_UPD
+/* 410 */     MCD_OPC_Decode, 160, 15, 191, 1, // Opcode: VST2LNd8_UPD
 /* 415 */     MCD_OPC_FilterValue, 2, 79, 21, // Skip to: 5874
 /* 419 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 422 */     MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 514
@@ -5601,27 +5607,27 @@
 /* 430 */     MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 458
 /* 434 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 449
 /* 438 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 449
-/* 444 */     MCD_OPC_Decode, 130, 10, 189, 1, // Opcode: VLD4q8
+/* 444 */     MCD_OPC_Decode, 208, 9, 188, 1, // Opcode: VLD4q8
 /* 449 */     MCD_OPC_CheckPredicate, 15, 45, 21, // Skip to: 5874
-/* 453 */     MCD_OPC_Decode, 132, 10, 189, 1, // Opcode: VLD4q8_UPD
+/* 453 */     MCD_OPC_Decode, 210, 9, 188, 1, // Opcode: VLD4q8_UPD
 /* 458 */     MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 486
 /* 462 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 477
 /* 466 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 477
-/* 472 */     MCD_OPC_Decode, 248, 9, 189, 1, // Opcode: VLD4q16
+/* 472 */     MCD_OPC_Decode, 198, 9, 188, 1, // Opcode: VLD4q16
 /* 477 */     MCD_OPC_CheckPredicate, 15, 17, 21, // Skip to: 5874
-/* 481 */     MCD_OPC_Decode, 250, 9, 189, 1, // Opcode: VLD4q16_UPD
+/* 481 */     MCD_OPC_Decode, 200, 9, 188, 1, // Opcode: VLD4q16_UPD
 /* 486 */     MCD_OPC_FilterValue, 2, 8, 21, // Skip to: 5874
 /* 490 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 505
 /* 494 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 505
-/* 500 */     MCD_OPC_Decode, 253, 9, 189, 1, // Opcode: VLD4q32
+/* 500 */     MCD_OPC_Decode, 203, 9, 188, 1, // Opcode: VLD4q32
 /* 505 */     MCD_OPC_CheckPredicate, 15, 245, 20, // Skip to: 5874
-/* 509 */     MCD_OPC_Decode, 255, 9, 189, 1, // Opcode: VLD4q32_UPD
+/* 509 */     MCD_OPC_Decode, 205, 9, 188, 1, // Opcode: VLD4q32_UPD
 /* 514 */     MCD_OPC_FilterValue, 233, 3, 235, 20, // Skip to: 5874
 /* 519 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 534
 /* 523 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 534
-/* 529 */     MCD_OPC_Decode, 233, 7, 193, 1, // Opcode: VLD2LNd8
+/* 529 */     MCD_OPC_Decode, 183, 7, 192, 1, // Opcode: VLD2LNd8
 /* 534 */     MCD_OPC_CheckPredicate, 15, 216, 20, // Skip to: 5874
-/* 538 */     MCD_OPC_Decode, 236, 7, 193, 1, // Opcode: VLD2LNd8_UPD
+/* 538 */     MCD_OPC_Decode, 186, 7, 192, 1, // Opcode: VLD2LNd8_UPD
 /* 543 */     MCD_OPC_FilterValue, 2, 185, 1, // Skip to: 988
 /* 547 */     MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 550 */     MCD_OPC_FilterValue, 0, 215, 0, // Skip to: 769
@@ -5632,50 +5638,50 @@
 /* 569 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 572 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 585
 /* 576 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 598
-/* 580 */     MCD_OPC_Decode, 178, 15, 194, 1, // Opcode: VST1d8Qwb_fixed
+/* 580 */     MCD_OPC_Decode, 130, 15, 193, 1, // Opcode: VST1d8Qwb_fixed
 /* 585 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 598
 /* 589 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 598
-/* 593 */     MCD_OPC_Decode, 177, 15, 194, 1, // Opcode: VST1d8Q
+/* 593 */     MCD_OPC_Decode, 129, 15, 193, 1, // Opcode: VST1d8Q
 /* 598 */     MCD_OPC_CheckPredicate, 15, 152, 20, // Skip to: 5874
-/* 602 */     MCD_OPC_Decode, 179, 15, 194, 1, // Opcode: VST1d8Qwb_register
+/* 602 */     MCD_OPC_Decode, 131, 15, 193, 1, // Opcode: VST1d8Qwb_register
 /* 607 */     MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 649
 /* 611 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 614 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 627
 /* 618 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 640
-/* 622 */     MCD_OPC_Decode, 145, 15, 194, 1, // Opcode: VST1d16Qwb_fixed
+/* 622 */     MCD_OPC_Decode, 225, 14, 193, 1, // Opcode: VST1d16Qwb_fixed
 /* 627 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 640
 /* 631 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 640
-/* 635 */     MCD_OPC_Decode, 144, 15, 194, 1, // Opcode: VST1d16Q
+/* 635 */     MCD_OPC_Decode, 224, 14, 193, 1, // Opcode: VST1d16Q
 /* 640 */     MCD_OPC_CheckPredicate, 15, 110, 20, // Skip to: 5874
-/* 644 */     MCD_OPC_Decode, 146, 15, 194, 1, // Opcode: VST1d16Qwb_register
+/* 644 */     MCD_OPC_Decode, 226, 14, 193, 1, // Opcode: VST1d16Qwb_register
 /* 649 */     MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 691
 /* 653 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 656 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 669
 /* 660 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 682
-/* 664 */     MCD_OPC_Decode, 154, 15, 194, 1, // Opcode: VST1d32Qwb_fixed
+/* 664 */     MCD_OPC_Decode, 234, 14, 193, 1, // Opcode: VST1d32Qwb_fixed
 /* 669 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 682
 /* 673 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 682
-/* 677 */     MCD_OPC_Decode, 153, 15, 194, 1, // Opcode: VST1d32Q
+/* 677 */     MCD_OPC_Decode, 233, 14, 193, 1, // Opcode: VST1d32Q
 /* 682 */     MCD_OPC_CheckPredicate, 15, 68, 20, // Skip to: 5874
-/* 686 */     MCD_OPC_Decode, 155, 15, 194, 1, // Opcode: VST1d32Qwb_register
+/* 686 */     MCD_OPC_Decode, 235, 14, 193, 1, // Opcode: VST1d32Qwb_register
 /* 691 */     MCD_OPC_FilterValue, 3, 59, 20, // Skip to: 5874
 /* 695 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 698 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 711
 /* 702 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 724
-/* 706 */     MCD_OPC_Decode, 166, 15, 194, 1, // Opcode: VST1d64Qwb_fixed
+/* 706 */     MCD_OPC_Decode, 246, 14, 193, 1, // Opcode: VST1d64Qwb_fixed
 /* 711 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 724
 /* 715 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 724
-/* 719 */     MCD_OPC_Decode, 162, 15, 194, 1, // Opcode: VST1d64Q
+/* 719 */     MCD_OPC_Decode, 242, 14, 193, 1, // Opcode: VST1d64Q
 /* 724 */     MCD_OPC_CheckPredicate, 15, 26, 20, // Skip to: 5874
-/* 728 */     MCD_OPC_Decode, 167, 15, 194, 1, // Opcode: VST1d64Qwb_register
+/* 728 */     MCD_OPC_Decode, 247, 14, 193, 1, // Opcode: VST1d64Qwb_register
 /* 733 */     MCD_OPC_FilterValue, 233, 3, 16, 20, // Skip to: 5874
 /* 738 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 741 */     MCD_OPC_FilterValue, 0, 9, 20, // Skip to: 5874
 /* 745 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 760
 /* 749 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 760
-/* 755 */     MCD_OPC_Decode, 148, 16, 195, 1, // Opcode: VST3LNd8
+/* 755 */     MCD_OPC_Decode, 228, 15, 194, 1, // Opcode: VST3LNd8
 /* 760 */     MCD_OPC_CheckPredicate, 15, 246, 19, // Skip to: 5874
-/* 764 */     MCD_OPC_Decode, 151, 16, 195, 1, // Opcode: VST3LNd8_UPD
+/* 764 */     MCD_OPC_Decode, 231, 15, 194, 1, // Opcode: VST3LNd8_UPD
 /* 769 */     MCD_OPC_FilterValue, 2, 237, 19, // Skip to: 5874
 /* 773 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 776 */     MCD_OPC_FilterValue, 232, 3, 171, 0, // Skip to: 952
@@ -5684,50 +5690,50 @@
 /* 788 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 791 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 804
 /* 795 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 817
-/* 799 */     MCD_OPC_Decode, 188, 7, 194, 1, // Opcode: VLD1d8Qwb_fixed
+/* 799 */     MCD_OPC_Decode, 138, 7, 193, 1, // Opcode: VLD1d8Qwb_fixed
 /* 804 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 817
 /* 808 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 817
-/* 812 */     MCD_OPC_Decode, 187, 7, 194, 1, // Opcode: VLD1d8Q
+/* 812 */     MCD_OPC_Decode, 137, 7, 193, 1, // Opcode: VLD1d8Q
 /* 817 */     MCD_OPC_CheckPredicate, 15, 189, 19, // Skip to: 5874
-/* 821 */     MCD_OPC_Decode, 189, 7, 194, 1, // Opcode: VLD1d8Qwb_register
+/* 821 */     MCD_OPC_Decode, 139, 7, 193, 1, // Opcode: VLD1d8Qwb_register
 /* 826 */     MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 868
 /* 830 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 833 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 846
 /* 837 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 859
-/* 841 */     MCD_OPC_Decode, 155, 7, 194, 1, // Opcode: VLD1d16Qwb_fixed
+/* 841 */     MCD_OPC_Decode, 233, 6, 193, 1, // Opcode: VLD1d16Qwb_fixed
 /* 846 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 859
 /* 850 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 859
-/* 854 */     MCD_OPC_Decode, 154, 7, 194, 1, // Opcode: VLD1d16Q
+/* 854 */     MCD_OPC_Decode, 232, 6, 193, 1, // Opcode: VLD1d16Q
 /* 859 */     MCD_OPC_CheckPredicate, 15, 147, 19, // Skip to: 5874
-/* 863 */     MCD_OPC_Decode, 156, 7, 194, 1, // Opcode: VLD1d16Qwb_register
+/* 863 */     MCD_OPC_Decode, 234, 6, 193, 1, // Opcode: VLD1d16Qwb_register
 /* 868 */     MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 910
 /* 872 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 875 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 888
 /* 879 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 901
-/* 883 */     MCD_OPC_Decode, 164, 7, 194, 1, // Opcode: VLD1d32Qwb_fixed
+/* 883 */     MCD_OPC_Decode, 242, 6, 193, 1, // Opcode: VLD1d32Qwb_fixed
 /* 888 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 901
 /* 892 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 901
-/* 896 */     MCD_OPC_Decode, 163, 7, 194, 1, // Opcode: VLD1d32Q
+/* 896 */     MCD_OPC_Decode, 241, 6, 193, 1, // Opcode: VLD1d32Q
 /* 901 */     MCD_OPC_CheckPredicate, 15, 105, 19, // Skip to: 5874
-/* 905 */     MCD_OPC_Decode, 165, 7, 194, 1, // Opcode: VLD1d32Qwb_register
+/* 905 */     MCD_OPC_Decode, 243, 6, 193, 1, // Opcode: VLD1d32Qwb_register
 /* 910 */     MCD_OPC_FilterValue, 3, 96, 19, // Skip to: 5874
 /* 914 */     MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 917 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 930
 /* 921 */     MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 943
-/* 925 */     MCD_OPC_Decode, 176, 7, 194, 1, // Opcode: VLD1d64Qwb_fixed
+/* 925 */     MCD_OPC_Decode, 254, 6, 193, 1, // Opcode: VLD1d64Qwb_fixed
 /* 930 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 943
 /* 934 */     MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 943
-/* 938 */     MCD_OPC_Decode, 172, 7, 194, 1, // Opcode: VLD1d64Q
+/* 938 */     MCD_OPC_Decode, 250, 6, 193, 1, // Opcode: VLD1d64Q
 /* 943 */     MCD_OPC_CheckPredicate, 15, 63, 19, // Skip to: 5874
-/* 947 */     MCD_OPC_Decode, 177, 7, 194, 1, // Opcode: VLD1d64Qwb_register
+/* 947 */     MCD_OPC_Decode, 255, 6, 193, 1, // Opcode: VLD1d64Qwb_register
 /* 952 */     MCD_OPC_FilterValue, 233, 3, 53, 19, // Skip to: 5874
 /* 957 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 960 */     MCD_OPC_FilterValue, 0, 46, 19, // Skip to: 5874
 /* 964 */     MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 979
 /* 968 */     MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 979
-/* 974 */     MCD_OPC_Decode, 212, 8, 196, 1, // Opcode: VLD3LNd8
+/* 974 */     MCD_OPC_Decode, 162, 8, 195, 1, // Opcode: VLD3LNd8
 /* 979 */     MCD_OPC_CheckPredicate, 15, 27, 19, // Skip to: 5874
-/* 983 */     MCD_OPC_Decode, 215, 8, 196, 1, // Opcode: VLD3LNd8_UPD
+/* 983 */     MCD_OPC_Decode, 165, 8, 195, 1, // Opcode: VLD3LNd8_UPD
 /* 988 */     MCD_OPC_FilterValue, 3, 87, 1, // Skip to: 1335
 /* 992 */     MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 995 */     MCD_OPC_FilterValue, 0, 166, 0, // Skip to: 1165
@@ -5738,38 +5744,38 @@
 /* 1014 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1017 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1030
 /* 1021 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 1043
-/* 1025 */    MCD_OPC_Decode, 138, 16, 197, 1, // Opcode: VST2q8wb_fixed
+/* 1025 */    MCD_OPC_Decode, 218, 15, 196, 1, // Opcode: VST2q8wb_fixed
 /* 1030 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 1043
 /* 1034 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 1043
-/* 1038 */    MCD_OPC_Decode, 134, 16, 197, 1, // Opcode: VST2q8
+/* 1038 */    MCD_OPC_Decode, 214, 15, 196, 1, // Opcode: VST2q8
 /* 1043 */    MCD_OPC_CheckPredicate, 15, 219, 18, // Skip to: 5874
-/* 1047 */    MCD_OPC_Decode, 139, 16, 197, 1, // Opcode: VST2q8wb_register
+/* 1047 */    MCD_OPC_Decode, 219, 15, 196, 1, // Opcode: VST2q8wb_register
 /* 1052 */    MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 1094
 /* 1056 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1059 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1072
 /* 1063 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 1085
-/* 1067 */    MCD_OPC_Decode, 254, 15, 197, 1, // Opcode: VST2q16wb_fixed
+/* 1067 */    MCD_OPC_Decode, 206, 15, 196, 1, // Opcode: VST2q16wb_fixed
 /* 1072 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 1085
 /* 1076 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 1085
-/* 1080 */    MCD_OPC_Decode, 250, 15, 197, 1, // Opcode: VST2q16
+/* 1080 */    MCD_OPC_Decode, 202, 15, 196, 1, // Opcode: VST2q16
 /* 1085 */    MCD_OPC_CheckPredicate, 15, 177, 18, // Skip to: 5874
-/* 1089 */    MCD_OPC_Decode, 255, 15, 197, 1, // Opcode: VST2q16wb_register
+/* 1089 */    MCD_OPC_Decode, 207, 15, 196, 1, // Opcode: VST2q16wb_register
 /* 1094 */    MCD_OPC_FilterValue, 2, 168, 18, // Skip to: 5874
 /* 1098 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1101 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1114
 /* 1105 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 1127
-/* 1109 */    MCD_OPC_Decode, 132, 16, 197, 1, // Opcode: VST2q32wb_fixed
+/* 1109 */    MCD_OPC_Decode, 212, 15, 196, 1, // Opcode: VST2q32wb_fixed
 /* 1114 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 1127
 /* 1118 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 1127
-/* 1122 */    MCD_OPC_Decode, 128, 16, 197, 1, // Opcode: VST2q32
+/* 1122 */    MCD_OPC_Decode, 208, 15, 196, 1, // Opcode: VST2q32
 /* 1127 */    MCD_OPC_CheckPredicate, 15, 135, 18, // Skip to: 5874
-/* 1131 */    MCD_OPC_Decode, 133, 16, 197, 1, // Opcode: VST2q32wb_register
+/* 1131 */    MCD_OPC_Decode, 213, 15, 196, 1, // Opcode: VST2q32wb_register
 /* 1136 */    MCD_OPC_FilterValue, 233, 3, 125, 18, // Skip to: 5874
 /* 1141 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1156
 /* 1145 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1156
-/* 1151 */    MCD_OPC_Decode, 228, 16, 198, 1, // Opcode: VST4LNd8
+/* 1151 */    MCD_OPC_Decode, 180, 16, 197, 1, // Opcode: VST4LNd8
 /* 1156 */    MCD_OPC_CheckPredicate, 15, 106, 18, // Skip to: 5874
-/* 1160 */    MCD_OPC_Decode, 231, 16, 198, 1, // Opcode: VST4LNd8_UPD
+/* 1160 */    MCD_OPC_Decode, 183, 16, 197, 1, // Opcode: VST4LNd8_UPD
 /* 1165 */    MCD_OPC_FilterValue, 2, 97, 18, // Skip to: 5874
 /* 1169 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1172 */    MCD_OPC_FilterValue, 232, 3, 129, 0, // Skip to: 1306
@@ -5778,38 +5784,38 @@
 /* 1184 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1187 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1200
 /* 1191 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 1213
-/* 1195 */    MCD_OPC_Decode, 166, 8, 197, 1, // Opcode: VLD2q8wb_fixed
+/* 1195 */    MCD_OPC_Decode, 244, 7, 196, 1, // Opcode: VLD2q8wb_fixed
 /* 1200 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 1213
 /* 1204 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 1213
-/* 1208 */    MCD_OPC_Decode, 162, 8, 197, 1, // Opcode: VLD2q8
+/* 1208 */    MCD_OPC_Decode, 240, 7, 196, 1, // Opcode: VLD2q8
 /* 1213 */    MCD_OPC_CheckPredicate, 15, 49, 18, // Skip to: 5874
-/* 1217 */    MCD_OPC_Decode, 167, 8, 197, 1, // Opcode: VLD2q8wb_register
+/* 1217 */    MCD_OPC_Decode, 245, 7, 196, 1, // Opcode: VLD2q8wb_register
 /* 1222 */    MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 1264
 /* 1226 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1229 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1242
 /* 1233 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 1255
-/* 1237 */    MCD_OPC_Decode, 154, 8, 197, 1, // Opcode: VLD2q16wb_fixed
+/* 1237 */    MCD_OPC_Decode, 232, 7, 196, 1, // Opcode: VLD2q16wb_fixed
 /* 1242 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 1255
 /* 1246 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 1255
-/* 1250 */    MCD_OPC_Decode, 150, 8, 197, 1, // Opcode: VLD2q16
+/* 1250 */    MCD_OPC_Decode, 228, 7, 196, 1, // Opcode: VLD2q16
 /* 1255 */    MCD_OPC_CheckPredicate, 15, 7, 18, // Skip to: 5874
-/* 1259 */    MCD_OPC_Decode, 155, 8, 197, 1, // Opcode: VLD2q16wb_register
+/* 1259 */    MCD_OPC_Decode, 233, 7, 196, 1, // Opcode: VLD2q16wb_register
 /* 1264 */    MCD_OPC_FilterValue, 2, 254, 17, // Skip to: 5874
 /* 1268 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1271 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1284
 /* 1275 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 1297
-/* 1279 */    MCD_OPC_Decode, 160, 8, 197, 1, // Opcode: VLD2q32wb_fixed
+/* 1279 */    MCD_OPC_Decode, 238, 7, 196, 1, // Opcode: VLD2q32wb_fixed
 /* 1284 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 1297
 /* 1288 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 1297
-/* 1292 */    MCD_OPC_Decode, 156, 8, 197, 1, // Opcode: VLD2q32
+/* 1292 */    MCD_OPC_Decode, 234, 7, 196, 1, // Opcode: VLD2q32
 /* 1297 */    MCD_OPC_CheckPredicate, 15, 221, 17, // Skip to: 5874
-/* 1301 */    MCD_OPC_Decode, 161, 8, 197, 1, // Opcode: VLD2q32wb_register
+/* 1301 */    MCD_OPC_Decode, 239, 7, 196, 1, // Opcode: VLD2q32wb_register
 /* 1306 */    MCD_OPC_FilterValue, 233, 3, 211, 17, // Skip to: 5874
 /* 1311 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1326
 /* 1315 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1326
-/* 1321 */    MCD_OPC_Decode, 200, 9, 199, 1, // Opcode: VLD4LNd8
+/* 1321 */    MCD_OPC_Decode, 150, 9, 198, 1, // Opcode: VLD4LNd8
 /* 1326 */    MCD_OPC_CheckPredicate, 15, 192, 17, // Skip to: 5874
-/* 1330 */    MCD_OPC_Decode, 203, 9, 199, 1, // Opcode: VLD4LNd8_UPD
+/* 1330 */    MCD_OPC_Decode, 153, 9, 198, 1, // Opcode: VLD4LNd8_UPD
 /* 1335 */    MCD_OPC_FilterValue, 4, 16, 1, // Skip to: 1611
 /* 1339 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 1342 */    MCD_OPC_FilterValue, 0, 131, 0, // Skip to: 1477
@@ -5819,29 +5825,29 @@
 /* 1357 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 1385
 /* 1361 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1376
 /* 1365 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1376
-/* 1371 */    MCD_OPC_Decode, 183, 16, 200, 1, // Opcode: VST3d8
+/* 1371 */    MCD_OPC_Decode, 135, 16, 199, 1, // Opcode: VST3d8
 /* 1376 */    MCD_OPC_CheckPredicate, 15, 142, 17, // Skip to: 5874
-/* 1380 */    MCD_OPC_Decode, 186, 16, 200, 1, // Opcode: VST3d8_UPD
+/* 1380 */    MCD_OPC_Decode, 138, 16, 199, 1, // Opcode: VST3d8_UPD
 /* 1385 */    MCD_OPC_FilterValue, 2, 24, 0, // Skip to: 1413
 /* 1389 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1404
 /* 1393 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1404
-/* 1399 */    MCD_OPC_Decode, 175, 16, 200, 1, // Opcode: VST3d16
+/* 1399 */    MCD_OPC_Decode, 255, 15, 199, 1, // Opcode: VST3d16
 /* 1404 */    MCD_OPC_CheckPredicate, 15, 114, 17, // Skip to: 5874
-/* 1408 */    MCD_OPC_Decode, 178, 16, 200, 1, // Opcode: VST3d16_UPD
+/* 1408 */    MCD_OPC_Decode, 130, 16, 199, 1, // Opcode: VST3d16_UPD
 /* 1413 */    MCD_OPC_FilterValue, 4, 105, 17, // Skip to: 5874
 /* 1417 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1432
 /* 1421 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1432
-/* 1427 */    MCD_OPC_Decode, 179, 16, 200, 1, // Opcode: VST3d32
+/* 1427 */    MCD_OPC_Decode, 131, 16, 199, 1, // Opcode: VST3d32
 /* 1432 */    MCD_OPC_CheckPredicate, 15, 86, 17, // Skip to: 5874
-/* 1436 */    MCD_OPC_Decode, 182, 16, 200, 1, // Opcode: VST3d32_UPD
+/* 1436 */    MCD_OPC_Decode, 134, 16, 199, 1, // Opcode: VST3d32_UPD
 /* 1441 */    MCD_OPC_FilterValue, 233, 3, 76, 17, // Skip to: 5874
 /* 1446 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 1449 */    MCD_OPC_FilterValue, 0, 69, 17, // Skip to: 5874
 /* 1453 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1468
 /* 1457 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1468
-/* 1463 */    MCD_OPC_Decode, 250, 14, 190, 1, // Opcode: VST1LNd16
+/* 1463 */    MCD_OPC_Decode, 202, 14, 189, 1, // Opcode: VST1LNd16
 /* 1468 */    MCD_OPC_CheckPredicate, 15, 50, 17, // Skip to: 5874
-/* 1472 */    MCD_OPC_Decode, 251, 14, 190, 1, // Opcode: VST1LNd16_UPD
+/* 1472 */    MCD_OPC_Decode, 203, 14, 189, 1, // Opcode: VST1LNd16_UPD
 /* 1477 */    MCD_OPC_FilterValue, 2, 41, 17, // Skip to: 5874
 /* 1481 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1484 */    MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 1576
@@ -5849,28 +5855,28 @@
 /* 1492 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 1520
 /* 1496 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1511
 /* 1500 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1511
-/* 1506 */    MCD_OPC_Decode, 247, 8, 200, 1, // Opcode: VLD3d8
+/* 1506 */    MCD_OPC_Decode, 197, 8, 199, 1, // Opcode: VLD3d8
 /* 1511 */    MCD_OPC_CheckPredicate, 15, 7, 17, // Skip to: 5874
-/* 1515 */    MCD_OPC_Decode, 250, 8, 200, 1, // Opcode: VLD3d8_UPD
+/* 1515 */    MCD_OPC_Decode, 200, 8, 199, 1, // Opcode: VLD3d8_UPD
 /* 1520 */    MCD_OPC_FilterValue, 2, 24, 0, // Skip to: 1548
 /* 1524 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1539
 /* 1528 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1539
-/* 1534 */    MCD_OPC_Decode, 239, 8, 200, 1, // Opcode: VLD3d16
+/* 1534 */    MCD_OPC_Decode, 189, 8, 199, 1, // Opcode: VLD3d16
 /* 1539 */    MCD_OPC_CheckPredicate, 15, 235, 16, // Skip to: 5874
-/* 1543 */    MCD_OPC_Decode, 242, 8, 200, 1, // Opcode: VLD3d16_UPD
+/* 1543 */    MCD_OPC_Decode, 192, 8, 199, 1, // Opcode: VLD3d16_UPD
 /* 1548 */    MCD_OPC_FilterValue, 4, 226, 16, // Skip to: 5874
 /* 1552 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1567
 /* 1556 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1567
-/* 1562 */    MCD_OPC_Decode, 243, 8, 200, 1, // Opcode: VLD3d32
+/* 1562 */    MCD_OPC_Decode, 193, 8, 199, 1, // Opcode: VLD3d32
 /* 1567 */    MCD_OPC_CheckPredicate, 15, 207, 16, // Skip to: 5874
-/* 1571 */    MCD_OPC_Decode, 246, 8, 200, 1, // Opcode: VLD3d32_UPD
+/* 1571 */    MCD_OPC_Decode, 196, 8, 199, 1, // Opcode: VLD3d32_UPD
 /* 1576 */    MCD_OPC_FilterValue, 233, 3, 197, 16, // Skip to: 5874
 /* 1581 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1596
 /* 1585 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1596
-/* 1591 */    MCD_OPC_Decode, 132, 7, 191, 1, // Opcode: VLD1LNd16
+/* 1591 */    MCD_OPC_Decode, 210, 6, 190, 1, // Opcode: VLD1LNd16
 /* 1596 */    MCD_OPC_CheckPredicate, 15, 178, 16, // Skip to: 5874
 /* 1600 */    MCD_OPC_CheckField, 5, 1, 0, 172, 16, // Skip to: 5874
-/* 1606 */    MCD_OPC_Decode, 133, 7, 191, 1, // Opcode: VLD1LNd16_UPD
+/* 1606 */    MCD_OPC_Decode, 211, 6, 190, 1, // Opcode: VLD1LNd16_UPD
 /* 1611 */    MCD_OPC_FilterValue, 5, 89, 1, // Skip to: 1960
 /* 1615 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 1618 */    MCD_OPC_FilterValue, 0, 3, 1, // Skip to: 1881
@@ -5882,27 +5888,27 @@
 /* 1640 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 1668
 /* 1644 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1659
 /* 1648 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1659
-/* 1654 */    MCD_OPC_Decode, 206, 16, 200, 1, // Opcode: VST3q8
+/* 1654 */    MCD_OPC_Decode, 158, 16, 199, 1, // Opcode: VST3q8
 /* 1659 */    MCD_OPC_CheckPredicate, 15, 115, 16, // Skip to: 5874
-/* 1663 */    MCD_OPC_Decode, 208, 16, 200, 1, // Opcode: VST3q8_UPD
+/* 1663 */    MCD_OPC_Decode, 160, 16, 199, 1, // Opcode: VST3q8_UPD
 /* 1668 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 1696
 /* 1672 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1687
 /* 1676 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1687
-/* 1682 */    MCD_OPC_Decode, 196, 16, 200, 1, // Opcode: VST3q16
+/* 1682 */    MCD_OPC_Decode, 148, 16, 199, 1, // Opcode: VST3q16
 /* 1687 */    MCD_OPC_CheckPredicate, 15, 87, 16, // Skip to: 5874
-/* 1691 */    MCD_OPC_Decode, 198, 16, 200, 1, // Opcode: VST3q16_UPD
+/* 1691 */    MCD_OPC_Decode, 150, 16, 199, 1, // Opcode: VST3q16_UPD
 /* 1696 */    MCD_OPC_FilterValue, 2, 78, 16, // Skip to: 5874
 /* 1700 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1715
 /* 1704 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1715
-/* 1710 */    MCD_OPC_Decode, 201, 16, 200, 1, // Opcode: VST3q32
+/* 1710 */    MCD_OPC_Decode, 153, 16, 199, 1, // Opcode: VST3q32
 /* 1715 */    MCD_OPC_CheckPredicate, 15, 59, 16, // Skip to: 5874
-/* 1719 */    MCD_OPC_Decode, 203, 16, 200, 1, // Opcode: VST3q32_UPD
+/* 1719 */    MCD_OPC_Decode, 155, 16, 199, 1, // Opcode: VST3q32_UPD
 /* 1724 */    MCD_OPC_FilterValue, 233, 3, 49, 16, // Skip to: 5874
 /* 1729 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1744
 /* 1733 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1744
-/* 1739 */    MCD_OPC_Decode, 197, 15, 192, 1, // Opcode: VST2LNd16
+/* 1739 */    MCD_OPC_Decode, 149, 15, 191, 1, // Opcode: VST2LNd16
 /* 1744 */    MCD_OPC_CheckPredicate, 15, 30, 16, // Skip to: 5874
-/* 1748 */    MCD_OPC_Decode, 200, 15, 192, 1, // Opcode: VST2LNd16_UPD
+/* 1748 */    MCD_OPC_Decode, 152, 15, 191, 1, // Opcode: VST2LNd16_UPD
 /* 1753 */    MCD_OPC_FilterValue, 2, 21, 16, // Skip to: 5874
 /* 1757 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1760 */    MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 1852
@@ -5910,27 +5916,27 @@
 /* 1768 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 1796
 /* 1772 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1787
 /* 1776 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1787
-/* 1782 */    MCD_OPC_Decode, 142, 9, 200, 1, // Opcode: VLD3q8
+/* 1782 */    MCD_OPC_Decode, 220, 8, 199, 1, // Opcode: VLD3q8
 /* 1787 */    MCD_OPC_CheckPredicate, 15, 243, 15, // Skip to: 5874
-/* 1791 */    MCD_OPC_Decode, 144, 9, 200, 1, // Opcode: VLD3q8_UPD
+/* 1791 */    MCD_OPC_Decode, 222, 8, 199, 1, // Opcode: VLD3q8_UPD
 /* 1796 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 1824
 /* 1800 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1815
 /* 1804 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1815
-/* 1810 */    MCD_OPC_Decode, 132, 9, 200, 1, // Opcode: VLD3q16
+/* 1810 */    MCD_OPC_Decode, 210, 8, 199, 1, // Opcode: VLD3q16
 /* 1815 */    MCD_OPC_CheckPredicate, 15, 215, 15, // Skip to: 5874
-/* 1819 */    MCD_OPC_Decode, 134, 9, 200, 1, // Opcode: VLD3q16_UPD
+/* 1819 */    MCD_OPC_Decode, 212, 8, 199, 1, // Opcode: VLD3q16_UPD
 /* 1824 */    MCD_OPC_FilterValue, 2, 206, 15, // Skip to: 5874
 /* 1828 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1843
 /* 1832 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1843
-/* 1838 */    MCD_OPC_Decode, 137, 9, 200, 1, // Opcode: VLD3q32
+/* 1838 */    MCD_OPC_Decode, 215, 8, 199, 1, // Opcode: VLD3q32
 /* 1843 */    MCD_OPC_CheckPredicate, 15, 187, 15, // Skip to: 5874
-/* 1847 */    MCD_OPC_Decode, 139, 9, 200, 1, // Opcode: VLD3q32_UPD
+/* 1847 */    MCD_OPC_Decode, 217, 8, 199, 1, // Opcode: VLD3q32_UPD
 /* 1852 */    MCD_OPC_FilterValue, 233, 3, 177, 15, // Skip to: 5874
 /* 1857 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1872
 /* 1861 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1872
-/* 1867 */    MCD_OPC_Decode, 225, 7, 193, 1, // Opcode: VLD2LNd16
+/* 1867 */    MCD_OPC_Decode, 175, 7, 192, 1, // Opcode: VLD2LNd16
 /* 1872 */    MCD_OPC_CheckPredicate, 15, 158, 15, // Skip to: 5874
-/* 1876 */    MCD_OPC_Decode, 228, 7, 193, 1, // Opcode: VLD2LNd16_UPD
+/* 1876 */    MCD_OPC_Decode, 178, 7, 192, 1, // Opcode: VLD2LNd16_UPD
 /* 1881 */    MCD_OPC_FilterValue, 1, 149, 15, // Skip to: 5874
 /* 1885 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 1888 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 1924
@@ -5938,17 +5944,17 @@
 /* 1895 */    MCD_OPC_FilterValue, 233, 3, 134, 15, // Skip to: 5874
 /* 1900 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1915
 /* 1904 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1915
-/* 1910 */    MCD_OPC_Decode, 218, 15, 192, 1, // Opcode: VST2LNq16
+/* 1910 */    MCD_OPC_Decode, 170, 15, 191, 1, // Opcode: VST2LNq16
 /* 1915 */    MCD_OPC_CheckPredicate, 15, 115, 15, // Skip to: 5874
-/* 1919 */    MCD_OPC_Decode, 221, 15, 192, 1, // Opcode: VST2LNq16_UPD
+/* 1919 */    MCD_OPC_Decode, 173, 15, 191, 1, // Opcode: VST2LNq16_UPD
 /* 1924 */    MCD_OPC_FilterValue, 2, 106, 15, // Skip to: 5874
 /* 1928 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 1931 */    MCD_OPC_FilterValue, 233, 3, 98, 15, // Skip to: 5874
 /* 1936 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 1951
 /* 1940 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 1951
-/* 1946 */    MCD_OPC_Decode, 246, 7, 193, 1, // Opcode: VLD2LNq16
+/* 1946 */    MCD_OPC_Decode, 196, 7, 192, 1, // Opcode: VLD2LNq16
 /* 1951 */    MCD_OPC_CheckPredicate, 15, 79, 15, // Skip to: 5874
-/* 1955 */    MCD_OPC_Decode, 249, 7, 193, 1, // Opcode: VLD2LNq16_UPD
+/* 1955 */    MCD_OPC_Decode, 199, 7, 192, 1, // Opcode: VLD2LNq16_UPD
 /* 1960 */    MCD_OPC_FilterValue, 6, 31, 2, // Skip to: 2507
 /* 1964 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 1967 */    MCD_OPC_FilterValue, 0, 11, 1, // Skip to: 2238
@@ -5959,60 +5965,60 @@
 /* 1986 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 1989 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2002
 /* 1993 */    MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 2021
-/* 1997 */    MCD_OPC_Decode, 181, 15, 194, 1, // Opcode: VST1d8Twb_fixed
+/* 1997 */    MCD_OPC_Decode, 133, 15, 193, 1, // Opcode: VST1d8Twb_fixed
 /* 2002 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 2021
 /* 2006 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2021
 /* 2010 */    MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 2021
-/* 2016 */    MCD_OPC_Decode, 180, 15, 194, 1, // Opcode: VST1d8T
+/* 2016 */    MCD_OPC_Decode, 132, 15, 193, 1, // Opcode: VST1d8T
 /* 2021 */    MCD_OPC_CheckPredicate, 15, 9, 15, // Skip to: 5874
-/* 2025 */    MCD_OPC_Decode, 182, 15, 194, 1, // Opcode: VST1d8Twb_register
+/* 2025 */    MCD_OPC_Decode, 134, 15, 193, 1, // Opcode: VST1d8Twb_register
 /* 2030 */    MCD_OPC_FilterValue, 1, 44, 0, // Skip to: 2078
 /* 2034 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2037 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2050
 /* 2041 */    MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 2069
-/* 2045 */    MCD_OPC_Decode, 148, 15, 194, 1, // Opcode: VST1d16Twb_fixed
+/* 2045 */    MCD_OPC_Decode, 228, 14, 193, 1, // Opcode: VST1d16Twb_fixed
 /* 2050 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 2069
 /* 2054 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2069
 /* 2058 */    MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 2069
-/* 2064 */    MCD_OPC_Decode, 147, 15, 194, 1, // Opcode: VST1d16T
+/* 2064 */    MCD_OPC_Decode, 227, 14, 193, 1, // Opcode: VST1d16T
 /* 2069 */    MCD_OPC_CheckPredicate, 15, 217, 14, // Skip to: 5874
-/* 2073 */    MCD_OPC_Decode, 149, 15, 194, 1, // Opcode: VST1d16Twb_register
+/* 2073 */    MCD_OPC_Decode, 229, 14, 193, 1, // Opcode: VST1d16Twb_register
 /* 2078 */    MCD_OPC_FilterValue, 2, 44, 0, // Skip to: 2126
 /* 2082 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2085 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2098
 /* 2089 */    MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 2117
-/* 2093 */    MCD_OPC_Decode, 157, 15, 194, 1, // Opcode: VST1d32Twb_fixed
+/* 2093 */    MCD_OPC_Decode, 237, 14, 193, 1, // Opcode: VST1d32Twb_fixed
 /* 2098 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 2117
 /* 2102 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2117
 /* 2106 */    MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 2117
-/* 2112 */    MCD_OPC_Decode, 156, 15, 194, 1, // Opcode: VST1d32T
+/* 2112 */    MCD_OPC_Decode, 236, 14, 193, 1, // Opcode: VST1d32T
 /* 2117 */    MCD_OPC_CheckPredicate, 15, 169, 14, // Skip to: 5874
-/* 2121 */    MCD_OPC_Decode, 158, 15, 194, 1, // Opcode: VST1d32Twb_register
+/* 2121 */    MCD_OPC_Decode, 238, 14, 193, 1, // Opcode: VST1d32Twb_register
 /* 2126 */    MCD_OPC_FilterValue, 3, 160, 14, // Skip to: 5874
 /* 2130 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2133 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2146
 /* 2137 */    MCD_OPC_CheckPredicate, 15, 24, 0, // Skip to: 2165
-/* 2141 */    MCD_OPC_Decode, 172, 15, 194, 1, // Opcode: VST1d64Twb_fixed
+/* 2141 */    MCD_OPC_Decode, 252, 14, 193, 1, // Opcode: VST1d64Twb_fixed
 /* 2146 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 2165
 /* 2150 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2165
 /* 2154 */    MCD_OPC_CheckField, 5, 1, 0, 5, 0, // Skip to: 2165
-/* 2160 */    MCD_OPC_Decode, 168, 15, 194, 1, // Opcode: VST1d64T
+/* 2160 */    MCD_OPC_Decode, 248, 14, 193, 1, // Opcode: VST1d64T
 /* 2165 */    MCD_OPC_CheckPredicate, 15, 121, 14, // Skip to: 5874
-/* 2169 */    MCD_OPC_Decode, 173, 15, 194, 1, // Opcode: VST1d64Twb_register
+/* 2169 */    MCD_OPC_Decode, 253, 14, 193, 1, // Opcode: VST1d64Twb_register
 /* 2174 */    MCD_OPC_FilterValue, 233, 3, 111, 14, // Skip to: 5874
 /* 2179 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 2182 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 2210
 /* 2186 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2201
 /* 2190 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2201
-/* 2196 */    MCD_OPC_Decode, 140, 16, 195, 1, // Opcode: VST3LNd16
+/* 2196 */    MCD_OPC_Decode, 220, 15, 194, 1, // Opcode: VST3LNd16
 /* 2201 */    MCD_OPC_CheckPredicate, 15, 85, 14, // Skip to: 5874
-/* 2205 */    MCD_OPC_Decode, 143, 16, 195, 1, // Opcode: VST3LNd16_UPD
+/* 2205 */    MCD_OPC_Decode, 223, 15, 194, 1, // Opcode: VST3LNd16_UPD
 /* 2210 */    MCD_OPC_FilterValue, 2, 76, 14, // Skip to: 5874
 /* 2214 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2229
 /* 2218 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2229
-/* 2224 */    MCD_OPC_Decode, 161, 16, 195, 1, // Opcode: VST3LNq16
+/* 2224 */    MCD_OPC_Decode, 241, 15, 194, 1, // Opcode: VST3LNq16
 /* 2229 */    MCD_OPC_CheckPredicate, 15, 57, 14, // Skip to: 5874
-/* 2233 */    MCD_OPC_Decode, 164, 16, 195, 1, // Opcode: VST3LNq16_UPD
+/* 2233 */    MCD_OPC_Decode, 244, 15, 194, 1, // Opcode: VST3LNq16_UPD
 /* 2238 */    MCD_OPC_FilterValue, 2, 48, 14, // Skip to: 5874
 /* 2242 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 2245 */    MCD_OPC_FilterValue, 0, 215, 0, // Skip to: 2464
@@ -6023,50 +6029,50 @@
 /* 2264 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2267 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2280
 /* 2271 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2293
-/* 2275 */    MCD_OPC_Decode, 191, 7, 194, 1, // Opcode: VLD1d8Twb_fixed
+/* 2275 */    MCD_OPC_Decode, 141, 7, 193, 1, // Opcode: VLD1d8Twb_fixed
 /* 2280 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2293
 /* 2284 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2293
-/* 2288 */    MCD_OPC_Decode, 190, 7, 194, 1, // Opcode: VLD1d8T
+/* 2288 */    MCD_OPC_Decode, 140, 7, 193, 1, // Opcode: VLD1d8T
 /* 2293 */    MCD_OPC_CheckPredicate, 15, 249, 13, // Skip to: 5874
-/* 2297 */    MCD_OPC_Decode, 192, 7, 194, 1, // Opcode: VLD1d8Twb_register
+/* 2297 */    MCD_OPC_Decode, 142, 7, 193, 1, // Opcode: VLD1d8Twb_register
 /* 2302 */    MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 2344
 /* 2306 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2309 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2322
 /* 2313 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2335
-/* 2317 */    MCD_OPC_Decode, 158, 7, 194, 1, // Opcode: VLD1d16Twb_fixed
+/* 2317 */    MCD_OPC_Decode, 236, 6, 193, 1, // Opcode: VLD1d16Twb_fixed
 /* 2322 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2335
 /* 2326 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2335
-/* 2330 */    MCD_OPC_Decode, 157, 7, 194, 1, // Opcode: VLD1d16T
+/* 2330 */    MCD_OPC_Decode, 235, 6, 193, 1, // Opcode: VLD1d16T
 /* 2335 */    MCD_OPC_CheckPredicate, 15, 207, 13, // Skip to: 5874
-/* 2339 */    MCD_OPC_Decode, 159, 7, 194, 1, // Opcode: VLD1d16Twb_register
+/* 2339 */    MCD_OPC_Decode, 237, 6, 193, 1, // Opcode: VLD1d16Twb_register
 /* 2344 */    MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 2386
 /* 2348 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2351 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2364
 /* 2355 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2377
-/* 2359 */    MCD_OPC_Decode, 167, 7, 194, 1, // Opcode: VLD1d32Twb_fixed
+/* 2359 */    MCD_OPC_Decode, 245, 6, 193, 1, // Opcode: VLD1d32Twb_fixed
 /* 2364 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2377
 /* 2368 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2377
-/* 2372 */    MCD_OPC_Decode, 166, 7, 194, 1, // Opcode: VLD1d32T
+/* 2372 */    MCD_OPC_Decode, 244, 6, 193, 1, // Opcode: VLD1d32T
 /* 2377 */    MCD_OPC_CheckPredicate, 15, 165, 13, // Skip to: 5874
-/* 2381 */    MCD_OPC_Decode, 168, 7, 194, 1, // Opcode: VLD1d32Twb_register
+/* 2381 */    MCD_OPC_Decode, 246, 6, 193, 1, // Opcode: VLD1d32Twb_register
 /* 2386 */    MCD_OPC_FilterValue, 3, 156, 13, // Skip to: 5874
 /* 2390 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2393 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2406
 /* 2397 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2419
-/* 2401 */    MCD_OPC_Decode, 182, 7, 194, 1, // Opcode: VLD1d64Twb_fixed
+/* 2401 */    MCD_OPC_Decode, 132, 7, 193, 1, // Opcode: VLD1d64Twb_fixed
 /* 2406 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2419
 /* 2410 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2419
-/* 2414 */    MCD_OPC_Decode, 178, 7, 194, 1, // Opcode: VLD1d64T
+/* 2414 */    MCD_OPC_Decode, 128, 7, 193, 1, // Opcode: VLD1d64T
 /* 2419 */    MCD_OPC_CheckPredicate, 15, 123, 13, // Skip to: 5874
-/* 2423 */    MCD_OPC_Decode, 183, 7, 194, 1, // Opcode: VLD1d64Twb_register
+/* 2423 */    MCD_OPC_Decode, 133, 7, 193, 1, // Opcode: VLD1d64Twb_register
 /* 2428 */    MCD_OPC_FilterValue, 233, 3, 113, 13, // Skip to: 5874
 /* 2433 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 2436 */    MCD_OPC_FilterValue, 0, 106, 13, // Skip to: 5874
 /* 2440 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2455
 /* 2444 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2455
-/* 2450 */    MCD_OPC_Decode, 204, 8, 196, 1, // Opcode: VLD3LNd16
+/* 2450 */    MCD_OPC_Decode, 154, 8, 195, 1, // Opcode: VLD3LNd16
 /* 2455 */    MCD_OPC_CheckPredicate, 15, 87, 13, // Skip to: 5874
-/* 2459 */    MCD_OPC_Decode, 207, 8, 196, 1, // Opcode: VLD3LNd16_UPD
+/* 2459 */    MCD_OPC_Decode, 157, 8, 195, 1, // Opcode: VLD3LNd16_UPD
 /* 2464 */    MCD_OPC_FilterValue, 1, 78, 13, // Skip to: 5874
 /* 2468 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 2471 */    MCD_OPC_FilterValue, 0, 71, 13, // Skip to: 5874
@@ -6074,9 +6080,9 @@
 /* 2478 */    MCD_OPC_FilterValue, 233, 3, 63, 13, // Skip to: 5874
 /* 2483 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2498
 /* 2487 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2498
-/* 2493 */    MCD_OPC_Decode, 225, 8, 196, 1, // Opcode: VLD3LNq16
+/* 2493 */    MCD_OPC_Decode, 175, 8, 195, 1, // Opcode: VLD3LNq16
 /* 2498 */    MCD_OPC_CheckPredicate, 15, 44, 13, // Skip to: 5874
-/* 2502 */    MCD_OPC_Decode, 228, 8, 196, 1, // Opcode: VLD3LNq16_UPD
+/* 2502 */    MCD_OPC_Decode, 178, 8, 195, 1, // Opcode: VLD3LNq16_UPD
 /* 2507 */    MCD_OPC_FilterValue, 7, 1, 2, // Skip to: 3024
 /* 2511 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 2514 */    MCD_OPC_FilterValue, 0, 171, 1, // Skip to: 2945
@@ -6089,48 +6095,48 @@
 /* 2540 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2543 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2556
 /* 2547 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2569
-/* 2551 */    MCD_OPC_Decode, 183, 15, 194, 1, // Opcode: VST1d8wb_fixed
+/* 2551 */    MCD_OPC_Decode, 135, 15, 193, 1, // Opcode: VST1d8wb_fixed
 /* 2556 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2569
 /* 2560 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2569
-/* 2564 */    MCD_OPC_Decode, 176, 15, 194, 1, // Opcode: VST1d8
+/* 2564 */    MCD_OPC_Decode, 128, 15, 193, 1, // Opcode: VST1d8
 /* 2569 */    MCD_OPC_CheckPredicate, 15, 229, 12, // Skip to: 5874
-/* 2573 */    MCD_OPC_Decode, 184, 15, 194, 1, // Opcode: VST1d8wb_register
+/* 2573 */    MCD_OPC_Decode, 136, 15, 193, 1, // Opcode: VST1d8wb_register
 /* 2578 */    MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 2620
 /* 2582 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2585 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2598
 /* 2589 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2611
-/* 2593 */    MCD_OPC_Decode, 150, 15, 194, 1, // Opcode: VST1d16wb_fixed
+/* 2593 */    MCD_OPC_Decode, 230, 14, 193, 1, // Opcode: VST1d16wb_fixed
 /* 2598 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2611
 /* 2602 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2611
-/* 2606 */    MCD_OPC_Decode, 143, 15, 194, 1, // Opcode: VST1d16
+/* 2606 */    MCD_OPC_Decode, 223, 14, 193, 1, // Opcode: VST1d16
 /* 2611 */    MCD_OPC_CheckPredicate, 15, 187, 12, // Skip to: 5874
-/* 2615 */    MCD_OPC_Decode, 151, 15, 194, 1, // Opcode: VST1d16wb_register
+/* 2615 */    MCD_OPC_Decode, 231, 14, 193, 1, // Opcode: VST1d16wb_register
 /* 2620 */    MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 2662
 /* 2624 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2627 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2640
 /* 2631 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2653
-/* 2635 */    MCD_OPC_Decode, 159, 15, 194, 1, // Opcode: VST1d32wb_fixed
+/* 2635 */    MCD_OPC_Decode, 239, 14, 193, 1, // Opcode: VST1d32wb_fixed
 /* 2640 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2653
 /* 2644 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2653
-/* 2648 */    MCD_OPC_Decode, 152, 15, 194, 1, // Opcode: VST1d32
+/* 2648 */    MCD_OPC_Decode, 232, 14, 193, 1, // Opcode: VST1d32
 /* 2653 */    MCD_OPC_CheckPredicate, 15, 145, 12, // Skip to: 5874
-/* 2657 */    MCD_OPC_Decode, 160, 15, 194, 1, // Opcode: VST1d32wb_register
+/* 2657 */    MCD_OPC_Decode, 240, 14, 193, 1, // Opcode: VST1d32wb_register
 /* 2662 */    MCD_OPC_FilterValue, 3, 136, 12, // Skip to: 5874
 /* 2666 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2669 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2682
 /* 2673 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2695
-/* 2677 */    MCD_OPC_Decode, 174, 15, 194, 1, // Opcode: VST1d64wb_fixed
+/* 2677 */    MCD_OPC_Decode, 254, 14, 193, 1, // Opcode: VST1d64wb_fixed
 /* 2682 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2695
 /* 2686 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2695
-/* 2690 */    MCD_OPC_Decode, 161, 15, 194, 1, // Opcode: VST1d64
+/* 2690 */    MCD_OPC_Decode, 241, 14, 193, 1, // Opcode: VST1d64
 /* 2695 */    MCD_OPC_CheckPredicate, 15, 103, 12, // Skip to: 5874
-/* 2699 */    MCD_OPC_Decode, 175, 15, 194, 1, // Opcode: VST1d64wb_register
+/* 2699 */    MCD_OPC_Decode, 255, 14, 193, 1, // Opcode: VST1d64wb_register
 /* 2704 */    MCD_OPC_FilterValue, 233, 3, 93, 12, // Skip to: 5874
 /* 2709 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2724
 /* 2713 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2724
-/* 2719 */    MCD_OPC_Decode, 220, 16, 198, 1, // Opcode: VST4LNd16
+/* 2719 */    MCD_OPC_Decode, 172, 16, 197, 1, // Opcode: VST4LNd16
 /* 2724 */    MCD_OPC_CheckPredicate, 15, 74, 12, // Skip to: 5874
-/* 2728 */    MCD_OPC_Decode, 223, 16, 198, 1, // Opcode: VST4LNd16_UPD
+/* 2728 */    MCD_OPC_Decode, 175, 16, 197, 1, // Opcode: VST4LNd16_UPD
 /* 2733 */    MCD_OPC_FilterValue, 2, 65, 12, // Skip to: 5874
 /* 2737 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2740 */    MCD_OPC_FilterValue, 232, 3, 171, 0, // Skip to: 2916
@@ -6139,48 +6145,48 @@
 /* 2752 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2755 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2768
 /* 2759 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2781
-/* 2763 */    MCD_OPC_Decode, 193, 7, 194, 1, // Opcode: VLD1d8wb_fixed
+/* 2763 */    MCD_OPC_Decode, 143, 7, 193, 1, // Opcode: VLD1d8wb_fixed
 /* 2768 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2781
 /* 2772 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2781
-/* 2776 */    MCD_OPC_Decode, 186, 7, 194, 1, // Opcode: VLD1d8
+/* 2776 */    MCD_OPC_Decode, 136, 7, 193, 1, // Opcode: VLD1d8
 /* 2781 */    MCD_OPC_CheckPredicate, 15, 17, 12, // Skip to: 5874
-/* 2785 */    MCD_OPC_Decode, 194, 7, 194, 1, // Opcode: VLD1d8wb_register
+/* 2785 */    MCD_OPC_Decode, 144, 7, 193, 1, // Opcode: VLD1d8wb_register
 /* 2790 */    MCD_OPC_FilterValue, 1, 38, 0, // Skip to: 2832
 /* 2794 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2797 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2810
 /* 2801 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2823
-/* 2805 */    MCD_OPC_Decode, 160, 7, 194, 1, // Opcode: VLD1d16wb_fixed
+/* 2805 */    MCD_OPC_Decode, 238, 6, 193, 1, // Opcode: VLD1d16wb_fixed
 /* 2810 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2823
 /* 2814 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2823
-/* 2818 */    MCD_OPC_Decode, 153, 7, 194, 1, // Opcode: VLD1d16
+/* 2818 */    MCD_OPC_Decode, 231, 6, 193, 1, // Opcode: VLD1d16
 /* 2823 */    MCD_OPC_CheckPredicate, 15, 231, 11, // Skip to: 5874
-/* 2827 */    MCD_OPC_Decode, 161, 7, 194, 1, // Opcode: VLD1d16wb_register
+/* 2827 */    MCD_OPC_Decode, 239, 6, 193, 1, // Opcode: VLD1d16wb_register
 /* 2832 */    MCD_OPC_FilterValue, 2, 38, 0, // Skip to: 2874
 /* 2836 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2839 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2852
 /* 2843 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2865
-/* 2847 */    MCD_OPC_Decode, 169, 7, 194, 1, // Opcode: VLD1d32wb_fixed
+/* 2847 */    MCD_OPC_Decode, 247, 6, 193, 1, // Opcode: VLD1d32wb_fixed
 /* 2852 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2865
 /* 2856 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2865
-/* 2860 */    MCD_OPC_Decode, 162, 7, 194, 1, // Opcode: VLD1d32
+/* 2860 */    MCD_OPC_Decode, 240, 6, 193, 1, // Opcode: VLD1d32
 /* 2865 */    MCD_OPC_CheckPredicate, 15, 189, 11, // Skip to: 5874
-/* 2869 */    MCD_OPC_Decode, 170, 7, 194, 1, // Opcode: VLD1d32wb_register
+/* 2869 */    MCD_OPC_Decode, 248, 6, 193, 1, // Opcode: VLD1d32wb_register
 /* 2874 */    MCD_OPC_FilterValue, 3, 180, 11, // Skip to: 5874
 /* 2878 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 2881 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2894
 /* 2885 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 2907
-/* 2889 */    MCD_OPC_Decode, 184, 7, 194, 1, // Opcode: VLD1d64wb_fixed
+/* 2889 */    MCD_OPC_Decode, 134, 7, 193, 1, // Opcode: VLD1d64wb_fixed
 /* 2894 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 2907
 /* 2898 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 2907
-/* 2902 */    MCD_OPC_Decode, 171, 7, 194, 1, // Opcode: VLD1d64
+/* 2902 */    MCD_OPC_Decode, 249, 6, 193, 1, // Opcode: VLD1d64
 /* 2907 */    MCD_OPC_CheckPredicate, 15, 147, 11, // Skip to: 5874
-/* 2911 */    MCD_OPC_Decode, 185, 7, 194, 1, // Opcode: VLD1d64wb_register
+/* 2911 */    MCD_OPC_Decode, 135, 7, 193, 1, // Opcode: VLD1d64wb_register
 /* 2916 */    MCD_OPC_FilterValue, 233, 3, 137, 11, // Skip to: 5874
 /* 2921 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2936
 /* 2925 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2936
-/* 2931 */    MCD_OPC_Decode, 192, 9, 199, 1, // Opcode: VLD4LNd16
+/* 2931 */    MCD_OPC_Decode, 142, 9, 198, 1, // Opcode: VLD4LNd16
 /* 2936 */    MCD_OPC_CheckPredicate, 15, 118, 11, // Skip to: 5874
-/* 2940 */    MCD_OPC_Decode, 195, 9, 199, 1, // Opcode: VLD4LNd16_UPD
+/* 2940 */    MCD_OPC_Decode, 145, 9, 198, 1, // Opcode: VLD4LNd16_UPD
 /* 2945 */    MCD_OPC_FilterValue, 1, 109, 11, // Skip to: 5874
 /* 2949 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 2952 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 2988
@@ -6188,17 +6194,17 @@
 /* 2959 */    MCD_OPC_FilterValue, 233, 3, 94, 11, // Skip to: 5874
 /* 2964 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 2979
 /* 2968 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 2979
-/* 2974 */    MCD_OPC_Decode, 241, 16, 198, 1, // Opcode: VST4LNq16
+/* 2974 */    MCD_OPC_Decode, 193, 16, 197, 1, // Opcode: VST4LNq16
 /* 2979 */    MCD_OPC_CheckPredicate, 15, 75, 11, // Skip to: 5874
-/* 2983 */    MCD_OPC_Decode, 244, 16, 198, 1, // Opcode: VST4LNq16_UPD
+/* 2983 */    MCD_OPC_Decode, 196, 16, 197, 1, // Opcode: VST4LNq16_UPD
 /* 2988 */    MCD_OPC_FilterValue, 2, 66, 11, // Skip to: 5874
 /* 2992 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 2995 */    MCD_OPC_FilterValue, 233, 3, 58, 11, // Skip to: 5874
 /* 3000 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3015
 /* 3004 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3015
-/* 3010 */    MCD_OPC_Decode, 213, 9, 199, 1, // Opcode: VLD4LNq16
+/* 3010 */    MCD_OPC_Decode, 163, 9, 198, 1, // Opcode: VLD4LNq16
 /* 3015 */    MCD_OPC_CheckPredicate, 15, 39, 11, // Skip to: 5874
-/* 3019 */    MCD_OPC_Decode, 216, 9, 199, 1, // Opcode: VLD4LNq16_UPD
+/* 3019 */    MCD_OPC_Decode, 166, 9, 198, 1, // Opcode: VLD4LNq16_UPD
 /* 3024 */    MCD_OPC_FilterValue, 8, 131, 1, // Skip to: 3415
 /* 3028 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3031 */    MCD_OPC_FilterValue, 0, 3, 1, // Skip to: 3294
@@ -6211,28 +6217,28 @@
 /* 3057 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3060 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3073
 /* 3064 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3086
-/* 3068 */    MCD_OPC_Decode, 248, 15, 197, 1, // Opcode: VST2d8wb_fixed
+/* 3068 */    MCD_OPC_Decode, 200, 15, 196, 1, // Opcode: VST2d8wb_fixed
 /* 3073 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3086
 /* 3077 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3086
-/* 3081 */    MCD_OPC_Decode, 247, 15, 197, 1, // Opcode: VST2d8
+/* 3081 */    MCD_OPC_Decode, 199, 15, 196, 1, // Opcode: VST2d8
 /* 3086 */    MCD_OPC_CheckPredicate, 15, 224, 10, // Skip to: 5874
-/* 3090 */    MCD_OPC_Decode, 249, 15, 197, 1, // Opcode: VST2d8wb_register
+/* 3090 */    MCD_OPC_Decode, 201, 15, 196, 1, // Opcode: VST2d8wb_register
 /* 3095 */    MCD_OPC_FilterValue, 1, 215, 10, // Skip to: 5874
 /* 3099 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3102 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3115
 /* 3106 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3128
-/* 3110 */    MCD_OPC_Decode, 245, 15, 197, 1, // Opcode: VST2d32wb_fixed
+/* 3110 */    MCD_OPC_Decode, 197, 15, 196, 1, // Opcode: VST2d32wb_fixed
 /* 3115 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3128
 /* 3119 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3128
-/* 3123 */    MCD_OPC_Decode, 244, 15, 197, 1, // Opcode: VST2d32
+/* 3123 */    MCD_OPC_Decode, 196, 15, 196, 1, // Opcode: VST2d32
 /* 3128 */    MCD_OPC_CheckPredicate, 15, 182, 10, // Skip to: 5874
-/* 3132 */    MCD_OPC_Decode, 246, 15, 197, 1, // Opcode: VST2d32wb_register
+/* 3132 */    MCD_OPC_Decode, 198, 15, 196, 1, // Opcode: VST2d32wb_register
 /* 3137 */    MCD_OPC_FilterValue, 233, 3, 172, 10, // Skip to: 5874
 /* 3142 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3157
 /* 3146 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3157
-/* 3152 */    MCD_OPC_Decode, 252, 14, 190, 1, // Opcode: VST1LNd32
+/* 3152 */    MCD_OPC_Decode, 204, 14, 189, 1, // Opcode: VST1LNd32
 /* 3157 */    MCD_OPC_CheckPredicate, 15, 153, 10, // Skip to: 5874
-/* 3161 */    MCD_OPC_Decode, 253, 14, 190, 1, // Opcode: VST1LNd32_UPD
+/* 3161 */    MCD_OPC_Decode, 205, 14, 189, 1, // Opcode: VST1LNd32_UPD
 /* 3166 */    MCD_OPC_FilterValue, 2, 144, 10, // Skip to: 5874
 /* 3170 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3173 */    MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 3265
@@ -6241,28 +6247,28 @@
 /* 3185 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3188 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3201
 /* 3192 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3214
-/* 3196 */    MCD_OPC_Decode, 148, 8, 197, 1, // Opcode: VLD2d8wb_fixed
+/* 3196 */    MCD_OPC_Decode, 226, 7, 196, 1, // Opcode: VLD2d8wb_fixed
 /* 3201 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3214
 /* 3205 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3214
-/* 3209 */    MCD_OPC_Decode, 147, 8, 197, 1, // Opcode: VLD2d8
+/* 3209 */    MCD_OPC_Decode, 225, 7, 196, 1, // Opcode: VLD2d8
 /* 3214 */    MCD_OPC_CheckPredicate, 15, 96, 10, // Skip to: 5874
-/* 3218 */    MCD_OPC_Decode, 149, 8, 197, 1, // Opcode: VLD2d8wb_register
+/* 3218 */    MCD_OPC_Decode, 227, 7, 196, 1, // Opcode: VLD2d8wb_register
 /* 3223 */    MCD_OPC_FilterValue, 1, 87, 10, // Skip to: 5874
 /* 3227 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3230 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3243
 /* 3234 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3256
-/* 3238 */    MCD_OPC_Decode, 145, 8, 197, 1, // Opcode: VLD2d32wb_fixed
+/* 3238 */    MCD_OPC_Decode, 223, 7, 196, 1, // Opcode: VLD2d32wb_fixed
 /* 3243 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3256
 /* 3247 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3256
-/* 3251 */    MCD_OPC_Decode, 144, 8, 197, 1, // Opcode: VLD2d32
+/* 3251 */    MCD_OPC_Decode, 222, 7, 196, 1, // Opcode: VLD2d32
 /* 3256 */    MCD_OPC_CheckPredicate, 15, 54, 10, // Skip to: 5874
-/* 3260 */    MCD_OPC_Decode, 146, 8, 197, 1, // Opcode: VLD2d32wb_register
+/* 3260 */    MCD_OPC_Decode, 224, 7, 196, 1, // Opcode: VLD2d32wb_register
 /* 3265 */    MCD_OPC_FilterValue, 233, 3, 44, 10, // Skip to: 5874
 /* 3270 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3285
 /* 3274 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3285
-/* 3280 */    MCD_OPC_Decode, 134, 7, 191, 1, // Opcode: VLD1LNd32
+/* 3280 */    MCD_OPC_Decode, 212, 6, 190, 1, // Opcode: VLD1LNd32
 /* 3285 */    MCD_OPC_CheckPredicate, 15, 25, 10, // Skip to: 5874
-/* 3289 */    MCD_OPC_Decode, 135, 7, 191, 1, // Opcode: VLD1LNd32_UPD
+/* 3289 */    MCD_OPC_Decode, 213, 6, 190, 1, // Opcode: VLD1LNd32_UPD
 /* 3294 */    MCD_OPC_FilterValue, 1, 16, 10, // Skip to: 5874
 /* 3298 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 3301 */    MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 3358
@@ -6273,12 +6279,12 @@
 /* 3320 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3323 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3336
 /* 3327 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3349
-/* 3331 */    MCD_OPC_Decode, 242, 15, 197, 1, // Opcode: VST2d16wb_fixed
+/* 3331 */    MCD_OPC_Decode, 194, 15, 196, 1, // Opcode: VST2d16wb_fixed
 /* 3336 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3349
 /* 3340 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3349
-/* 3344 */    MCD_OPC_Decode, 241, 15, 197, 1, // Opcode: VST2d16
+/* 3344 */    MCD_OPC_Decode, 193, 15, 196, 1, // Opcode: VST2d16
 /* 3349 */    MCD_OPC_CheckPredicate, 15, 217, 9, // Skip to: 5874
-/* 3353 */    MCD_OPC_Decode, 243, 15, 197, 1, // Opcode: VST2d16wb_register
+/* 3353 */    MCD_OPC_Decode, 195, 15, 196, 1, // Opcode: VST2d16wb_register
 /* 3358 */    MCD_OPC_FilterValue, 2, 208, 9, // Skip to: 5874
 /* 3362 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
 /* 3365 */    MCD_OPC_FilterValue, 0, 201, 9, // Skip to: 5874
@@ -6287,12 +6293,12 @@
 /* 3377 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3380 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3393
 /* 3384 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3406
-/* 3388 */    MCD_OPC_Decode, 142, 8, 197, 1, // Opcode: VLD2d16wb_fixed
+/* 3388 */    MCD_OPC_Decode, 220, 7, 196, 1, // Opcode: VLD2d16wb_fixed
 /* 3393 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3406
 /* 3397 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3406
-/* 3401 */    MCD_OPC_Decode, 141, 8, 197, 1, // Opcode: VLD2d16
+/* 3401 */    MCD_OPC_Decode, 219, 7, 196, 1, // Opcode: VLD2d16
 /* 3406 */    MCD_OPC_CheckPredicate, 15, 160, 9, // Skip to: 5874
-/* 3410 */    MCD_OPC_Decode, 143, 8, 197, 1, // Opcode: VLD2d16wb_register
+/* 3410 */    MCD_OPC_Decode, 221, 7, 196, 1, // Opcode: VLD2d16wb_register
 /* 3415 */    MCD_OPC_FilterValue, 9, 217, 1, // Skip to: 3892
 /* 3419 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3422 */    MCD_OPC_FilterValue, 0, 17, 1, // Skip to: 3699
@@ -6305,30 +6311,30 @@
 /* 3448 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3451 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3464
 /* 3455 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3477
-/* 3459 */    MCD_OPC_Decode, 239, 15, 197, 1, // Opcode: VST2b8wb_fixed
+/* 3459 */    MCD_OPC_Decode, 191, 15, 196, 1, // Opcode: VST2b8wb_fixed
 /* 3464 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3477
 /* 3468 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3477
-/* 3472 */    MCD_OPC_Decode, 238, 15, 197, 1, // Opcode: VST2b8
+/* 3472 */    MCD_OPC_Decode, 190, 15, 196, 1, // Opcode: VST2b8
 /* 3477 */    MCD_OPC_CheckPredicate, 15, 89, 9, // Skip to: 5874
-/* 3481 */    MCD_OPC_Decode, 240, 15, 197, 1, // Opcode: VST2b8wb_register
+/* 3481 */    MCD_OPC_Decode, 192, 15, 196, 1, // Opcode: VST2b8wb_register
 /* 3486 */    MCD_OPC_FilterValue, 1, 80, 9, // Skip to: 5874
 /* 3490 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3493 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3506
 /* 3497 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3519
-/* 3501 */    MCD_OPC_Decode, 236, 15, 197, 1, // Opcode: VST2b32wb_fixed
+/* 3501 */    MCD_OPC_Decode, 188, 15, 196, 1, // Opcode: VST2b32wb_fixed
 /* 3506 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3519
 /* 3510 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3519
-/* 3514 */    MCD_OPC_Decode, 235, 15, 197, 1, // Opcode: VST2b32
+/* 3514 */    MCD_OPC_Decode, 187, 15, 196, 1, // Opcode: VST2b32
 /* 3519 */    MCD_OPC_CheckPredicate, 15, 47, 9, // Skip to: 5874
-/* 3523 */    MCD_OPC_Decode, 237, 15, 197, 1, // Opcode: VST2b32wb_register
+/* 3523 */    MCD_OPC_Decode, 189, 15, 196, 1, // Opcode: VST2b32wb_register
 /* 3528 */    MCD_OPC_FilterValue, 233, 3, 37, 9, // Skip to: 5874
 /* 3533 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 3536 */    MCD_OPC_FilterValue, 0, 30, 9, // Skip to: 5874
 /* 3540 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3555
 /* 3544 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3555
-/* 3550 */    MCD_OPC_Decode, 201, 15, 192, 1, // Opcode: VST2LNd32
+/* 3550 */    MCD_OPC_Decode, 153, 15, 191, 1, // Opcode: VST2LNd32
 /* 3555 */    MCD_OPC_CheckPredicate, 15, 11, 9, // Skip to: 5874
-/* 3559 */    MCD_OPC_Decode, 204, 15, 192, 1, // Opcode: VST2LNd32_UPD
+/* 3559 */    MCD_OPC_Decode, 156, 15, 191, 1, // Opcode: VST2LNd32_UPD
 /* 3564 */    MCD_OPC_FilterValue, 2, 2, 9, // Skip to: 5874
 /* 3568 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3571 */    MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 3663
@@ -6337,30 +6343,30 @@
 /* 3583 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3586 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3599
 /* 3590 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3612
-/* 3594 */    MCD_OPC_Decode, 139, 8, 197, 1, // Opcode: VLD2b8wb_fixed
+/* 3594 */    MCD_OPC_Decode, 217, 7, 196, 1, // Opcode: VLD2b8wb_fixed
 /* 3599 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3612
 /* 3603 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3612
-/* 3607 */    MCD_OPC_Decode, 138, 8, 197, 1, // Opcode: VLD2b8
+/* 3607 */    MCD_OPC_Decode, 216, 7, 196, 1, // Opcode: VLD2b8
 /* 3612 */    MCD_OPC_CheckPredicate, 15, 210, 8, // Skip to: 5874
-/* 3616 */    MCD_OPC_Decode, 140, 8, 197, 1, // Opcode: VLD2b8wb_register
+/* 3616 */    MCD_OPC_Decode, 218, 7, 196, 1, // Opcode: VLD2b8wb_register
 /* 3621 */    MCD_OPC_FilterValue, 1, 201, 8, // Skip to: 5874
 /* 3625 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3628 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3641
 /* 3632 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3654
-/* 3636 */    MCD_OPC_Decode, 136, 8, 197, 1, // Opcode: VLD2b32wb_fixed
+/* 3636 */    MCD_OPC_Decode, 214, 7, 196, 1, // Opcode: VLD2b32wb_fixed
 /* 3641 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3654
 /* 3645 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3654
-/* 3649 */    MCD_OPC_Decode, 135, 8, 197, 1, // Opcode: VLD2b32
+/* 3649 */    MCD_OPC_Decode, 213, 7, 196, 1, // Opcode: VLD2b32
 /* 3654 */    MCD_OPC_CheckPredicate, 15, 168, 8, // Skip to: 5874
-/* 3658 */    MCD_OPC_Decode, 137, 8, 197, 1, // Opcode: VLD2b32wb_register
+/* 3658 */    MCD_OPC_Decode, 215, 7, 196, 1, // Opcode: VLD2b32wb_register
 /* 3663 */    MCD_OPC_FilterValue, 233, 3, 158, 8, // Skip to: 5874
 /* 3668 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 3671 */    MCD_OPC_FilterValue, 0, 151, 8, // Skip to: 5874
 /* 3675 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3690
 /* 3679 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3690
-/* 3685 */    MCD_OPC_Decode, 229, 7, 193, 1, // Opcode: VLD2LNd32
+/* 3685 */    MCD_OPC_Decode, 179, 7, 192, 1, // Opcode: VLD2LNd32
 /* 3690 */    MCD_OPC_CheckPredicate, 15, 132, 8, // Skip to: 5874
-/* 3694 */    MCD_OPC_Decode, 232, 7, 193, 1, // Opcode: VLD2LNd32_UPD
+/* 3694 */    MCD_OPC_Decode, 182, 7, 192, 1, // Opcode: VLD2LNd32_UPD
 /* 3699 */    MCD_OPC_FilterValue, 1, 123, 8, // Skip to: 5874
 /* 3703 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 3706 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3799
@@ -6371,20 +6377,20 @@
 /* 3725 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3728 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3741
 /* 3732 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3754
-/* 3736 */    MCD_OPC_Decode, 233, 15, 197, 1, // Opcode: VST2b16wb_fixed
+/* 3736 */    MCD_OPC_Decode, 185, 15, 196, 1, // Opcode: VST2b16wb_fixed
 /* 3741 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3754
 /* 3745 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3754
-/* 3749 */    MCD_OPC_Decode, 232, 15, 197, 1, // Opcode: VST2b16
+/* 3749 */    MCD_OPC_Decode, 184, 15, 196, 1, // Opcode: VST2b16
 /* 3754 */    MCD_OPC_CheckPredicate, 15, 68, 8, // Skip to: 5874
-/* 3758 */    MCD_OPC_Decode, 234, 15, 197, 1, // Opcode: VST2b16wb_register
+/* 3758 */    MCD_OPC_Decode, 186, 15, 196, 1, // Opcode: VST2b16wb_register
 /* 3763 */    MCD_OPC_FilterValue, 233, 3, 58, 8, // Skip to: 5874
 /* 3768 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 3771 */    MCD_OPC_FilterValue, 0, 51, 8, // Skip to: 5874
 /* 3775 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3790
 /* 3779 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3790
-/* 3785 */    MCD_OPC_Decode, 222, 15, 192, 1, // Opcode: VST2LNq32
+/* 3785 */    MCD_OPC_Decode, 174, 15, 191, 1, // Opcode: VST2LNq32
 /* 3790 */    MCD_OPC_CheckPredicate, 15, 32, 8, // Skip to: 5874
-/* 3794 */    MCD_OPC_Decode, 225, 15, 192, 1, // Opcode: VST2LNq32_UPD
+/* 3794 */    MCD_OPC_Decode, 177, 15, 191, 1, // Opcode: VST2LNq32_UPD
 /* 3799 */    MCD_OPC_FilterValue, 2, 23, 8, // Skip to: 5874
 /* 3803 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 3806 */    MCD_OPC_FilterValue, 232, 3, 45, 0, // Skip to: 3856
@@ -6393,20 +6399,20 @@
 /* 3818 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3821 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3834
 /* 3825 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3847
-/* 3829 */    MCD_OPC_Decode, 133, 8, 197, 1, // Opcode: VLD2b16wb_fixed
+/* 3829 */    MCD_OPC_Decode, 211, 7, 196, 1, // Opcode: VLD2b16wb_fixed
 /* 3834 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3847
 /* 3838 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3847
-/* 3842 */    MCD_OPC_Decode, 132, 8, 197, 1, // Opcode: VLD2b16
+/* 3842 */    MCD_OPC_Decode, 210, 7, 196, 1, // Opcode: VLD2b16
 /* 3847 */    MCD_OPC_CheckPredicate, 15, 231, 7, // Skip to: 5874
-/* 3851 */    MCD_OPC_Decode, 134, 8, 197, 1, // Opcode: VLD2b16wb_register
+/* 3851 */    MCD_OPC_Decode, 212, 7, 196, 1, // Opcode: VLD2b16wb_register
 /* 3856 */    MCD_OPC_FilterValue, 233, 3, 221, 7, // Skip to: 5874
 /* 3861 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 3864 */    MCD_OPC_FilterValue, 0, 214, 7, // Skip to: 5874
 /* 3868 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 3883
 /* 3872 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 3883
-/* 3878 */    MCD_OPC_Decode, 250, 7, 193, 1, // Opcode: VLD2LNq32
+/* 3878 */    MCD_OPC_Decode, 200, 7, 192, 1, // Opcode: VLD2LNq32
 /* 3883 */    MCD_OPC_CheckPredicate, 15, 195, 7, // Skip to: 5874
-/* 3887 */    MCD_OPC_Decode, 253, 7, 193, 1, // Opcode: VLD2LNq32_UPD
+/* 3887 */    MCD_OPC_Decode, 203, 7, 192, 1, // Opcode: VLD2LNq32_UPD
 /* 3892 */    MCD_OPC_FilterValue, 10, 45, 2, // Skip to: 4453
 /* 3896 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 3899 */    MCD_OPC_FilterValue, 0, 17, 1, // Skip to: 4176
@@ -6419,30 +6425,30 @@
 /* 3925 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3928 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3941
 /* 3932 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3954
-/* 3936 */    MCD_OPC_Decode, 195, 15, 194, 1, // Opcode: VST1q8wb_fixed
+/* 3936 */    MCD_OPC_Decode, 147, 15, 193, 1, // Opcode: VST1q8wb_fixed
 /* 3941 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3954
 /* 3945 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3954
-/* 3949 */    MCD_OPC_Decode, 194, 15, 194, 1, // Opcode: VST1q8
+/* 3949 */    MCD_OPC_Decode, 146, 15, 193, 1, // Opcode: VST1q8
 /* 3954 */    MCD_OPC_CheckPredicate, 15, 124, 7, // Skip to: 5874
-/* 3958 */    MCD_OPC_Decode, 196, 15, 194, 1, // Opcode: VST1q8wb_register
+/* 3958 */    MCD_OPC_Decode, 148, 15, 193, 1, // Opcode: VST1q8wb_register
 /* 3963 */    MCD_OPC_FilterValue, 1, 115, 7, // Skip to: 5874
 /* 3967 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 3970 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 3983
 /* 3974 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 3996
-/* 3978 */    MCD_OPC_Decode, 189, 15, 194, 1, // Opcode: VST1q32wb_fixed
+/* 3978 */    MCD_OPC_Decode, 141, 15, 193, 1, // Opcode: VST1q32wb_fixed
 /* 3983 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 3996
 /* 3987 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 3996
-/* 3991 */    MCD_OPC_Decode, 188, 15, 194, 1, // Opcode: VST1q32
+/* 3991 */    MCD_OPC_Decode, 140, 15, 193, 1, // Opcode: VST1q32
 /* 3996 */    MCD_OPC_CheckPredicate, 15, 82, 7, // Skip to: 5874
-/* 4000 */    MCD_OPC_Decode, 190, 15, 194, 1, // Opcode: VST1q32wb_register
+/* 4000 */    MCD_OPC_Decode, 142, 15, 193, 1, // Opcode: VST1q32wb_register
 /* 4005 */    MCD_OPC_FilterValue, 233, 3, 72, 7, // Skip to: 5874
 /* 4010 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 4013 */    MCD_OPC_FilterValue, 0, 65, 7, // Skip to: 5874
 /* 4017 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4032
 /* 4021 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4032
-/* 4027 */    MCD_OPC_Decode, 144, 16, 195, 1, // Opcode: VST3LNd32
+/* 4027 */    MCD_OPC_Decode, 224, 15, 194, 1, // Opcode: VST3LNd32
 /* 4032 */    MCD_OPC_CheckPredicate, 15, 46, 7, // Skip to: 5874
-/* 4036 */    MCD_OPC_Decode, 147, 16, 195, 1, // Opcode: VST3LNd32_UPD
+/* 4036 */    MCD_OPC_Decode, 227, 15, 194, 1, // Opcode: VST3LNd32_UPD
 /* 4041 */    MCD_OPC_FilterValue, 2, 37, 7, // Skip to: 5874
 /* 4045 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4048 */    MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 4140
@@ -6451,30 +6457,30 @@
 /* 4060 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4063 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4076
 /* 4067 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4089
-/* 4071 */    MCD_OPC_Decode, 205, 7, 194, 1, // Opcode: VLD1q8wb_fixed
+/* 4071 */    MCD_OPC_Decode, 155, 7, 193, 1, // Opcode: VLD1q8wb_fixed
 /* 4076 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4089
 /* 4080 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4089
-/* 4084 */    MCD_OPC_Decode, 204, 7, 194, 1, // Opcode: VLD1q8
+/* 4084 */    MCD_OPC_Decode, 154, 7, 193, 1, // Opcode: VLD1q8
 /* 4089 */    MCD_OPC_CheckPredicate, 15, 245, 6, // Skip to: 5874
-/* 4093 */    MCD_OPC_Decode, 206, 7, 194, 1, // Opcode: VLD1q8wb_register
+/* 4093 */    MCD_OPC_Decode, 156, 7, 193, 1, // Opcode: VLD1q8wb_register
 /* 4098 */    MCD_OPC_FilterValue, 1, 236, 6, // Skip to: 5874
 /* 4102 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4105 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4118
 /* 4109 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4131
-/* 4113 */    MCD_OPC_Decode, 199, 7, 194, 1, // Opcode: VLD1q32wb_fixed
+/* 4113 */    MCD_OPC_Decode, 149, 7, 193, 1, // Opcode: VLD1q32wb_fixed
 /* 4118 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4131
 /* 4122 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4131
-/* 4126 */    MCD_OPC_Decode, 198, 7, 194, 1, // Opcode: VLD1q32
+/* 4126 */    MCD_OPC_Decode, 148, 7, 193, 1, // Opcode: VLD1q32
 /* 4131 */    MCD_OPC_CheckPredicate, 15, 203, 6, // Skip to: 5874
-/* 4135 */    MCD_OPC_Decode, 200, 7, 194, 1, // Opcode: VLD1q32wb_register
+/* 4135 */    MCD_OPC_Decode, 150, 7, 193, 1, // Opcode: VLD1q32wb_register
 /* 4140 */    MCD_OPC_FilterValue, 233, 3, 193, 6, // Skip to: 5874
 /* 4145 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 4148 */    MCD_OPC_FilterValue, 0, 186, 6, // Skip to: 5874
 /* 4152 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4167
 /* 4156 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4167
-/* 4162 */    MCD_OPC_Decode, 208, 8, 196, 1, // Opcode: VLD3LNd32
+/* 4162 */    MCD_OPC_Decode, 158, 8, 195, 1, // Opcode: VLD3LNd32
 /* 4167 */    MCD_OPC_CheckPredicate, 15, 167, 6, // Skip to: 5874
-/* 4171 */    MCD_OPC_Decode, 211, 8, 196, 1, // Opcode: VLD3LNd32_UPD
+/* 4171 */    MCD_OPC_Decode, 161, 8, 195, 1, // Opcode: VLD3LNd32_UPD
 /* 4176 */    MCD_OPC_FilterValue, 1, 158, 6, // Skip to: 5874
 /* 4180 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4183 */    MCD_OPC_FilterValue, 0, 131, 0, // Skip to: 4318
@@ -6485,30 +6491,30 @@
 /* 4202 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4205 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4218
 /* 4209 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4231
-/* 4213 */    MCD_OPC_Decode, 186, 15, 194, 1, // Opcode: VST1q16wb_fixed
+/* 4213 */    MCD_OPC_Decode, 138, 15, 193, 1, // Opcode: VST1q16wb_fixed
 /* 4218 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4231
 /* 4222 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4231
-/* 4226 */    MCD_OPC_Decode, 185, 15, 194, 1, // Opcode: VST1q16
+/* 4226 */    MCD_OPC_Decode, 137, 15, 193, 1, // Opcode: VST1q16
 /* 4231 */    MCD_OPC_CheckPredicate, 15, 103, 6, // Skip to: 5874
-/* 4235 */    MCD_OPC_Decode, 187, 15, 194, 1, // Opcode: VST1q16wb_register
+/* 4235 */    MCD_OPC_Decode, 139, 15, 193, 1, // Opcode: VST1q16wb_register
 /* 4240 */    MCD_OPC_FilterValue, 1, 94, 6, // Skip to: 5874
 /* 4244 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4247 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4260
 /* 4251 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4273
-/* 4255 */    MCD_OPC_Decode, 192, 15, 194, 1, // Opcode: VST1q64wb_fixed
+/* 4255 */    MCD_OPC_Decode, 144, 15, 193, 1, // Opcode: VST1q64wb_fixed
 /* 4260 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4273
 /* 4264 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4273
-/* 4268 */    MCD_OPC_Decode, 191, 15, 194, 1, // Opcode: VST1q64
+/* 4268 */    MCD_OPC_Decode, 143, 15, 193, 1, // Opcode: VST1q64
 /* 4273 */    MCD_OPC_CheckPredicate, 15, 61, 6, // Skip to: 5874
-/* 4277 */    MCD_OPC_Decode, 193, 15, 194, 1, // Opcode: VST1q64wb_register
+/* 4277 */    MCD_OPC_Decode, 145, 15, 193, 1, // Opcode: VST1q64wb_register
 /* 4282 */    MCD_OPC_FilterValue, 233, 3, 51, 6, // Skip to: 5874
 /* 4287 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 4290 */    MCD_OPC_FilterValue, 0, 44, 6, // Skip to: 5874
 /* 4294 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4309
 /* 4298 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4309
-/* 4304 */    MCD_OPC_Decode, 165, 16, 195, 1, // Opcode: VST3LNq32
+/* 4304 */    MCD_OPC_Decode, 245, 15, 194, 1, // Opcode: VST3LNq32
 /* 4309 */    MCD_OPC_CheckPredicate, 15, 25, 6, // Skip to: 5874
-/* 4313 */    MCD_OPC_Decode, 168, 16, 195, 1, // Opcode: VST3LNq32_UPD
+/* 4313 */    MCD_OPC_Decode, 248, 15, 194, 1, // Opcode: VST3LNq32_UPD
 /* 4318 */    MCD_OPC_FilterValue, 2, 16, 6, // Skip to: 5874
 /* 4322 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4325 */    MCD_OPC_FilterValue, 232, 3, 87, 0, // Skip to: 4417
@@ -6517,30 +6523,30 @@
 /* 4337 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4340 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4353
 /* 4344 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4366
-/* 4348 */    MCD_OPC_Decode, 196, 7, 194, 1, // Opcode: VLD1q16wb_fixed
+/* 4348 */    MCD_OPC_Decode, 146, 7, 193, 1, // Opcode: VLD1q16wb_fixed
 /* 4353 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4366
 /* 4357 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4366
-/* 4361 */    MCD_OPC_Decode, 195, 7, 194, 1, // Opcode: VLD1q16
+/* 4361 */    MCD_OPC_Decode, 145, 7, 193, 1, // Opcode: VLD1q16
 /* 4366 */    MCD_OPC_CheckPredicate, 15, 224, 5, // Skip to: 5874
-/* 4370 */    MCD_OPC_Decode, 197, 7, 194, 1, // Opcode: VLD1q16wb_register
+/* 4370 */    MCD_OPC_Decode, 147, 7, 193, 1, // Opcode: VLD1q16wb_register
 /* 4375 */    MCD_OPC_FilterValue, 1, 215, 5, // Skip to: 5874
 /* 4379 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4382 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4395
 /* 4386 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4408
-/* 4390 */    MCD_OPC_Decode, 202, 7, 194, 1, // Opcode: VLD1q64wb_fixed
+/* 4390 */    MCD_OPC_Decode, 152, 7, 193, 1, // Opcode: VLD1q64wb_fixed
 /* 4395 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4408
 /* 4399 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4408
-/* 4403 */    MCD_OPC_Decode, 201, 7, 194, 1, // Opcode: VLD1q64
+/* 4403 */    MCD_OPC_Decode, 151, 7, 193, 1, // Opcode: VLD1q64
 /* 4408 */    MCD_OPC_CheckPredicate, 15, 182, 5, // Skip to: 5874
-/* 4412 */    MCD_OPC_Decode, 203, 7, 194, 1, // Opcode: VLD1q64wb_register
+/* 4412 */    MCD_OPC_Decode, 153, 7, 193, 1, // Opcode: VLD1q64wb_register
 /* 4417 */    MCD_OPC_FilterValue, 233, 3, 172, 5, // Skip to: 5874
 /* 4422 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 4425 */    MCD_OPC_FilterValue, 0, 165, 5, // Skip to: 5874
 /* 4429 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4444
 /* 4433 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4444
-/* 4439 */    MCD_OPC_Decode, 229, 8, 196, 1, // Opcode: VLD3LNq32
+/* 4439 */    MCD_OPC_Decode, 179, 8, 195, 1, // Opcode: VLD3LNq32
 /* 4444 */    MCD_OPC_CheckPredicate, 15, 146, 5, // Skip to: 5874
-/* 4448 */    MCD_OPC_Decode, 232, 8, 196, 1, // Opcode: VLD3LNq32_UPD
+/* 4448 */    MCD_OPC_Decode, 182, 8, 195, 1, // Opcode: VLD3LNq32_UPD
 /* 4453 */    MCD_OPC_FilterValue, 11, 161, 0, // Skip to: 4618
 /* 4457 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 4460 */    MCD_OPC_FilterValue, 0, 75, 0, // Skip to: 4539
@@ -6550,17 +6556,17 @@
 /* 4474 */    MCD_OPC_FilterValue, 233, 3, 115, 5, // Skip to: 5874
 /* 4479 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4494
 /* 4483 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4494
-/* 4489 */    MCD_OPC_Decode, 224, 16, 198, 1, // Opcode: VST4LNd32
+/* 4489 */    MCD_OPC_Decode, 176, 16, 197, 1, // Opcode: VST4LNd32
 /* 4494 */    MCD_OPC_CheckPredicate, 15, 96, 5, // Skip to: 5874
-/* 4498 */    MCD_OPC_Decode, 227, 16, 198, 1, // Opcode: VST4LNd32_UPD
+/* 4498 */    MCD_OPC_Decode, 179, 16, 197, 1, // Opcode: VST4LNd32_UPD
 /* 4503 */    MCD_OPC_FilterValue, 2, 87, 5, // Skip to: 5874
 /* 4507 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4510 */    MCD_OPC_FilterValue, 233, 3, 79, 5, // Skip to: 5874
 /* 4515 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4530
 /* 4519 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4530
-/* 4525 */    MCD_OPC_Decode, 196, 9, 199, 1, // Opcode: VLD4LNd32
+/* 4525 */    MCD_OPC_Decode, 146, 9, 198, 1, // Opcode: VLD4LNd32
 /* 4530 */    MCD_OPC_CheckPredicate, 15, 60, 5, // Skip to: 5874
-/* 4534 */    MCD_OPC_Decode, 199, 9, 199, 1, // Opcode: VLD4LNd32_UPD
+/* 4534 */    MCD_OPC_Decode, 149, 9, 198, 1, // Opcode: VLD4LNd32_UPD
 /* 4539 */    MCD_OPC_FilterValue, 1, 51, 5, // Skip to: 5874
 /* 4543 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4546 */    MCD_OPC_FilterValue, 0, 32, 0, // Skip to: 4582
@@ -6568,17 +6574,17 @@
 /* 4553 */    MCD_OPC_FilterValue, 233, 3, 36, 5, // Skip to: 5874
 /* 4558 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4573
 /* 4562 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4573
-/* 4568 */    MCD_OPC_Decode, 245, 16, 198, 1, // Opcode: VST4LNq32
+/* 4568 */    MCD_OPC_Decode, 197, 16, 197, 1, // Opcode: VST4LNq32
 /* 4573 */    MCD_OPC_CheckPredicate, 15, 17, 5, // Skip to: 5874
-/* 4577 */    MCD_OPC_Decode, 248, 16, 198, 1, // Opcode: VST4LNq32_UPD
+/* 4577 */    MCD_OPC_Decode, 200, 16, 197, 1, // Opcode: VST4LNq32_UPD
 /* 4582 */    MCD_OPC_FilterValue, 2, 8, 5, // Skip to: 5874
 /* 4586 */    MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 4589 */    MCD_OPC_FilterValue, 233, 3, 0, 5, // Skip to: 5874
 /* 4594 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 4609
 /* 4598 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 4609
-/* 4604 */    MCD_OPC_Decode, 217, 9, 199, 1, // Opcode: VLD4LNq32
+/* 4604 */    MCD_OPC_Decode, 167, 9, 198, 1, // Opcode: VLD4LNq32
 /* 4609 */    MCD_OPC_CheckPredicate, 15, 237, 4, // Skip to: 5874
-/* 4613 */    MCD_OPC_Decode, 220, 9, 199, 1, // Opcode: VLD4LNq32_UPD
+/* 4613 */    MCD_OPC_Decode, 170, 9, 198, 1, // Opcode: VLD4LNq32_UPD
 /* 4618 */    MCD_OPC_FilterValue, 12, 89, 1, // Skip to: 4967
 /* 4622 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
 /* 4625 */    MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 4682
@@ -6589,12 +6595,12 @@
 /* 4644 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4647 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4660
 /* 4651 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4673
-/* 4655 */    MCD_OPC_Decode, 249, 6, 201, 1, // Opcode: VLD1DUPd8wb_fixed
+/* 4655 */    MCD_OPC_Decode, 199, 6, 200, 1, // Opcode: VLD1DUPd8wb_fixed
 /* 4660 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4673
 /* 4664 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4673
-/* 4668 */    MCD_OPC_Decode, 248, 6, 201, 1, // Opcode: VLD1DUPd8
+/* 4668 */    MCD_OPC_Decode, 198, 6, 200, 1, // Opcode: VLD1DUPd8
 /* 4673 */    MCD_OPC_CheckPredicate, 15, 173, 4, // Skip to: 5874
-/* 4677 */    MCD_OPC_Decode, 250, 6, 201, 1, // Opcode: VLD1DUPd8wb_register
+/* 4677 */    MCD_OPC_Decode, 200, 6, 200, 1, // Opcode: VLD1DUPd8wb_register
 /* 4682 */    MCD_OPC_FilterValue, 1, 53, 0, // Skip to: 4739
 /* 4686 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4689 */    MCD_OPC_FilterValue, 2, 157, 4, // Skip to: 5874
@@ -6603,12 +6609,12 @@
 /* 4701 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4704 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4717
 /* 4708 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4730
-/* 4712 */    MCD_OPC_Decode, 130, 7, 201, 1, // Opcode: VLD1DUPq8wb_fixed
+/* 4712 */    MCD_OPC_Decode, 208, 6, 200, 1, // Opcode: VLD1DUPq8wb_fixed
 /* 4717 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4730
 /* 4721 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4730
-/* 4725 */    MCD_OPC_Decode, 129, 7, 201, 1, // Opcode: VLD1DUPq8
+/* 4725 */    MCD_OPC_Decode, 207, 6, 200, 1, // Opcode: VLD1DUPq8
 /* 4730 */    MCD_OPC_CheckPredicate, 15, 116, 4, // Skip to: 5874
-/* 4734 */    MCD_OPC_Decode, 131, 7, 201, 1, // Opcode: VLD1DUPq8wb_register
+/* 4734 */    MCD_OPC_Decode, 209, 6, 200, 1, // Opcode: VLD1DUPq8wb_register
 /* 4739 */    MCD_OPC_FilterValue, 2, 53, 0, // Skip to: 4796
 /* 4743 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4746 */    MCD_OPC_FilterValue, 2, 100, 4, // Skip to: 5874
@@ -6617,12 +6623,12 @@
 /* 4758 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4761 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4774
 /* 4765 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4787
-/* 4769 */    MCD_OPC_Decode, 243, 6, 201, 1, // Opcode: VLD1DUPd16wb_fixed
+/* 4769 */    MCD_OPC_Decode, 193, 6, 200, 1, // Opcode: VLD1DUPd16wb_fixed
 /* 4774 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4787
 /* 4778 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4787
-/* 4782 */    MCD_OPC_Decode, 242, 6, 201, 1, // Opcode: VLD1DUPd16
+/* 4782 */    MCD_OPC_Decode, 192, 6, 200, 1, // Opcode: VLD1DUPd16
 /* 4787 */    MCD_OPC_CheckPredicate, 15, 59, 4, // Skip to: 5874
-/* 4791 */    MCD_OPC_Decode, 244, 6, 201, 1, // Opcode: VLD1DUPd16wb_register
+/* 4791 */    MCD_OPC_Decode, 194, 6, 200, 1, // Opcode: VLD1DUPd16wb_register
 /* 4796 */    MCD_OPC_FilterValue, 3, 53, 0, // Skip to: 4853
 /* 4800 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4803 */    MCD_OPC_FilterValue, 2, 43, 4, // Skip to: 5874
@@ -6631,12 +6637,12 @@
 /* 4815 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4818 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4831
 /* 4822 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4844
-/* 4826 */    MCD_OPC_Decode, 252, 6, 201, 1, // Opcode: VLD1DUPq16wb_fixed
+/* 4826 */    MCD_OPC_Decode, 202, 6, 200, 1, // Opcode: VLD1DUPq16wb_fixed
 /* 4831 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4844
 /* 4835 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4844
-/* 4839 */    MCD_OPC_Decode, 251, 6, 201, 1, // Opcode: VLD1DUPq16
+/* 4839 */    MCD_OPC_Decode, 201, 6, 200, 1, // Opcode: VLD1DUPq16
 /* 4844 */    MCD_OPC_CheckPredicate, 15, 2, 4, // Skip to: 5874
-/* 4848 */    MCD_OPC_Decode, 253, 6, 201, 1, // Opcode: VLD1DUPq16wb_register
+/* 4848 */    MCD_OPC_Decode, 203, 6, 200, 1, // Opcode: VLD1DUPq16wb_register
 /* 4853 */    MCD_OPC_FilterValue, 4, 53, 0, // Skip to: 4910
 /* 4857 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4860 */    MCD_OPC_FilterValue, 2, 242, 3, // Skip to: 5874
@@ -6645,12 +6651,12 @@
 /* 4872 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4875 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4888
 /* 4879 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4901
-/* 4883 */    MCD_OPC_Decode, 246, 6, 201, 1, // Opcode: VLD1DUPd32wb_fixed
+/* 4883 */    MCD_OPC_Decode, 196, 6, 200, 1, // Opcode: VLD1DUPd32wb_fixed
 /* 4888 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4901
 /* 4892 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4901
-/* 4896 */    MCD_OPC_Decode, 245, 6, 201, 1, // Opcode: VLD1DUPd32
+/* 4896 */    MCD_OPC_Decode, 195, 6, 200, 1, // Opcode: VLD1DUPd32
 /* 4901 */    MCD_OPC_CheckPredicate, 15, 201, 3, // Skip to: 5874
-/* 4905 */    MCD_OPC_Decode, 247, 6, 201, 1, // Opcode: VLD1DUPd32wb_register
+/* 4905 */    MCD_OPC_Decode, 197, 6, 200, 1, // Opcode: VLD1DUPd32wb_register
 /* 4910 */    MCD_OPC_FilterValue, 5, 192, 3, // Skip to: 5874
 /* 4914 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 4917 */    MCD_OPC_FilterValue, 2, 185, 3, // Skip to: 5874
@@ -6659,12 +6665,12 @@
 /* 4929 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4932 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 4945
 /* 4936 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 4958
-/* 4940 */    MCD_OPC_Decode, 255, 6, 201, 1, // Opcode: VLD1DUPq32wb_fixed
+/* 4940 */    MCD_OPC_Decode, 205, 6, 200, 1, // Opcode: VLD1DUPq32wb_fixed
 /* 4945 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 4958
 /* 4949 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 4958
-/* 4953 */    MCD_OPC_Decode, 254, 6, 201, 1, // Opcode: VLD1DUPq32
+/* 4953 */    MCD_OPC_Decode, 204, 6, 200, 1, // Opcode: VLD1DUPq32
 /* 4958 */    MCD_OPC_CheckPredicate, 15, 144, 3, // Skip to: 5874
-/* 4962 */    MCD_OPC_Decode, 128, 7, 201, 1, // Opcode: VLD1DUPq32wb_register
+/* 4962 */    MCD_OPC_Decode, 206, 6, 200, 1, // Opcode: VLD1DUPq32wb_register
 /* 4967 */    MCD_OPC_FilterValue, 13, 89, 1, // Skip to: 5316
 /* 4971 */    MCD_OPC_ExtractField, 5, 3,  // Inst{7-5} ...
 /* 4974 */    MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 5031
@@ -6675,12 +6681,12 @@
 /* 4993 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 4996 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 5009
 /* 5000 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 5022
-/* 5004 */    MCD_OPC_Decode, 220, 7, 202, 1, // Opcode: VLD2DUPd8wb_fixed
+/* 5004 */    MCD_OPC_Decode, 170, 7, 201, 1, // Opcode: VLD2DUPd8wb_fixed
 /* 5009 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 5022
 /* 5013 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5022
-/* 5017 */    MCD_OPC_Decode, 219, 7, 202, 1, // Opcode: VLD2DUPd8
+/* 5017 */    MCD_OPC_Decode, 169, 7, 201, 1, // Opcode: VLD2DUPd8
 /* 5022 */    MCD_OPC_CheckPredicate, 15, 80, 3, // Skip to: 5874
-/* 5026 */    MCD_OPC_Decode, 221, 7, 202, 1, // Opcode: VLD2DUPd8wb_register
+/* 5026 */    MCD_OPC_Decode, 171, 7, 201, 1, // Opcode: VLD2DUPd8wb_register
 /* 5031 */    MCD_OPC_FilterValue, 1, 53, 0, // Skip to: 5088
 /* 5035 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5038 */    MCD_OPC_FilterValue, 2, 64, 3, // Skip to: 5874
@@ -6689,12 +6695,12 @@
 /* 5050 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 5053 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 5066
 /* 5057 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 5079
-/* 5061 */    MCD_OPC_Decode, 223, 7, 202, 1, // Opcode: VLD2DUPd8x2wb_fixed
+/* 5061 */    MCD_OPC_Decode, 173, 7, 201, 1, // Opcode: VLD2DUPd8x2wb_fixed
 /* 5066 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 5079
 /* 5070 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5079
-/* 5074 */    MCD_OPC_Decode, 222, 7, 202, 1, // Opcode: VLD2DUPd8x2
+/* 5074 */    MCD_OPC_Decode, 172, 7, 201, 1, // Opcode: VLD2DUPd8x2
 /* 5079 */    MCD_OPC_CheckPredicate, 15, 23, 3, // Skip to: 5874
-/* 5083 */    MCD_OPC_Decode, 224, 7, 202, 1, // Opcode: VLD2DUPd8x2wb_register
+/* 5083 */    MCD_OPC_Decode, 174, 7, 201, 1, // Opcode: VLD2DUPd8x2wb_register
 /* 5088 */    MCD_OPC_FilterValue, 2, 53, 0, // Skip to: 5145
 /* 5092 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5095 */    MCD_OPC_FilterValue, 2, 7, 3, // Skip to: 5874
@@ -6703,12 +6709,12 @@
 /* 5107 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 5110 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 5123
 /* 5114 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 5136
-/* 5118 */    MCD_OPC_Decode, 208, 7, 202, 1, // Opcode: VLD2DUPd16wb_fixed
+/* 5118 */    MCD_OPC_Decode, 158, 7, 201, 1, // Opcode: VLD2DUPd16wb_fixed
 /* 5123 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 5136
 /* 5127 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5136
-/* 5131 */    MCD_OPC_Decode, 207, 7, 202, 1, // Opcode: VLD2DUPd16
+/* 5131 */    MCD_OPC_Decode, 157, 7, 201, 1, // Opcode: VLD2DUPd16
 /* 5136 */    MCD_OPC_CheckPredicate, 15, 222, 2, // Skip to: 5874
-/* 5140 */    MCD_OPC_Decode, 209, 7, 202, 1, // Opcode: VLD2DUPd16wb_register
+/* 5140 */    MCD_OPC_Decode, 159, 7, 201, 1, // Opcode: VLD2DUPd16wb_register
 /* 5145 */    MCD_OPC_FilterValue, 3, 53, 0, // Skip to: 5202
 /* 5149 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5152 */    MCD_OPC_FilterValue, 2, 206, 2, // Skip to: 5874
@@ -6717,12 +6723,12 @@
 /* 5164 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 5167 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 5180
 /* 5171 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 5193
-/* 5175 */    MCD_OPC_Decode, 211, 7, 202, 1, // Opcode: VLD2DUPd16x2wb_fixed
+/* 5175 */    MCD_OPC_Decode, 161, 7, 201, 1, // Opcode: VLD2DUPd16x2wb_fixed
 /* 5180 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 5193
 /* 5184 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5193
-/* 5188 */    MCD_OPC_Decode, 210, 7, 202, 1, // Opcode: VLD2DUPd16x2
+/* 5188 */    MCD_OPC_Decode, 160, 7, 201, 1, // Opcode: VLD2DUPd16x2
 /* 5193 */    MCD_OPC_CheckPredicate, 15, 165, 2, // Skip to: 5874
-/* 5197 */    MCD_OPC_Decode, 212, 7, 202, 1, // Opcode: VLD2DUPd16x2wb_register
+/* 5197 */    MCD_OPC_Decode, 162, 7, 201, 1, // Opcode: VLD2DUPd16x2wb_register
 /* 5202 */    MCD_OPC_FilterValue, 4, 53, 0, // Skip to: 5259
 /* 5206 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5209 */    MCD_OPC_FilterValue, 2, 149, 2, // Skip to: 5874
@@ -6731,12 +6737,12 @@
 /* 5221 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 5224 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 5237
 /* 5228 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 5250
-/* 5232 */    MCD_OPC_Decode, 214, 7, 202, 1, // Opcode: VLD2DUPd32wb_fixed
+/* 5232 */    MCD_OPC_Decode, 164, 7, 201, 1, // Opcode: VLD2DUPd32wb_fixed
 /* 5237 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 5250
 /* 5241 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5250
-/* 5245 */    MCD_OPC_Decode, 213, 7, 202, 1, // Opcode: VLD2DUPd32
+/* 5245 */    MCD_OPC_Decode, 163, 7, 201, 1, // Opcode: VLD2DUPd32
 /* 5250 */    MCD_OPC_CheckPredicate, 15, 108, 2, // Skip to: 5874
-/* 5254 */    MCD_OPC_Decode, 215, 7, 202, 1, // Opcode: VLD2DUPd32wb_register
+/* 5254 */    MCD_OPC_Decode, 165, 7, 201, 1, // Opcode: VLD2DUPd32wb_register
 /* 5259 */    MCD_OPC_FilterValue, 5, 99, 2, // Skip to: 5874
 /* 5263 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5266 */    MCD_OPC_FilterValue, 2, 92, 2, // Skip to: 5874
@@ -6745,12 +6751,12 @@
 /* 5278 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
 /* 5281 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 5294
 /* 5285 */    MCD_OPC_CheckPredicate, 15, 18, 0, // Skip to: 5307
-/* 5289 */    MCD_OPC_Decode, 217, 7, 202, 1, // Opcode: VLD2DUPd32x2wb_fixed
+/* 5289 */    MCD_OPC_Decode, 167, 7, 201, 1, // Opcode: VLD2DUPd32x2wb_fixed
 /* 5294 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 5307
 /* 5298 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5307
-/* 5302 */    MCD_OPC_Decode, 216, 7, 202, 1, // Opcode: VLD2DUPd32x2
+/* 5302 */    MCD_OPC_Decode, 166, 7, 201, 1, // Opcode: VLD2DUPd32x2
 /* 5307 */    MCD_OPC_CheckPredicate, 15, 51, 2, // Skip to: 5874
-/* 5311 */    MCD_OPC_Decode, 218, 7, 202, 1, // Opcode: VLD2DUPd32x2wb_register
+/* 5311 */    MCD_OPC_Decode, 168, 7, 201, 1, // Opcode: VLD2DUPd32x2wb_register
 /* 5316 */    MCD_OPC_FilterValue, 14, 5, 1, // Skip to: 5581
 /* 5320 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
 /* 5323 */    MCD_OPC_FilterValue, 0, 39, 0, // Skip to: 5366
@@ -6760,9 +6766,9 @@
 /* 5337 */    MCD_OPC_FilterValue, 233, 3, 20, 2, // Skip to: 5874
 /* 5342 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5357
 /* 5346 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5357
-/* 5352 */    MCD_OPC_Decode, 176, 8, 203, 1, // Opcode: VLD3DUPd8
+/* 5352 */    MCD_OPC_Decode, 254, 7, 202, 1, // Opcode: VLD3DUPd8
 /* 5357 */    MCD_OPC_CheckPredicate, 15, 1, 2, // Skip to: 5874
-/* 5361 */    MCD_OPC_Decode, 179, 8, 203, 1, // Opcode: VLD3DUPd8_UPD
+/* 5361 */    MCD_OPC_Decode, 129, 8, 202, 1, // Opcode: VLD3DUPd8_UPD
 /* 5366 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 5409
 /* 5370 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5373 */    MCD_OPC_FilterValue, 2, 241, 1, // Skip to: 5874
@@ -6770,9 +6776,9 @@
 /* 5380 */    MCD_OPC_FilterValue, 233, 3, 233, 1, // Skip to: 5874
 /* 5385 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5400
 /* 5389 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5400
-/* 5395 */    MCD_OPC_Decode, 193, 8, 203, 1, // Opcode: VLD3DUPq8
+/* 5395 */    MCD_OPC_Decode, 143, 8, 202, 1, // Opcode: VLD3DUPq8
 /* 5400 */    MCD_OPC_CheckPredicate, 15, 214, 1, // Skip to: 5874
-/* 5404 */    MCD_OPC_Decode, 194, 8, 203, 1, // Opcode: VLD3DUPq8_UPD
+/* 5404 */    MCD_OPC_Decode, 144, 8, 202, 1, // Opcode: VLD3DUPq8_UPD
 /* 5409 */    MCD_OPC_FilterValue, 4, 39, 0, // Skip to: 5452
 /* 5413 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5416 */    MCD_OPC_FilterValue, 2, 198, 1, // Skip to: 5874
@@ -6780,9 +6786,9 @@
 /* 5423 */    MCD_OPC_FilterValue, 233, 3, 190, 1, // Skip to: 5874
 /* 5428 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5443
 /* 5432 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5443
-/* 5438 */    MCD_OPC_Decode, 168, 8, 203, 1, // Opcode: VLD3DUPd16
+/* 5438 */    MCD_OPC_Decode, 246, 7, 202, 1, // Opcode: VLD3DUPd16
 /* 5443 */    MCD_OPC_CheckPredicate, 15, 171, 1, // Skip to: 5874
-/* 5447 */    MCD_OPC_Decode, 171, 8, 203, 1, // Opcode: VLD3DUPd16_UPD
+/* 5447 */    MCD_OPC_Decode, 249, 7, 202, 1, // Opcode: VLD3DUPd16_UPD
 /* 5452 */    MCD_OPC_FilterValue, 6, 39, 0, // Skip to: 5495
 /* 5456 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5459 */    MCD_OPC_FilterValue, 2, 155, 1, // Skip to: 5874
@@ -6790,9 +6796,9 @@
 /* 5466 */    MCD_OPC_FilterValue, 233, 3, 147, 1, // Skip to: 5874
 /* 5471 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5486
 /* 5475 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5486
-/* 5481 */    MCD_OPC_Decode, 189, 8, 203, 1, // Opcode: VLD3DUPq16
+/* 5481 */    MCD_OPC_Decode, 139, 8, 202, 1, // Opcode: VLD3DUPq16
 /* 5486 */    MCD_OPC_CheckPredicate, 15, 128, 1, // Skip to: 5874
-/* 5490 */    MCD_OPC_Decode, 190, 8, 203, 1, // Opcode: VLD3DUPq16_UPD
+/* 5490 */    MCD_OPC_Decode, 140, 8, 202, 1, // Opcode: VLD3DUPq16_UPD
 /* 5495 */    MCD_OPC_FilterValue, 8, 39, 0, // Skip to: 5538
 /* 5499 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5502 */    MCD_OPC_FilterValue, 2, 112, 1, // Skip to: 5874
@@ -6800,9 +6806,9 @@
 /* 5509 */    MCD_OPC_FilterValue, 233, 3, 104, 1, // Skip to: 5874
 /* 5514 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5529
 /* 5518 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5529
-/* 5524 */    MCD_OPC_Decode, 172, 8, 203, 1, // Opcode: VLD3DUPd32
+/* 5524 */    MCD_OPC_Decode, 250, 7, 202, 1, // Opcode: VLD3DUPd32
 /* 5529 */    MCD_OPC_CheckPredicate, 15, 85, 1, // Skip to: 5874
-/* 5533 */    MCD_OPC_Decode, 175, 8, 203, 1, // Opcode: VLD3DUPd32_UPD
+/* 5533 */    MCD_OPC_Decode, 253, 7, 202, 1, // Opcode: VLD3DUPd32_UPD
 /* 5538 */    MCD_OPC_FilterValue, 10, 76, 1, // Skip to: 5874
 /* 5542 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5545 */    MCD_OPC_FilterValue, 2, 69, 1, // Skip to: 5874
@@ -6810,9 +6816,9 @@
 /* 5552 */    MCD_OPC_FilterValue, 233, 3, 61, 1, // Skip to: 5874
 /* 5557 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5572
 /* 5561 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5572
-/* 5567 */    MCD_OPC_Decode, 191, 8, 203, 1, // Opcode: VLD3DUPq32
+/* 5567 */    MCD_OPC_Decode, 141, 8, 202, 1, // Opcode: VLD3DUPq32
 /* 5572 */    MCD_OPC_CheckPredicate, 15, 42, 1, // Skip to: 5874
-/* 5576 */    MCD_OPC_Decode, 192, 8, 203, 1, // Opcode: VLD3DUPq32_UPD
+/* 5576 */    MCD_OPC_Decode, 142, 8, 202, 1, // Opcode: VLD3DUPq32_UPD
 /* 5581 */    MCD_OPC_FilterValue, 15, 33, 1, // Skip to: 5874
 /* 5585 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
 /* 5588 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 5731
@@ -6826,9 +6832,9 @@
 /* 5616 */    MCD_OPC_FilterValue, 233, 3, 253, 0, // Skip to: 5874
 /* 5621 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5636
 /* 5625 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5636
-/* 5631 */    MCD_OPC_Decode, 164, 9, 204, 1, // Opcode: VLD4DUPd8
+/* 5631 */    MCD_OPC_Decode, 242, 8, 203, 1, // Opcode: VLD4DUPd8
 /* 5636 */    MCD_OPC_CheckPredicate, 15, 234, 0, // Skip to: 5874
-/* 5640 */    MCD_OPC_Decode, 167, 9, 204, 1, // Opcode: VLD4DUPd8_UPD
+/* 5640 */    MCD_OPC_Decode, 245, 8, 203, 1, // Opcode: VLD4DUPd8_UPD
 /* 5645 */    MCD_OPC_FilterValue, 1, 225, 0, // Skip to: 5874
 /* 5649 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5652 */    MCD_OPC_FilterValue, 2, 218, 0, // Skip to: 5874
@@ -6836,9 +6842,9 @@
 /* 5659 */    MCD_OPC_FilterValue, 233, 3, 210, 0, // Skip to: 5874
 /* 5664 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5679
 /* 5668 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5679
-/* 5674 */    MCD_OPC_Decode, 156, 9, 204, 1, // Opcode: VLD4DUPd16
+/* 5674 */    MCD_OPC_Decode, 234, 8, 203, 1, // Opcode: VLD4DUPd16
 /* 5679 */    MCD_OPC_CheckPredicate, 15, 191, 0, // Skip to: 5874
-/* 5683 */    MCD_OPC_Decode, 159, 9, 204, 1, // Opcode: VLD4DUPd16_UPD
+/* 5683 */    MCD_OPC_Decode, 237, 8, 203, 1, // Opcode: VLD4DUPd16_UPD
 /* 5688 */    MCD_OPC_FilterValue, 1, 182, 0, // Skip to: 5874
 /* 5692 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5695 */    MCD_OPC_FilterValue, 2, 175, 0, // Skip to: 5874
@@ -6846,9 +6852,9 @@
 /* 5702 */    MCD_OPC_FilterValue, 233, 3, 167, 0, // Skip to: 5874
 /* 5707 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5722
 /* 5711 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5722
-/* 5717 */    MCD_OPC_Decode, 160, 9, 204, 1, // Opcode: VLD4DUPd32
+/* 5717 */    MCD_OPC_Decode, 238, 8, 203, 1, // Opcode: VLD4DUPd32
 /* 5722 */    MCD_OPC_CheckPredicate, 15, 148, 0, // Skip to: 5874
-/* 5726 */    MCD_OPC_Decode, 163, 9, 204, 1, // Opcode: VLD4DUPd32_UPD
+/* 5726 */    MCD_OPC_Decode, 241, 8, 203, 1, // Opcode: VLD4DUPd32_UPD
 /* 5731 */    MCD_OPC_FilterValue, 1, 139, 0, // Skip to: 5874
 /* 5735 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
 /* 5738 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 5831
@@ -6860,9 +6866,9 @@
 /* 5759 */    MCD_OPC_FilterValue, 233, 3, 110, 0, // Skip to: 5874
 /* 5764 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5779
 /* 5768 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5779
-/* 5774 */    MCD_OPC_Decode, 181, 9, 204, 1, // Opcode: VLD4DUPq8
+/* 5774 */    MCD_OPC_Decode, 131, 9, 203, 1, // Opcode: VLD4DUPq8
 /* 5779 */    MCD_OPC_CheckPredicate, 15, 91, 0, // Skip to: 5874
-/* 5783 */    MCD_OPC_Decode, 182, 9, 204, 1, // Opcode: VLD4DUPq8_UPD
+/* 5783 */    MCD_OPC_Decode, 132, 9, 203, 1, // Opcode: VLD4DUPq8_UPD
 /* 5788 */    MCD_OPC_FilterValue, 1, 82, 0, // Skip to: 5874
 /* 5792 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5795 */    MCD_OPC_FilterValue, 2, 75, 0, // Skip to: 5874
@@ -6870,9 +6876,9 @@
 /* 5802 */    MCD_OPC_FilterValue, 233, 3, 67, 0, // Skip to: 5874
 /* 5807 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5822
 /* 5811 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5822
-/* 5817 */    MCD_OPC_Decode, 177, 9, 204, 1, // Opcode: VLD4DUPq16
+/* 5817 */    MCD_OPC_Decode, 255, 8, 203, 1, // Opcode: VLD4DUPq16
 /* 5822 */    MCD_OPC_CheckPredicate, 15, 48, 0, // Skip to: 5874
-/* 5826 */    MCD_OPC_Decode, 178, 9, 204, 1, // Opcode: VLD4DUPq16_UPD
+/* 5826 */    MCD_OPC_Decode, 128, 9, 203, 1, // Opcode: VLD4DUPq16_UPD
 /* 5831 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 5874
 /* 5835 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 5838 */    MCD_OPC_FilterValue, 2, 32, 0, // Skip to: 5874
@@ -6880,9 +6886,9 @@
 /* 5845 */    MCD_OPC_FilterValue, 233, 3, 24, 0, // Skip to: 5874
 /* 5850 */    MCD_OPC_CheckPredicate, 15, 11, 0, // Skip to: 5865
 /* 5854 */    MCD_OPC_CheckField, 0, 4, 15, 5, 0, // Skip to: 5865
-/* 5860 */    MCD_OPC_Decode, 179, 9, 204, 1, // Opcode: VLD4DUPq32
+/* 5860 */    MCD_OPC_Decode, 129, 9, 203, 1, // Opcode: VLD4DUPq32
 /* 5865 */    MCD_OPC_CheckPredicate, 15, 5, 0, // Skip to: 5874
-/* 5869 */    MCD_OPC_Decode, 180, 9, 204, 1, // Opcode: VLD4DUPq32_UPD
+/* 5869 */    MCD_OPC_Decode, 130, 9, 203, 1, // Opcode: VLD4DUPq32_UPD
 /* 5874 */    MCD_OPC_Fail,
   0
 };
@@ -6890,13 +6896,13 @@
 static uint8_t DecoderTableThumb16[] = {
 /* 0 */       MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
 /* 3 */       MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 22
-/* 7 */       MCD_OPC_CheckPredicate, 19, 196, 3, // Skip to: 975
-/* 11 */      MCD_OPC_CheckField, 6, 6, 0, 190, 3, // Skip to: 975
-/* 17 */      MCD_OPC_Decode, 234, 21, 205, 1, // Opcode: tMOVSr
+/* 7 */       MCD_OPC_CheckPredicate, 19, 210, 3, // Skip to: 989
+/* 11 */      MCD_OPC_CheckField, 6, 6, 0, 204, 3, // Skip to: 989
+/* 17 */      MCD_OPC_Decode, 188, 21, 204, 1, // Opcode: tMOVSr
 /* 22 */      MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 41
-/* 26 */      MCD_OPC_CheckPredicate, 19, 177, 3, // Skip to: 975
-/* 30 */      MCD_OPC_CheckField, 11, 1, 1, 171, 3, // Skip to: 975
-/* 36 */      MCD_OPC_Decode, 204, 21, 206, 1, // Opcode: tCMPi8
+/* 26 */      MCD_OPC_CheckPredicate, 19, 191, 3, // Skip to: 989
+/* 30 */      MCD_OPC_CheckField, 11, 1, 1, 185, 3, // Skip to: 989
+/* 36 */      MCD_OPC_Decode, 158, 21, 205, 1, // Opcode: tCMPi8
 /* 41 */      MCD_OPC_FilterValue, 4, 186, 0, // Skip to: 231
 /* 45 */      MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 48 */      MCD_OPC_FilterValue, 0, 166, 0, // Skip to: 218
@@ -6904,109 +6910,109 @@
 /* 55 */      MCD_OPC_FilterValue, 2, 42, 0, // Skip to: 101
 /* 59 */      MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 62 */      MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 75
-/* 66 */      MCD_OPC_CheckPredicate, 19, 137, 3, // Skip to: 975
-/* 70 */      MCD_OPC_Decode, 143, 22, 205, 1, // Opcode: tTST
+/* 66 */      MCD_OPC_CheckPredicate, 19, 151, 3, // Skip to: 989
+/* 70 */      MCD_OPC_Decode, 225, 21, 204, 1, // Opcode: tTST
 /* 75 */      MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 88
-/* 79 */      MCD_OPC_CheckPredicate, 19, 124, 3, // Skip to: 975
-/* 83 */      MCD_OPC_Decode, 205, 21, 205, 1, // Opcode: tCMPr
-/* 88 */      MCD_OPC_FilterValue, 3, 115, 3, // Skip to: 975
-/* 92 */      MCD_OPC_CheckPredicate, 19, 111, 3, // Skip to: 975
-/* 96 */      MCD_OPC_Decode, 202, 21, 205, 1, // Opcode: tCMNz
+/* 79 */      MCD_OPC_CheckPredicate, 19, 138, 3, // Skip to: 989
+/* 83 */      MCD_OPC_Decode, 159, 21, 204, 1, // Opcode: tCMPr
+/* 88 */      MCD_OPC_FilterValue, 3, 129, 3, // Skip to: 989
+/* 92 */      MCD_OPC_CheckPredicate, 19, 125, 3, // Skip to: 989
+/* 96 */      MCD_OPC_Decode, 156, 21, 204, 1, // Opcode: tCMNz
 /* 101 */     MCD_OPC_FilterValue, 4, 45, 0, // Skip to: 150
 /* 105 */     MCD_OPC_CheckPredicate, 19, 11, 0, // Skip to: 120
 /* 109 */     MCD_OPC_CheckField, 3, 4, 13, 5, 0, // Skip to: 120
-/* 115 */     MCD_OPC_Decode, 175, 21, 207, 1, // Opcode: tADDrSP
+/* 115 */     MCD_OPC_Decode, 129, 21, 206, 1, // Opcode: tADDrSP
 /* 120 */     MCD_OPC_CheckPredicate, 19, 17, 0, // Skip to: 141
 /* 124 */     MCD_OPC_CheckField, 7, 1, 1, 11, 0, // Skip to: 141
 /* 130 */     MCD_OPC_CheckField, 0, 3, 5, 5, 0, // Skip to: 141
-/* 136 */     MCD_OPC_Decode, 179, 21, 207, 1, // Opcode: tADDspr
-/* 141 */     MCD_OPC_CheckPredicate, 19, 62, 3, // Skip to: 975
-/* 145 */     MCD_OPC_Decode, 172, 21, 208, 1, // Opcode: tADDhirr
+/* 136 */     MCD_OPC_Decode, 133, 21, 206, 1, // Opcode: tADDspr
+/* 141 */     MCD_OPC_CheckPredicate, 19, 76, 3, // Skip to: 989
+/* 145 */     MCD_OPC_Decode, 254, 20, 207, 1, // Opcode: tADDhirr
 /* 150 */     MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 163
-/* 154 */     MCD_OPC_CheckPredicate, 19, 49, 3, // Skip to: 975
-/* 158 */     MCD_OPC_Decode, 203, 21, 209, 1, // Opcode: tCMPhir
+/* 154 */     MCD_OPC_CheckPredicate, 19, 63, 3, // Skip to: 989
+/* 158 */     MCD_OPC_Decode, 157, 21, 208, 1, // Opcode: tCMPhir
 /* 163 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 176
-/* 167 */     MCD_OPC_CheckPredicate, 19, 36, 3, // Skip to: 975
-/* 171 */     MCD_OPC_Decode, 236, 21, 209, 1, // Opcode: tMOVr
-/* 176 */     MCD_OPC_FilterValue, 7, 27, 3, // Skip to: 975
+/* 167 */     MCD_OPC_CheckPredicate, 19, 50, 3, // Skip to: 989
+/* 171 */     MCD_OPC_Decode, 190, 21, 208, 1, // Opcode: tMOVr
+/* 176 */     MCD_OPC_FilterValue, 7, 41, 3, // Skip to: 989
 /* 180 */     MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
 /* 183 */     MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 199
-/* 187 */     MCD_OPC_CheckPredicate, 19, 16, 3, // Skip to: 975
+/* 187 */     MCD_OPC_CheckPredicate, 19, 30, 3, // Skip to: 989
 /* 191 */     MCD_OPC_SoftFail, 7, 0,
-/* 194 */     MCD_OPC_Decode, 194, 21, 210, 1, // Opcode: tBX
-/* 199 */     MCD_OPC_FilterValue, 1, 4, 3, // Skip to: 975
-/* 203 */     MCD_OPC_CheckPredicate, 20, 0, 3, // Skip to: 975
-/* 207 */     MCD_OPC_CheckField, 0, 3, 0, 250, 2, // Skip to: 975
-/* 213 */     MCD_OPC_Decode, 191, 21, 210, 1, // Opcode: tBLXr
-/* 218 */     MCD_OPC_FilterValue, 1, 241, 2, // Skip to: 975
-/* 222 */     MCD_OPC_CheckPredicate, 19, 237, 2, // Skip to: 975
-/* 226 */     MCD_OPC_Decode, 223, 21, 211, 1, // Opcode: tLDRpci
+/* 194 */     MCD_OPC_Decode, 148, 21, 209, 1, // Opcode: tBX
+/* 199 */     MCD_OPC_FilterValue, 1, 18, 3, // Skip to: 989
+/* 203 */     MCD_OPC_CheckPredicate, 20, 14, 3, // Skip to: 989
+/* 207 */     MCD_OPC_CheckField, 0, 3, 0, 8, 3, // Skip to: 989
+/* 213 */     MCD_OPC_Decode, 145, 21, 209, 1, // Opcode: tBLXr
+/* 218 */     MCD_OPC_FilterValue, 1, 255, 2, // Skip to: 989
+/* 222 */     MCD_OPC_CheckPredicate, 19, 251, 2, // Skip to: 989
+/* 226 */     MCD_OPC_Decode, 177, 21, 210, 1, // Opcode: tLDRpci
 /* 231 */     MCD_OPC_FilterValue, 5, 107, 0, // Skip to: 342
 /* 235 */     MCD_OPC_ExtractField, 9, 3,  // Inst{11-9} ...
 /* 238 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 251
-/* 242 */     MCD_OPC_CheckPredicate, 19, 217, 2, // Skip to: 975
-/* 246 */     MCD_OPC_Decode, 129, 22, 212, 1, // Opcode: tSTRr
+/* 242 */     MCD_OPC_CheckPredicate, 19, 231, 2, // Skip to: 989
+/* 246 */     MCD_OPC_Decode, 211, 21, 211, 1, // Opcode: tSTRr
 /* 251 */     MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 264
-/* 255 */     MCD_OPC_CheckPredicate, 19, 204, 2, // Skip to: 975
-/* 259 */     MCD_OPC_Decode, 255, 21, 212, 1, // Opcode: tSTRHr
+/* 255 */     MCD_OPC_CheckPredicate, 19, 218, 2, // Skip to: 989
+/* 259 */     MCD_OPC_Decode, 209, 21, 211, 1, // Opcode: tSTRHr
 /* 264 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 277
-/* 268 */     MCD_OPC_CheckPredicate, 19, 191, 2, // Skip to: 975
-/* 272 */     MCD_OPC_Decode, 253, 21, 212, 1, // Opcode: tSTRBr
+/* 268 */     MCD_OPC_CheckPredicate, 19, 205, 2, // Skip to: 989
+/* 272 */     MCD_OPC_Decode, 207, 21, 211, 1, // Opcode: tSTRBr
 /* 277 */     MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 290
-/* 281 */     MCD_OPC_CheckPredicate, 19, 178, 2, // Skip to: 975
-/* 285 */     MCD_OPC_Decode, 220, 21, 212, 1, // Opcode: tLDRSB
+/* 281 */     MCD_OPC_CheckPredicate, 19, 192, 2, // Skip to: 989
+/* 285 */     MCD_OPC_Decode, 174, 21, 211, 1, // Opcode: tLDRSB
 /* 290 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 303
-/* 294 */     MCD_OPC_CheckPredicate, 19, 165, 2, // Skip to: 975
-/* 298 */     MCD_OPC_Decode, 225, 21, 212, 1, // Opcode: tLDRr
+/* 294 */     MCD_OPC_CheckPredicate, 19, 179, 2, // Skip to: 989
+/* 298 */     MCD_OPC_Decode, 179, 21, 211, 1, // Opcode: tLDRr
 /* 303 */     MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 316
-/* 307 */     MCD_OPC_CheckPredicate, 19, 152, 2, // Skip to: 975
-/* 311 */     MCD_OPC_Decode, 217, 21, 212, 1, // Opcode: tLDRHr
+/* 307 */     MCD_OPC_CheckPredicate, 19, 166, 2, // Skip to: 989
+/* 311 */     MCD_OPC_Decode, 171, 21, 211, 1, // Opcode: tLDRHr
 /* 316 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 329
-/* 320 */     MCD_OPC_CheckPredicate, 19, 139, 2, // Skip to: 975
-/* 324 */     MCD_OPC_Decode, 215, 21, 212, 1, // Opcode: tLDRBr
-/* 329 */     MCD_OPC_FilterValue, 7, 130, 2, // Skip to: 975
-/* 333 */     MCD_OPC_CheckPredicate, 19, 126, 2, // Skip to: 975
-/* 337 */     MCD_OPC_Decode, 221, 21, 212, 1, // Opcode: tLDRSH
+/* 320 */     MCD_OPC_CheckPredicate, 19, 153, 2, // Skip to: 989
+/* 324 */     MCD_OPC_Decode, 169, 21, 211, 1, // Opcode: tLDRBr
+/* 329 */     MCD_OPC_FilterValue, 7, 144, 2, // Skip to: 989
+/* 333 */     MCD_OPC_CheckPredicate, 19, 140, 2, // Skip to: 989
+/* 337 */     MCD_OPC_Decode, 175, 21, 211, 1, // Opcode: tLDRSH
 /* 342 */     MCD_OPC_FilterValue, 6, 29, 0, // Skip to: 375
 /* 346 */     MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 349 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 362
-/* 353 */     MCD_OPC_CheckPredicate, 19, 106, 2, // Skip to: 975
-/* 357 */     MCD_OPC_Decode, 128, 22, 213, 1, // Opcode: tSTRi
-/* 362 */     MCD_OPC_FilterValue, 1, 97, 2, // Skip to: 975
-/* 366 */     MCD_OPC_CheckPredicate, 19, 93, 2, // Skip to: 975
-/* 370 */     MCD_OPC_Decode, 222, 21, 213, 1, // Opcode: tLDRi
+/* 353 */     MCD_OPC_CheckPredicate, 19, 120, 2, // Skip to: 989
+/* 357 */     MCD_OPC_Decode, 210, 21, 212, 1, // Opcode: tSTRi
+/* 362 */     MCD_OPC_FilterValue, 1, 111, 2, // Skip to: 989
+/* 366 */     MCD_OPC_CheckPredicate, 19, 107, 2, // Skip to: 989
+/* 370 */     MCD_OPC_Decode, 176, 21, 212, 1, // Opcode: tLDRi
 /* 375 */     MCD_OPC_FilterValue, 7, 29, 0, // Skip to: 408
 /* 379 */     MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 382 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 395
-/* 386 */     MCD_OPC_CheckPredicate, 19, 73, 2, // Skip to: 975
-/* 390 */     MCD_OPC_Decode, 252, 21, 213, 1, // Opcode: tSTRBi
-/* 395 */     MCD_OPC_FilterValue, 1, 64, 2, // Skip to: 975
-/* 399 */     MCD_OPC_CheckPredicate, 19, 60, 2, // Skip to: 975
-/* 403 */     MCD_OPC_Decode, 214, 21, 213, 1, // Opcode: tLDRBi
+/* 386 */     MCD_OPC_CheckPredicate, 19, 87, 2, // Skip to: 989
+/* 390 */     MCD_OPC_Decode, 206, 21, 212, 1, // Opcode: tSTRBi
+/* 395 */     MCD_OPC_FilterValue, 1, 78, 2, // Skip to: 989
+/* 399 */     MCD_OPC_CheckPredicate, 19, 74, 2, // Skip to: 989
+/* 403 */     MCD_OPC_Decode, 168, 21, 212, 1, // Opcode: tLDRBi
 /* 408 */     MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 441
 /* 412 */     MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 415 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 428
-/* 419 */     MCD_OPC_CheckPredicate, 19, 40, 2, // Skip to: 975
-/* 423 */     MCD_OPC_Decode, 254, 21, 213, 1, // Opcode: tSTRHi
-/* 428 */     MCD_OPC_FilterValue, 1, 31, 2, // Skip to: 975
-/* 432 */     MCD_OPC_CheckPredicate, 19, 27, 2, // Skip to: 975
-/* 436 */     MCD_OPC_Decode, 216, 21, 213, 1, // Opcode: tLDRHi
+/* 419 */     MCD_OPC_CheckPredicate, 19, 54, 2, // Skip to: 989
+/* 423 */     MCD_OPC_Decode, 208, 21, 212, 1, // Opcode: tSTRHi
+/* 428 */     MCD_OPC_FilterValue, 1, 45, 2, // Skip to: 989
+/* 432 */     MCD_OPC_CheckPredicate, 19, 41, 2, // Skip to: 989
+/* 436 */     MCD_OPC_Decode, 170, 21, 212, 1, // Opcode: tLDRHi
 /* 441 */     MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 474
 /* 445 */     MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 448 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 461
-/* 452 */     MCD_OPC_CheckPredicate, 19, 7, 2, // Skip to: 975
-/* 456 */     MCD_OPC_Decode, 130, 22, 214, 1, // Opcode: tSTRspi
-/* 461 */     MCD_OPC_FilterValue, 1, 254, 1, // Skip to: 975
-/* 465 */     MCD_OPC_CheckPredicate, 19, 250, 1, // Skip to: 975
-/* 469 */     MCD_OPC_Decode, 226, 21, 214, 1, // Opcode: tLDRspi
+/* 452 */     MCD_OPC_CheckPredicate, 19, 21, 2, // Skip to: 989
+/* 456 */     MCD_OPC_Decode, 212, 21, 213, 1, // Opcode: tSTRspi
+/* 461 */     MCD_OPC_FilterValue, 1, 12, 2, // Skip to: 989
+/* 465 */     MCD_OPC_CheckPredicate, 19, 8, 2, // Skip to: 989
+/* 469 */     MCD_OPC_Decode, 180, 21, 213, 1, // Opcode: tLDRspi
 /* 474 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 507
 /* 478 */     MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 481 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 494
-/* 485 */     MCD_OPC_CheckPredicate, 19, 230, 1, // Skip to: 975
-/* 489 */     MCD_OPC_Decode, 182, 21, 215, 1, // Opcode: tADR
-/* 494 */     MCD_OPC_FilterValue, 1, 221, 1, // Skip to: 975
-/* 498 */     MCD_OPC_CheckPredicate, 19, 217, 1, // Skip to: 975
-/* 502 */     MCD_OPC_Decode, 176, 21, 215, 1, // Opcode: tADDrSPi
+/* 485 */     MCD_OPC_CheckPredicate, 19, 244, 1, // Skip to: 989
+/* 489 */     MCD_OPC_Decode, 136, 21, 214, 1, // Opcode: tADR
+/* 494 */     MCD_OPC_FilterValue, 1, 235, 1, // Skip to: 989
+/* 498 */     MCD_OPC_CheckPredicate, 19, 231, 1, // Skip to: 989
+/* 502 */     MCD_OPC_Decode, 130, 21, 214, 1, // Opcode: tADDrSPi
 /* 507 */     MCD_OPC_FilterValue, 11, 113, 1, // Skip to: 880
 /* 511 */     MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
 /* 514 */     MCD_OPC_FilterValue, 0, 129, 0, // Skip to: 647
@@ -7016,123 +7022,127 @@
 /* 528 */     MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 581
 /* 532 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
 /* 535 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 548
-/* 539 */     MCD_OPC_CheckPredicate, 19, 176, 1, // Skip to: 975
-/* 543 */     MCD_OPC_Decode, 178, 21, 216, 1, // Opcode: tADDspi
-/* 548 */     MCD_OPC_FilterValue, 1, 167, 1, // Skip to: 975
+/* 539 */     MCD_OPC_CheckPredicate, 19, 190, 1, // Skip to: 989
+/* 543 */     MCD_OPC_Decode, 132, 21, 215, 1, // Opcode: tADDspi
+/* 548 */     MCD_OPC_FilterValue, 1, 181, 1, // Skip to: 989
 /* 552 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 555 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 568
-/* 559 */     MCD_OPC_CheckPredicate, 21, 156, 1, // Skip to: 975
-/* 563 */     MCD_OPC_Decode, 137, 22, 205, 1, // Opcode: tSXTH
-/* 568 */     MCD_OPC_FilterValue, 1, 147, 1, // Skip to: 975
-/* 572 */     MCD_OPC_CheckPredicate, 21, 143, 1, // Skip to: 975
-/* 576 */     MCD_OPC_Decode, 136, 22, 205, 1, // Opcode: tSXTB
-/* 581 */     MCD_OPC_FilterValue, 1, 134, 1, // Skip to: 975
+/* 559 */     MCD_OPC_CheckPredicate, 21, 170, 1, // Skip to: 989
+/* 563 */     MCD_OPC_Decode, 219, 21, 204, 1, // Opcode: tSXTH
+/* 568 */     MCD_OPC_FilterValue, 1, 161, 1, // Skip to: 989
+/* 572 */     MCD_OPC_CheckPredicate, 21, 157, 1, // Skip to: 989
+/* 576 */     MCD_OPC_Decode, 218, 21, 204, 1, // Opcode: tSXTB
+/* 581 */     MCD_OPC_FilterValue, 1, 148, 1, // Skip to: 989
 /* 585 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
 /* 588 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 601
-/* 592 */     MCD_OPC_CheckPredicate, 19, 123, 1, // Skip to: 975
-/* 596 */     MCD_OPC_Decode, 134, 22, 216, 1, // Opcode: tSUBspi
-/* 601 */     MCD_OPC_FilterValue, 1, 114, 1, // Skip to: 975
+/* 592 */     MCD_OPC_CheckPredicate, 19, 137, 1, // Skip to: 989
+/* 596 */     MCD_OPC_Decode, 216, 21, 215, 1, // Opcode: tSUBspi
+/* 601 */     MCD_OPC_FilterValue, 1, 128, 1, // Skip to: 989
 /* 605 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 608 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 621
-/* 612 */     MCD_OPC_CheckPredicate, 21, 103, 1, // Skip to: 975
-/* 616 */     MCD_OPC_Decode, 145, 22, 205, 1, // Opcode: tUXTH
-/* 621 */     MCD_OPC_FilterValue, 1, 94, 1, // Skip to: 975
-/* 625 */     MCD_OPC_CheckPredicate, 21, 90, 1, // Skip to: 975
-/* 629 */     MCD_OPC_Decode, 144, 22, 205, 1, // Opcode: tUXTB
-/* 634 */     MCD_OPC_FilterValue, 1, 81, 1, // Skip to: 975
-/* 638 */     MCD_OPC_CheckPredicate, 22, 77, 1, // Skip to: 975
-/* 642 */     MCD_OPC_Decode, 201, 21, 217, 1, // Opcode: tCBZ
+/* 612 */     MCD_OPC_CheckPredicate, 21, 117, 1, // Skip to: 989
+/* 616 */     MCD_OPC_Decode, 228, 21, 204, 1, // Opcode: tUXTH
+/* 621 */     MCD_OPC_FilterValue, 1, 108, 1, // Skip to: 989
+/* 625 */     MCD_OPC_CheckPredicate, 21, 104, 1, // Skip to: 989
+/* 629 */     MCD_OPC_Decode, 227, 21, 204, 1, // Opcode: tUXTB
+/* 634 */     MCD_OPC_FilterValue, 1, 95, 1, // Skip to: 989
+/* 638 */     MCD_OPC_CheckPredicate, 22, 91, 1, // Skip to: 989
+/* 642 */     MCD_OPC_Decode, 155, 21, 216, 1, // Opcode: tCBZ
 /* 647 */     MCD_OPC_FilterValue, 1, 67, 0, // Skip to: 718
 /* 651 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
 /* 654 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 667
-/* 658 */     MCD_OPC_CheckPredicate, 19, 57, 1, // Skip to: 975
-/* 662 */     MCD_OPC_Decode, 243, 21, 218, 1, // Opcode: tPUSH
-/* 667 */     MCD_OPC_FilterValue, 1, 48, 1, // Skip to: 975
+/* 658 */     MCD_OPC_CheckPredicate, 19, 71, 1, // Skip to: 989
+/* 662 */     MCD_OPC_Decode, 197, 21, 217, 1, // Opcode: tPUSH
+/* 667 */     MCD_OPC_FilterValue, 1, 62, 1, // Skip to: 989
 /* 671 */     MCD_OPC_ExtractField, 5, 4,  // Inst{8-5} ...
 /* 674 */     MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 699
-/* 678 */     MCD_OPC_CheckPredicate, 19, 37, 1, // Skip to: 975
-/* 682 */     MCD_OPC_CheckField, 4, 1, 1, 31, 1, // Skip to: 975
-/* 688 */     MCD_OPC_CheckField, 0, 3, 0, 25, 1, // Skip to: 975
-/* 694 */     MCD_OPC_Decode, 250, 21, 219, 1, // Opcode: tSETEND
-/* 699 */     MCD_OPC_FilterValue, 3, 16, 1, // Skip to: 975
-/* 703 */     MCD_OPC_CheckPredicate, 19, 12, 1, // Skip to: 975
-/* 707 */     MCD_OPC_CheckField, 3, 1, 0, 6, 1, // Skip to: 975
-/* 713 */     MCD_OPC_Decode, 206, 21, 220, 1, // Opcode: tCPS
+/* 678 */     MCD_OPC_CheckPredicate, 23, 51, 1, // Skip to: 989
+/* 682 */     MCD_OPC_CheckField, 4, 1, 1, 45, 1, // Skip to: 989
+/* 688 */     MCD_OPC_CheckField, 0, 3, 0, 39, 1, // Skip to: 989
+/* 694 */     MCD_OPC_Decode, 204, 21, 218, 1, // Opcode: tSETEND
+/* 699 */     MCD_OPC_FilterValue, 3, 30, 1, // Skip to: 989
+/* 703 */     MCD_OPC_CheckPredicate, 19, 26, 1, // Skip to: 989
+/* 707 */     MCD_OPC_CheckField, 3, 1, 0, 20, 1, // Skip to: 989
+/* 713 */     MCD_OPC_Decode, 160, 21, 219, 1, // Opcode: tCPS
 /* 718 */     MCD_OPC_FilterValue, 2, 99, 0, // Skip to: 821
 /* 722 */     MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
 /* 725 */     MCD_OPC_FilterValue, 0, 79, 0, // Skip to: 808
 /* 729 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 732 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 751
-/* 736 */     MCD_OPC_CheckPredicate, 21, 235, 0, // Skip to: 975
-/* 740 */     MCD_OPC_CheckField, 9, 1, 1, 229, 0, // Skip to: 975
-/* 746 */     MCD_OPC_Decode, 244, 21, 205, 1, // Opcode: tREV
+/* 736 */     MCD_OPC_CheckPredicate, 21, 249, 0, // Skip to: 989
+/* 740 */     MCD_OPC_CheckField, 9, 1, 1, 243, 0, // Skip to: 989
+/* 746 */     MCD_OPC_Decode, 198, 21, 204, 1, // Opcode: tREV
 /* 751 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 770
-/* 755 */     MCD_OPC_CheckPredicate, 21, 216, 0, // Skip to: 975
-/* 759 */     MCD_OPC_CheckField, 9, 1, 1, 210, 0, // Skip to: 975
-/* 765 */     MCD_OPC_Decode, 245, 21, 205, 1, // Opcode: tREV16
+/* 755 */     MCD_OPC_CheckPredicate, 21, 230, 0, // Skip to: 989
+/* 759 */     MCD_OPC_CheckField, 9, 1, 1, 224, 0, // Skip to: 989
+/* 765 */     MCD_OPC_Decode, 199, 21, 204, 1, // Opcode: tREV16
 /* 770 */     MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 789
-/* 774 */     MCD_OPC_CheckPredicate, 23, 197, 0, // Skip to: 975
-/* 778 */     MCD_OPC_CheckField, 9, 1, 1, 191, 0, // Skip to: 975
-/* 784 */     MCD_OPC_Decode, 209, 21, 221, 1, // Opcode: tHLT
-/* 789 */     MCD_OPC_FilterValue, 3, 182, 0, // Skip to: 975
-/* 793 */     MCD_OPC_CheckPredicate, 21, 178, 0, // Skip to: 975
-/* 797 */     MCD_OPC_CheckField, 9, 1, 1, 172, 0, // Skip to: 975
-/* 803 */     MCD_OPC_Decode, 246, 21, 205, 1, // Opcode: tREVSH
-/* 808 */     MCD_OPC_FilterValue, 1, 163, 0, // Skip to: 975
-/* 812 */     MCD_OPC_CheckPredicate, 22, 159, 0, // Skip to: 975
-/* 816 */     MCD_OPC_Decode, 200, 21, 217, 1, // Opcode: tCBNZ
-/* 821 */     MCD_OPC_FilterValue, 3, 150, 0, // Skip to: 975
+/* 774 */     MCD_OPC_CheckPredicate, 24, 211, 0, // Skip to: 989
+/* 778 */     MCD_OPC_CheckField, 9, 1, 1, 205, 0, // Skip to: 989
+/* 784 */     MCD_OPC_Decode, 163, 21, 220, 1, // Opcode: tHLT
+/* 789 */     MCD_OPC_FilterValue, 3, 196, 0, // Skip to: 989
+/* 793 */     MCD_OPC_CheckPredicate, 21, 192, 0, // Skip to: 989
+/* 797 */     MCD_OPC_CheckField, 9, 1, 1, 186, 0, // Skip to: 989
+/* 803 */     MCD_OPC_Decode, 200, 21, 204, 1, // Opcode: tREVSH
+/* 808 */     MCD_OPC_FilterValue, 1, 177, 0, // Skip to: 989
+/* 812 */     MCD_OPC_CheckPredicate, 22, 173, 0, // Skip to: 989
+/* 816 */     MCD_OPC_Decode, 154, 21, 216, 1, // Opcode: tCBNZ
+/* 821 */     MCD_OPC_FilterValue, 3, 164, 0, // Skip to: 989
 /* 825 */     MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
 /* 828 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 841
-/* 832 */     MCD_OPC_CheckPredicate, 19, 139, 0, // Skip to: 975
-/* 836 */     MCD_OPC_Decode, 241, 21, 222, 1, // Opcode: tPOP
-/* 841 */     MCD_OPC_FilterValue, 1, 130, 0, // Skip to: 975
+/* 832 */     MCD_OPC_CheckPredicate, 19, 153, 0, // Skip to: 989
+/* 836 */     MCD_OPC_Decode, 195, 21, 221, 1, // Opcode: tPOP
+/* 841 */     MCD_OPC_FilterValue, 1, 144, 0, // Skip to: 989
 /* 845 */     MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
 /* 848 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 861
-/* 852 */     MCD_OPC_CheckPredicate, 19, 119, 0, // Skip to: 975
-/* 856 */     MCD_OPC_Decode, 188, 21, 223, 1, // Opcode: tBKPT
-/* 861 */     MCD_OPC_FilterValue, 1, 110, 0, // Skip to: 975
-/* 865 */     MCD_OPC_CheckPredicate, 24, 106, 0, // Skip to: 975
-/* 869 */     MCD_OPC_CheckField, 0, 4, 0, 100, 0, // Skip to: 975
-/* 875 */     MCD_OPC_Decode, 208, 21, 224, 1, // Opcode: tHINT
+/* 852 */     MCD_OPC_CheckPredicate, 19, 133, 0, // Skip to: 989
+/* 856 */     MCD_OPC_Decode, 142, 21, 222, 1, // Opcode: tBKPT
+/* 861 */     MCD_OPC_FilterValue, 1, 124, 0, // Skip to: 989
+/* 865 */     MCD_OPC_CheckPredicate, 25, 120, 0, // Skip to: 989
+/* 869 */     MCD_OPC_CheckField, 0, 4, 0, 114, 0, // Skip to: 989
+/* 875 */     MCD_OPC_Decode, 162, 21, 223, 1, // Opcode: tHINT
 /* 880 */     MCD_OPC_FilterValue, 12, 29, 0, // Skip to: 913
 /* 884 */     MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 887 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 900
-/* 891 */     MCD_OPC_CheckPredicate, 19, 80, 0, // Skip to: 975
-/* 895 */     MCD_OPC_Decode, 251, 21, 225, 1, // Opcode: tSTMIA_UPD
-/* 900 */     MCD_OPC_FilterValue, 1, 71, 0, // Skip to: 975
-/* 904 */     MCD_OPC_CheckPredicate, 19, 67, 0, // Skip to: 975
-/* 908 */     MCD_OPC_Decode, 212, 21, 226, 1, // Opcode: tLDMIA
-/* 913 */     MCD_OPC_FilterValue, 13, 39, 0, // Skip to: 956
+/* 891 */     MCD_OPC_CheckPredicate, 19, 94, 0, // Skip to: 989
+/* 895 */     MCD_OPC_Decode, 205, 21, 224, 1, // Opcode: tSTMIA_UPD
+/* 900 */     MCD_OPC_FilterValue, 1, 85, 0, // Skip to: 989
+/* 904 */     MCD_OPC_CheckPredicate, 19, 81, 0, // Skip to: 989
+/* 908 */     MCD_OPC_Decode, 166, 21, 225, 1, // Opcode: tLDMIA
+/* 913 */     MCD_OPC_FilterValue, 13, 53, 0, // Skip to: 970
 /* 917 */     MCD_OPC_CheckPredicate, 19, 11, 0, // Skip to: 932
 /* 921 */     MCD_OPC_CheckField, 0, 12, 254, 29, 4, 0, // Skip to: 932
-/* 928 */     MCD_OPC_Decode, 142, 22, 58, // Opcode: tTRAP
-/* 932 */     MCD_OPC_CheckPredicate, 19, 11, 0, // Skip to: 947
-/* 936 */     MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 947
-/* 942 */     MCD_OPC_Decode, 135, 22, 223, 1, // Opcode: tSVC
-/* 947 */     MCD_OPC_CheckPredicate, 19, 24, 0, // Skip to: 975
-/* 951 */     MCD_OPC_Decode, 198, 21, 227, 1, // Opcode: tBcc
-/* 956 */     MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 975
-/* 960 */     MCD_OPC_CheckPredicate, 19, 11, 0, // Skip to: 975
-/* 964 */     MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 975
-/* 970 */     MCD_OPC_Decode, 186, 21, 228, 1, // Opcode: tB
-/* 975 */     MCD_OPC_Fail,
+/* 928 */     MCD_OPC_Decode, 224, 21, 58, // Opcode: tTRAP
+/* 932 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 935 */     MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 948
+/* 939 */     MCD_OPC_CheckPredicate, 19, 18, 0, // Skip to: 961
+/* 943 */     MCD_OPC_Decode, 226, 21, 222, 1, // Opcode: tUDF
+/* 948 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 961
+/* 952 */     MCD_OPC_CheckPredicate, 19, 5, 0, // Skip to: 961
+/* 956 */     MCD_OPC_Decode, 217, 21, 222, 1, // Opcode: tSVC
+/* 961 */     MCD_OPC_CheckPredicate, 19, 24, 0, // Skip to: 989
+/* 965 */     MCD_OPC_Decode, 152, 21, 226, 1, // Opcode: tBcc
+/* 970 */     MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 989
+/* 974 */     MCD_OPC_CheckPredicate, 19, 11, 0, // Skip to: 989
+/* 978 */     MCD_OPC_CheckField, 11, 1, 0, 5, 0, // Skip to: 989
+/* 984 */     MCD_OPC_Decode, 140, 21, 227, 1, // Opcode: tB
+/* 989 */     MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableThumb32[] = {
 /* 0 */       MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
 /* 3 */       MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 34
-/* 7 */       MCD_OPC_CheckPredicate, 20, 48, 0, // Skip to: 59
+/* 7 */       MCD_OPC_CheckPredicate, 26, 48, 0, // Skip to: 59
 /* 11 */      MCD_OPC_CheckField, 27, 5, 30, 42, 0, // Skip to: 59
 /* 17 */      MCD_OPC_CheckField, 14, 2, 3, 36, 0, // Skip to: 59
 /* 23 */      MCD_OPC_CheckField, 0, 1, 0, 30, 0, // Skip to: 59
-/* 29 */      MCD_OPC_Decode, 190, 21, 229, 1, // Opcode: tBLXi
+/* 29 */      MCD_OPC_Decode, 144, 21, 228, 1, // Opcode: tBLXi
 /* 34 */      MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 59
 /* 38 */      MCD_OPC_CheckPredicate, 19, 17, 0, // Skip to: 59
 /* 42 */      MCD_OPC_CheckField, 27, 5, 30, 11, 0, // Skip to: 59
 /* 48 */      MCD_OPC_CheckField, 14, 2, 3, 5, 0, // Skip to: 59
-/* 54 */      MCD_OPC_Decode, 189, 21, 230, 1, // Opcode: tBL
+/* 54 */      MCD_OPC_Decode, 143, 21, 229, 1, // Opcode: tBL
 /* 59 */      MCD_OPC_Fail,
   0
 };
@@ -7140,7 +7150,7 @@
 static uint8_t DecoderTableThumb216[] = {
 /* 0 */       MCD_OPC_CheckPredicate, 22, 12, 0, // Skip to: 16
 /* 4 */       MCD_OPC_CheckField, 8, 8, 191, 1, 5, 0, // Skip to: 16
-/* 11 */      MCD_OPC_Decode, 210, 18, 231, 1, // Opcode: t2IT
+/* 11 */      MCD_OPC_Decode, 163, 18, 230, 1, // Opcode: t2IT
 /* 16 */      MCD_OPC_Fail,
   0
 };
@@ -7154,247 +7164,247 @@
 /* 17 */      MCD_OPC_FilterValue, 0, 59, 0, // Skip to: 80
 /* 21 */      MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
 /* 24 */      MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 49
-/* 28 */      MCD_OPC_CheckPredicate, 22, 57, 27, // Skip to: 7001
-/* 32 */      MCD_OPC_CheckField, 23, 1, 1, 51, 27, // Skip to: 7001
-/* 38 */      MCD_OPC_CheckField, 13, 1, 0, 45, 27, // Skip to: 7001
-/* 44 */      MCD_OPC_Decode, 212, 20, 232, 1, // Opcode: t2STMIA
-/* 49 */      MCD_OPC_FilterValue, 1, 36, 27, // Skip to: 7001
-/* 53 */      MCD_OPC_CheckPredicate, 22, 32, 27, // Skip to: 7001
-/* 57 */      MCD_OPC_CheckField, 23, 1, 0, 26, 27, // Skip to: 7001
-/* 63 */      MCD_OPC_CheckField, 16, 4, 13, 20, 27, // Skip to: 7001
-/* 69 */      MCD_OPC_CheckField, 5, 10, 128, 4, 13, 27, // Skip to: 7001
-/* 76 */      MCD_OPC_Decode, 178, 20, 82, // Opcode: t2SRSDB
+/* 28 */      MCD_OPC_CheckPredicate, 22, 71, 27, // Skip to: 7015
+/* 32 */      MCD_OPC_CheckField, 23, 1, 1, 65, 27, // Skip to: 7015
+/* 38 */      MCD_OPC_CheckField, 13, 1, 0, 59, 27, // Skip to: 7015
+/* 44 */      MCD_OPC_Decode, 165, 20, 231, 1, // Opcode: t2STMIA
+/* 49 */      MCD_OPC_FilterValue, 1, 50, 27, // Skip to: 7015
+/* 53 */      MCD_OPC_CheckPredicate, 22, 46, 27, // Skip to: 7015
+/* 57 */      MCD_OPC_CheckField, 23, 1, 0, 40, 27, // Skip to: 7015
+/* 63 */      MCD_OPC_CheckField, 16, 4, 13, 34, 27, // Skip to: 7015
+/* 69 */      MCD_OPC_CheckField, 5, 10, 128, 4, 27, 27, // Skip to: 7015
+/* 76 */      MCD_OPC_Decode, 131, 20, 81, // Opcode: t2SRSDB
 /* 80 */      MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 120
 /* 84 */      MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 87 */      MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 107
-/* 91 */      MCD_OPC_CheckPredicate, 22, 250, 26, // Skip to: 7001
-/* 95 */      MCD_OPC_CheckField, 0, 16, 128, 128, 3, 242, 26, // Skip to: 7001
-/* 103 */     MCD_OPC_Decode, 242, 19, 80, // Opcode: t2RFEDB
-/* 107 */     MCD_OPC_FilterValue, 1, 234, 26, // Skip to: 7001
-/* 111 */     MCD_OPC_CheckPredicate, 22, 230, 26, // Skip to: 7001
-/* 115 */     MCD_OPC_Decode, 238, 18, 233, 1, // Opcode: t2LDMIA
+/* 91 */      MCD_OPC_CheckPredicate, 22, 8, 27, // Skip to: 7015
+/* 95 */      MCD_OPC_CheckField, 0, 16, 128, 128, 3, 0, 27, // Skip to: 7015
+/* 103 */     MCD_OPC_Decode, 195, 19, 79, // Opcode: t2RFEDB
+/* 107 */     MCD_OPC_FilterValue, 1, 248, 26, // Skip to: 7015
+/* 111 */     MCD_OPC_CheckPredicate, 22, 244, 26, // Skip to: 7015
+/* 115 */     MCD_OPC_Decode, 191, 18, 232, 1, // Opcode: t2LDMIA
 /* 120 */     MCD_OPC_FilterValue, 2, 59, 0, // Skip to: 183
 /* 124 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
 /* 127 */     MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 152
-/* 131 */     MCD_OPC_CheckPredicate, 22, 210, 26, // Skip to: 7001
-/* 135 */     MCD_OPC_CheckField, 23, 1, 1, 204, 26, // Skip to: 7001
-/* 141 */     MCD_OPC_CheckField, 13, 1, 0, 198, 26, // Skip to: 7001
-/* 147 */     MCD_OPC_Decode, 213, 20, 234, 1, // Opcode: t2STMIA_UPD
-/* 152 */     MCD_OPC_FilterValue, 1, 189, 26, // Skip to: 7001
-/* 156 */     MCD_OPC_CheckPredicate, 22, 185, 26, // Skip to: 7001
-/* 160 */     MCD_OPC_CheckField, 23, 1, 0, 179, 26, // Skip to: 7001
-/* 166 */     MCD_OPC_CheckField, 16, 4, 13, 173, 26, // Skip to: 7001
-/* 172 */     MCD_OPC_CheckField, 5, 10, 128, 4, 166, 26, // Skip to: 7001
-/* 179 */     MCD_OPC_Decode, 179, 20, 82, // Opcode: t2SRSDB_UPD
+/* 131 */     MCD_OPC_CheckPredicate, 22, 224, 26, // Skip to: 7015
+/* 135 */     MCD_OPC_CheckField, 23, 1, 1, 218, 26, // Skip to: 7015
+/* 141 */     MCD_OPC_CheckField, 13, 1, 0, 212, 26, // Skip to: 7015
+/* 147 */     MCD_OPC_Decode, 166, 20, 233, 1, // Opcode: t2STMIA_UPD
+/* 152 */     MCD_OPC_FilterValue, 1, 203, 26, // Skip to: 7015
+/* 156 */     MCD_OPC_CheckPredicate, 22, 199, 26, // Skip to: 7015
+/* 160 */     MCD_OPC_CheckField, 23, 1, 0, 193, 26, // Skip to: 7015
+/* 166 */     MCD_OPC_CheckField, 16, 4, 13, 187, 26, // Skip to: 7015
+/* 172 */     MCD_OPC_CheckField, 5, 10, 128, 4, 180, 26, // Skip to: 7015
+/* 179 */     MCD_OPC_Decode, 132, 20, 81, // Opcode: t2SRSDB_UPD
 /* 183 */     MCD_OPC_FilterValue, 3, 36, 0, // Skip to: 223
 /* 187 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 190 */     MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 210
-/* 194 */     MCD_OPC_CheckPredicate, 22, 147, 26, // Skip to: 7001
-/* 198 */     MCD_OPC_CheckField, 0, 16, 128, 128, 3, 139, 26, // Skip to: 7001
-/* 206 */     MCD_OPC_Decode, 243, 19, 80, // Opcode: t2RFEDBW
-/* 210 */     MCD_OPC_FilterValue, 1, 131, 26, // Skip to: 7001
-/* 214 */     MCD_OPC_CheckPredicate, 22, 127, 26, // Skip to: 7001
-/* 218 */     MCD_OPC_Decode, 240, 18, 235, 1, // Opcode: t2LDMIA_UPD
+/* 194 */     MCD_OPC_CheckPredicate, 22, 161, 26, // Skip to: 7015
+/* 198 */     MCD_OPC_CheckField, 0, 16, 128, 128, 3, 153, 26, // Skip to: 7015
+/* 206 */     MCD_OPC_Decode, 196, 19, 79, // Opcode: t2RFEDBW
+/* 210 */     MCD_OPC_FilterValue, 1, 145, 26, // Skip to: 7015
+/* 214 */     MCD_OPC_CheckPredicate, 22, 141, 26, // Skip to: 7015
+/* 218 */     MCD_OPC_Decode, 193, 18, 234, 1, // Opcode: t2LDMIA_UPD
 /* 223 */     MCD_OPC_FilterValue, 4, 219, 0, // Skip to: 446
 /* 227 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 230 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 243
-/* 234 */     MCD_OPC_CheckPredicate, 22, 107, 26, // Skip to: 7001
-/* 238 */     MCD_OPC_Decode, 224, 20, 236, 1, // Opcode: t2STREX
-/* 243 */     MCD_OPC_FilterValue, 1, 98, 26, // Skip to: 7001
+/* 234 */     MCD_OPC_CheckPredicate, 22, 121, 26, // Skip to: 7015
+/* 238 */     MCD_OPC_Decode, 177, 20, 235, 1, // Opcode: t2STREX
+/* 243 */     MCD_OPC_FilterValue, 1, 112, 26, // Skip to: 7015
 /* 247 */     MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
 /* 250 */     MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 269
-/* 254 */     MCD_OPC_CheckPredicate, 22, 87, 26, // Skip to: 7001
-/* 258 */     MCD_OPC_CheckField, 8, 4, 15, 81, 26, // Skip to: 7001
-/* 264 */     MCD_OPC_Decode, 225, 20, 237, 1, // Opcode: t2STREXB
+/* 254 */     MCD_OPC_CheckPredicate, 22, 101, 26, // Skip to: 7015
+/* 258 */     MCD_OPC_CheckField, 8, 4, 15, 95, 26, // Skip to: 7015
+/* 264 */     MCD_OPC_Decode, 178, 20, 236, 1, // Opcode: t2STREXB
 /* 269 */     MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 288
-/* 273 */     MCD_OPC_CheckPredicate, 22, 68, 26, // Skip to: 7001
-/* 277 */     MCD_OPC_CheckField, 8, 4, 15, 62, 26, // Skip to: 7001
-/* 283 */     MCD_OPC_Decode, 227, 20, 237, 1, // Opcode: t2STREXH
+/* 273 */     MCD_OPC_CheckPredicate, 22, 82, 26, // Skip to: 7015
+/* 277 */     MCD_OPC_CheckField, 8, 4, 15, 76, 26, // Skip to: 7015
+/* 283 */     MCD_OPC_Decode, 180, 20, 236, 1, // Opcode: t2STREXH
 /* 288 */     MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 301
-/* 292 */     MCD_OPC_CheckPredicate, 22, 49, 26, // Skip to: 7001
-/* 296 */     MCD_OPC_Decode, 226, 20, 238, 1, // Opcode: t2STREXD
+/* 292 */     MCD_OPC_CheckPredicate, 22, 63, 26, // Skip to: 7015
+/* 296 */     MCD_OPC_Decode, 179, 20, 237, 1, // Opcode: t2STREXD
 /* 301 */     MCD_OPC_FilterValue, 8, 21, 0, // Skip to: 326
-/* 305 */     MCD_OPC_CheckPredicate, 23, 36, 26, // Skip to: 7001
-/* 309 */     MCD_OPC_CheckField, 8, 4, 15, 30, 26, // Skip to: 7001
-/* 315 */     MCD_OPC_CheckField, 0, 4, 15, 24, 26, // Skip to: 7001
-/* 321 */     MCD_OPC_Decode, 204, 20, 239, 1, // Opcode: t2STLB
+/* 305 */     MCD_OPC_CheckPredicate, 24, 50, 26, // Skip to: 7015
+/* 309 */     MCD_OPC_CheckField, 8, 4, 15, 44, 26, // Skip to: 7015
+/* 315 */     MCD_OPC_CheckField, 0, 4, 15, 38, 26, // Skip to: 7015
+/* 321 */     MCD_OPC_Decode, 157, 20, 238, 1, // Opcode: t2STLB
 /* 326 */     MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 351
-/* 330 */     MCD_OPC_CheckPredicate, 23, 11, 26, // Skip to: 7001
-/* 334 */     MCD_OPC_CheckField, 8, 4, 15, 5, 26, // Skip to: 7001
-/* 340 */     MCD_OPC_CheckField, 0, 4, 15, 255, 25, // Skip to: 7001
-/* 346 */     MCD_OPC_Decode, 209, 20, 239, 1, // Opcode: t2STLH
+/* 330 */     MCD_OPC_CheckPredicate, 24, 25, 26, // Skip to: 7015
+/* 334 */     MCD_OPC_CheckField, 8, 4, 15, 19, 26, // Skip to: 7015
+/* 340 */     MCD_OPC_CheckField, 0, 4, 15, 13, 26, // Skip to: 7015
+/* 346 */     MCD_OPC_Decode, 162, 20, 238, 1, // Opcode: t2STLH
 /* 351 */     MCD_OPC_FilterValue, 10, 21, 0, // Skip to: 376
-/* 355 */     MCD_OPC_CheckPredicate, 23, 242, 25, // Skip to: 7001
-/* 359 */     MCD_OPC_CheckField, 8, 4, 15, 236, 25, // Skip to: 7001
-/* 365 */     MCD_OPC_CheckField, 0, 4, 15, 230, 25, // Skip to: 7001
-/* 371 */     MCD_OPC_Decode, 203, 20, 239, 1, // Opcode: t2STL
+/* 355 */     MCD_OPC_CheckPredicate, 24, 0, 26, // Skip to: 7015
+/* 359 */     MCD_OPC_CheckField, 8, 4, 15, 250, 25, // Skip to: 7015
+/* 365 */     MCD_OPC_CheckField, 0, 4, 15, 244, 25, // Skip to: 7015
+/* 371 */     MCD_OPC_Decode, 156, 20, 238, 1, // Opcode: t2STL
 /* 376 */     MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 395
-/* 380 */     MCD_OPC_CheckPredicate, 23, 217, 25, // Skip to: 7001
-/* 384 */     MCD_OPC_CheckField, 8, 4, 15, 211, 25, // Skip to: 7001
-/* 390 */     MCD_OPC_Decode, 206, 20, 237, 1, // Opcode: t2STLEXB
+/* 380 */     MCD_OPC_CheckPredicate, 24, 231, 25, // Skip to: 7015
+/* 384 */     MCD_OPC_CheckField, 8, 4, 15, 225, 25, // Skip to: 7015
+/* 390 */     MCD_OPC_Decode, 159, 20, 236, 1, // Opcode: t2STLEXB
 /* 395 */     MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 414
-/* 399 */     MCD_OPC_CheckPredicate, 23, 198, 25, // Skip to: 7001
-/* 403 */     MCD_OPC_CheckField, 8, 4, 15, 192, 25, // Skip to: 7001
-/* 409 */     MCD_OPC_Decode, 208, 20, 237, 1, // Opcode: t2STLEXH
+/* 399 */     MCD_OPC_CheckPredicate, 24, 212, 25, // Skip to: 7015
+/* 403 */     MCD_OPC_CheckField, 8, 4, 15, 206, 25, // Skip to: 7015
+/* 409 */     MCD_OPC_Decode, 161, 20, 236, 1, // Opcode: t2STLEXH
 /* 414 */     MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 433
-/* 418 */     MCD_OPC_CheckPredicate, 23, 179, 25, // Skip to: 7001
-/* 422 */     MCD_OPC_CheckField, 8, 4, 15, 173, 25, // Skip to: 7001
-/* 428 */     MCD_OPC_Decode, 205, 20, 237, 1, // Opcode: t2STLEX
-/* 433 */     MCD_OPC_FilterValue, 15, 164, 25, // Skip to: 7001
-/* 437 */     MCD_OPC_CheckPredicate, 23, 160, 25, // Skip to: 7001
-/* 441 */     MCD_OPC_Decode, 207, 20, 238, 1, // Opcode: t2STLEXD
+/* 418 */     MCD_OPC_CheckPredicate, 24, 193, 25, // Skip to: 7015
+/* 422 */     MCD_OPC_CheckField, 8, 4, 15, 187, 25, // Skip to: 7015
+/* 428 */     MCD_OPC_Decode, 158, 20, 236, 1, // Opcode: t2STLEX
+/* 433 */     MCD_OPC_FilterValue, 15, 178, 25, // Skip to: 7015
+/* 437 */     MCD_OPC_CheckPredicate, 24, 174, 25, // Skip to: 7015
+/* 441 */     MCD_OPC_Decode, 160, 20, 237, 1, // Opcode: t2STLEXD
 /* 446 */     MCD_OPC_FilterValue, 5, 51, 1, // Skip to: 757
 /* 450 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 453 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 472
-/* 457 */     MCD_OPC_CheckPredicate, 22, 140, 25, // Skip to: 7001
-/* 461 */     MCD_OPC_CheckField, 8, 4, 15, 134, 25, // Skip to: 7001
-/* 467 */     MCD_OPC_Decode, 252, 18, 240, 1, // Opcode: t2LDREX
-/* 472 */     MCD_OPC_FilterValue, 1, 125, 25, // Skip to: 7001
+/* 457 */     MCD_OPC_CheckPredicate, 22, 154, 25, // Skip to: 7015
+/* 461 */     MCD_OPC_CheckField, 8, 4, 15, 148, 25, // Skip to: 7015
+/* 467 */     MCD_OPC_Decode, 205, 18, 239, 1, // Opcode: t2LDREX
+/* 472 */     MCD_OPC_FilterValue, 1, 139, 25, // Skip to: 7015
 /* 476 */     MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
 /* 479 */     MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 499
-/* 483 */     MCD_OPC_CheckPredicate, 22, 114, 25, // Skip to: 7001
-/* 487 */     MCD_OPC_CheckField, 8, 8, 240, 1, 107, 25, // Skip to: 7001
-/* 494 */     MCD_OPC_Decode, 128, 21, 241, 1, // Opcode: t2TBB
+/* 483 */     MCD_OPC_CheckPredicate, 22, 128, 25, // Skip to: 7015
+/* 487 */     MCD_OPC_CheckField, 8, 8, 240, 1, 121, 25, // Skip to: 7015
+/* 494 */     MCD_OPC_Decode, 209, 20, 240, 1, // Opcode: t2TBB
 /* 499 */     MCD_OPC_FilterValue, 1, 16, 0, // Skip to: 519
-/* 503 */     MCD_OPC_CheckPredicate, 22, 94, 25, // Skip to: 7001
-/* 507 */     MCD_OPC_CheckField, 8, 8, 240, 1, 87, 25, // Skip to: 7001
-/* 514 */     MCD_OPC_Decode, 130, 21, 241, 1, // Opcode: t2TBH
+/* 503 */     MCD_OPC_CheckPredicate, 22, 108, 25, // Skip to: 7015
+/* 507 */     MCD_OPC_CheckField, 8, 8, 240, 1, 101, 25, // Skip to: 7015
+/* 514 */     MCD_OPC_Decode, 211, 20, 240, 1, // Opcode: t2TBH
 /* 519 */     MCD_OPC_FilterValue, 4, 21, 0, // Skip to: 544
-/* 523 */     MCD_OPC_CheckPredicate, 22, 74, 25, // Skip to: 7001
-/* 527 */     MCD_OPC_CheckField, 8, 4, 15, 68, 25, // Skip to: 7001
-/* 533 */     MCD_OPC_CheckField, 0, 4, 15, 62, 25, // Skip to: 7001
-/* 539 */     MCD_OPC_Decode, 253, 18, 239, 1, // Opcode: t2LDREXB
+/* 523 */     MCD_OPC_CheckPredicate, 22, 88, 25, // Skip to: 7015
+/* 527 */     MCD_OPC_CheckField, 8, 4, 15, 82, 25, // Skip to: 7015
+/* 533 */     MCD_OPC_CheckField, 0, 4, 15, 76, 25, // Skip to: 7015
+/* 539 */     MCD_OPC_Decode, 206, 18, 238, 1, // Opcode: t2LDREXB
 /* 544 */     MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 569
-/* 548 */     MCD_OPC_CheckPredicate, 22, 49, 25, // Skip to: 7001
-/* 552 */     MCD_OPC_CheckField, 8, 4, 15, 43, 25, // Skip to: 7001
-/* 558 */     MCD_OPC_CheckField, 0, 4, 15, 37, 25, // Skip to: 7001
-/* 564 */     MCD_OPC_Decode, 255, 18, 239, 1, // Opcode: t2LDREXH
+/* 548 */     MCD_OPC_CheckPredicate, 22, 63, 25, // Skip to: 7015
+/* 552 */     MCD_OPC_CheckField, 8, 4, 15, 57, 25, // Skip to: 7015
+/* 558 */     MCD_OPC_CheckField, 0, 4, 15, 51, 25, // Skip to: 7015
+/* 564 */     MCD_OPC_Decode, 208, 18, 238, 1, // Opcode: t2LDREXH
 /* 569 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 588
-/* 573 */     MCD_OPC_CheckPredicate, 22, 24, 25, // Skip to: 7001
-/* 577 */     MCD_OPC_CheckField, 0, 4, 15, 18, 25, // Skip to: 7001
-/* 583 */     MCD_OPC_Decode, 254, 18, 242, 1, // Opcode: t2LDREXD
+/* 573 */     MCD_OPC_CheckPredicate, 22, 38, 25, // Skip to: 7015
+/* 577 */     MCD_OPC_CheckField, 0, 4, 15, 32, 25, // Skip to: 7015
+/* 583 */     MCD_OPC_Decode, 207, 18, 241, 1, // Opcode: t2LDREXD
 /* 588 */     MCD_OPC_FilterValue, 8, 21, 0, // Skip to: 613
-/* 592 */     MCD_OPC_CheckPredicate, 23, 5, 25, // Skip to: 7001
-/* 596 */     MCD_OPC_CheckField, 8, 4, 15, 255, 24, // Skip to: 7001
-/* 602 */     MCD_OPC_CheckField, 0, 4, 15, 249, 24, // Skip to: 7001
-/* 608 */     MCD_OPC_Decode, 214, 18, 239, 1, // Opcode: t2LDAB
+/* 592 */     MCD_OPC_CheckPredicate, 24, 19, 25, // Skip to: 7015
+/* 596 */     MCD_OPC_CheckField, 8, 4, 15, 13, 25, // Skip to: 7015
+/* 602 */     MCD_OPC_CheckField, 0, 4, 15, 7, 25, // Skip to: 7015
+/* 608 */     MCD_OPC_Decode, 167, 18, 238, 1, // Opcode: t2LDAB
 /* 613 */     MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 638
-/* 617 */     MCD_OPC_CheckPredicate, 23, 236, 24, // Skip to: 7001
-/* 621 */     MCD_OPC_CheckField, 8, 4, 15, 230, 24, // Skip to: 7001
-/* 627 */     MCD_OPC_CheckField, 0, 4, 15, 224, 24, // Skip to: 7001
-/* 633 */     MCD_OPC_Decode, 219, 18, 239, 1, // Opcode: t2LDAH
+/* 617 */     MCD_OPC_CheckPredicate, 24, 250, 24, // Skip to: 7015
+/* 621 */     MCD_OPC_CheckField, 8, 4, 15, 244, 24, // Skip to: 7015
+/* 627 */     MCD_OPC_CheckField, 0, 4, 15, 238, 24, // Skip to: 7015
+/* 633 */     MCD_OPC_Decode, 172, 18, 238, 1, // Opcode: t2LDAH
 /* 638 */     MCD_OPC_FilterValue, 10, 21, 0, // Skip to: 663
-/* 642 */     MCD_OPC_CheckPredicate, 23, 211, 24, // Skip to: 7001
-/* 646 */     MCD_OPC_CheckField, 8, 4, 15, 205, 24, // Skip to: 7001
-/* 652 */     MCD_OPC_CheckField, 0, 4, 15, 199, 24, // Skip to: 7001
-/* 658 */     MCD_OPC_Decode, 213, 18, 239, 1, // Opcode: t2LDA
+/* 642 */     MCD_OPC_CheckPredicate, 24, 225, 24, // Skip to: 7015
+/* 646 */     MCD_OPC_CheckField, 8, 4, 15, 219, 24, // Skip to: 7015
+/* 652 */     MCD_OPC_CheckField, 0, 4, 15, 213, 24, // Skip to: 7015
+/* 658 */     MCD_OPC_Decode, 166, 18, 238, 1, // Opcode: t2LDA
 /* 663 */     MCD_OPC_FilterValue, 12, 21, 0, // Skip to: 688
-/* 667 */     MCD_OPC_CheckPredicate, 23, 186, 24, // Skip to: 7001
-/* 671 */     MCD_OPC_CheckField, 8, 4, 15, 180, 24, // Skip to: 7001
-/* 677 */     MCD_OPC_CheckField, 0, 4, 15, 174, 24, // Skip to: 7001
-/* 683 */     MCD_OPC_Decode, 216, 18, 239, 1, // Opcode: t2LDAEXB
+/* 667 */     MCD_OPC_CheckPredicate, 24, 200, 24, // Skip to: 7015
+/* 671 */     MCD_OPC_CheckField, 8, 4, 15, 194, 24, // Skip to: 7015
+/* 677 */     MCD_OPC_CheckField, 0, 4, 15, 188, 24, // Skip to: 7015
+/* 683 */     MCD_OPC_Decode, 169, 18, 238, 1, // Opcode: t2LDAEXB
 /* 688 */     MCD_OPC_FilterValue, 13, 21, 0, // Skip to: 713
-/* 692 */     MCD_OPC_CheckPredicate, 23, 161, 24, // Skip to: 7001
-/* 696 */     MCD_OPC_CheckField, 8, 4, 15, 155, 24, // Skip to: 7001
-/* 702 */     MCD_OPC_CheckField, 0, 4, 15, 149, 24, // Skip to: 7001
-/* 708 */     MCD_OPC_Decode, 218, 18, 239, 1, // Opcode: t2LDAEXH
+/* 692 */     MCD_OPC_CheckPredicate, 24, 175, 24, // Skip to: 7015
+/* 696 */     MCD_OPC_CheckField, 8, 4, 15, 169, 24, // Skip to: 7015
+/* 702 */     MCD_OPC_CheckField, 0, 4, 15, 163, 24, // Skip to: 7015
+/* 708 */     MCD_OPC_Decode, 171, 18, 238, 1, // Opcode: t2LDAEXH
 /* 713 */     MCD_OPC_FilterValue, 14, 21, 0, // Skip to: 738
-/* 717 */     MCD_OPC_CheckPredicate, 23, 136, 24, // Skip to: 7001
-/* 721 */     MCD_OPC_CheckField, 8, 4, 15, 130, 24, // Skip to: 7001
-/* 727 */     MCD_OPC_CheckField, 0, 4, 15, 124, 24, // Skip to: 7001
-/* 733 */     MCD_OPC_Decode, 215, 18, 239, 1, // Opcode: t2LDAEX
-/* 738 */     MCD_OPC_FilterValue, 15, 115, 24, // Skip to: 7001
-/* 742 */     MCD_OPC_CheckPredicate, 23, 111, 24, // Skip to: 7001
-/* 746 */     MCD_OPC_CheckField, 0, 4, 15, 105, 24, // Skip to: 7001
-/* 752 */     MCD_OPC_Decode, 217, 18, 242, 1, // Opcode: t2LDAEXD
+/* 717 */     MCD_OPC_CheckPredicate, 24, 150, 24, // Skip to: 7015
+/* 721 */     MCD_OPC_CheckField, 8, 4, 15, 144, 24, // Skip to: 7015
+/* 727 */     MCD_OPC_CheckField, 0, 4, 15, 138, 24, // Skip to: 7015
+/* 733 */     MCD_OPC_Decode, 168, 18, 238, 1, // Opcode: t2LDAEX
+/* 738 */     MCD_OPC_FilterValue, 15, 129, 24, // Skip to: 7015
+/* 742 */     MCD_OPC_CheckPredicate, 24, 125, 24, // Skip to: 7015
+/* 746 */     MCD_OPC_CheckField, 0, 4, 15, 119, 24, // Skip to: 7015
+/* 752 */     MCD_OPC_Decode, 170, 18, 241, 1, // Opcode: t2LDAEXD
 /* 757 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 770
-/* 761 */     MCD_OPC_CheckPredicate, 22, 92, 24, // Skip to: 7001
-/* 765 */     MCD_OPC_Decode, 221, 20, 243, 1, // Opcode: t2STRD_POST
-/* 770 */     MCD_OPC_FilterValue, 7, 83, 24, // Skip to: 7001
-/* 774 */     MCD_OPC_CheckPredicate, 22, 79, 24, // Skip to: 7001
-/* 778 */     MCD_OPC_Decode, 249, 18, 244, 1, // Opcode: t2LDRD_POST
+/* 761 */     MCD_OPC_CheckPredicate, 22, 106, 24, // Skip to: 7015
+/* 765 */     MCD_OPC_Decode, 174, 20, 242, 1, // Opcode: t2STRD_POST
+/* 770 */     MCD_OPC_FilterValue, 7, 97, 24, // Skip to: 7015
+/* 774 */     MCD_OPC_CheckPredicate, 22, 93, 24, // Skip to: 7015
+/* 778 */     MCD_OPC_Decode, 202, 18, 243, 1, // Opcode: t2LDRD_POST
 /* 783 */     MCD_OPC_FilterValue, 1, 5, 1, // Skip to: 1048
 /* 787 */     MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
 /* 790 */     MCD_OPC_FilterValue, 0, 59, 0, // Skip to: 853
 /* 794 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
 /* 797 */     MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 822
-/* 801 */     MCD_OPC_CheckPredicate, 22, 52, 24, // Skip to: 7001
-/* 805 */     MCD_OPC_CheckField, 23, 1, 0, 46, 24, // Skip to: 7001
-/* 811 */     MCD_OPC_CheckField, 13, 1, 0, 40, 24, // Skip to: 7001
-/* 817 */     MCD_OPC_Decode, 210, 20, 232, 1, // Opcode: t2STMDB
-/* 822 */     MCD_OPC_FilterValue, 1, 31, 24, // Skip to: 7001
-/* 826 */     MCD_OPC_CheckPredicate, 22, 27, 24, // Skip to: 7001
-/* 830 */     MCD_OPC_CheckField, 23, 1, 1, 21, 24, // Skip to: 7001
-/* 836 */     MCD_OPC_CheckField, 16, 4, 13, 15, 24, // Skip to: 7001
-/* 842 */     MCD_OPC_CheckField, 5, 10, 128, 4, 8, 24, // Skip to: 7001
-/* 849 */     MCD_OPC_Decode, 180, 20, 82, // Opcode: t2SRSIA
+/* 801 */     MCD_OPC_CheckPredicate, 22, 66, 24, // Skip to: 7015
+/* 805 */     MCD_OPC_CheckField, 23, 1, 0, 60, 24, // Skip to: 7015
+/* 811 */     MCD_OPC_CheckField, 13, 1, 0, 54, 24, // Skip to: 7015
+/* 817 */     MCD_OPC_Decode, 163, 20, 231, 1, // Opcode: t2STMDB
+/* 822 */     MCD_OPC_FilterValue, 1, 45, 24, // Skip to: 7015
+/* 826 */     MCD_OPC_CheckPredicate, 22, 41, 24, // Skip to: 7015
+/* 830 */     MCD_OPC_CheckField, 23, 1, 1, 35, 24, // Skip to: 7015
+/* 836 */     MCD_OPC_CheckField, 16, 4, 13, 29, 24, // Skip to: 7015
+/* 842 */     MCD_OPC_CheckField, 5, 10, 128, 4, 22, 24, // Skip to: 7015
+/* 849 */     MCD_OPC_Decode, 133, 20, 81, // Opcode: t2SRSIA
 /* 853 */     MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 893
 /* 857 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 860 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 873
-/* 864 */     MCD_OPC_CheckPredicate, 22, 245, 23, // Skip to: 7001
-/* 868 */     MCD_OPC_Decode, 236, 18, 233, 1, // Opcode: t2LDMDB
-/* 873 */     MCD_OPC_FilterValue, 1, 236, 23, // Skip to: 7001
-/* 877 */     MCD_OPC_CheckPredicate, 22, 232, 23, // Skip to: 7001
-/* 881 */     MCD_OPC_CheckField, 0, 16, 128, 128, 3, 224, 23, // Skip to: 7001
-/* 889 */     MCD_OPC_Decode, 244, 19, 80, // Opcode: t2RFEIA
+/* 864 */     MCD_OPC_CheckPredicate, 22, 3, 24, // Skip to: 7015
+/* 868 */     MCD_OPC_Decode, 189, 18, 232, 1, // Opcode: t2LDMDB
+/* 873 */     MCD_OPC_FilterValue, 1, 250, 23, // Skip to: 7015
+/* 877 */     MCD_OPC_CheckPredicate, 22, 246, 23, // Skip to: 7015
+/* 881 */     MCD_OPC_CheckField, 0, 16, 128, 128, 3, 238, 23, // Skip to: 7015
+/* 889 */     MCD_OPC_Decode, 197, 19, 79, // Opcode: t2RFEIA
 /* 893 */     MCD_OPC_FilterValue, 2, 59, 0, // Skip to: 956
 /* 897 */     MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
 /* 900 */     MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 925
-/* 904 */     MCD_OPC_CheckPredicate, 22, 205, 23, // Skip to: 7001
-/* 908 */     MCD_OPC_CheckField, 23, 1, 0, 199, 23, // Skip to: 7001
-/* 914 */     MCD_OPC_CheckField, 13, 1, 0, 193, 23, // Skip to: 7001
-/* 920 */     MCD_OPC_Decode, 211, 20, 234, 1, // Opcode: t2STMDB_UPD
-/* 925 */     MCD_OPC_FilterValue, 1, 184, 23, // Skip to: 7001
-/* 929 */     MCD_OPC_CheckPredicate, 22, 180, 23, // Skip to: 7001
-/* 933 */     MCD_OPC_CheckField, 23, 1, 1, 174, 23, // Skip to: 7001
-/* 939 */     MCD_OPC_CheckField, 16, 4, 13, 168, 23, // Skip to: 7001
-/* 945 */     MCD_OPC_CheckField, 5, 10, 128, 4, 161, 23, // Skip to: 7001
-/* 952 */     MCD_OPC_Decode, 181, 20, 82, // Opcode: t2SRSIA_UPD
+/* 904 */     MCD_OPC_CheckPredicate, 22, 219, 23, // Skip to: 7015
+/* 908 */     MCD_OPC_CheckField, 23, 1, 0, 213, 23, // Skip to: 7015
+/* 914 */     MCD_OPC_CheckField, 13, 1, 0, 207, 23, // Skip to: 7015
+/* 920 */     MCD_OPC_Decode, 164, 20, 233, 1, // Opcode: t2STMDB_UPD
+/* 925 */     MCD_OPC_FilterValue, 1, 198, 23, // Skip to: 7015
+/* 929 */     MCD_OPC_CheckPredicate, 22, 194, 23, // Skip to: 7015
+/* 933 */     MCD_OPC_CheckField, 23, 1, 1, 188, 23, // Skip to: 7015
+/* 939 */     MCD_OPC_CheckField, 16, 4, 13, 182, 23, // Skip to: 7015
+/* 945 */     MCD_OPC_CheckField, 5, 10, 128, 4, 175, 23, // Skip to: 7015
+/* 952 */     MCD_OPC_Decode, 134, 20, 81, // Opcode: t2SRSIA_UPD
 /* 956 */     MCD_OPC_FilterValue, 3, 36, 0, // Skip to: 996
 /* 960 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 963 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 976
-/* 967 */     MCD_OPC_CheckPredicate, 22, 142, 23, // Skip to: 7001
-/* 971 */     MCD_OPC_Decode, 237, 18, 235, 1, // Opcode: t2LDMDB_UPD
-/* 976 */     MCD_OPC_FilterValue, 1, 133, 23, // Skip to: 7001
-/* 980 */     MCD_OPC_CheckPredicate, 22, 129, 23, // Skip to: 7001
-/* 984 */     MCD_OPC_CheckField, 0, 16, 128, 128, 3, 121, 23, // Skip to: 7001
-/* 992 */     MCD_OPC_Decode, 245, 19, 80, // Opcode: t2RFEIAW
+/* 967 */     MCD_OPC_CheckPredicate, 22, 156, 23, // Skip to: 7015
+/* 971 */     MCD_OPC_Decode, 190, 18, 234, 1, // Opcode: t2LDMDB_UPD
+/* 976 */     MCD_OPC_FilterValue, 1, 147, 23, // Skip to: 7015
+/* 980 */     MCD_OPC_CheckPredicate, 22, 143, 23, // Skip to: 7015
+/* 984 */     MCD_OPC_CheckField, 0, 16, 128, 128, 3, 135, 23, // Skip to: 7015
+/* 992 */     MCD_OPC_Decode, 198, 19, 79, // Opcode: t2RFEIAW
 /* 996 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 1009
-/* 1000 */    MCD_OPC_CheckPredicate, 22, 109, 23, // Skip to: 7001
-/* 1004 */    MCD_OPC_Decode, 223, 20, 245, 1, // Opcode: t2STRDi8
+/* 1000 */    MCD_OPC_CheckPredicate, 22, 123, 23, // Skip to: 7015
+/* 1004 */    MCD_OPC_Decode, 176, 20, 244, 1, // Opcode: t2STRDi8
 /* 1009 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1022
-/* 1013 */    MCD_OPC_CheckPredicate, 22, 96, 23, // Skip to: 7001
-/* 1017 */    MCD_OPC_Decode, 251, 18, 246, 1, // Opcode: t2LDRDi8
+/* 1013 */    MCD_OPC_CheckPredicate, 22, 110, 23, // Skip to: 7015
+/* 1017 */    MCD_OPC_Decode, 204, 18, 244, 1, // Opcode: t2LDRDi8
 /* 1022 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1035
-/* 1026 */    MCD_OPC_CheckPredicate, 22, 83, 23, // Skip to: 7001
-/* 1030 */    MCD_OPC_Decode, 222, 20, 247, 1, // Opcode: t2STRD_PRE
-/* 1035 */    MCD_OPC_FilterValue, 7, 74, 23, // Skip to: 7001
-/* 1039 */    MCD_OPC_CheckPredicate, 22, 70, 23, // Skip to: 7001
-/* 1043 */    MCD_OPC_Decode, 250, 18, 248, 1, // Opcode: t2LDRD_PRE
+/* 1026 */    MCD_OPC_CheckPredicate, 22, 97, 23, // Skip to: 7015
+/* 1030 */    MCD_OPC_Decode, 175, 20, 245, 1, // Opcode: t2STRD_PRE
+/* 1035 */    MCD_OPC_FilterValue, 7, 88, 23, // Skip to: 7015
+/* 1039 */    MCD_OPC_CheckPredicate, 22, 84, 23, // Skip to: 7015
+/* 1043 */    MCD_OPC_Decode, 203, 18, 246, 1, // Opcode: t2LDRD_PRE
 /* 1048 */    MCD_OPC_FilterValue, 2, 201, 1, // Skip to: 1509
 /* 1052 */    MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
 /* 1055 */    MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 1132
 /* 1059 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 1081
 /* 1063 */    MCD_OPC_CheckField, 20, 1, 1, 12, 0, // Skip to: 1081
 /* 1069 */    MCD_OPC_CheckField, 4, 11, 240, 1, 5, 0, // Skip to: 1081
-/* 1076 */    MCD_OPC_Decode, 136, 21, 249, 1, // Opcode: t2TSTrr
+/* 1076 */    MCD_OPC_Decode, 217, 20, 247, 1, // Opcode: t2TSTrr
 /* 1081 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1102
 /* 1085 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 1102
 /* 1091 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 1102
-/* 1097 */    MCD_OPC_Decode, 137, 21, 250, 1, // Opcode: t2TSTrs
+/* 1097 */    MCD_OPC_Decode, 218, 20, 248, 1, // Opcode: t2TSTrs
 /* 1102 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1123
 /* 1106 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1123
 /* 1112 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1123
-/* 1118 */    MCD_OPC_Decode, 167, 18, 251, 1, // Opcode: t2ANDrr
-/* 1123 */    MCD_OPC_CheckPredicate, 22, 242, 22, // Skip to: 7001
-/* 1127 */    MCD_OPC_Decode, 168, 18, 252, 1, // Opcode: t2ANDrs
+/* 1118 */    MCD_OPC_Decode, 248, 17, 249, 1, // Opcode: t2ANDrr
+/* 1123 */    MCD_OPC_CheckPredicate, 22, 0, 23, // Skip to: 7015
+/* 1127 */    MCD_OPC_Decode, 249, 17, 250, 1, // Opcode: t2ANDrs
 /* 1132 */    MCD_OPC_FilterValue, 1, 30, 0, // Skip to: 1166
 /* 1136 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1157
 /* 1140 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1157
 /* 1146 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1157
-/* 1152 */    MCD_OPC_Decode, 175, 18, 251, 1, // Opcode: t2BICrr
-/* 1157 */    MCD_OPC_CheckPredicate, 22, 208, 22, // Skip to: 7001
-/* 1161 */    MCD_OPC_Decode, 176, 18, 252, 1, // Opcode: t2BICrs
+/* 1152 */    MCD_OPC_Decode, 128, 18, 249, 1, // Opcode: t2BICrr
+/* 1157 */    MCD_OPC_CheckPredicate, 22, 222, 22, // Skip to: 7015
+/* 1161 */    MCD_OPC_Decode, 129, 18, 250, 1, // Opcode: t2BICrs
 /* 1166 */    MCD_OPC_FilterValue, 2, 151, 0, // Skip to: 1321
 /* 1170 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
 /* 1173 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 1208
@@ -7402,33 +7412,33 @@
 /* 1180 */    MCD_OPC_FilterValue, 0, 49, 0, // Skip to: 1233
 /* 1184 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 1199
 /* 1188 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 1199
-/* 1194 */    MCD_OPC_Decode, 190, 19, 253, 1, // Opcode: t2MOVr
+/* 1194 */    MCD_OPC_Decode, 143, 19, 251, 1, // Opcode: t2MOVr
 /* 1199 */    MCD_OPC_CheckPredicate, 22, 30, 0, // Skip to: 1233
-/* 1203 */    MCD_OPC_Decode, 213, 19, 251, 1, // Opcode: t2ORRrr
+/* 1203 */    MCD_OPC_Decode, 166, 19, 249, 1, // Opcode: t2ORRrr
 /* 1208 */    MCD_OPC_FilterValue, 3, 21, 0, // Skip to: 1233
 /* 1212 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1233
 /* 1216 */    MCD_OPC_CheckField, 16, 4, 15, 11, 0, // Skip to: 1233
 /* 1222 */    MCD_OPC_CheckField, 12, 3, 0, 5, 0, // Skip to: 1233
-/* 1228 */    MCD_OPC_Decode, 248, 19, 254, 1, // Opcode: t2RRX
+/* 1228 */    MCD_OPC_Decode, 201, 19, 252, 1, // Opcode: t2RRX
 /* 1233 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 1236 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1255
 /* 1240 */    MCD_OPC_CheckPredicate, 22, 68, 0, // Skip to: 1312
 /* 1244 */    MCD_OPC_CheckField, 16, 4, 15, 62, 0, // Skip to: 1312
-/* 1250 */    MCD_OPC_Decode, 163, 19, 255, 1, // Opcode: t2LSLri
+/* 1250 */    MCD_OPC_Decode, 244, 18, 253, 1, // Opcode: t2LSLri
 /* 1255 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1274
 /* 1259 */    MCD_OPC_CheckPredicate, 22, 49, 0, // Skip to: 1312
 /* 1263 */    MCD_OPC_CheckField, 16, 4, 15, 43, 0, // Skip to: 1312
-/* 1269 */    MCD_OPC_Decode, 165, 19, 255, 1, // Opcode: t2LSRri
+/* 1269 */    MCD_OPC_Decode, 246, 18, 253, 1, // Opcode: t2LSRri
 /* 1274 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1293
 /* 1278 */    MCD_OPC_CheckPredicate, 22, 30, 0, // Skip to: 1312
 /* 1282 */    MCD_OPC_CheckField, 16, 4, 15, 24, 0, // Skip to: 1312
-/* 1288 */    MCD_OPC_Decode, 169, 18, 255, 1, // Opcode: t2ASRri
+/* 1288 */    MCD_OPC_Decode, 250, 17, 253, 1, // Opcode: t2ASRri
 /* 1293 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1312
 /* 1297 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 1312
 /* 1301 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 1312
-/* 1307 */    MCD_OPC_Decode, 246, 19, 255, 1, // Opcode: t2RORri
-/* 1312 */    MCD_OPC_CheckPredicate, 22, 53, 22, // Skip to: 7001
-/* 1316 */    MCD_OPC_Decode, 214, 19, 252, 1, // Opcode: t2ORRrs
+/* 1307 */    MCD_OPC_Decode, 199, 19, 253, 1, // Opcode: t2RORri
+/* 1312 */    MCD_OPC_CheckPredicate, 22, 67, 22, // Skip to: 7015
+/* 1316 */    MCD_OPC_Decode, 167, 19, 250, 1, // Opcode: t2ORRrs
 /* 1321 */    MCD_OPC_FilterValue, 3, 62, 0, // Skip to: 1387
 /* 1325 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
 /* 1328 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 1363
@@ -7436,170 +7446,170 @@
 /* 1335 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 1363
 /* 1339 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 1354
 /* 1343 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 1354
-/* 1349 */    MCD_OPC_Decode, 207, 19, 254, 1, // Opcode: t2MVNr
+/* 1349 */    MCD_OPC_Decode, 160, 19, 252, 1, // Opcode: t2MVNr
 /* 1354 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 1363
-/* 1358 */    MCD_OPC_Decode, 210, 19, 251, 1, // Opcode: t2ORNrr
+/* 1358 */    MCD_OPC_Decode, 163, 19, 249, 1, // Opcode: t2ORNrr
 /* 1363 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 1378
 /* 1367 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 1378
-/* 1373 */    MCD_OPC_Decode, 208, 19, 128, 2, // Opcode: t2MVNs
-/* 1378 */    MCD_OPC_CheckPredicate, 22, 243, 21, // Skip to: 7001
-/* 1382 */    MCD_OPC_Decode, 211, 19, 252, 1, // Opcode: t2ORNrs
+/* 1373 */    MCD_OPC_Decode, 161, 19, 254, 1, // Opcode: t2MVNs
+/* 1378 */    MCD_OPC_CheckPredicate, 22, 1, 22, // Skip to: 7015
+/* 1382 */    MCD_OPC_Decode, 164, 19, 250, 1, // Opcode: t2ORNrs
 /* 1387 */    MCD_OPC_FilterValue, 4, 73, 0, // Skip to: 1464
 /* 1391 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 1413
 /* 1395 */    MCD_OPC_CheckField, 20, 1, 1, 12, 0, // Skip to: 1413
 /* 1401 */    MCD_OPC_CheckField, 4, 11, 240, 1, 5, 0, // Skip to: 1413
-/* 1408 */    MCD_OPC_Decode, 133, 21, 249, 1, // Opcode: t2TEQrr
+/* 1408 */    MCD_OPC_Decode, 214, 20, 247, 1, // Opcode: t2TEQrr
 /* 1413 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1434
 /* 1417 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 1434
 /* 1423 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 1434
-/* 1429 */    MCD_OPC_Decode, 134, 21, 250, 1, // Opcode: t2TEQrs
+/* 1429 */    MCD_OPC_Decode, 215, 20, 248, 1, // Opcode: t2TEQrs
 /* 1434 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1455
 /* 1438 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1455
 /* 1444 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1455
-/* 1450 */    MCD_OPC_Decode, 206, 18, 251, 1, // Opcode: t2EORrr
-/* 1455 */    MCD_OPC_CheckPredicate, 22, 166, 21, // Skip to: 7001
-/* 1459 */    MCD_OPC_Decode, 207, 18, 252, 1, // Opcode: t2EORrs
-/* 1464 */    MCD_OPC_FilterValue, 6, 157, 21, // Skip to: 7001
+/* 1450 */    MCD_OPC_Decode, 159, 18, 249, 1, // Opcode: t2EORrr
+/* 1455 */    MCD_OPC_CheckPredicate, 22, 180, 21, // Skip to: 7015
+/* 1459 */    MCD_OPC_Decode, 160, 18, 250, 1, // Opcode: t2EORrs
+/* 1464 */    MCD_OPC_FilterValue, 6, 171, 21, // Skip to: 7015
 /* 1468 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
 /* 1471 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1490
-/* 1475 */    MCD_OPC_CheckPredicate, 25, 146, 21, // Skip to: 7001
-/* 1479 */    MCD_OPC_CheckField, 20, 1, 0, 140, 21, // Skip to: 7001
-/* 1485 */    MCD_OPC_Decode, 215, 19, 129, 2, // Opcode: t2PKHBT
-/* 1490 */    MCD_OPC_FilterValue, 2, 131, 21, // Skip to: 7001
-/* 1494 */    MCD_OPC_CheckPredicate, 25, 127, 21, // Skip to: 7001
-/* 1498 */    MCD_OPC_CheckField, 20, 1, 0, 121, 21, // Skip to: 7001
-/* 1504 */    MCD_OPC_Decode, 216, 19, 129, 2, // Opcode: t2PKHTB
+/* 1475 */    MCD_OPC_CheckPredicate, 27, 160, 21, // Skip to: 7015
+/* 1479 */    MCD_OPC_CheckField, 20, 1, 0, 154, 21, // Skip to: 7015
+/* 1485 */    MCD_OPC_Decode, 168, 19, 255, 1, // Opcode: t2PKHBT
+/* 1490 */    MCD_OPC_FilterValue, 2, 145, 21, // Skip to: 7015
+/* 1494 */    MCD_OPC_CheckPredicate, 27, 141, 21, // Skip to: 7015
+/* 1498 */    MCD_OPC_CheckField, 20, 1, 0, 135, 21, // Skip to: 7015
+/* 1504 */    MCD_OPC_Decode, 169, 19, 255, 1, // Opcode: t2PKHTB
 /* 1509 */    MCD_OPC_FilterValue, 3, 3, 1, // Skip to: 1772
 /* 1513 */    MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
 /* 1516 */    MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 1593
 /* 1520 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 1542
 /* 1524 */    MCD_OPC_CheckField, 20, 1, 1, 12, 0, // Skip to: 1542
 /* 1530 */    MCD_OPC_CheckField, 4, 11, 240, 1, 5, 0, // Skip to: 1542
-/* 1537 */    MCD_OPC_Decode, 185, 18, 249, 1, // Opcode: t2CMNzrr
+/* 1537 */    MCD_OPC_Decode, 138, 18, 247, 1, // Opcode: t2CMNzrr
 /* 1542 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1563
 /* 1546 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 1563
 /* 1552 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 1563
-/* 1558 */    MCD_OPC_Decode, 186, 18, 250, 1, // Opcode: t2CMNzrs
+/* 1558 */    MCD_OPC_Decode, 139, 18, 248, 1, // Opcode: t2CMNzrs
 /* 1563 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1584
 /* 1567 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1584
 /* 1573 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1584
-/* 1579 */    MCD_OPC_Decode, 163, 18, 130, 2, // Opcode: t2ADDrr
-/* 1584 */    MCD_OPC_CheckPredicate, 22, 37, 21, // Skip to: 7001
-/* 1588 */    MCD_OPC_Decode, 164, 18, 131, 2, // Opcode: t2ADDrs
+/* 1579 */    MCD_OPC_Decode, 244, 17, 128, 2, // Opcode: t2ADDrr
+/* 1584 */    MCD_OPC_CheckPredicate, 22, 51, 21, // Skip to: 7015
+/* 1588 */    MCD_OPC_Decode, 245, 17, 129, 2, // Opcode: t2ADDrs
 /* 1593 */    MCD_OPC_FilterValue, 2, 30, 0, // Skip to: 1627
 /* 1597 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1618
 /* 1601 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1618
 /* 1607 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1618
-/* 1613 */    MCD_OPC_Decode, 156, 18, 251, 1, // Opcode: t2ADCrr
-/* 1618 */    MCD_OPC_CheckPredicate, 22, 3, 21, // Skip to: 7001
-/* 1622 */    MCD_OPC_Decode, 157, 18, 252, 1, // Opcode: t2ADCrs
+/* 1613 */    MCD_OPC_Decode, 237, 17, 249, 1, // Opcode: t2ADCrr
+/* 1618 */    MCD_OPC_CheckPredicate, 22, 17, 21, // Skip to: 7015
+/* 1622 */    MCD_OPC_Decode, 238, 17, 250, 1, // Opcode: t2ADCrs
 /* 1627 */    MCD_OPC_FilterValue, 3, 30, 0, // Skip to: 1661
 /* 1631 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1652
 /* 1635 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1652
 /* 1641 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1652
-/* 1647 */    MCD_OPC_Decode, 130, 20, 251, 1, // Opcode: t2SBCrr
-/* 1652 */    MCD_OPC_CheckPredicate, 22, 225, 20, // Skip to: 7001
-/* 1656 */    MCD_OPC_Decode, 131, 20, 252, 1, // Opcode: t2SBCrs
+/* 1647 */    MCD_OPC_Decode, 211, 19, 249, 1, // Opcode: t2SBCrr
+/* 1652 */    MCD_OPC_CheckPredicate, 22, 239, 20, // Skip to: 7015
+/* 1656 */    MCD_OPC_Decode, 212, 19, 250, 1, // Opcode: t2SBCrs
 /* 1661 */    MCD_OPC_FilterValue, 5, 73, 0, // Skip to: 1738
 /* 1665 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 1687
 /* 1669 */    MCD_OPC_CheckField, 20, 1, 1, 12, 0, // Skip to: 1687
 /* 1675 */    MCD_OPC_CheckField, 4, 11, 240, 1, 5, 0, // Skip to: 1687
-/* 1682 */    MCD_OPC_Decode, 188, 18, 249, 1, // Opcode: t2CMPrr
+/* 1682 */    MCD_OPC_Decode, 141, 18, 247, 1, // Opcode: t2CMPrr
 /* 1687 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1708
 /* 1691 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 1708
 /* 1697 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 1708
-/* 1703 */    MCD_OPC_Decode, 189, 18, 250, 1, // Opcode: t2CMPrs
+/* 1703 */    MCD_OPC_Decode, 142, 18, 248, 1, // Opcode: t2CMPrs
 /* 1708 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1729
 /* 1712 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1729
 /* 1718 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1729
-/* 1724 */    MCD_OPC_Decode, 248, 20, 130, 2, // Opcode: t2SUBrr
-/* 1729 */    MCD_OPC_CheckPredicate, 22, 148, 20, // Skip to: 7001
-/* 1733 */    MCD_OPC_Decode, 249, 20, 131, 2, // Opcode: t2SUBrs
-/* 1738 */    MCD_OPC_FilterValue, 6, 139, 20, // Skip to: 7001
+/* 1724 */    MCD_OPC_Decode, 201, 20, 128, 2, // Opcode: t2SUBrr
+/* 1729 */    MCD_OPC_CheckPredicate, 22, 162, 20, // Skip to: 7015
+/* 1733 */    MCD_OPC_Decode, 202, 20, 129, 2, // Opcode: t2SUBrs
+/* 1738 */    MCD_OPC_FilterValue, 6, 153, 20, // Skip to: 7015
 /* 1742 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 1763
 /* 1746 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 1763
 /* 1752 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 1763
-/* 1758 */    MCD_OPC_Decode, 252, 19, 251, 1, // Opcode: t2RSBrr
-/* 1763 */    MCD_OPC_CheckPredicate, 22, 114, 20, // Skip to: 7001
-/* 1767 */    MCD_OPC_Decode, 253, 19, 252, 1, // Opcode: t2RSBrs
+/* 1758 */    MCD_OPC_Decode, 205, 19, 249, 1, // Opcode: t2RSBrr
+/* 1763 */    MCD_OPC_CheckPredicate, 22, 128, 20, // Skip to: 7015
+/* 1767 */    MCD_OPC_Decode, 206, 19, 250, 1, // Opcode: t2RSBrs
 /* 1772 */    MCD_OPC_FilterValue, 4, 151, 0, // Skip to: 1927
 /* 1776 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
 /* 1779 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1797
-/* 1783 */    MCD_OPC_CheckPredicate, 22, 94, 20, // Skip to: 7001
-/* 1787 */    MCD_OPC_CheckField, 23, 1, 1, 88, 20, // Skip to: 7001
-/* 1793 */    MCD_OPC_Decode, 200, 20, 85, // Opcode: t2STC_OPTION
+/* 1783 */    MCD_OPC_CheckPredicate, 22, 108, 20, // Skip to: 7015
+/* 1787 */    MCD_OPC_CheckField, 23, 1, 1, 102, 20, // Skip to: 7015
+/* 1793 */    MCD_OPC_Decode, 153, 20, 84, // Opcode: t2STC_OPTION
 /* 1797 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1815
-/* 1801 */    MCD_OPC_CheckPredicate, 22, 76, 20, // Skip to: 7001
-/* 1805 */    MCD_OPC_CheckField, 23, 1, 1, 70, 20, // Skip to: 7001
-/* 1811 */    MCD_OPC_Decode, 233, 18, 85, // Opcode: t2LDC_OPTION
+/* 1801 */    MCD_OPC_CheckPredicate, 22, 90, 20, // Skip to: 7015
+/* 1805 */    MCD_OPC_CheckField, 23, 1, 1, 84, 20, // Skip to: 7015
+/* 1811 */    MCD_OPC_Decode, 186, 18, 84, // Opcode: t2LDC_OPTION
 /* 1815 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1827
-/* 1819 */    MCD_OPC_CheckPredicate, 22, 58, 20, // Skip to: 7001
-/* 1823 */    MCD_OPC_Decode, 201, 20, 85, // Opcode: t2STC_POST
+/* 1819 */    MCD_OPC_CheckPredicate, 22, 72, 20, // Skip to: 7015
+/* 1823 */    MCD_OPC_Decode, 154, 20, 84, // Opcode: t2STC_POST
 /* 1827 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1839
-/* 1831 */    MCD_OPC_CheckPredicate, 22, 46, 20, // Skip to: 7001
-/* 1835 */    MCD_OPC_Decode, 234, 18, 85, // Opcode: t2LDC_POST
+/* 1831 */    MCD_OPC_CheckPredicate, 22, 60, 20, // Skip to: 7015
+/* 1835 */    MCD_OPC_Decode, 187, 18, 84, // Opcode: t2LDC_POST
 /* 1839 */    MCD_OPC_FilterValue, 4, 28, 0, // Skip to: 1871
 /* 1843 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 1846 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1859
-/* 1850 */    MCD_OPC_CheckPredicate, 22, 27, 20, // Skip to: 7001
-/* 1854 */    MCD_OPC_Decode, 169, 19, 132, 2, // Opcode: t2MCRR
-/* 1859 */    MCD_OPC_FilterValue, 1, 18, 20, // Skip to: 7001
-/* 1863 */    MCD_OPC_CheckPredicate, 22, 14, 20, // Skip to: 7001
-/* 1867 */    MCD_OPC_Decode, 196, 20, 85, // Opcode: t2STCL_OPTION
+/* 1850 */    MCD_OPC_CheckPredicate, 22, 41, 20, // Skip to: 7015
+/* 1854 */    MCD_OPC_Decode, 250, 18, 130, 2, // Opcode: t2MCRR
+/* 1859 */    MCD_OPC_FilterValue, 1, 32, 20, // Skip to: 7015
+/* 1863 */    MCD_OPC_CheckPredicate, 22, 28, 20, // Skip to: 7015
+/* 1867 */    MCD_OPC_Decode, 149, 20, 84, // Opcode: t2STCL_OPTION
 /* 1871 */    MCD_OPC_FilterValue, 5, 28, 0, // Skip to: 1903
 /* 1875 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 1878 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1891
-/* 1882 */    MCD_OPC_CheckPredicate, 22, 251, 19, // Skip to: 7001
-/* 1886 */    MCD_OPC_Decode, 197, 19, 132, 2, // Opcode: t2MRRC
-/* 1891 */    MCD_OPC_FilterValue, 1, 242, 19, // Skip to: 7001
-/* 1895 */    MCD_OPC_CheckPredicate, 22, 238, 19, // Skip to: 7001
-/* 1899 */    MCD_OPC_Decode, 229, 18, 85, // Opcode: t2LDCL_OPTION
+/* 1882 */    MCD_OPC_CheckPredicate, 22, 9, 20, // Skip to: 7015
+/* 1886 */    MCD_OPC_Decode, 150, 19, 130, 2, // Opcode: t2MRRC
+/* 1891 */    MCD_OPC_FilterValue, 1, 0, 20, // Skip to: 7015
+/* 1895 */    MCD_OPC_CheckPredicate, 22, 252, 19, // Skip to: 7015
+/* 1899 */    MCD_OPC_Decode, 182, 18, 84, // Opcode: t2LDCL_OPTION
 /* 1903 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 1915
-/* 1907 */    MCD_OPC_CheckPredicate, 22, 226, 19, // Skip to: 7001
-/* 1911 */    MCD_OPC_Decode, 197, 20, 85, // Opcode: t2STCL_POST
-/* 1915 */    MCD_OPC_FilterValue, 7, 218, 19, // Skip to: 7001
-/* 1919 */    MCD_OPC_CheckPredicate, 22, 214, 19, // Skip to: 7001
-/* 1923 */    MCD_OPC_Decode, 230, 18, 85, // Opcode: t2LDCL_POST
+/* 1907 */    MCD_OPC_CheckPredicate, 22, 240, 19, // Skip to: 7015
+/* 1911 */    MCD_OPC_Decode, 150, 20, 84, // Opcode: t2STCL_POST
+/* 1915 */    MCD_OPC_FilterValue, 7, 232, 19, // Skip to: 7015
+/* 1919 */    MCD_OPC_CheckPredicate, 22, 228, 19, // Skip to: 7015
+/* 1923 */    MCD_OPC_Decode, 183, 18, 84, // Opcode: t2LDCL_POST
 /* 1927 */    MCD_OPC_FilterValue, 5, 99, 0, // Skip to: 2030
 /* 1931 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
 /* 1934 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1946
-/* 1938 */    MCD_OPC_CheckPredicate, 22, 195, 19, // Skip to: 7001
-/* 1942 */    MCD_OPC_Decode, 199, 20, 85, // Opcode: t2STC_OFFSET
+/* 1938 */    MCD_OPC_CheckPredicate, 22, 209, 19, // Skip to: 7015
+/* 1942 */    MCD_OPC_Decode, 152, 20, 84, // Opcode: t2STC_OFFSET
 /* 1946 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1958
-/* 1950 */    MCD_OPC_CheckPredicate, 22, 183, 19, // Skip to: 7001
-/* 1954 */    MCD_OPC_Decode, 232, 18, 85, // Opcode: t2LDC_OFFSET
+/* 1950 */    MCD_OPC_CheckPredicate, 22, 197, 19, // Skip to: 7015
+/* 1954 */    MCD_OPC_Decode, 185, 18, 84, // Opcode: t2LDC_OFFSET
 /* 1958 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1970
-/* 1962 */    MCD_OPC_CheckPredicate, 22, 171, 19, // Skip to: 7001
-/* 1966 */    MCD_OPC_Decode, 202, 20, 85, // Opcode: t2STC_PRE
+/* 1962 */    MCD_OPC_CheckPredicate, 22, 185, 19, // Skip to: 7015
+/* 1966 */    MCD_OPC_Decode, 155, 20, 84, // Opcode: t2STC_PRE
 /* 1970 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1982
-/* 1974 */    MCD_OPC_CheckPredicate, 22, 159, 19, // Skip to: 7001
-/* 1978 */    MCD_OPC_Decode, 235, 18, 85, // Opcode: t2LDC_PRE
+/* 1974 */    MCD_OPC_CheckPredicate, 22, 173, 19, // Skip to: 7015
+/* 1978 */    MCD_OPC_Decode, 188, 18, 84, // Opcode: t2LDC_PRE
 /* 1982 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1994
-/* 1986 */    MCD_OPC_CheckPredicate, 22, 147, 19, // Skip to: 7001
-/* 1990 */    MCD_OPC_Decode, 195, 20, 85, // Opcode: t2STCL_OFFSET
+/* 1986 */    MCD_OPC_CheckPredicate, 22, 161, 19, // Skip to: 7015
+/* 1990 */    MCD_OPC_Decode, 148, 20, 84, // Opcode: t2STCL_OFFSET
 /* 1994 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 2006
-/* 1998 */    MCD_OPC_CheckPredicate, 22, 135, 19, // Skip to: 7001
-/* 2002 */    MCD_OPC_Decode, 228, 18, 85, // Opcode: t2LDCL_OFFSET
+/* 1998 */    MCD_OPC_CheckPredicate, 22, 149, 19, // Skip to: 7015
+/* 2002 */    MCD_OPC_Decode, 181, 18, 84, // Opcode: t2LDCL_OFFSET
 /* 2006 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 2018
-/* 2010 */    MCD_OPC_CheckPredicate, 22, 123, 19, // Skip to: 7001
-/* 2014 */    MCD_OPC_Decode, 198, 20, 85, // Opcode: t2STCL_PRE
-/* 2018 */    MCD_OPC_FilterValue, 7, 115, 19, // Skip to: 7001
-/* 2022 */    MCD_OPC_CheckPredicate, 22, 111, 19, // Skip to: 7001
-/* 2026 */    MCD_OPC_Decode, 231, 18, 85, // Opcode: t2LDCL_PRE
-/* 2030 */    MCD_OPC_FilterValue, 6, 103, 19, // Skip to: 7001
+/* 2010 */    MCD_OPC_CheckPredicate, 22, 137, 19, // Skip to: 7015
+/* 2014 */    MCD_OPC_Decode, 151, 20, 84, // Opcode: t2STCL_PRE
+/* 2018 */    MCD_OPC_FilterValue, 7, 129, 19, // Skip to: 7015
+/* 2022 */    MCD_OPC_CheckPredicate, 22, 125, 19, // Skip to: 7015
+/* 2026 */    MCD_OPC_Decode, 184, 18, 84, // Opcode: t2LDCL_PRE
+/* 2030 */    MCD_OPC_FilterValue, 6, 117, 19, // Skip to: 7015
 /* 2034 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 2037 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2049
-/* 2041 */    MCD_OPC_CheckPredicate, 26, 92, 19, // Skip to: 7001
-/* 2045 */    MCD_OPC_Decode, 180, 18, 88, // Opcode: t2CDP
-/* 2049 */    MCD_OPC_FilterValue, 1, 84, 19, // Skip to: 7001
+/* 2041 */    MCD_OPC_CheckPredicate, 28, 106, 19, // Skip to: 7015
+/* 2045 */    MCD_OPC_Decode, 133, 18, 87, // Opcode: t2CDP
+/* 2049 */    MCD_OPC_FilterValue, 1, 98, 19, // Skip to: 7015
 /* 2053 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 2056 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2068
-/* 2060 */    MCD_OPC_CheckPredicate, 22, 73, 19, // Skip to: 7001
-/* 2064 */    MCD_OPC_Decode, 167, 19, 90, // Opcode: t2MCR
-/* 2068 */    MCD_OPC_FilterValue, 1, 65, 19, // Skip to: 7001
-/* 2072 */    MCD_OPC_CheckPredicate, 22, 61, 19, // Skip to: 7001
-/* 2076 */    MCD_OPC_Decode, 195, 19, 92, // Opcode: t2MRC
-/* 2080 */    MCD_OPC_FilterValue, 30, 67, 4, // Skip to: 3175
+/* 2060 */    MCD_OPC_CheckPredicate, 22, 87, 19, // Skip to: 7015
+/* 2064 */    MCD_OPC_Decode, 248, 18, 89, // Opcode: t2MCR
+/* 2068 */    MCD_OPC_FilterValue, 1, 79, 19, // Skip to: 7015
+/* 2072 */    MCD_OPC_CheckPredicate, 22, 75, 19, // Skip to: 7015
+/* 2076 */    MCD_OPC_Decode, 148, 19, 91, // Opcode: t2MRC
+/* 2080 */    MCD_OPC_FilterValue, 30, 81, 4, // Skip to: 3189
 /* 2084 */    MCD_OPC_ExtractField, 15, 1,  // Inst{15} ...
 /* 2087 */    MCD_OPC_FilterValue, 0, 69, 2, // Skip to: 2672
 /* 2091 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
@@ -7609,133 +7619,133 @@
 /* 2105 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 2126
 /* 2109 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 2126
 /* 2115 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 2126
-/* 2121 */    MCD_OPC_Decode, 135, 21, 133, 2, // Opcode: t2TSTri
-/* 2126 */    MCD_OPC_CheckPredicate, 22, 7, 19, // Skip to: 7001
-/* 2130 */    MCD_OPC_Decode, 166, 18, 134, 2, // Opcode: t2ANDri
+/* 2121 */    MCD_OPC_Decode, 216, 20, 131, 2, // Opcode: t2TSTri
+/* 2126 */    MCD_OPC_CheckPredicate, 22, 21, 19, // Skip to: 7015
+/* 2130 */    MCD_OPC_Decode, 247, 17, 132, 2, // Opcode: t2ANDri
 /* 2135 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2148
-/* 2139 */    MCD_OPC_CheckPredicate, 22, 250, 18, // Skip to: 7001
-/* 2143 */    MCD_OPC_Decode, 174, 18, 134, 2, // Opcode: t2BICri
+/* 2139 */    MCD_OPC_CheckPredicate, 22, 8, 19, // Skip to: 7015
+/* 2143 */    MCD_OPC_Decode, 255, 17, 132, 2, // Opcode: t2BICri
 /* 2148 */    MCD_OPC_FilterValue, 2, 24, 0, // Skip to: 2176
 /* 2152 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 2167
 /* 2156 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 2167
-/* 2162 */    MCD_OPC_Decode, 186, 19, 135, 2, // Opcode: t2MOVi
-/* 2167 */    MCD_OPC_CheckPredicate, 22, 222, 18, // Skip to: 7001
-/* 2171 */    MCD_OPC_Decode, 212, 19, 134, 2, // Opcode: t2ORRri
+/* 2162 */    MCD_OPC_Decode, 139, 19, 133, 2, // Opcode: t2MOVi
+/* 2167 */    MCD_OPC_CheckPredicate, 22, 236, 18, // Skip to: 7015
+/* 2171 */    MCD_OPC_Decode, 165, 19, 132, 2, // Opcode: t2ORRri
 /* 2176 */    MCD_OPC_FilterValue, 3, 24, 0, // Skip to: 2204
 /* 2180 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 2195
 /* 2184 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 2195
-/* 2190 */    MCD_OPC_Decode, 206, 19, 135, 2, // Opcode: t2MVNi
-/* 2195 */    MCD_OPC_CheckPredicate, 22, 194, 18, // Skip to: 7001
-/* 2199 */    MCD_OPC_Decode, 209, 19, 134, 2, // Opcode: t2ORNri
-/* 2204 */    MCD_OPC_FilterValue, 4, 185, 18, // Skip to: 7001
+/* 2190 */    MCD_OPC_Decode, 159, 19, 133, 2, // Opcode: t2MVNi
+/* 2195 */    MCD_OPC_CheckPredicate, 22, 208, 18, // Skip to: 7015
+/* 2199 */    MCD_OPC_Decode, 162, 19, 132, 2, // Opcode: t2ORNri
+/* 2204 */    MCD_OPC_FilterValue, 4, 199, 18, // Skip to: 7015
 /* 2208 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 2229
 /* 2212 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 2229
 /* 2218 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 2229
-/* 2224 */    MCD_OPC_Decode, 132, 21, 133, 2, // Opcode: t2TEQri
-/* 2229 */    MCD_OPC_CheckPredicate, 22, 160, 18, // Skip to: 7001
-/* 2233 */    MCD_OPC_Decode, 205, 18, 134, 2, // Opcode: t2EORri
+/* 2224 */    MCD_OPC_Decode, 213, 20, 131, 2, // Opcode: t2TEQri
+/* 2229 */    MCD_OPC_CheckPredicate, 22, 174, 18, // Skip to: 7015
+/* 2233 */    MCD_OPC_Decode, 158, 18, 132, 2, // Opcode: t2EORri
 /* 2238 */    MCD_OPC_FilterValue, 1, 110, 0, // Skip to: 2352
 /* 2242 */    MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
 /* 2245 */    MCD_OPC_FilterValue, 0, 30, 0, // Skip to: 2279
 /* 2249 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 2270
 /* 2253 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 2270
 /* 2259 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 2270
-/* 2265 */    MCD_OPC_Decode, 184, 18, 133, 2, // Opcode: t2CMNri
-/* 2270 */    MCD_OPC_CheckPredicate, 22, 119, 18, // Skip to: 7001
-/* 2274 */    MCD_OPC_Decode, 161, 18, 136, 2, // Opcode: t2ADDri
+/* 2265 */    MCD_OPC_Decode, 137, 18, 131, 2, // Opcode: t2CMNri
+/* 2270 */    MCD_OPC_CheckPredicate, 22, 133, 18, // Skip to: 7015
+/* 2274 */    MCD_OPC_Decode, 242, 17, 134, 2, // Opcode: t2ADDri
 /* 2279 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2292
-/* 2283 */    MCD_OPC_CheckPredicate, 22, 106, 18, // Skip to: 7001
-/* 2287 */    MCD_OPC_Decode, 155, 18, 134, 2, // Opcode: t2ADCri
+/* 2283 */    MCD_OPC_CheckPredicate, 22, 120, 18, // Skip to: 7015
+/* 2287 */    MCD_OPC_Decode, 236, 17, 132, 2, // Opcode: t2ADCri
 /* 2292 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2305
-/* 2296 */    MCD_OPC_CheckPredicate, 22, 93, 18, // Skip to: 7001
-/* 2300 */    MCD_OPC_Decode, 129, 20, 134, 2, // Opcode: t2SBCri
+/* 2296 */    MCD_OPC_CheckPredicate, 22, 107, 18, // Skip to: 7015
+/* 2300 */    MCD_OPC_Decode, 210, 19, 132, 2, // Opcode: t2SBCri
 /* 2305 */    MCD_OPC_FilterValue, 5, 30, 0, // Skip to: 2339
 /* 2309 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 2330
 /* 2313 */    MCD_OPC_CheckField, 20, 1, 1, 11, 0, // Skip to: 2330
 /* 2319 */    MCD_OPC_CheckField, 8, 4, 15, 5, 0, // Skip to: 2330
-/* 2325 */    MCD_OPC_Decode, 187, 18, 133, 2, // Opcode: t2CMPri
-/* 2330 */    MCD_OPC_CheckPredicate, 22, 59, 18, // Skip to: 7001
-/* 2334 */    MCD_OPC_Decode, 246, 20, 136, 2, // Opcode: t2SUBri
-/* 2339 */    MCD_OPC_FilterValue, 6, 50, 18, // Skip to: 7001
-/* 2343 */    MCD_OPC_CheckPredicate, 22, 46, 18, // Skip to: 7001
-/* 2347 */    MCD_OPC_Decode, 251, 19, 134, 2, // Opcode: t2RSBri
+/* 2325 */    MCD_OPC_Decode, 140, 18, 131, 2, // Opcode: t2CMPri
+/* 2330 */    MCD_OPC_CheckPredicate, 22, 73, 18, // Skip to: 7015
+/* 2334 */    MCD_OPC_Decode, 199, 20, 134, 2, // Opcode: t2SUBri
+/* 2339 */    MCD_OPC_FilterValue, 6, 64, 18, // Skip to: 7015
+/* 2343 */    MCD_OPC_CheckPredicate, 22, 60, 18, // Skip to: 7015
+/* 2347 */    MCD_OPC_Decode, 204, 19, 132, 2, // Opcode: t2RSBri
 /* 2352 */    MCD_OPC_FilterValue, 2, 115, 0, // Skip to: 2471
 /* 2356 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
 /* 2359 */    MCD_OPC_FilterValue, 0, 63, 0, // Skip to: 2426
 /* 2363 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2366 */    MCD_OPC_FilterValue, 0, 23, 18, // Skip to: 7001
+/* 2366 */    MCD_OPC_FilterValue, 0, 37, 18, // Skip to: 7015
 /* 2370 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
 /* 2373 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2392
 /* 2377 */    MCD_OPC_CheckPredicate, 22, 30, 0, // Skip to: 2411
 /* 2381 */    MCD_OPC_CheckField, 23, 1, 0, 24, 0, // Skip to: 2411
-/* 2387 */    MCD_OPC_Decode, 162, 18, 137, 2, // Opcode: t2ADDri12
+/* 2387 */    MCD_OPC_Decode, 243, 17, 135, 2, // Opcode: t2ADDri12
 /* 2392 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 2411
 /* 2396 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 2411
 /* 2400 */    MCD_OPC_CheckField, 23, 1, 1, 5, 0, // Skip to: 2411
-/* 2406 */    MCD_OPC_Decode, 247, 20, 137, 2, // Opcode: t2SUBri12
-/* 2411 */    MCD_OPC_CheckPredicate, 22, 234, 17, // Skip to: 7001
-/* 2415 */    MCD_OPC_CheckField, 16, 4, 15, 228, 17, // Skip to: 7001
-/* 2421 */    MCD_OPC_Decode, 165, 18, 138, 2, // Opcode: t2ADR
-/* 2426 */    MCD_OPC_FilterValue, 1, 219, 17, // Skip to: 7001
+/* 2406 */    MCD_OPC_Decode, 200, 20, 135, 2, // Opcode: t2SUBri12
+/* 2411 */    MCD_OPC_CheckPredicate, 22, 248, 17, // Skip to: 7015
+/* 2415 */    MCD_OPC_CheckField, 16, 4, 15, 242, 17, // Skip to: 7015
+/* 2421 */    MCD_OPC_Decode, 246, 17, 136, 2, // Opcode: t2ADR
+/* 2426 */    MCD_OPC_FilterValue, 1, 233, 17, // Skip to: 7015
 /* 2430 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 2433 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2452
-/* 2437 */    MCD_OPC_CheckPredicate, 22, 208, 17, // Skip to: 7001
-/* 2441 */    MCD_OPC_CheckField, 20, 2, 0, 202, 17, // Skip to: 7001
-/* 2447 */    MCD_OPC_Decode, 187, 19, 139, 2, // Opcode: t2MOVi16
-/* 2452 */    MCD_OPC_FilterValue, 1, 193, 17, // Skip to: 7001
-/* 2456 */    MCD_OPC_CheckPredicate, 22, 189, 17, // Skip to: 7001
-/* 2460 */    MCD_OPC_CheckField, 20, 2, 0, 183, 17, // Skip to: 7001
-/* 2466 */    MCD_OPC_Decode, 183, 19, 139, 2, // Opcode: t2MOVTi16
-/* 2471 */    MCD_OPC_FilterValue, 3, 174, 17, // Skip to: 7001
+/* 2437 */    MCD_OPC_CheckPredicate, 22, 222, 17, // Skip to: 7015
+/* 2441 */    MCD_OPC_CheckField, 20, 2, 0, 216, 17, // Skip to: 7015
+/* 2447 */    MCD_OPC_Decode, 140, 19, 137, 2, // Opcode: t2MOVi16
+/* 2452 */    MCD_OPC_FilterValue, 1, 207, 17, // Skip to: 7015
+/* 2456 */    MCD_OPC_CheckPredicate, 22, 203, 17, // Skip to: 7015
+/* 2460 */    MCD_OPC_CheckField, 20, 2, 0, 197, 17, // Skip to: 7015
+/* 2466 */    MCD_OPC_Decode, 136, 19, 137, 2, // Opcode: t2MOVTi16
+/* 2471 */    MCD_OPC_FilterValue, 3, 188, 17, // Skip to: 7015
 /* 2475 */    MCD_OPC_ExtractField, 22, 2,  // Inst{23-22} ...
 /* 2478 */    MCD_OPC_FilterValue, 0, 56, 0, // Skip to: 2538
 /* 2482 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 2485 */    MCD_OPC_FilterValue, 0, 160, 17, // Skip to: 7001
+/* 2485 */    MCD_OPC_FilterValue, 0, 174, 17, // Skip to: 7015
 /* 2489 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2492 */    MCD_OPC_FilterValue, 0, 153, 17, // Skip to: 7001
-/* 2496 */    MCD_OPC_CheckPredicate, 27, 29, 0, // Skip to: 2529
+/* 2492 */    MCD_OPC_FilterValue, 0, 167, 17, // Skip to: 7015
+/* 2496 */    MCD_OPC_CheckPredicate, 29, 29, 0, // Skip to: 2529
 /* 2500 */    MCD_OPC_CheckField, 21, 1, 1, 23, 0, // Skip to: 2529
 /* 2506 */    MCD_OPC_CheckField, 12, 3, 0, 17, 0, // Skip to: 2529
 /* 2512 */    MCD_OPC_CheckField, 6, 2, 0, 11, 0, // Skip to: 2529
 /* 2518 */    MCD_OPC_CheckField, 4, 1, 0, 5, 0, // Skip to: 2529
-/* 2524 */    MCD_OPC_Decode, 183, 20, 140, 2, // Opcode: t2SSAT16
-/* 2529 */    MCD_OPC_CheckPredicate, 22, 116, 17, // Skip to: 7001
-/* 2533 */    MCD_OPC_Decode, 182, 20, 141, 2, // Opcode: t2SSAT
+/* 2524 */    MCD_OPC_Decode, 136, 20, 138, 2, // Opcode: t2SSAT16
+/* 2529 */    MCD_OPC_CheckPredicate, 22, 130, 17, // Skip to: 7015
+/* 2533 */    MCD_OPC_Decode, 135, 20, 139, 2, // Opcode: t2SSAT
 /* 2538 */    MCD_OPC_FilterValue, 1, 58, 0, // Skip to: 2600
 /* 2542 */    MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 2545 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 2558
-/* 2549 */    MCD_OPC_CheckPredicate, 22, 96, 17, // Skip to: 7001
-/* 2553 */    MCD_OPC_Decode, 132, 20, 142, 2, // Opcode: t2SBFX
-/* 2558 */    MCD_OPC_FilterValue, 2, 87, 17, // Skip to: 7001
+/* 2549 */    MCD_OPC_CheckPredicate, 22, 110, 17, // Skip to: 7015
+/* 2553 */    MCD_OPC_Decode, 213, 19, 140, 2, // Opcode: t2SBFX
+/* 2558 */    MCD_OPC_FilterValue, 2, 101, 17, // Skip to: 7015
 /* 2562 */    MCD_OPC_ExtractField, 5, 1,  // Inst{5} ...
-/* 2565 */    MCD_OPC_FilterValue, 0, 80, 17, // Skip to: 7001
+/* 2565 */    MCD_OPC_FilterValue, 0, 94, 17, // Skip to: 7015
 /* 2569 */    MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 2572 */    MCD_OPC_FilterValue, 0, 73, 17, // Skip to: 7001
+/* 2572 */    MCD_OPC_FilterValue, 0, 87, 17, // Skip to: 7015
 /* 2576 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 2591
 /* 2580 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 2591
-/* 2586 */    MCD_OPC_Decode, 172, 18, 143, 2, // Opcode: t2BFC
-/* 2591 */    MCD_OPC_CheckPredicate, 22, 54, 17, // Skip to: 7001
-/* 2595 */    MCD_OPC_Decode, 173, 18, 144, 2, // Opcode: t2BFI
+/* 2586 */    MCD_OPC_Decode, 253, 17, 141, 2, // Opcode: t2BFC
+/* 2591 */    MCD_OPC_CheckPredicate, 22, 68, 17, // Skip to: 7015
+/* 2595 */    MCD_OPC_Decode, 254, 17, 142, 2, // Opcode: t2BFI
 /* 2600 */    MCD_OPC_FilterValue, 2, 49, 0, // Skip to: 2653
 /* 2604 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 2607 */    MCD_OPC_FilterValue, 0, 38, 17, // Skip to: 7001
-/* 2611 */    MCD_OPC_CheckPredicate, 27, 29, 0, // Skip to: 2644
+/* 2607 */    MCD_OPC_FilterValue, 0, 52, 17, // Skip to: 7015
+/* 2611 */    MCD_OPC_CheckPredicate, 29, 29, 0, // Skip to: 2644
 /* 2615 */    MCD_OPC_CheckField, 26, 1, 0, 23, 0, // Skip to: 2644
 /* 2621 */    MCD_OPC_CheckField, 21, 1, 1, 17, 0, // Skip to: 2644
 /* 2627 */    MCD_OPC_CheckField, 12, 3, 0, 11, 0, // Skip to: 2644
 /* 2633 */    MCD_OPC_CheckField, 4, 4, 0, 5, 0, // Skip to: 2644
-/* 2639 */    MCD_OPC_Decode, 161, 21, 140, 2, // Opcode: t2USAT16
-/* 2644 */    MCD_OPC_CheckPredicate, 22, 1, 17, // Skip to: 7001
-/* 2648 */    MCD_OPC_Decode, 160, 21, 141, 2, // Opcode: t2USAT
-/* 2653 */    MCD_OPC_FilterValue, 3, 248, 16, // Skip to: 7001
-/* 2657 */    MCD_OPC_CheckPredicate, 22, 244, 16, // Skip to: 7001
-/* 2661 */    MCD_OPC_CheckField, 20, 2, 0, 238, 16, // Skip to: 7001
-/* 2667 */    MCD_OPC_Decode, 141, 21, 142, 2, // Opcode: t2UBFX
-/* 2672 */    MCD_OPC_FilterValue, 1, 229, 16, // Skip to: 7001
+/* 2639 */    MCD_OPC_Decode, 243, 20, 138, 2, // Opcode: t2USAT16
+/* 2644 */    MCD_OPC_CheckPredicate, 22, 15, 17, // Skip to: 7015
+/* 2648 */    MCD_OPC_Decode, 242, 20, 139, 2, // Opcode: t2USAT
+/* 2653 */    MCD_OPC_FilterValue, 3, 6, 17, // Skip to: 7015
+/* 2657 */    MCD_OPC_CheckPredicate, 22, 2, 17, // Skip to: 7015
+/* 2661 */    MCD_OPC_CheckField, 20, 2, 0, 252, 16, // Skip to: 7015
+/* 2667 */    MCD_OPC_Decode, 222, 20, 140, 2, // Opcode: t2UBFX
+/* 2672 */    MCD_OPC_FilterValue, 1, 243, 16, // Skip to: 7015
 /* 2676 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
-/* 2679 */    MCD_OPC_FilterValue, 0, 217, 1, // Skip to: 3156
+/* 2679 */    MCD_OPC_FilterValue, 0, 231, 1, // Skip to: 3170
 /* 2683 */    MCD_OPC_ExtractField, 14, 1,  // Inst{14} ...
-/* 2686 */    MCD_OPC_FilterValue, 0, 215, 16, // Skip to: 7001
+/* 2686 */    MCD_OPC_FilterValue, 0, 229, 16, // Skip to: 7015
 /* 2690 */    MCD_OPC_ExtractField, 16, 11,  // Inst{26-16} ...
 /* 2693 */    MCD_OPC_FilterValue, 175, 7, 115, 0, // Skip to: 2813
 /* 2698 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
@@ -7748,12 +7758,12 @@
 /* 2722 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 2750
 /* 2726 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 2741
 /* 2730 */    MCD_OPC_CheckField, 4, 4, 15, 5, 0, // Skip to: 2741
-/* 2736 */    MCD_OPC_Decode, 199, 18, 145, 2, // Opcode: t2DBG
+/* 2736 */    MCD_OPC_Decode, 152, 18, 143, 2, // Opcode: t2DBG
 /* 2741 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 2750
-/* 2745 */    MCD_OPC_Decode, 208, 18, 223, 1, // Opcode: t2HINT
+/* 2745 */    MCD_OPC_Decode, 161, 18, 222, 1, // Opcode: t2HINT
 /* 2750 */    MCD_OPC_CheckPredicate, 22, 43, 1, // Skip to: 3053
 /* 2754 */    MCD_OPC_CheckField, 0, 5, 0, 37, 1, // Skip to: 3053
-/* 2760 */    MCD_OPC_Decode, 191, 18, 146, 2, // Opcode: t2CPS2p
+/* 2760 */    MCD_OPC_Decode, 144, 18, 144, 2, // Opcode: t2CPS2p
 /* 2765 */    MCD_OPC_FilterValue, 1, 28, 1, // Skip to: 3053
 /* 2769 */    MCD_OPC_ExtractField, 11, 1,  // Inst{11} ...
 /* 2772 */    MCD_OPC_FilterValue, 0, 21, 1, // Skip to: 3053
@@ -7762,954 +7772,958 @@
 /* 2783 */    MCD_OPC_CheckPredicate, 22, 17, 0, // Skip to: 2804
 /* 2787 */    MCD_OPC_CheckField, 9, 2, 0, 11, 0, // Skip to: 2804
 /* 2793 */    MCD_OPC_CheckField, 5, 3, 0, 5, 0, // Skip to: 2804
-/* 2799 */    MCD_OPC_Decode, 190, 18, 146, 2, // Opcode: t2CPS1p
+/* 2799 */    MCD_OPC_Decode, 143, 18, 144, 2, // Opcode: t2CPS1p
 /* 2804 */    MCD_OPC_CheckPredicate, 22, 245, 0, // Skip to: 3053
-/* 2808 */    MCD_OPC_Decode, 192, 18, 146, 2, // Opcode: t2CPS3p
+/* 2808 */    MCD_OPC_Decode, 145, 18, 144, 2, // Opcode: t2CPS3p
 /* 2813 */    MCD_OPC_FilterValue, 191, 7, 85, 0, // Skip to: 2903
 /* 2818 */    MCD_OPC_ExtractField, 4, 8,  // Inst{11-4} ...
 /* 2821 */    MCD_OPC_FilterValue, 242, 1, 20, 0, // Skip to: 2846
-/* 2826 */    MCD_OPC_CheckPredicate, 28, 223, 0, // Skip to: 3053
+/* 2826 */    MCD_OPC_CheckPredicate, 30, 223, 0, // Skip to: 3053
 /* 2830 */    MCD_OPC_CheckField, 13, 1, 0, 217, 0, // Skip to: 3053
 /* 2836 */    MCD_OPC_CheckField, 0, 4, 15, 211, 0, // Skip to: 3053
-/* 2842 */    MCD_OPC_Decode, 182, 18, 58, // Opcode: t2CLREX
+/* 2842 */    MCD_OPC_Decode, 135, 18, 58, // Opcode: t2CLREX
 /* 2846 */    MCD_OPC_FilterValue, 244, 1, 14, 0, // Skip to: 2865
-/* 2851 */    MCD_OPC_CheckPredicate, 29, 198, 0, // Skip to: 3053
+/* 2851 */    MCD_OPC_CheckPredicate, 31, 198, 0, // Skip to: 3053
 /* 2855 */    MCD_OPC_CheckField, 13, 1, 0, 192, 0, // Skip to: 3053
-/* 2861 */    MCD_OPC_Decode, 204, 18, 59, // Opcode: t2DSB
+/* 2861 */    MCD_OPC_Decode, 157, 18, 59, // Opcode: t2DSB
 /* 2865 */    MCD_OPC_FilterValue, 245, 1, 14, 0, // Skip to: 2884
-/* 2870 */    MCD_OPC_CheckPredicate, 29, 179, 0, // Skip to: 3053
+/* 2870 */    MCD_OPC_CheckPredicate, 31, 179, 0, // Skip to: 3053
 /* 2874 */    MCD_OPC_CheckField, 13, 1, 0, 173, 0, // Skip to: 3053
-/* 2880 */    MCD_OPC_Decode, 203, 18, 59, // Opcode: t2DMB
+/* 2880 */    MCD_OPC_Decode, 156, 18, 59, // Opcode: t2DMB
 /* 2884 */    MCD_OPC_FilterValue, 246, 1, 164, 0, // Skip to: 3053
-/* 2889 */    MCD_OPC_CheckPredicate, 29, 160, 0, // Skip to: 3053
+/* 2889 */    MCD_OPC_CheckPredicate, 31, 160, 0, // Skip to: 3053
 /* 2893 */    MCD_OPC_CheckField, 13, 1, 0, 154, 0, // Skip to: 3053
-/* 2899 */    MCD_OPC_Decode, 209, 18, 60, // Opcode: t2ISB
+/* 2899 */    MCD_OPC_Decode, 162, 18, 60, // Opcode: t2ISB
 /* 2903 */    MCD_OPC_FilterValue, 222, 7, 21, 0, // Skip to: 2929
 /* 2908 */    MCD_OPC_CheckPredicate, 22, 141, 0, // Skip to: 3053
 /* 2912 */    MCD_OPC_CheckField, 13, 1, 0, 135, 0, // Skip to: 3053
 /* 2918 */    MCD_OPC_CheckField, 8, 4, 15, 129, 0, // Skip to: 3053
-/* 2924 */    MCD_OPC_Decode, 242, 20, 223, 1, // Opcode: t2SUBS_PC_LR
+/* 2924 */    MCD_OPC_Decode, 195, 20, 222, 1, // Opcode: t2SUBS_PC_LR
 /* 2929 */    MCD_OPC_FilterValue, 239, 7, 31, 0, // Skip to: 2965
 /* 2934 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 2937 */    MCD_OPC_FilterValue, 0, 112, 0, // Skip to: 3053
-/* 2941 */    MCD_OPC_CheckPredicate, 30, 11, 0, // Skip to: 2956
+/* 2941 */    MCD_OPC_CheckPredicate, 32, 11, 0, // Skip to: 2956
 /* 2945 */    MCD_OPC_CheckField, 0, 8, 0, 5, 0, // Skip to: 2956
-/* 2951 */    MCD_OPC_Decode, 199, 19, 147, 2, // Opcode: t2MRS_AR
-/* 2956 */    MCD_OPC_CheckPredicate, 31, 93, 0, // Skip to: 3053
-/* 2960 */    MCD_OPC_Decode, 200, 19, 148, 2, // Opcode: t2MRS_M
+/* 2951 */    MCD_OPC_Decode, 152, 19, 145, 2, // Opcode: t2MRS_AR
+/* 2956 */    MCD_OPC_CheckPredicate, 33, 93, 0, // Skip to: 3053
+/* 2960 */    MCD_OPC_Decode, 153, 19, 146, 2, // Opcode: t2MRS_M
 /* 2965 */    MCD_OPC_FilterValue, 255, 7, 21, 0, // Skip to: 2991
-/* 2970 */    MCD_OPC_CheckPredicate, 30, 79, 0, // Skip to: 3053
+/* 2970 */    MCD_OPC_CheckPredicate, 32, 79, 0, // Skip to: 3053
 /* 2974 */    MCD_OPC_CheckField, 13, 1, 0, 73, 0, // Skip to: 3053
 /* 2980 */    MCD_OPC_CheckField, 0, 8, 0, 67, 0, // Skip to: 3053
-/* 2986 */    MCD_OPC_Decode, 201, 19, 147, 2, // Opcode: t2MRSsys_AR
+/* 2986 */    MCD_OPC_Decode, 154, 19, 145, 2, // Opcode: t2MRSsys_AR
 /* 2991 */    MCD_OPC_FilterValue, 143, 15, 57, 0, // Skip to: 3053
 /* 2996 */    MCD_OPC_ExtractField, 0, 12,  // Inst{11-0} ...
 /* 2999 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3017
-/* 3003 */    MCD_OPC_CheckPredicate, 32, 46, 0, // Skip to: 3053
+/* 3003 */    MCD_OPC_CheckPredicate, 34, 46, 0, // Skip to: 3053
 /* 3007 */    MCD_OPC_CheckField, 13, 1, 0, 40, 0, // Skip to: 3053
-/* 3013 */    MCD_OPC_Decode, 200, 18, 58, // Opcode: t2DCPS1
+/* 3013 */    MCD_OPC_Decode, 153, 18, 58, // Opcode: t2DCPS1
 /* 3017 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3035
-/* 3021 */    MCD_OPC_CheckPredicate, 32, 28, 0, // Skip to: 3053
+/* 3021 */    MCD_OPC_CheckPredicate, 34, 28, 0, // Skip to: 3053
 /* 3025 */    MCD_OPC_CheckField, 13, 1, 0, 22, 0, // Skip to: 3053
-/* 3031 */    MCD_OPC_Decode, 201, 18, 58, // Opcode: t2DCPS2
+/* 3031 */    MCD_OPC_Decode, 154, 18, 58, // Opcode: t2DCPS2
 /* 3035 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 3053
-/* 3039 */    MCD_OPC_CheckPredicate, 32, 10, 0, // Skip to: 3053
+/* 3039 */    MCD_OPC_CheckPredicate, 34, 10, 0, // Skip to: 3053
 /* 3043 */    MCD_OPC_CheckField, 13, 1, 0, 4, 0, // Skip to: 3053
-/* 3049 */    MCD_OPC_Decode, 202, 18, 58, // Opcode: t2DCPS3
+/* 3049 */    MCD_OPC_Decode, 155, 18, 58, // Opcode: t2DCPS3
 /* 3053 */    MCD_OPC_ExtractField, 20, 7,  // Inst{26-20} ...
 /* 3056 */    MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 3075
-/* 3060 */    MCD_OPC_CheckPredicate, 31, 56, 0, // Skip to: 3120
-/* 3064 */    MCD_OPC_CheckField, 13, 1, 0, 50, 0, // Skip to: 3120
-/* 3070 */    MCD_OPC_Decode, 203, 19, 149, 2, // Opcode: t2MSR_M
+/* 3060 */    MCD_OPC_CheckPredicate, 33, 70, 0, // Skip to: 3134
+/* 3064 */    MCD_OPC_CheckField, 13, 1, 0, 64, 0, // Skip to: 3134
+/* 3070 */    MCD_OPC_Decode, 156, 19, 147, 2, // Opcode: t2MSR_M
 /* 3075 */    MCD_OPC_FilterValue, 60, 22, 0, // Skip to: 3101
-/* 3079 */    MCD_OPC_CheckPredicate, 22, 37, 0, // Skip to: 3120
-/* 3083 */    MCD_OPC_CheckField, 13, 1, 0, 31, 0, // Skip to: 3120
-/* 3089 */    MCD_OPC_CheckField, 0, 12, 128, 30, 24, 0, // Skip to: 3120
-/* 3096 */    MCD_OPC_Decode, 178, 18, 150, 2, // Opcode: t2BXJ
-/* 3101 */    MCD_OPC_FilterValue, 127, 15, 0, // Skip to: 3120
-/* 3105 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 3120
-/* 3109 */    MCD_OPC_CheckField, 13, 1, 0, 5, 0, // Skip to: 3120
-/* 3115 */    MCD_OPC_Decode, 141, 20, 151, 2, // Opcode: t2SMC
-/* 3120 */    MCD_OPC_CheckPredicate, 30, 23, 0, // Skip to: 3147
-/* 3124 */    MCD_OPC_CheckField, 21, 6, 28, 17, 0, // Skip to: 3147
-/* 3130 */    MCD_OPC_CheckField, 13, 1, 0, 11, 0, // Skip to: 3147
-/* 3136 */    MCD_OPC_CheckField, 0, 8, 0, 5, 0, // Skip to: 3147
-/* 3142 */    MCD_OPC_Decode, 202, 19, 152, 2, // Opcode: t2MSR_AR
-/* 3147 */    MCD_OPC_CheckPredicate, 22, 10, 15, // Skip to: 7001
-/* 3151 */    MCD_OPC_Decode, 179, 18, 153, 2, // Opcode: t2Bcc
-/* 3156 */    MCD_OPC_FilterValue, 1, 1, 15, // Skip to: 7001
-/* 3160 */    MCD_OPC_CheckPredicate, 22, 253, 14, // Skip to: 7001
-/* 3164 */    MCD_OPC_CheckField, 14, 1, 0, 247, 14, // Skip to: 7001
-/* 3170 */    MCD_OPC_Decode, 171, 18, 154, 2, // Opcode: t2B
-/* 3175 */    MCD_OPC_FilterValue, 31, 238, 14, // Skip to: 7001
-/* 3179 */    MCD_OPC_ExtractField, 24, 3,  // Inst{26-24} ...
-/* 3182 */    MCD_OPC_FilterValue, 0, 76, 3, // Skip to: 4030
-/* 3186 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
-/* 3189 */    MCD_OPC_FilterValue, 0, 109, 0, // Skip to: 3302
-/* 3193 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 3196 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3289
-/* 3200 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 3203 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3222
-/* 3207 */    MCD_OPC_CheckPredicate, 22, 206, 14, // Skip to: 7001
-/* 3211 */    MCD_OPC_CheckField, 6, 4, 0, 200, 14, // Skip to: 7001
-/* 3217 */    MCD_OPC_Decode, 220, 20, 155, 2, // Opcode: t2STRBs
-/* 3222 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3241
-/* 3226 */    MCD_OPC_CheckPredicate, 22, 187, 14, // Skip to: 7001
-/* 3230 */    MCD_OPC_CheckField, 8, 1, 1, 181, 14, // Skip to: 7001
-/* 3236 */    MCD_OPC_Decode, 215, 20, 156, 2, // Opcode: t2STRB_POST
-/* 3241 */    MCD_OPC_FilterValue, 3, 172, 14, // Skip to: 7001
-/* 3245 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 3248 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3276
-/* 3252 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3267
-/* 3256 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3267
-/* 3262 */    MCD_OPC_Decode, 214, 20, 157, 2, // Opcode: t2STRBT
-/* 3267 */    MCD_OPC_CheckPredicate, 22, 146, 14, // Skip to: 7001
-/* 3271 */    MCD_OPC_Decode, 219, 20, 158, 2, // Opcode: t2STRBi8
-/* 3276 */    MCD_OPC_FilterValue, 1, 137, 14, // Skip to: 7001
-/* 3280 */    MCD_OPC_CheckPredicate, 22, 133, 14, // Skip to: 7001
-/* 3284 */    MCD_OPC_Decode, 216, 20, 156, 2, // Opcode: t2STRB_PRE
-/* 3289 */    MCD_OPC_FilterValue, 1, 124, 14, // Skip to: 7001
-/* 3293 */    MCD_OPC_CheckPredicate, 22, 120, 14, // Skip to: 7001
-/* 3297 */    MCD_OPC_Decode, 218, 20, 159, 2, // Opcode: t2STRBi12
-/* 3302 */    MCD_OPC_FilterValue, 1, 191, 0, // Skip to: 3497
-/* 3306 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 3309 */    MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 3438
-/* 3313 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 3316 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 3351
-/* 3320 */    MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
-/* 3323 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 3466
-/* 3327 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3342
-/* 3331 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3342
-/* 3337 */    MCD_OPC_Decode, 223, 19, 160, 2, // Opcode: t2PLDs
-/* 3342 */    MCD_OPC_CheckPredicate, 22, 120, 0, // Skip to: 3466
-/* 3346 */    MCD_OPC_Decode, 248, 18, 160, 2, // Opcode: t2LDRBs
-/* 3351 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3370
-/* 3355 */    MCD_OPC_CheckPredicate, 22, 107, 0, // Skip to: 3466
-/* 3359 */    MCD_OPC_CheckField, 8, 1, 1, 101, 0, // Skip to: 3466
-/* 3365 */    MCD_OPC_Decode, 242, 18, 156, 2, // Opcode: t2LDRB_POST
-/* 3370 */    MCD_OPC_FilterValue, 3, 92, 0, // Skip to: 3466
-/* 3374 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 3377 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 3425
-/* 3381 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 3384 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3403
-/* 3388 */    MCD_OPC_CheckPredicate, 22, 24, 0, // Skip to: 3416
-/* 3392 */    MCD_OPC_CheckField, 12, 4, 15, 18, 0, // Skip to: 3416
-/* 3398 */    MCD_OPC_Decode, 221, 19, 161, 2, // Opcode: t2PLDi8
-/* 3403 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3416
-/* 3407 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3416
-/* 3411 */    MCD_OPC_Decode, 241, 18, 162, 2, // Opcode: t2LDRBT
-/* 3416 */    MCD_OPC_CheckPredicate, 22, 46, 0, // Skip to: 3466
-/* 3420 */    MCD_OPC_Decode, 245, 18, 161, 2, // Opcode: t2LDRBi8
-/* 3425 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 3466
-/* 3429 */    MCD_OPC_CheckPredicate, 22, 33, 0, // Skip to: 3466
-/* 3433 */    MCD_OPC_Decode, 243, 18, 156, 2, // Opcode: t2LDRB_PRE
-/* 3438 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 3466
-/* 3442 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3457
-/* 3446 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3457
-/* 3452 */    MCD_OPC_Decode, 220, 19, 163, 2, // Opcode: t2PLDi12
-/* 3457 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3466
-/* 3461 */    MCD_OPC_Decode, 244, 18, 163, 2, // Opcode: t2LDRBi12
-/* 3466 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 3469 */    MCD_OPC_FilterValue, 15, 200, 13, // Skip to: 7001
-/* 3473 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3488
-/* 3477 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3488
-/* 3483 */    MCD_OPC_Decode, 222, 19, 164, 2, // Opcode: t2PLDpci
-/* 3488 */    MCD_OPC_CheckPredicate, 22, 181, 13, // Skip to: 7001
-/* 3492 */    MCD_OPC_Decode, 246, 18, 164, 2, // Opcode: t2LDRBpci
-/* 3497 */    MCD_OPC_FilterValue, 2, 109, 0, // Skip to: 3610
-/* 3501 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 3504 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3597
-/* 3508 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 3511 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3530
-/* 3515 */    MCD_OPC_CheckPredicate, 22, 154, 13, // Skip to: 7001
-/* 3519 */    MCD_OPC_CheckField, 6, 4, 0, 148, 13, // Skip to: 7001
-/* 3525 */    MCD_OPC_Decode, 234, 20, 155, 2, // Opcode: t2STRHs
-/* 3530 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3549
-/* 3534 */    MCD_OPC_CheckPredicate, 22, 135, 13, // Skip to: 7001
-/* 3538 */    MCD_OPC_CheckField, 8, 1, 1, 129, 13, // Skip to: 7001
-/* 3544 */    MCD_OPC_Decode, 229, 20, 156, 2, // Opcode: t2STRH_POST
-/* 3549 */    MCD_OPC_FilterValue, 3, 120, 13, // Skip to: 7001
-/* 3553 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 3556 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3584
-/* 3560 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3575
-/* 3564 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3575
-/* 3570 */    MCD_OPC_Decode, 228, 20, 157, 2, // Opcode: t2STRHT
-/* 3575 */    MCD_OPC_CheckPredicate, 22, 94, 13, // Skip to: 7001
-/* 3579 */    MCD_OPC_Decode, 233, 20, 158, 2, // Opcode: t2STRHi8
-/* 3584 */    MCD_OPC_FilterValue, 1, 85, 13, // Skip to: 7001
-/* 3588 */    MCD_OPC_CheckPredicate, 22, 81, 13, // Skip to: 7001
-/* 3592 */    MCD_OPC_Decode, 230, 20, 156, 2, // Opcode: t2STRH_PRE
-/* 3597 */    MCD_OPC_FilterValue, 1, 72, 13, // Skip to: 7001
-/* 3601 */    MCD_OPC_CheckPredicate, 22, 68, 13, // Skip to: 7001
-/* 3605 */    MCD_OPC_Decode, 232, 20, 159, 2, // Opcode: t2STRHi12
-/* 3610 */    MCD_OPC_FilterValue, 3, 175, 0, // Skip to: 3789
-/* 3614 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 3617 */    MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 3746
-/* 3621 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 3624 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 3659
-/* 3628 */    MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
-/* 3631 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 3774
-/* 3635 */    MCD_OPC_CheckPredicate, 34, 11, 0, // Skip to: 3650
-/* 3639 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3650
-/* 3645 */    MCD_OPC_Decode, 219, 19, 160, 2, // Opcode: t2PLDWs
-/* 3650 */    MCD_OPC_CheckPredicate, 22, 120, 0, // Skip to: 3774
-/* 3654 */    MCD_OPC_Decode, 135, 19, 160, 2, // Opcode: t2LDRHs
-/* 3659 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3678
-/* 3663 */    MCD_OPC_CheckPredicate, 22, 107, 0, // Skip to: 3774
-/* 3667 */    MCD_OPC_CheckField, 8, 1, 1, 101, 0, // Skip to: 3774
-/* 3673 */    MCD_OPC_Decode, 129, 19, 156, 2, // Opcode: t2LDRH_POST
-/* 3678 */    MCD_OPC_FilterValue, 3, 92, 0, // Skip to: 3774
-/* 3682 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 3685 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 3733
-/* 3689 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 3692 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3711
-/* 3696 */    MCD_OPC_CheckPredicate, 34, 24, 0, // Skip to: 3724
-/* 3700 */    MCD_OPC_CheckField, 12, 4, 15, 18, 0, // Skip to: 3724
-/* 3706 */    MCD_OPC_Decode, 218, 19, 161, 2, // Opcode: t2PLDWi8
-/* 3711 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3724
-/* 3715 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3724
-/* 3719 */    MCD_OPC_Decode, 128, 19, 162, 2, // Opcode: t2LDRHT
-/* 3724 */    MCD_OPC_CheckPredicate, 22, 46, 0, // Skip to: 3774
-/* 3728 */    MCD_OPC_Decode, 132, 19, 161, 2, // Opcode: t2LDRHi8
-/* 3733 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 3774
-/* 3737 */    MCD_OPC_CheckPredicate, 22, 33, 0, // Skip to: 3774
-/* 3741 */    MCD_OPC_Decode, 130, 19, 156, 2, // Opcode: t2LDRH_PRE
-/* 3746 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 3774
-/* 3750 */    MCD_OPC_CheckPredicate, 34, 11, 0, // Skip to: 3765
-/* 3754 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3765
-/* 3760 */    MCD_OPC_Decode, 217, 19, 163, 2, // Opcode: t2PLDWi12
-/* 3765 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3774
-/* 3769 */    MCD_OPC_Decode, 131, 19, 163, 2, // Opcode: t2LDRHi12
-/* 3774 */    MCD_OPC_CheckPredicate, 22, 151, 12, // Skip to: 7001
-/* 3778 */    MCD_OPC_CheckField, 16, 4, 15, 145, 12, // Skip to: 7001
-/* 3784 */    MCD_OPC_Decode, 133, 19, 164, 2, // Opcode: t2LDRHpci
-/* 3789 */    MCD_OPC_FilterValue, 4, 109, 0, // Skip to: 3902
-/* 3793 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 3796 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3889
-/* 3800 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 3803 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3822
-/* 3807 */    MCD_OPC_CheckPredicate, 22, 118, 12, // Skip to: 7001
-/* 3811 */    MCD_OPC_CheckField, 6, 4, 0, 112, 12, // Skip to: 7001
-/* 3817 */    MCD_OPC_Decode, 241, 20, 165, 2, // Opcode: t2STRs
-/* 3822 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3841
-/* 3826 */    MCD_OPC_CheckPredicate, 22, 99, 12, // Skip to: 7001
-/* 3830 */    MCD_OPC_CheckField, 8, 1, 1, 93, 12, // Skip to: 7001
-/* 3836 */    MCD_OPC_Decode, 236, 20, 156, 2, // Opcode: t2STR_POST
-/* 3841 */    MCD_OPC_FilterValue, 3, 84, 12, // Skip to: 7001
-/* 3845 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 3848 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3876
-/* 3852 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3867
-/* 3856 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3867
-/* 3862 */    MCD_OPC_Decode, 235, 20, 157, 2, // Opcode: t2STRT
-/* 3867 */    MCD_OPC_CheckPredicate, 22, 58, 12, // Skip to: 7001
-/* 3871 */    MCD_OPC_Decode, 240, 20, 166, 2, // Opcode: t2STRi8
-/* 3876 */    MCD_OPC_FilterValue, 1, 49, 12, // Skip to: 7001
-/* 3880 */    MCD_OPC_CheckPredicate, 22, 45, 12, // Skip to: 7001
-/* 3884 */    MCD_OPC_Decode, 237, 20, 156, 2, // Opcode: t2STR_PRE
-/* 3889 */    MCD_OPC_FilterValue, 1, 36, 12, // Skip to: 7001
-/* 3893 */    MCD_OPC_CheckPredicate, 22, 32, 12, // Skip to: 7001
-/* 3897 */    MCD_OPC_Decode, 239, 20, 167, 2, // Opcode: t2STRi12
-/* 3902 */    MCD_OPC_FilterValue, 5, 23, 12, // Skip to: 7001
-/* 3906 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 3909 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 4002
-/* 3913 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 3916 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3935
-/* 3920 */    MCD_OPC_CheckPredicate, 22, 91, 0, // Skip to: 4015
-/* 3924 */    MCD_OPC_CheckField, 6, 4, 0, 85, 0, // Skip to: 4015
-/* 3930 */    MCD_OPC_Decode, 160, 19, 160, 2, // Opcode: t2LDRs
-/* 3935 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3954
-/* 3939 */    MCD_OPC_CheckPredicate, 22, 72, 0, // Skip to: 4015
-/* 3943 */    MCD_OPC_CheckField, 8, 1, 1, 66, 0, // Skip to: 4015
-/* 3949 */    MCD_OPC_Decode, 153, 19, 156, 2, // Opcode: t2LDR_POST
-/* 3954 */    MCD_OPC_FilterValue, 3, 57, 0, // Skip to: 4015
-/* 3958 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 3961 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3989
-/* 3965 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3980
-/* 3969 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3980
-/* 3975 */    MCD_OPC_Decode, 152, 19, 162, 2, // Opcode: t2LDRT
-/* 3980 */    MCD_OPC_CheckPredicate, 22, 31, 0, // Skip to: 4015
-/* 3984 */    MCD_OPC_Decode, 156, 19, 161, 2, // Opcode: t2LDRi8
-/* 3989 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 4015
-/* 3993 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 4015
-/* 3997 */    MCD_OPC_Decode, 154, 19, 156, 2, // Opcode: t2LDR_PRE
-/* 4002 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 4015
-/* 4006 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4015
-/* 4010 */    MCD_OPC_Decode, 155, 19, 163, 2, // Opcode: t2LDRi12
-/* 4015 */    MCD_OPC_CheckPredicate, 22, 166, 11, // Skip to: 7001
-/* 4019 */    MCD_OPC_CheckField, 16, 4, 15, 160, 11, // Skip to: 7001
-/* 4025 */    MCD_OPC_Decode, 157, 19, 164, 2, // Opcode: t2LDRpci
-/* 4030 */    MCD_OPC_FilterValue, 1, 70, 1, // Skip to: 4360
-/* 4034 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
-/* 4037 */    MCD_OPC_FilterValue, 1, 191, 0, // Skip to: 4232
-/* 4041 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 4044 */    MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 4173
-/* 4048 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 4051 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4086
-/* 4055 */    MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
-/* 4058 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 4201
-/* 4062 */    MCD_OPC_CheckPredicate, 28, 11, 0, // Skip to: 4077
-/* 4066 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 4077
-/* 4072 */    MCD_OPC_Decode, 227, 19, 160, 2, // Opcode: t2PLIs
-/* 4077 */    MCD_OPC_CheckPredicate, 22, 120, 0, // Skip to: 4201
-/* 4081 */    MCD_OPC_Decode, 143, 19, 160, 2, // Opcode: t2LDRSBs
-/* 4086 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 4105
-/* 4090 */    MCD_OPC_CheckPredicate, 22, 107, 0, // Skip to: 4201
-/* 4094 */    MCD_OPC_CheckField, 8, 1, 1, 101, 0, // Skip to: 4201
-/* 4100 */    MCD_OPC_Decode, 137, 19, 156, 2, // Opcode: t2LDRSB_POST
-/* 4105 */    MCD_OPC_FilterValue, 3, 92, 0, // Skip to: 4201
-/* 4109 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 4112 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 4160
-/* 4116 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
-/* 4119 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4138
-/* 4123 */    MCD_OPC_CheckPredicate, 28, 24, 0, // Skip to: 4151
-/* 4127 */    MCD_OPC_CheckField, 12, 4, 15, 18, 0, // Skip to: 4151
-/* 4133 */    MCD_OPC_Decode, 225, 19, 161, 2, // Opcode: t2PLIi8
-/* 4138 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 4151
-/* 4142 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4151
-/* 4146 */    MCD_OPC_Decode, 136, 19, 162, 2, // Opcode: t2LDRSBT
-/* 4151 */    MCD_OPC_CheckPredicate, 22, 46, 0, // Skip to: 4201
-/* 4155 */    MCD_OPC_Decode, 140, 19, 161, 2, // Opcode: t2LDRSBi8
-/* 4160 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 4201
-/* 4164 */    MCD_OPC_CheckPredicate, 22, 33, 0, // Skip to: 4201
-/* 4168 */    MCD_OPC_Decode, 138, 19, 156, 2, // Opcode: t2LDRSB_PRE
-/* 4173 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 4201
-/* 4177 */    MCD_OPC_CheckPredicate, 28, 11, 0, // Skip to: 4192
-/* 4181 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 4192
-/* 4187 */    MCD_OPC_Decode, 224, 19, 163, 2, // Opcode: t2PLIi12
-/* 4192 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4201
-/* 4196 */    MCD_OPC_Decode, 139, 19, 163, 2, // Opcode: t2LDRSBi12
-/* 4201 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 4204 */    MCD_OPC_FilterValue, 15, 233, 10, // Skip to: 7001
-/* 4208 */    MCD_OPC_CheckPredicate, 28, 11, 0, // Skip to: 4223
-/* 4212 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 4223
-/* 4218 */    MCD_OPC_Decode, 226, 19, 164, 2, // Opcode: t2PLIpci
-/* 4223 */    MCD_OPC_CheckPredicate, 22, 214, 10, // Skip to: 7001
-/* 4227 */    MCD_OPC_Decode, 141, 19, 164, 2, // Opcode: t2LDRSBpci
-/* 4232 */    MCD_OPC_FilterValue, 3, 205, 10, // Skip to: 7001
-/* 4236 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 4239 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 4332
-/* 4243 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
-/* 4246 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4265
-/* 4250 */    MCD_OPC_CheckPredicate, 22, 91, 0, // Skip to: 4345
-/* 4254 */    MCD_OPC_CheckField, 6, 4, 0, 85, 0, // Skip to: 4345
-/* 4260 */    MCD_OPC_Decode, 151, 19, 160, 2, // Opcode: t2LDRSHs
-/* 4265 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 4284
-/* 4269 */    MCD_OPC_CheckPredicate, 22, 72, 0, // Skip to: 4345
-/* 4273 */    MCD_OPC_CheckField, 8, 1, 1, 66, 0, // Skip to: 4345
-/* 4279 */    MCD_OPC_Decode, 145, 19, 156, 2, // Opcode: t2LDRSH_POST
-/* 4284 */    MCD_OPC_FilterValue, 3, 57, 0, // Skip to: 4345
-/* 4288 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
-/* 4291 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4319
-/* 4295 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4310
-/* 4299 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 4310
-/* 4305 */    MCD_OPC_Decode, 144, 19, 162, 2, // Opcode: t2LDRSHT
-/* 4310 */    MCD_OPC_CheckPredicate, 22, 31, 0, // Skip to: 4345
-/* 4314 */    MCD_OPC_Decode, 148, 19, 161, 2, // Opcode: t2LDRSHi8
-/* 4319 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 4345
-/* 4323 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 4345
-/* 4327 */    MCD_OPC_Decode, 146, 19, 156, 2, // Opcode: t2LDRSH_PRE
-/* 4332 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 4345
-/* 4336 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4345
-/* 4340 */    MCD_OPC_Decode, 147, 19, 163, 2, // Opcode: t2LDRSHi12
-/* 4345 */    MCD_OPC_CheckPredicate, 22, 92, 10, // Skip to: 7001
-/* 4349 */    MCD_OPC_CheckField, 16, 4, 15, 86, 10, // Skip to: 7001
-/* 4355 */    MCD_OPC_Decode, 149, 19, 164, 2, // Opcode: t2LDRSHpci
-/* 4360 */    MCD_OPC_FilterValue, 2, 47, 6, // Skip to: 5947
-/* 4364 */    MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
-/* 4367 */    MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 4476
-/* 4371 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4374 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 4399
-/* 4378 */    MCD_OPC_CheckPredicate, 22, 59, 10, // Skip to: 7001
-/* 4382 */    MCD_OPC_CheckField, 12, 4, 15, 53, 10, // Skip to: 7001
-/* 4388 */    MCD_OPC_CheckField, 4, 3, 0, 47, 10, // Skip to: 7001
-/* 4394 */    MCD_OPC_Decode, 164, 19, 251, 1, // Opcode: t2LSLrr
-/* 4399 */    MCD_OPC_FilterValue, 1, 38, 10, // Skip to: 7001
-/* 4403 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4406 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4441
-/* 4410 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 4413 */    MCD_OPC_FilterValue, 15, 24, 10, // Skip to: 7001
-/* 4417 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4432
-/* 4421 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4432
-/* 4427 */    MCD_OPC_Decode, 255, 20, 168, 2, // Opcode: t2SXTH
-/* 4432 */    MCD_OPC_CheckPredicate, 25, 5, 10, // Skip to: 7001
-/* 4436 */    MCD_OPC_Decode, 252, 20, 169, 2, // Opcode: t2SXTAH
-/* 4441 */    MCD_OPC_FilterValue, 1, 252, 9, // Skip to: 7001
-/* 4445 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 4448 */    MCD_OPC_FilterValue, 15, 245, 9, // Skip to: 7001
-/* 4452 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4467
-/* 4456 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4467
-/* 4462 */    MCD_OPC_Decode, 170, 21, 168, 2, // Opcode: t2UXTH
-/* 4467 */    MCD_OPC_CheckPredicate, 25, 226, 9, // Skip to: 7001
-/* 4471 */    MCD_OPC_Decode, 167, 21, 169, 2, // Opcode: t2UXTAH
-/* 4476 */    MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 4585
-/* 4480 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4483 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 4508
-/* 4487 */    MCD_OPC_CheckPredicate, 22, 206, 9, // Skip to: 7001
-/* 4491 */    MCD_OPC_CheckField, 12, 4, 15, 200, 9, // Skip to: 7001
-/* 4497 */    MCD_OPC_CheckField, 4, 3, 0, 194, 9, // Skip to: 7001
-/* 4503 */    MCD_OPC_Decode, 166, 19, 251, 1, // Opcode: t2LSRrr
-/* 4508 */    MCD_OPC_FilterValue, 1, 185, 9, // Skip to: 7001
-/* 4512 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4515 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4550
-/* 4519 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 4522 */    MCD_OPC_FilterValue, 15, 171, 9, // Skip to: 7001
-/* 4526 */    MCD_OPC_CheckPredicate, 35, 11, 0, // Skip to: 4541
-/* 4530 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4541
-/* 4536 */    MCD_OPC_Decode, 254, 20, 168, 2, // Opcode: t2SXTB16
-/* 4541 */    MCD_OPC_CheckPredicate, 22, 152, 9, // Skip to: 7001
-/* 4545 */    MCD_OPC_Decode, 251, 20, 169, 2, // Opcode: t2SXTAB16
-/* 4550 */    MCD_OPC_FilterValue, 1, 143, 9, // Skip to: 7001
-/* 4554 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 4557 */    MCD_OPC_FilterValue, 15, 136, 9, // Skip to: 7001
-/* 4561 */    MCD_OPC_CheckPredicate, 25, 11, 0, // Skip to: 4576
-/* 4565 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4576
-/* 4571 */    MCD_OPC_Decode, 169, 21, 168, 2, // Opcode: t2UXTB16
-/* 4576 */    MCD_OPC_CheckPredicate, 22, 117, 9, // Skip to: 7001
-/* 4580 */    MCD_OPC_Decode, 166, 21, 169, 2, // Opcode: t2UXTAB16
-/* 4585 */    MCD_OPC_FilterValue, 2, 105, 0, // Skip to: 4694
-/* 4589 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
-/* 4592 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 4617
-/* 4596 */    MCD_OPC_CheckPredicate, 22, 97, 9, // Skip to: 7001
-/* 4600 */    MCD_OPC_CheckField, 12, 4, 15, 91, 9, // Skip to: 7001
-/* 4606 */    MCD_OPC_CheckField, 4, 3, 0, 85, 9, // Skip to: 7001
-/* 4612 */    MCD_OPC_Decode, 170, 18, 251, 1, // Opcode: t2ASRrr
-/* 4617 */    MCD_OPC_FilterValue, 1, 76, 9, // Skip to: 7001
-/* 4621 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4624 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4659
-/* 4628 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 4631 */    MCD_OPC_FilterValue, 15, 62, 9, // Skip to: 7001
-/* 4635 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4650
-/* 4639 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4650
-/* 4645 */    MCD_OPC_Decode, 253, 20, 168, 2, // Opcode: t2SXTB
-/* 4650 */    MCD_OPC_CheckPredicate, 25, 43, 9, // Skip to: 7001
-/* 4654 */    MCD_OPC_Decode, 250, 20, 169, 2, // Opcode: t2SXTAB
-/* 4659 */    MCD_OPC_FilterValue, 1, 34, 9, // Skip to: 7001
-/* 4663 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 4666 */    MCD_OPC_FilterValue, 15, 27, 9, // Skip to: 7001
-/* 4670 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4685
-/* 4674 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4685
-/* 4680 */    MCD_OPC_Decode, 168, 21, 168, 2, // Opcode: t2UXTB
-/* 4685 */    MCD_OPC_CheckPredicate, 25, 8, 9, // Skip to: 7001
-/* 4689 */    MCD_OPC_Decode, 165, 21, 169, 2, // Opcode: t2UXTAB
-/* 4694 */    MCD_OPC_FilterValue, 3, 21, 0, // Skip to: 4719
-/* 4698 */    MCD_OPC_CheckPredicate, 22, 251, 8, // Skip to: 7001
-/* 4702 */    MCD_OPC_CheckField, 12, 4, 15, 245, 8, // Skip to: 7001
-/* 4708 */    MCD_OPC_CheckField, 4, 4, 0, 239, 8, // Skip to: 7001
-/* 4714 */    MCD_OPC_Decode, 247, 19, 251, 1, // Opcode: t2RORrr
-/* 4719 */    MCD_OPC_FilterValue, 4, 197, 1, // Skip to: 5176
-/* 4723 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 4726 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 4771
-/* 4730 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4733 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4752
-/* 4737 */    MCD_OPC_CheckPredicate, 27, 212, 8, // Skip to: 7001
-/* 4741 */    MCD_OPC_CheckField, 12, 4, 15, 206, 8, // Skip to: 7001
-/* 4747 */    MCD_OPC_Decode, 255, 19, 170, 2, // Opcode: t2SADD8
-/* 4752 */    MCD_OPC_FilterValue, 1, 197, 8, // Skip to: 7001
-/* 4756 */    MCD_OPC_CheckPredicate, 27, 193, 8, // Skip to: 7001
-/* 4760 */    MCD_OPC_CheckField, 12, 4, 15, 187, 8, // Skip to: 7001
-/* 4766 */    MCD_OPC_Decode, 254, 19, 170, 2, // Opcode: t2SADD16
-/* 4771 */    MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 4816
-/* 4775 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4778 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4797
-/* 4782 */    MCD_OPC_CheckPredicate, 27, 167, 8, // Skip to: 7001
-/* 4786 */    MCD_OPC_CheckField, 12, 4, 15, 161, 8, // Skip to: 7001
-/* 4792 */    MCD_OPC_Decode, 230, 19, 170, 2, // Opcode: t2QADD8
-/* 4797 */    MCD_OPC_FilterValue, 1, 152, 8, // Skip to: 7001
-/* 4801 */    MCD_OPC_CheckPredicate, 27, 148, 8, // Skip to: 7001
-/* 4805 */    MCD_OPC_CheckField, 12, 4, 15, 142, 8, // Skip to: 7001
-/* 4811 */    MCD_OPC_Decode, 229, 19, 170, 2, // Opcode: t2QADD16
-/* 4816 */    MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 4861
-/* 4820 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4823 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4842
-/* 4827 */    MCD_OPC_CheckPredicate, 27, 122, 8, // Skip to: 7001
-/* 4831 */    MCD_OPC_CheckField, 12, 4, 15, 116, 8, // Skip to: 7001
-/* 4837 */    MCD_OPC_Decode, 136, 20, 170, 2, // Opcode: t2SHADD8
-/* 4842 */    MCD_OPC_FilterValue, 1, 107, 8, // Skip to: 7001
-/* 4846 */    MCD_OPC_CheckPredicate, 27, 103, 8, // Skip to: 7001
-/* 4850 */    MCD_OPC_CheckField, 12, 4, 15, 97, 8, // Skip to: 7001
-/* 4856 */    MCD_OPC_Decode, 135, 20, 170, 2, // Opcode: t2SHADD16
-/* 4861 */    MCD_OPC_FilterValue, 4, 41, 0, // Skip to: 4906
-/* 4865 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4868 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4887
-/* 4872 */    MCD_OPC_CheckPredicate, 27, 77, 8, // Skip to: 7001
-/* 4876 */    MCD_OPC_CheckField, 12, 4, 15, 71, 8, // Skip to: 7001
-/* 4882 */    MCD_OPC_Decode, 139, 21, 170, 2, // Opcode: t2UADD8
-/* 4887 */    MCD_OPC_FilterValue, 1, 62, 8, // Skip to: 7001
-/* 4891 */    MCD_OPC_CheckPredicate, 27, 58, 8, // Skip to: 7001
-/* 4895 */    MCD_OPC_CheckField, 12, 4, 15, 52, 8, // Skip to: 7001
-/* 4901 */    MCD_OPC_Decode, 138, 21, 170, 2, // Opcode: t2UADD16
-/* 4906 */    MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 4951
-/* 4910 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4913 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4932
-/* 4917 */    MCD_OPC_CheckPredicate, 27, 32, 8, // Skip to: 7001
-/* 4921 */    MCD_OPC_CheckField, 12, 4, 15, 26, 8, // Skip to: 7001
-/* 4927 */    MCD_OPC_Decode, 153, 21, 170, 2, // Opcode: t2UQADD8
-/* 4932 */    MCD_OPC_FilterValue, 1, 17, 8, // Skip to: 7001
-/* 4936 */    MCD_OPC_CheckPredicate, 27, 13, 8, // Skip to: 7001
-/* 4940 */    MCD_OPC_CheckField, 12, 4, 15, 7, 8, // Skip to: 7001
-/* 4946 */    MCD_OPC_Decode, 152, 21, 170, 2, // Opcode: t2UQADD16
-/* 4951 */    MCD_OPC_FilterValue, 6, 41, 0, // Skip to: 4996
-/* 4955 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4958 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4977
-/* 4962 */    MCD_OPC_CheckPredicate, 27, 243, 7, // Skip to: 7001
-/* 4966 */    MCD_OPC_CheckField, 12, 4, 15, 237, 7, // Skip to: 7001
-/* 4972 */    MCD_OPC_Decode, 144, 21, 170, 2, // Opcode: t2UHADD8
-/* 4977 */    MCD_OPC_FilterValue, 1, 228, 7, // Skip to: 7001
-/* 4981 */    MCD_OPC_CheckPredicate, 27, 224, 7, // Skip to: 7001
-/* 4985 */    MCD_OPC_CheckField, 12, 4, 15, 218, 7, // Skip to: 7001
-/* 4991 */    MCD_OPC_Decode, 143, 21, 170, 2, // Opcode: t2UHADD16
-/* 4996 */    MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 5041
-/* 5000 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5003 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5022
-/* 5007 */    MCD_OPC_CheckPredicate, 27, 198, 7, // Skip to: 7001
-/* 5011 */    MCD_OPC_CheckField, 12, 4, 15, 192, 7, // Skip to: 7001
-/* 5017 */    MCD_OPC_Decode, 228, 19, 171, 2, // Opcode: t2QADD
-/* 5022 */    MCD_OPC_FilterValue, 1, 183, 7, // Skip to: 7001
-/* 5026 */    MCD_OPC_CheckPredicate, 22, 179, 7, // Skip to: 7001
-/* 5030 */    MCD_OPC_CheckField, 12, 4, 15, 173, 7, // Skip to: 7001
-/* 5036 */    MCD_OPC_Decode, 239, 19, 172, 2, // Opcode: t2REV
-/* 5041 */    MCD_OPC_FilterValue, 9, 41, 0, // Skip to: 5086
-/* 5045 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5048 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5067
-/* 5052 */    MCD_OPC_CheckPredicate, 27, 153, 7, // Skip to: 7001
-/* 5056 */    MCD_OPC_CheckField, 12, 4, 15, 147, 7, // Skip to: 7001
-/* 5062 */    MCD_OPC_Decode, 232, 19, 171, 2, // Opcode: t2QDADD
-/* 5067 */    MCD_OPC_FilterValue, 1, 138, 7, // Skip to: 7001
-/* 5071 */    MCD_OPC_CheckPredicate, 22, 134, 7, // Skip to: 7001
-/* 5075 */    MCD_OPC_CheckField, 12, 4, 15, 128, 7, // Skip to: 7001
-/* 5081 */    MCD_OPC_Decode, 240, 19, 172, 2, // Opcode: t2REV16
-/* 5086 */    MCD_OPC_FilterValue, 10, 41, 0, // Skip to: 5131
-/* 5090 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5093 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5112
-/* 5097 */    MCD_OPC_CheckPredicate, 27, 108, 7, // Skip to: 7001
-/* 5101 */    MCD_OPC_CheckField, 12, 4, 15, 102, 7, // Skip to: 7001
-/* 5107 */    MCD_OPC_Decode, 235, 19, 171, 2, // Opcode: t2QSUB
-/* 5112 */    MCD_OPC_FilterValue, 1, 93, 7, // Skip to: 7001
-/* 5116 */    MCD_OPC_CheckPredicate, 22, 89, 7, // Skip to: 7001
-/* 5120 */    MCD_OPC_CheckField, 12, 4, 15, 83, 7, // Skip to: 7001
-/* 5126 */    MCD_OPC_Decode, 238, 19, 172, 2, // Opcode: t2RBIT
-/* 5131 */    MCD_OPC_FilterValue, 11, 74, 7, // Skip to: 7001
-/* 5135 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5138 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5157
-/* 5142 */    MCD_OPC_CheckPredicate, 27, 63, 7, // Skip to: 7001
-/* 5146 */    MCD_OPC_CheckField, 12, 4, 15, 57, 7, // Skip to: 7001
-/* 5152 */    MCD_OPC_Decode, 233, 19, 171, 2, // Opcode: t2QDSUB
-/* 5157 */    MCD_OPC_FilterValue, 1, 48, 7, // Skip to: 7001
-/* 5161 */    MCD_OPC_CheckPredicate, 22, 44, 7, // Skip to: 7001
-/* 5165 */    MCD_OPC_CheckField, 12, 4, 15, 38, 7, // Skip to: 7001
-/* 5171 */    MCD_OPC_Decode, 241, 19, 172, 2, // Opcode: t2REVSH
-/* 5176 */    MCD_OPC_FilterValue, 5, 198, 0, // Skip to: 5378
-/* 5180 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 5183 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 5208
-/* 5187 */    MCD_OPC_CheckPredicate, 27, 18, 7, // Skip to: 7001
-/* 5191 */    MCD_OPC_CheckField, 20, 1, 0, 12, 7, // Skip to: 7001
-/* 5197 */    MCD_OPC_CheckField, 12, 4, 15, 6, 7, // Skip to: 7001
-/* 5203 */    MCD_OPC_Decode, 128, 20, 170, 2, // Opcode: t2SASX
-/* 5208 */    MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 5233
-/* 5212 */    MCD_OPC_CheckPredicate, 27, 249, 6, // Skip to: 7001
-/* 5216 */    MCD_OPC_CheckField, 20, 1, 0, 243, 6, // Skip to: 7001
-/* 5222 */    MCD_OPC_CheckField, 12, 4, 15, 237, 6, // Skip to: 7001
-/* 5228 */    MCD_OPC_Decode, 231, 19, 170, 2, // Opcode: t2QASX
-/* 5233 */    MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 5258
-/* 5237 */    MCD_OPC_CheckPredicate, 27, 224, 6, // Skip to: 7001
-/* 5241 */    MCD_OPC_CheckField, 20, 1, 0, 218, 6, // Skip to: 7001
-/* 5247 */    MCD_OPC_CheckField, 12, 4, 15, 212, 6, // Skip to: 7001
-/* 5253 */    MCD_OPC_Decode, 137, 20, 170, 2, // Opcode: t2SHASX
-/* 5258 */    MCD_OPC_FilterValue, 4, 21, 0, // Skip to: 5283
-/* 5262 */    MCD_OPC_CheckPredicate, 27, 199, 6, // Skip to: 7001
-/* 5266 */    MCD_OPC_CheckField, 20, 1, 0, 193, 6, // Skip to: 7001
-/* 5272 */    MCD_OPC_CheckField, 12, 4, 15, 187, 6, // Skip to: 7001
-/* 5278 */    MCD_OPC_Decode, 140, 21, 170, 2, // Opcode: t2UASX
-/* 5283 */    MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 5308
-/* 5287 */    MCD_OPC_CheckPredicate, 27, 174, 6, // Skip to: 7001
-/* 5291 */    MCD_OPC_CheckField, 20, 1, 0, 168, 6, // Skip to: 7001
-/* 5297 */    MCD_OPC_CheckField, 12, 4, 15, 162, 6, // Skip to: 7001
-/* 5303 */    MCD_OPC_Decode, 154, 21, 170, 2, // Opcode: t2UQASX
-/* 5308 */    MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 5333
-/* 5312 */    MCD_OPC_CheckPredicate, 27, 149, 6, // Skip to: 7001
-/* 5316 */    MCD_OPC_CheckField, 20, 1, 0, 143, 6, // Skip to: 7001
-/* 5322 */    MCD_OPC_CheckField, 12, 4, 15, 137, 6, // Skip to: 7001
-/* 5328 */    MCD_OPC_Decode, 145, 21, 170, 2, // Opcode: t2UHASX
-/* 5333 */    MCD_OPC_FilterValue, 8, 128, 6, // Skip to: 7001
-/* 5337 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5340 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5359
-/* 5344 */    MCD_OPC_CheckPredicate, 27, 117, 6, // Skip to: 7001
-/* 5348 */    MCD_OPC_CheckField, 12, 4, 15, 111, 6, // Skip to: 7001
-/* 5354 */    MCD_OPC_Decode, 134, 20, 173, 2, // Opcode: t2SEL
-/* 5359 */    MCD_OPC_FilterValue, 1, 102, 6, // Skip to: 7001
-/* 5363 */    MCD_OPC_CheckPredicate, 22, 98, 6, // Skip to: 7001
-/* 5367 */    MCD_OPC_CheckField, 12, 4, 15, 92, 6, // Skip to: 7001
-/* 5373 */    MCD_OPC_Decode, 183, 18, 172, 2, // Opcode: t2CLZ
-/* 5378 */    MCD_OPC_FilterValue, 6, 152, 1, // Skip to: 5790
-/* 5382 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 5385 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 5430
-/* 5389 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5392 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5411
-/* 5396 */    MCD_OPC_CheckPredicate, 27, 65, 6, // Skip to: 7001
-/* 5400 */    MCD_OPC_CheckField, 12, 4, 15, 59, 6, // Skip to: 7001
-/* 5406 */    MCD_OPC_Decode, 186, 20, 170, 2, // Opcode: t2SSUB8
-/* 5411 */    MCD_OPC_FilterValue, 1, 50, 6, // Skip to: 7001
-/* 5415 */    MCD_OPC_CheckPredicate, 27, 46, 6, // Skip to: 7001
-/* 5419 */    MCD_OPC_CheckField, 12, 4, 15, 40, 6, // Skip to: 7001
-/* 5425 */    MCD_OPC_Decode, 185, 20, 170, 2, // Opcode: t2SSUB16
-/* 5430 */    MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 5475
-/* 5434 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5437 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5456
-/* 5441 */    MCD_OPC_CheckPredicate, 27, 20, 6, // Skip to: 7001
-/* 5445 */    MCD_OPC_CheckField, 12, 4, 15, 14, 6, // Skip to: 7001
-/* 5451 */    MCD_OPC_Decode, 237, 19, 170, 2, // Opcode: t2QSUB8
-/* 5456 */    MCD_OPC_FilterValue, 1, 5, 6, // Skip to: 7001
-/* 5460 */    MCD_OPC_CheckPredicate, 27, 1, 6, // Skip to: 7001
-/* 5464 */    MCD_OPC_CheckField, 12, 4, 15, 251, 5, // Skip to: 7001
-/* 5470 */    MCD_OPC_Decode, 236, 19, 170, 2, // Opcode: t2QSUB16
-/* 5475 */    MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 5520
-/* 5479 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5482 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5501
-/* 5486 */    MCD_OPC_CheckPredicate, 27, 231, 5, // Skip to: 7001
-/* 5490 */    MCD_OPC_CheckField, 12, 4, 15, 225, 5, // Skip to: 7001
-/* 5496 */    MCD_OPC_Decode, 140, 20, 170, 2, // Opcode: t2SHSUB8
-/* 5501 */    MCD_OPC_FilterValue, 1, 216, 5, // Skip to: 7001
-/* 5505 */    MCD_OPC_CheckPredicate, 27, 212, 5, // Skip to: 7001
-/* 5509 */    MCD_OPC_CheckField, 12, 4, 15, 206, 5, // Skip to: 7001
-/* 5515 */    MCD_OPC_Decode, 139, 20, 170, 2, // Opcode: t2SHSUB16
-/* 5520 */    MCD_OPC_FilterValue, 4, 41, 0, // Skip to: 5565
-/* 5524 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5527 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5546
-/* 5531 */    MCD_OPC_CheckPredicate, 27, 186, 5, // Skip to: 7001
-/* 5535 */    MCD_OPC_CheckField, 12, 4, 15, 180, 5, // Skip to: 7001
-/* 5541 */    MCD_OPC_Decode, 164, 21, 170, 2, // Opcode: t2USUB8
-/* 5546 */    MCD_OPC_FilterValue, 1, 171, 5, // Skip to: 7001
-/* 5550 */    MCD_OPC_CheckPredicate, 27, 167, 5, // Skip to: 7001
-/* 5554 */    MCD_OPC_CheckField, 12, 4, 15, 161, 5, // Skip to: 7001
-/* 5560 */    MCD_OPC_Decode, 163, 21, 170, 2, // Opcode: t2USUB16
-/* 5565 */    MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 5610
-/* 5569 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5572 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5591
-/* 5576 */    MCD_OPC_CheckPredicate, 27, 141, 5, // Skip to: 7001
-/* 5580 */    MCD_OPC_CheckField, 12, 4, 15, 135, 5, // Skip to: 7001
-/* 5586 */    MCD_OPC_Decode, 157, 21, 170, 2, // Opcode: t2UQSUB8
-/* 5591 */    MCD_OPC_FilterValue, 1, 126, 5, // Skip to: 7001
-/* 5595 */    MCD_OPC_CheckPredicate, 27, 122, 5, // Skip to: 7001
-/* 5599 */    MCD_OPC_CheckField, 12, 4, 15, 116, 5, // Skip to: 7001
-/* 5605 */    MCD_OPC_Decode, 156, 21, 170, 2, // Opcode: t2UQSUB16
-/* 5610 */    MCD_OPC_FilterValue, 6, 41, 0, // Skip to: 5655
-/* 5614 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5617 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5636
-/* 5621 */    MCD_OPC_CheckPredicate, 27, 96, 5, // Skip to: 7001
-/* 5625 */    MCD_OPC_CheckField, 12, 4, 15, 90, 5, // Skip to: 7001
-/* 5631 */    MCD_OPC_Decode, 148, 21, 170, 2, // Opcode: t2UHSUB8
-/* 5636 */    MCD_OPC_FilterValue, 1, 81, 5, // Skip to: 7001
-/* 5640 */    MCD_OPC_CheckPredicate, 27, 77, 5, // Skip to: 7001
-/* 5644 */    MCD_OPC_CheckField, 12, 4, 15, 71, 5, // Skip to: 7001
-/* 5650 */    MCD_OPC_Decode, 147, 21, 170, 2, // Opcode: t2UHSUB16
-/* 5655 */    MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 5700
-/* 5659 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5662 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5681
-/* 5666 */    MCD_OPC_CheckPredicate, 36, 51, 5, // Skip to: 7001
-/* 5670 */    MCD_OPC_CheckField, 12, 4, 15, 45, 5, // Skip to: 7001
-/* 5676 */    MCD_OPC_Decode, 193, 18, 170, 2, // Opcode: t2CRC32B
-/* 5681 */    MCD_OPC_FilterValue, 1, 36, 5, // Skip to: 7001
-/* 5685 */    MCD_OPC_CheckPredicate, 36, 32, 5, // Skip to: 7001
-/* 5689 */    MCD_OPC_CheckField, 12, 4, 15, 26, 5, // Skip to: 7001
-/* 5695 */    MCD_OPC_Decode, 194, 18, 170, 2, // Opcode: t2CRC32CB
-/* 5700 */    MCD_OPC_FilterValue, 9, 41, 0, // Skip to: 5745
-/* 5704 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5707 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5726
-/* 5711 */    MCD_OPC_CheckPredicate, 36, 6, 5, // Skip to: 7001
-/* 5715 */    MCD_OPC_CheckField, 12, 4, 15, 0, 5, // Skip to: 7001
-/* 5721 */    MCD_OPC_Decode, 197, 18, 170, 2, // Opcode: t2CRC32H
-/* 5726 */    MCD_OPC_FilterValue, 1, 247, 4, // Skip to: 7001
-/* 5730 */    MCD_OPC_CheckPredicate, 36, 243, 4, // Skip to: 7001
-/* 5734 */    MCD_OPC_CheckField, 12, 4, 15, 237, 4, // Skip to: 7001
-/* 5740 */    MCD_OPC_Decode, 195, 18, 170, 2, // Opcode: t2CRC32CH
-/* 5745 */    MCD_OPC_FilterValue, 10, 228, 4, // Skip to: 7001
-/* 5749 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 5752 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5771
-/* 5756 */    MCD_OPC_CheckPredicate, 36, 217, 4, // Skip to: 7001
-/* 5760 */    MCD_OPC_CheckField, 12, 4, 15, 211, 4, // Skip to: 7001
-/* 5766 */    MCD_OPC_Decode, 198, 18, 170, 2, // Opcode: t2CRC32W
-/* 5771 */    MCD_OPC_FilterValue, 1, 202, 4, // Skip to: 7001
-/* 5775 */    MCD_OPC_CheckPredicate, 36, 198, 4, // Skip to: 7001
-/* 5779 */    MCD_OPC_CheckField, 12, 4, 15, 192, 4, // Skip to: 7001
-/* 5785 */    MCD_OPC_Decode, 196, 18, 170, 2, // Opcode: t2CRC32CW
-/* 5790 */    MCD_OPC_FilterValue, 7, 183, 4, // Skip to: 7001
-/* 5794 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 5797 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 5822
-/* 5801 */    MCD_OPC_CheckPredicate, 27, 172, 4, // Skip to: 7001
-/* 5805 */    MCD_OPC_CheckField, 20, 1, 0, 166, 4, // Skip to: 7001
-/* 5811 */    MCD_OPC_CheckField, 12, 4, 15, 160, 4, // Skip to: 7001
-/* 5817 */    MCD_OPC_Decode, 184, 20, 170, 2, // Opcode: t2SSAX
-/* 5822 */    MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 5847
-/* 5826 */    MCD_OPC_CheckPredicate, 27, 147, 4, // Skip to: 7001
-/* 5830 */    MCD_OPC_CheckField, 20, 1, 0, 141, 4, // Skip to: 7001
-/* 5836 */    MCD_OPC_CheckField, 12, 4, 15, 135, 4, // Skip to: 7001
-/* 5842 */    MCD_OPC_Decode, 234, 19, 170, 2, // Opcode: t2QSAX
-/* 5847 */    MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 5872
-/* 5851 */    MCD_OPC_CheckPredicate, 27, 122, 4, // Skip to: 7001
-/* 5855 */    MCD_OPC_CheckField, 20, 1, 0, 116, 4, // Skip to: 7001
-/* 5861 */    MCD_OPC_CheckField, 12, 4, 15, 110, 4, // Skip to: 7001
-/* 5867 */    MCD_OPC_Decode, 138, 20, 170, 2, // Opcode: t2SHSAX
-/* 5872 */    MCD_OPC_FilterValue, 4, 21, 0, // Skip to: 5897
-/* 5876 */    MCD_OPC_CheckPredicate, 27, 97, 4, // Skip to: 7001
-/* 5880 */    MCD_OPC_CheckField, 20, 1, 0, 91, 4, // Skip to: 7001
-/* 5886 */    MCD_OPC_CheckField, 12, 4, 15, 85, 4, // Skip to: 7001
-/* 5892 */    MCD_OPC_Decode, 162, 21, 170, 2, // Opcode: t2USAX
-/* 5897 */    MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 5922
-/* 5901 */    MCD_OPC_CheckPredicate, 27, 72, 4, // Skip to: 7001
-/* 5905 */    MCD_OPC_CheckField, 20, 1, 0, 66, 4, // Skip to: 7001
-/* 5911 */    MCD_OPC_CheckField, 12, 4, 15, 60, 4, // Skip to: 7001
-/* 5917 */    MCD_OPC_Decode, 155, 21, 170, 2, // Opcode: t2UQSAX
-/* 5922 */    MCD_OPC_FilterValue, 6, 51, 4, // Skip to: 7001
-/* 5926 */    MCD_OPC_CheckPredicate, 27, 47, 4, // Skip to: 7001
-/* 5930 */    MCD_OPC_CheckField, 20, 1, 0, 41, 4, // Skip to: 7001
-/* 5936 */    MCD_OPC_CheckField, 12, 4, 15, 35, 4, // Skip to: 7001
-/* 5942 */    MCD_OPC_Decode, 146, 21, 170, 2, // Opcode: t2UHSAX
-/* 5947 */    MCD_OPC_FilterValue, 3, 230, 2, // Skip to: 6693
-/* 5951 */    MCD_OPC_ExtractField, 20, 4,  // Inst{23-20} ...
-/* 5954 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 6002
-/* 5958 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 5961 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 5989
-/* 5965 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 5980
-/* 5969 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 5980
-/* 5975 */    MCD_OPC_Decode, 204, 19, 170, 2, // Opcode: t2MUL
-/* 5980 */    MCD_OPC_CheckPredicate, 22, 249, 3, // Skip to: 7001
-/* 5984 */    MCD_OPC_Decode, 171, 19, 174, 2, // Opcode: t2MLA
-/* 5989 */    MCD_OPC_FilterValue, 1, 240, 3, // Skip to: 7001
-/* 5993 */    MCD_OPC_CheckPredicate, 22, 236, 3, // Skip to: 7001
-/* 5997 */    MCD_OPC_Decode, 172, 19, 174, 2, // Opcode: t2MLS
-/* 6002 */    MCD_OPC_FilterValue, 1, 115, 0, // Skip to: 6121
-/* 6006 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6009 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6037
-/* 6013 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6028
-/* 6017 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6028
-/* 6023 */    MCD_OPC_Decode, 169, 20, 170, 2, // Opcode: t2SMULBB
-/* 6028 */    MCD_OPC_CheckPredicate, 27, 201, 3, // Skip to: 7001
-/* 6032 */    MCD_OPC_Decode, 142, 20, 174, 2, // Opcode: t2SMLABB
-/* 6037 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 6065
-/* 6041 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6056
-/* 6045 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6056
-/* 6051 */    MCD_OPC_Decode, 170, 20, 170, 2, // Opcode: t2SMULBT
-/* 6056 */    MCD_OPC_CheckPredicate, 27, 173, 3, // Skip to: 7001
-/* 6060 */    MCD_OPC_Decode, 143, 20, 174, 2, // Opcode: t2SMLABT
-/* 6065 */    MCD_OPC_FilterValue, 2, 24, 0, // Skip to: 6093
-/* 6069 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6084
-/* 6073 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6084
-/* 6079 */    MCD_OPC_Decode, 172, 20, 170, 2, // Opcode: t2SMULTB
-/* 6084 */    MCD_OPC_CheckPredicate, 27, 145, 3, // Skip to: 7001
-/* 6088 */    MCD_OPC_Decode, 153, 20, 174, 2, // Opcode: t2SMLATB
-/* 6093 */    MCD_OPC_FilterValue, 3, 136, 3, // Skip to: 7001
-/* 6097 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6112
-/* 6101 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6112
-/* 6107 */    MCD_OPC_Decode, 173, 20, 170, 2, // Opcode: t2SMULTT
-/* 6112 */    MCD_OPC_CheckPredicate, 27, 117, 3, // Skip to: 7001
-/* 6116 */    MCD_OPC_Decode, 154, 20, 174, 2, // Opcode: t2SMLATT
-/* 6121 */    MCD_OPC_FilterValue, 2, 59, 0, // Skip to: 6184
-/* 6125 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6128 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6156
-/* 6132 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6147
-/* 6136 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6147
-/* 6142 */    MCD_OPC_Decode, 167, 20, 170, 2, // Opcode: t2SMUAD
-/* 6147 */    MCD_OPC_CheckPredicate, 27, 82, 3, // Skip to: 7001
-/* 6151 */    MCD_OPC_Decode, 144, 20, 174, 2, // Opcode: t2SMLAD
-/* 6156 */    MCD_OPC_FilterValue, 1, 73, 3, // Skip to: 7001
-/* 6160 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6175
-/* 6164 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6175
-/* 6170 */    MCD_OPC_Decode, 168, 20, 170, 2, // Opcode: t2SMUADX
-/* 6175 */    MCD_OPC_CheckPredicate, 27, 54, 3, // Skip to: 7001
-/* 6179 */    MCD_OPC_Decode, 145, 20, 174, 2, // Opcode: t2SMLADX
-/* 6184 */    MCD_OPC_FilterValue, 3, 59, 0, // Skip to: 6247
-/* 6188 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6191 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6219
-/* 6195 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6210
-/* 6199 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6210
-/* 6205 */    MCD_OPC_Decode, 174, 20, 170, 2, // Opcode: t2SMULWB
-/* 6210 */    MCD_OPC_CheckPredicate, 27, 19, 3, // Skip to: 7001
-/* 6214 */    MCD_OPC_Decode, 155, 20, 174, 2, // Opcode: t2SMLAWB
-/* 6219 */    MCD_OPC_FilterValue, 1, 10, 3, // Skip to: 7001
-/* 6223 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6238
-/* 6227 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6238
-/* 6233 */    MCD_OPC_Decode, 175, 20, 170, 2, // Opcode: t2SMULWT
-/* 6238 */    MCD_OPC_CheckPredicate, 27, 247, 2, // Skip to: 7001
-/* 6242 */    MCD_OPC_Decode, 156, 20, 174, 2, // Opcode: t2SMLAWT
-/* 6247 */    MCD_OPC_FilterValue, 4, 59, 0, // Skip to: 6310
-/* 6251 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6254 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6282
-/* 6258 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6273
-/* 6262 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6273
-/* 6268 */    MCD_OPC_Decode, 176, 20, 170, 2, // Opcode: t2SMUSD
-/* 6273 */    MCD_OPC_CheckPredicate, 27, 212, 2, // Skip to: 7001
-/* 6277 */    MCD_OPC_Decode, 157, 20, 174, 2, // Opcode: t2SMLSD
-/* 6282 */    MCD_OPC_FilterValue, 1, 203, 2, // Skip to: 7001
-/* 6286 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6301
-/* 6290 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6301
-/* 6296 */    MCD_OPC_Decode, 177, 20, 170, 2, // Opcode: t2SMUSDX
-/* 6301 */    MCD_OPC_CheckPredicate, 27, 184, 2, // Skip to: 7001
-/* 6305 */    MCD_OPC_Decode, 158, 20, 174, 2, // Opcode: t2SMLSDX
-/* 6310 */    MCD_OPC_FilterValue, 5, 59, 0, // Skip to: 6373
-/* 6314 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6317 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6345
-/* 6321 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6336
-/* 6325 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6336
-/* 6331 */    MCD_OPC_Decode, 165, 20, 170, 2, // Opcode: t2SMMUL
-/* 6336 */    MCD_OPC_CheckPredicate, 27, 149, 2, // Skip to: 7001
-/* 6340 */    MCD_OPC_Decode, 161, 20, 174, 2, // Opcode: t2SMMLA
-/* 6345 */    MCD_OPC_FilterValue, 1, 140, 2, // Skip to: 7001
-/* 6349 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6364
-/* 6353 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6364
-/* 6359 */    MCD_OPC_Decode, 166, 20, 170, 2, // Opcode: t2SMMULR
-/* 6364 */    MCD_OPC_CheckPredicate, 27, 121, 2, // Skip to: 7001
-/* 6368 */    MCD_OPC_Decode, 162, 20, 174, 2, // Opcode: t2SMMLAR
-/* 6373 */    MCD_OPC_FilterValue, 6, 29, 0, // Skip to: 6406
-/* 6377 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6380 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6393
-/* 6384 */    MCD_OPC_CheckPredicate, 27, 101, 2, // Skip to: 7001
-/* 6388 */    MCD_OPC_Decode, 163, 20, 174, 2, // Opcode: t2SMMLS
-/* 6393 */    MCD_OPC_FilterValue, 1, 92, 2, // Skip to: 7001
-/* 6397 */    MCD_OPC_CheckPredicate, 27, 88, 2, // Skip to: 7001
-/* 6401 */    MCD_OPC_Decode, 164, 20, 174, 2, // Opcode: t2SMMLSR
-/* 6406 */    MCD_OPC_FilterValue, 7, 31, 0, // Skip to: 6441
-/* 6410 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6413 */    MCD_OPC_FilterValue, 0, 72, 2, // Skip to: 7001
-/* 6417 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 6432
-/* 6421 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6432
-/* 6427 */    MCD_OPC_Decode, 158, 21, 170, 2, // Opcode: t2USAD8
-/* 6432 */    MCD_OPC_CheckPredicate, 27, 53, 2, // Skip to: 7001
-/* 6436 */    MCD_OPC_Decode, 159, 21, 174, 2, // Opcode: t2USADA8
-/* 6441 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 6460
-/* 6445 */    MCD_OPC_CheckPredicate, 22, 40, 2, // Skip to: 7001
-/* 6449 */    MCD_OPC_CheckField, 4, 4, 0, 34, 2, // Skip to: 7001
-/* 6455 */    MCD_OPC_Decode, 171, 20, 175, 2, // Opcode: t2SMULL
-/* 6460 */    MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 6485
-/* 6464 */    MCD_OPC_CheckPredicate, 37, 21, 2, // Skip to: 7001
-/* 6468 */    MCD_OPC_CheckField, 12, 4, 15, 15, 2, // Skip to: 7001
-/* 6474 */    MCD_OPC_CheckField, 4, 4, 15, 9, 2, // Skip to: 7001
-/* 6480 */    MCD_OPC_Decode, 133, 20, 170, 2, // Opcode: t2SDIV
-/* 6485 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 6504
-/* 6489 */    MCD_OPC_CheckPredicate, 22, 252, 1, // Skip to: 7001
-/* 6493 */    MCD_OPC_CheckField, 4, 4, 0, 246, 1, // Skip to: 7001
-/* 6499 */    MCD_OPC_Decode, 151, 21, 175, 2, // Opcode: t2UMULL
-/* 6504 */    MCD_OPC_FilterValue, 11, 21, 0, // Skip to: 6529
-/* 6508 */    MCD_OPC_CheckPredicate, 37, 233, 1, // Skip to: 7001
-/* 6512 */    MCD_OPC_CheckField, 12, 4, 15, 227, 1, // Skip to: 7001
-/* 6518 */    MCD_OPC_CheckField, 4, 4, 15, 221, 1, // Skip to: 7001
-/* 6524 */    MCD_OPC_Decode, 142, 21, 170, 2, // Opcode: t2UDIV
-/* 6529 */    MCD_OPC_FilterValue, 12, 94, 0, // Skip to: 6627
-/* 6533 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6536 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6549
-/* 6540 */    MCD_OPC_CheckPredicate, 22, 201, 1, // Skip to: 7001
-/* 6544 */    MCD_OPC_Decode, 146, 20, 176, 2, // Opcode: t2SMLAL
-/* 6549 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 6562
-/* 6553 */    MCD_OPC_CheckPredicate, 27, 188, 1, // Skip to: 7001
-/* 6557 */    MCD_OPC_Decode, 147, 20, 175, 2, // Opcode: t2SMLALBB
-/* 6562 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 6575
-/* 6566 */    MCD_OPC_CheckPredicate, 27, 175, 1, // Skip to: 7001
-/* 6570 */    MCD_OPC_Decode, 148, 20, 175, 2, // Opcode: t2SMLALBT
-/* 6575 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 6588
-/* 6579 */    MCD_OPC_CheckPredicate, 27, 162, 1, // Skip to: 7001
-/* 6583 */    MCD_OPC_Decode, 151, 20, 175, 2, // Opcode: t2SMLALTB
-/* 6588 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 6601
-/* 6592 */    MCD_OPC_CheckPredicate, 27, 149, 1, // Skip to: 7001
-/* 6596 */    MCD_OPC_Decode, 152, 20, 175, 2, // Opcode: t2SMLALTT
-/* 6601 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 6614
-/* 6605 */    MCD_OPC_CheckPredicate, 27, 136, 1, // Skip to: 7001
-/* 6609 */    MCD_OPC_Decode, 149, 20, 175, 2, // Opcode: t2SMLALD
-/* 6614 */    MCD_OPC_FilterValue, 13, 127, 1, // Skip to: 7001
-/* 6618 */    MCD_OPC_CheckPredicate, 27, 123, 1, // Skip to: 7001
-/* 6622 */    MCD_OPC_Decode, 150, 20, 175, 2, // Opcode: t2SMLALDX
-/* 6627 */    MCD_OPC_FilterValue, 13, 29, 0, // Skip to: 6660
-/* 6631 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6634 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 6647
-/* 6638 */    MCD_OPC_CheckPredicate, 27, 103, 1, // Skip to: 7001
-/* 6642 */    MCD_OPC_Decode, 159, 20, 175, 2, // Opcode: t2SMLSLD
-/* 6647 */    MCD_OPC_FilterValue, 13, 94, 1, // Skip to: 7001
-/* 6651 */    MCD_OPC_CheckPredicate, 27, 90, 1, // Skip to: 7001
-/* 6655 */    MCD_OPC_Decode, 160, 20, 177, 2, // Opcode: t2SMLSLDX
-/* 6660 */    MCD_OPC_FilterValue, 14, 81, 1, // Skip to: 7001
-/* 6664 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
-/* 6667 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6680
-/* 6671 */    MCD_OPC_CheckPredicate, 22, 70, 1, // Skip to: 7001
-/* 6675 */    MCD_OPC_Decode, 150, 21, 176, 2, // Opcode: t2UMLAL
-/* 6680 */    MCD_OPC_FilterValue, 6, 61, 1, // Skip to: 7001
-/* 6684 */    MCD_OPC_CheckPredicate, 27, 57, 1, // Skip to: 7001
-/* 6688 */    MCD_OPC_Decode, 149, 21, 175, 2, // Opcode: t2UMAAL
-/* 6693 */    MCD_OPC_FilterValue, 4, 151, 0, // Skip to: 6848
-/* 6697 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
-/* 6700 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6718
-/* 6704 */    MCD_OPC_CheckPredicate, 4, 37, 1, // Skip to: 7001
-/* 6708 */    MCD_OPC_CheckField, 23, 1, 1, 31, 1, // Skip to: 7001
-/* 6714 */    MCD_OPC_Decode, 192, 20, 85, // Opcode: t2STC2_OPTION
-/* 6718 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 6736
-/* 6722 */    MCD_OPC_CheckPredicate, 4, 19, 1, // Skip to: 7001
-/* 6726 */    MCD_OPC_CheckField, 23, 1, 1, 13, 1, // Skip to: 7001
-/* 6732 */    MCD_OPC_Decode, 225, 18, 85, // Opcode: t2LDC2_OPTION
-/* 6736 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6748
-/* 6740 */    MCD_OPC_CheckPredicate, 4, 1, 1, // Skip to: 7001
-/* 6744 */    MCD_OPC_Decode, 193, 20, 85, // Opcode: t2STC2_POST
-/* 6748 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6760
-/* 6752 */    MCD_OPC_CheckPredicate, 4, 245, 0, // Skip to: 7001
-/* 6756 */    MCD_OPC_Decode, 226, 18, 85, // Opcode: t2LDC2_POST
-/* 6760 */    MCD_OPC_FilterValue, 4, 28, 0, // Skip to: 6792
-/* 6764 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 6767 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6780
-/* 6771 */    MCD_OPC_CheckPredicate, 26, 226, 0, // Skip to: 7001
-/* 6775 */    MCD_OPC_Decode, 170, 19, 132, 2, // Opcode: t2MCRR2
-/* 6780 */    MCD_OPC_FilterValue, 1, 217, 0, // Skip to: 7001
-/* 6784 */    MCD_OPC_CheckPredicate, 4, 213, 0, // Skip to: 7001
-/* 6788 */    MCD_OPC_Decode, 188, 20, 85, // Opcode: t2STC2L_OPTION
-/* 6792 */    MCD_OPC_FilterValue, 5, 28, 0, // Skip to: 6824
-/* 6796 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 6799 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6812
-/* 6803 */    MCD_OPC_CheckPredicate, 26, 194, 0, // Skip to: 7001
-/* 6807 */    MCD_OPC_Decode, 198, 19, 132, 2, // Opcode: t2MRRC2
-/* 6812 */    MCD_OPC_FilterValue, 1, 185, 0, // Skip to: 7001
-/* 6816 */    MCD_OPC_CheckPredicate, 4, 181, 0, // Skip to: 7001
-/* 6820 */    MCD_OPC_Decode, 221, 18, 85, // Opcode: t2LDC2L_OPTION
-/* 6824 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6836
-/* 6828 */    MCD_OPC_CheckPredicate, 4, 169, 0, // Skip to: 7001
-/* 6832 */    MCD_OPC_Decode, 189, 20, 85, // Opcode: t2STC2L_POST
-/* 6836 */    MCD_OPC_FilterValue, 7, 161, 0, // Skip to: 7001
-/* 6840 */    MCD_OPC_CheckPredicate, 4, 157, 0, // Skip to: 7001
-/* 6844 */    MCD_OPC_Decode, 222, 18, 85, // Opcode: t2LDC2L_POST
-/* 6848 */    MCD_OPC_FilterValue, 5, 99, 0, // Skip to: 6951
-/* 6852 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
-/* 6855 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6867
-/* 6859 */    MCD_OPC_CheckPredicate, 4, 138, 0, // Skip to: 7001
-/* 6863 */    MCD_OPC_Decode, 191, 20, 85, // Opcode: t2STC2_OFFSET
-/* 6867 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6879
-/* 6871 */    MCD_OPC_CheckPredicate, 4, 126, 0, // Skip to: 7001
-/* 6875 */    MCD_OPC_Decode, 224, 18, 85, // Opcode: t2LDC2_OFFSET
-/* 6879 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6891
-/* 6883 */    MCD_OPC_CheckPredicate, 4, 114, 0, // Skip to: 7001
-/* 6887 */    MCD_OPC_Decode, 194, 20, 85, // Opcode: t2STC2_PRE
-/* 6891 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6903
-/* 6895 */    MCD_OPC_CheckPredicate, 4, 102, 0, // Skip to: 7001
-/* 6899 */    MCD_OPC_Decode, 227, 18, 85, // Opcode: t2LDC2_PRE
-/* 6903 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6915
-/* 6907 */    MCD_OPC_CheckPredicate, 4, 90, 0, // Skip to: 7001
-/* 6911 */    MCD_OPC_Decode, 187, 20, 85, // Opcode: t2STC2L_OFFSET
-/* 6915 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6927
-/* 6919 */    MCD_OPC_CheckPredicate, 4, 78, 0, // Skip to: 7001
-/* 6923 */    MCD_OPC_Decode, 220, 18, 85, // Opcode: t2LDC2L_OFFSET
-/* 6927 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6939
-/* 6931 */    MCD_OPC_CheckPredicate, 4, 66, 0, // Skip to: 7001
-/* 6935 */    MCD_OPC_Decode, 190, 20, 85, // Opcode: t2STC2L_PRE
-/* 6939 */    MCD_OPC_FilterValue, 7, 58, 0, // Skip to: 7001
-/* 6943 */    MCD_OPC_CheckPredicate, 4, 54, 0, // Skip to: 7001
-/* 6947 */    MCD_OPC_Decode, 223, 18, 85, // Opcode: t2LDC2L_PRE
-/* 6951 */    MCD_OPC_FilterValue, 6, 46, 0, // Skip to: 7001
-/* 6955 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 6958 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6970
-/* 6962 */    MCD_OPC_CheckPredicate, 26, 35, 0, // Skip to: 7001
-/* 6966 */    MCD_OPC_Decode, 181, 18, 88, // Opcode: t2CDP2
-/* 6970 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 7001
-/* 6974 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 6977 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6989
-/* 6981 */    MCD_OPC_CheckPredicate, 26, 16, 0, // Skip to: 7001
-/* 6985 */    MCD_OPC_Decode, 168, 19, 90, // Opcode: t2MCR2
-/* 6989 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7001
-/* 6993 */    MCD_OPC_CheckPredicate, 26, 4, 0, // Skip to: 7001
-/* 6997 */    MCD_OPC_Decode, 196, 19, 92, // Opcode: t2MRC2
-/* 7001 */    MCD_OPC_Fail,
+/* 3079 */    MCD_OPC_CheckPredicate, 22, 51, 0, // Skip to: 3134
+/* 3083 */    MCD_OPC_CheckField, 13, 1, 0, 45, 0, // Skip to: 3134
+/* 3089 */    MCD_OPC_CheckField, 0, 12, 128, 30, 38, 0, // Skip to: 3134
+/* 3096 */    MCD_OPC_Decode, 131, 18, 148, 2, // Opcode: t2BXJ
+/* 3101 */    MCD_OPC_FilterValue, 127, 29, 0, // Skip to: 3134
+/* 3105 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 3108 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 3121
+/* 3112 */    MCD_OPC_CheckPredicate, 35, 18, 0, // Skip to: 3134
+/* 3116 */    MCD_OPC_Decode, 222, 19, 149, 2, // Opcode: t2SMC
+/* 3121 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3134
+/* 3125 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3134
+/* 3129 */    MCD_OPC_Decode, 223, 20, 150, 2, // Opcode: t2UDF
+/* 3134 */    MCD_OPC_CheckPredicate, 32, 23, 0, // Skip to: 3161
+/* 3138 */    MCD_OPC_CheckField, 21, 6, 28, 17, 0, // Skip to: 3161
+/* 3144 */    MCD_OPC_CheckField, 13, 1, 0, 11, 0, // Skip to: 3161
+/* 3150 */    MCD_OPC_CheckField, 0, 8, 0, 5, 0, // Skip to: 3161
+/* 3156 */    MCD_OPC_Decode, 155, 19, 151, 2, // Opcode: t2MSR_AR
+/* 3161 */    MCD_OPC_CheckPredicate, 22, 10, 15, // Skip to: 7015
+/* 3165 */    MCD_OPC_Decode, 132, 18, 152, 2, // Opcode: t2Bcc
+/* 3170 */    MCD_OPC_FilterValue, 1, 1, 15, // Skip to: 7015
+/* 3174 */    MCD_OPC_CheckPredicate, 22, 253, 14, // Skip to: 7015
+/* 3178 */    MCD_OPC_CheckField, 14, 1, 0, 247, 14, // Skip to: 7015
+/* 3184 */    MCD_OPC_Decode, 252, 17, 153, 2, // Opcode: t2B
+/* 3189 */    MCD_OPC_FilterValue, 31, 238, 14, // Skip to: 7015
+/* 3193 */    MCD_OPC_ExtractField, 24, 3,  // Inst{26-24} ...
+/* 3196 */    MCD_OPC_FilterValue, 0, 76, 3, // Skip to: 4044
+/* 3200 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
+/* 3203 */    MCD_OPC_FilterValue, 0, 109, 0, // Skip to: 3316
+/* 3207 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 3210 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3303
+/* 3214 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 3217 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3236
+/* 3221 */    MCD_OPC_CheckPredicate, 22, 206, 14, // Skip to: 7015
+/* 3225 */    MCD_OPC_CheckField, 6, 4, 0, 200, 14, // Skip to: 7015
+/* 3231 */    MCD_OPC_Decode, 173, 20, 154, 2, // Opcode: t2STRBs
+/* 3236 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3255
+/* 3240 */    MCD_OPC_CheckPredicate, 22, 187, 14, // Skip to: 7015
+/* 3244 */    MCD_OPC_CheckField, 8, 1, 1, 181, 14, // Skip to: 7015
+/* 3250 */    MCD_OPC_Decode, 168, 20, 155, 2, // Opcode: t2STRB_POST
+/* 3255 */    MCD_OPC_FilterValue, 3, 172, 14, // Skip to: 7015
+/* 3259 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 3262 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3290
+/* 3266 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3281
+/* 3270 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3281
+/* 3276 */    MCD_OPC_Decode, 167, 20, 156, 2, // Opcode: t2STRBT
+/* 3281 */    MCD_OPC_CheckPredicate, 22, 146, 14, // Skip to: 7015
+/* 3285 */    MCD_OPC_Decode, 172, 20, 157, 2, // Opcode: t2STRBi8
+/* 3290 */    MCD_OPC_FilterValue, 1, 137, 14, // Skip to: 7015
+/* 3294 */    MCD_OPC_CheckPredicate, 22, 133, 14, // Skip to: 7015
+/* 3298 */    MCD_OPC_Decode, 169, 20, 155, 2, // Opcode: t2STRB_PRE
+/* 3303 */    MCD_OPC_FilterValue, 1, 124, 14, // Skip to: 7015
+/* 3307 */    MCD_OPC_CheckPredicate, 22, 120, 14, // Skip to: 7015
+/* 3311 */    MCD_OPC_Decode, 171, 20, 158, 2, // Opcode: t2STRBi12
+/* 3316 */    MCD_OPC_FilterValue, 1, 191, 0, // Skip to: 3511
+/* 3320 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 3323 */    MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 3452
+/* 3327 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 3330 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 3365
+/* 3334 */    MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
+/* 3337 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 3480
+/* 3341 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3356
+/* 3345 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3356
+/* 3351 */    MCD_OPC_Decode, 176, 19, 159, 2, // Opcode: t2PLDs
+/* 3356 */    MCD_OPC_CheckPredicate, 22, 120, 0, // Skip to: 3480
+/* 3360 */    MCD_OPC_Decode, 201, 18, 159, 2, // Opcode: t2LDRBs
+/* 3365 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3384
+/* 3369 */    MCD_OPC_CheckPredicate, 22, 107, 0, // Skip to: 3480
+/* 3373 */    MCD_OPC_CheckField, 8, 1, 1, 101, 0, // Skip to: 3480
+/* 3379 */    MCD_OPC_Decode, 195, 18, 155, 2, // Opcode: t2LDRB_POST
+/* 3384 */    MCD_OPC_FilterValue, 3, 92, 0, // Skip to: 3480
+/* 3388 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 3391 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 3439
+/* 3395 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
+/* 3398 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3417
+/* 3402 */    MCD_OPC_CheckPredicate, 22, 24, 0, // Skip to: 3430
+/* 3406 */    MCD_OPC_CheckField, 12, 4, 15, 18, 0, // Skip to: 3430
+/* 3412 */    MCD_OPC_Decode, 174, 19, 160, 2, // Opcode: t2PLDi8
+/* 3417 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3430
+/* 3421 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3430
+/* 3425 */    MCD_OPC_Decode, 194, 18, 161, 2, // Opcode: t2LDRBT
+/* 3430 */    MCD_OPC_CheckPredicate, 22, 46, 0, // Skip to: 3480
+/* 3434 */    MCD_OPC_Decode, 198, 18, 160, 2, // Opcode: t2LDRBi8
+/* 3439 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 3480
+/* 3443 */    MCD_OPC_CheckPredicate, 22, 33, 0, // Skip to: 3480
+/* 3447 */    MCD_OPC_Decode, 196, 18, 155, 2, // Opcode: t2LDRB_PRE
+/* 3452 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 3480
+/* 3456 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3471
+/* 3460 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3471
+/* 3466 */    MCD_OPC_Decode, 173, 19, 162, 2, // Opcode: t2PLDi12
+/* 3471 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3480
+/* 3475 */    MCD_OPC_Decode, 197, 18, 162, 2, // Opcode: t2LDRBi12
+/* 3480 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 3483 */    MCD_OPC_FilterValue, 15, 200, 13, // Skip to: 7015
+/* 3487 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3502
+/* 3491 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3502
+/* 3497 */    MCD_OPC_Decode, 175, 19, 163, 2, // Opcode: t2PLDpci
+/* 3502 */    MCD_OPC_CheckPredicate, 22, 181, 13, // Skip to: 7015
+/* 3506 */    MCD_OPC_Decode, 199, 18, 163, 2, // Opcode: t2LDRBpci
+/* 3511 */    MCD_OPC_FilterValue, 2, 109, 0, // Skip to: 3624
+/* 3515 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 3518 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3611
+/* 3522 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 3525 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3544
+/* 3529 */    MCD_OPC_CheckPredicate, 22, 154, 13, // Skip to: 7015
+/* 3533 */    MCD_OPC_CheckField, 6, 4, 0, 148, 13, // Skip to: 7015
+/* 3539 */    MCD_OPC_Decode, 187, 20, 154, 2, // Opcode: t2STRHs
+/* 3544 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3563
+/* 3548 */    MCD_OPC_CheckPredicate, 22, 135, 13, // Skip to: 7015
+/* 3552 */    MCD_OPC_CheckField, 8, 1, 1, 129, 13, // Skip to: 7015
+/* 3558 */    MCD_OPC_Decode, 182, 20, 155, 2, // Opcode: t2STRH_POST
+/* 3563 */    MCD_OPC_FilterValue, 3, 120, 13, // Skip to: 7015
+/* 3567 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 3570 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3598
+/* 3574 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3589
+/* 3578 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3589
+/* 3584 */    MCD_OPC_Decode, 181, 20, 156, 2, // Opcode: t2STRHT
+/* 3589 */    MCD_OPC_CheckPredicate, 22, 94, 13, // Skip to: 7015
+/* 3593 */    MCD_OPC_Decode, 186, 20, 157, 2, // Opcode: t2STRHi8
+/* 3598 */    MCD_OPC_FilterValue, 1, 85, 13, // Skip to: 7015
+/* 3602 */    MCD_OPC_CheckPredicate, 22, 81, 13, // Skip to: 7015
+/* 3606 */    MCD_OPC_Decode, 183, 20, 155, 2, // Opcode: t2STRH_PRE
+/* 3611 */    MCD_OPC_FilterValue, 1, 72, 13, // Skip to: 7015
+/* 3615 */    MCD_OPC_CheckPredicate, 22, 68, 13, // Skip to: 7015
+/* 3619 */    MCD_OPC_Decode, 185, 20, 158, 2, // Opcode: t2STRHi12
+/* 3624 */    MCD_OPC_FilterValue, 3, 175, 0, // Skip to: 3803
+/* 3628 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 3631 */    MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 3760
+/* 3635 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 3638 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 3673
+/* 3642 */    MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
+/* 3645 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 3788
+/* 3649 */    MCD_OPC_CheckPredicate, 36, 11, 0, // Skip to: 3664
+/* 3653 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3664
+/* 3659 */    MCD_OPC_Decode, 172, 19, 159, 2, // Opcode: t2PLDWs
+/* 3664 */    MCD_OPC_CheckPredicate, 22, 120, 0, // Skip to: 3788
+/* 3668 */    MCD_OPC_Decode, 216, 18, 159, 2, // Opcode: t2LDRHs
+/* 3673 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3692
+/* 3677 */    MCD_OPC_CheckPredicate, 22, 107, 0, // Skip to: 3788
+/* 3681 */    MCD_OPC_CheckField, 8, 1, 1, 101, 0, // Skip to: 3788
+/* 3687 */    MCD_OPC_Decode, 210, 18, 155, 2, // Opcode: t2LDRH_POST
+/* 3692 */    MCD_OPC_FilterValue, 3, 92, 0, // Skip to: 3788
+/* 3696 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 3699 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 3747
+/* 3703 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
+/* 3706 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3725
+/* 3710 */    MCD_OPC_CheckPredicate, 36, 24, 0, // Skip to: 3738
+/* 3714 */    MCD_OPC_CheckField, 12, 4, 15, 18, 0, // Skip to: 3738
+/* 3720 */    MCD_OPC_Decode, 171, 19, 160, 2, // Opcode: t2PLDWi8
+/* 3725 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3738
+/* 3729 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3738
+/* 3733 */    MCD_OPC_Decode, 209, 18, 161, 2, // Opcode: t2LDRHT
+/* 3738 */    MCD_OPC_CheckPredicate, 22, 46, 0, // Skip to: 3788
+/* 3742 */    MCD_OPC_Decode, 213, 18, 160, 2, // Opcode: t2LDRHi8
+/* 3747 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 3788
+/* 3751 */    MCD_OPC_CheckPredicate, 22, 33, 0, // Skip to: 3788
+/* 3755 */    MCD_OPC_Decode, 211, 18, 155, 2, // Opcode: t2LDRH_PRE
+/* 3760 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 3788
+/* 3764 */    MCD_OPC_CheckPredicate, 36, 11, 0, // Skip to: 3779
+/* 3768 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 3779
+/* 3774 */    MCD_OPC_Decode, 170, 19, 162, 2, // Opcode: t2PLDWi12
+/* 3779 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 3788
+/* 3783 */    MCD_OPC_Decode, 212, 18, 162, 2, // Opcode: t2LDRHi12
+/* 3788 */    MCD_OPC_CheckPredicate, 22, 151, 12, // Skip to: 7015
+/* 3792 */    MCD_OPC_CheckField, 16, 4, 15, 145, 12, // Skip to: 7015
+/* 3798 */    MCD_OPC_Decode, 214, 18, 163, 2, // Opcode: t2LDRHpci
+/* 3803 */    MCD_OPC_FilterValue, 4, 109, 0, // Skip to: 3916
+/* 3807 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 3810 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 3903
+/* 3814 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 3817 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3836
+/* 3821 */    MCD_OPC_CheckPredicate, 22, 118, 12, // Skip to: 7015
+/* 3825 */    MCD_OPC_CheckField, 6, 4, 0, 112, 12, // Skip to: 7015
+/* 3831 */    MCD_OPC_Decode, 194, 20, 164, 2, // Opcode: t2STRs
+/* 3836 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3855
+/* 3840 */    MCD_OPC_CheckPredicate, 22, 99, 12, // Skip to: 7015
+/* 3844 */    MCD_OPC_CheckField, 8, 1, 1, 93, 12, // Skip to: 7015
+/* 3850 */    MCD_OPC_Decode, 189, 20, 155, 2, // Opcode: t2STR_POST
+/* 3855 */    MCD_OPC_FilterValue, 3, 84, 12, // Skip to: 7015
+/* 3859 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 3862 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 3890
+/* 3866 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3881
+/* 3870 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3881
+/* 3876 */    MCD_OPC_Decode, 188, 20, 156, 2, // Opcode: t2STRT
+/* 3881 */    MCD_OPC_CheckPredicate, 22, 58, 12, // Skip to: 7015
+/* 3885 */    MCD_OPC_Decode, 193, 20, 165, 2, // Opcode: t2STRi8
+/* 3890 */    MCD_OPC_FilterValue, 1, 49, 12, // Skip to: 7015
+/* 3894 */    MCD_OPC_CheckPredicate, 22, 45, 12, // Skip to: 7015
+/* 3898 */    MCD_OPC_Decode, 190, 20, 155, 2, // Opcode: t2STR_PRE
+/* 3903 */    MCD_OPC_FilterValue, 1, 36, 12, // Skip to: 7015
+/* 3907 */    MCD_OPC_CheckPredicate, 22, 32, 12, // Skip to: 7015
+/* 3911 */    MCD_OPC_Decode, 192, 20, 166, 2, // Opcode: t2STRi12
+/* 3916 */    MCD_OPC_FilterValue, 5, 23, 12, // Skip to: 7015
+/* 3920 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 3923 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 4016
+/* 3927 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 3930 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 3949
+/* 3934 */    MCD_OPC_CheckPredicate, 22, 91, 0, // Skip to: 4029
+/* 3938 */    MCD_OPC_CheckField, 6, 4, 0, 85, 0, // Skip to: 4029
+/* 3944 */    MCD_OPC_Decode, 241, 18, 159, 2, // Opcode: t2LDRs
+/* 3949 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 3968
+/* 3953 */    MCD_OPC_CheckPredicate, 22, 72, 0, // Skip to: 4029
+/* 3957 */    MCD_OPC_CheckField, 8, 1, 1, 66, 0, // Skip to: 4029
+/* 3963 */    MCD_OPC_Decode, 234, 18, 155, 2, // Opcode: t2LDR_POST
+/* 3968 */    MCD_OPC_FilterValue, 3, 57, 0, // Skip to: 4029
+/* 3972 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 3975 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4003
+/* 3979 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 3994
+/* 3983 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 3994
+/* 3989 */    MCD_OPC_Decode, 233, 18, 161, 2, // Opcode: t2LDRT
+/* 3994 */    MCD_OPC_CheckPredicate, 22, 31, 0, // Skip to: 4029
+/* 3998 */    MCD_OPC_Decode, 237, 18, 160, 2, // Opcode: t2LDRi8
+/* 4003 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 4029
+/* 4007 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 4029
+/* 4011 */    MCD_OPC_Decode, 235, 18, 155, 2, // Opcode: t2LDR_PRE
+/* 4016 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 4029
+/* 4020 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4029
+/* 4024 */    MCD_OPC_Decode, 236, 18, 162, 2, // Opcode: t2LDRi12
+/* 4029 */    MCD_OPC_CheckPredicate, 22, 166, 11, // Skip to: 7015
+/* 4033 */    MCD_OPC_CheckField, 16, 4, 15, 160, 11, // Skip to: 7015
+/* 4039 */    MCD_OPC_Decode, 238, 18, 163, 2, // Opcode: t2LDRpci
+/* 4044 */    MCD_OPC_FilterValue, 1, 70, 1, // Skip to: 4374
+/* 4048 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
+/* 4051 */    MCD_OPC_FilterValue, 1, 191, 0, // Skip to: 4246
+/* 4055 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 4058 */    MCD_OPC_FilterValue, 0, 125, 0, // Skip to: 4187
+/* 4062 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 4065 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4100
+/* 4069 */    MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
+/* 4072 */    MCD_OPC_FilterValue, 0, 139, 0, // Skip to: 4215
+/* 4076 */    MCD_OPC_CheckPredicate, 30, 11, 0, // Skip to: 4091
+/* 4080 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 4091
+/* 4086 */    MCD_OPC_Decode, 180, 19, 159, 2, // Opcode: t2PLIs
+/* 4091 */    MCD_OPC_CheckPredicate, 22, 120, 0, // Skip to: 4215
+/* 4095 */    MCD_OPC_Decode, 224, 18, 159, 2, // Opcode: t2LDRSBs
+/* 4100 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 4119
+/* 4104 */    MCD_OPC_CheckPredicate, 22, 107, 0, // Skip to: 4215
+/* 4108 */    MCD_OPC_CheckField, 8, 1, 1, 101, 0, // Skip to: 4215
+/* 4114 */    MCD_OPC_Decode, 218, 18, 155, 2, // Opcode: t2LDRSB_POST
+/* 4119 */    MCD_OPC_FilterValue, 3, 92, 0, // Skip to: 4215
+/* 4123 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 4126 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 4174
+/* 4130 */    MCD_OPC_ExtractField, 9, 1,  // Inst{9} ...
+/* 4133 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4152
+/* 4137 */    MCD_OPC_CheckPredicate, 30, 24, 0, // Skip to: 4165
+/* 4141 */    MCD_OPC_CheckField, 12, 4, 15, 18, 0, // Skip to: 4165
+/* 4147 */    MCD_OPC_Decode, 178, 19, 160, 2, // Opcode: t2PLIi8
+/* 4152 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 4165
+/* 4156 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4165
+/* 4160 */    MCD_OPC_Decode, 217, 18, 161, 2, // Opcode: t2LDRSBT
+/* 4165 */    MCD_OPC_CheckPredicate, 22, 46, 0, // Skip to: 4215
+/* 4169 */    MCD_OPC_Decode, 221, 18, 160, 2, // Opcode: t2LDRSBi8
+/* 4174 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 4215
+/* 4178 */    MCD_OPC_CheckPredicate, 22, 33, 0, // Skip to: 4215
+/* 4182 */    MCD_OPC_Decode, 219, 18, 155, 2, // Opcode: t2LDRSB_PRE
+/* 4187 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 4215
+/* 4191 */    MCD_OPC_CheckPredicate, 30, 11, 0, // Skip to: 4206
+/* 4195 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 4206
+/* 4201 */    MCD_OPC_Decode, 177, 19, 162, 2, // Opcode: t2PLIi12
+/* 4206 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4215
+/* 4210 */    MCD_OPC_Decode, 220, 18, 162, 2, // Opcode: t2LDRSBi12
+/* 4215 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 4218 */    MCD_OPC_FilterValue, 15, 233, 10, // Skip to: 7015
+/* 4222 */    MCD_OPC_CheckPredicate, 30, 11, 0, // Skip to: 4237
+/* 4226 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 4237
+/* 4232 */    MCD_OPC_Decode, 179, 19, 163, 2, // Opcode: t2PLIpci
+/* 4237 */    MCD_OPC_CheckPredicate, 22, 214, 10, // Skip to: 7015
+/* 4241 */    MCD_OPC_Decode, 222, 18, 163, 2, // Opcode: t2LDRSBpci
+/* 4246 */    MCD_OPC_FilterValue, 3, 205, 10, // Skip to: 7015
+/* 4250 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 4253 */    MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 4346
+/* 4257 */    MCD_OPC_ExtractField, 10, 2,  // Inst{11-10} ...
+/* 4260 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4279
+/* 4264 */    MCD_OPC_CheckPredicate, 22, 91, 0, // Skip to: 4359
+/* 4268 */    MCD_OPC_CheckField, 6, 4, 0, 85, 0, // Skip to: 4359
+/* 4274 */    MCD_OPC_Decode, 232, 18, 159, 2, // Opcode: t2LDRSHs
+/* 4279 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 4298
+/* 4283 */    MCD_OPC_CheckPredicate, 22, 72, 0, // Skip to: 4359
+/* 4287 */    MCD_OPC_CheckField, 8, 1, 1, 66, 0, // Skip to: 4359
+/* 4293 */    MCD_OPC_Decode, 226, 18, 155, 2, // Opcode: t2LDRSH_POST
+/* 4298 */    MCD_OPC_FilterValue, 3, 57, 0, // Skip to: 4359
+/* 4302 */    MCD_OPC_ExtractField, 8, 1,  // Inst{8} ...
+/* 4305 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 4333
+/* 4309 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4324
+/* 4313 */    MCD_OPC_CheckField, 9, 1, 1, 5, 0, // Skip to: 4324
+/* 4319 */    MCD_OPC_Decode, 225, 18, 161, 2, // Opcode: t2LDRSHT
+/* 4324 */    MCD_OPC_CheckPredicate, 22, 31, 0, // Skip to: 4359
+/* 4328 */    MCD_OPC_Decode, 229, 18, 160, 2, // Opcode: t2LDRSHi8
+/* 4333 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 4359
+/* 4337 */    MCD_OPC_CheckPredicate, 22, 18, 0, // Skip to: 4359
+/* 4341 */    MCD_OPC_Decode, 227, 18, 155, 2, // Opcode: t2LDRSH_PRE
+/* 4346 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 4359
+/* 4350 */    MCD_OPC_CheckPredicate, 22, 5, 0, // Skip to: 4359
+/* 4354 */    MCD_OPC_Decode, 228, 18, 162, 2, // Opcode: t2LDRSHi12
+/* 4359 */    MCD_OPC_CheckPredicate, 22, 92, 10, // Skip to: 7015
+/* 4363 */    MCD_OPC_CheckField, 16, 4, 15, 86, 10, // Skip to: 7015
+/* 4369 */    MCD_OPC_Decode, 230, 18, 163, 2, // Opcode: t2LDRSHpci
+/* 4374 */    MCD_OPC_FilterValue, 2, 47, 6, // Skip to: 5961
+/* 4378 */    MCD_OPC_ExtractField, 21, 3,  // Inst{23-21} ...
+/* 4381 */    MCD_OPC_FilterValue, 0, 105, 0, // Skip to: 4490
+/* 4385 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4388 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 4413
+/* 4392 */    MCD_OPC_CheckPredicate, 22, 59, 10, // Skip to: 7015
+/* 4396 */    MCD_OPC_CheckField, 12, 4, 15, 53, 10, // Skip to: 7015
+/* 4402 */    MCD_OPC_CheckField, 4, 3, 0, 47, 10, // Skip to: 7015
+/* 4408 */    MCD_OPC_Decode, 245, 18, 249, 1, // Opcode: t2LSLrr
+/* 4413 */    MCD_OPC_FilterValue, 1, 38, 10, // Skip to: 7015
+/* 4417 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4420 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4455
+/* 4424 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 4427 */    MCD_OPC_FilterValue, 15, 24, 10, // Skip to: 7015
+/* 4431 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4446
+/* 4435 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4446
+/* 4441 */    MCD_OPC_Decode, 208, 20, 167, 2, // Opcode: t2SXTH
+/* 4446 */    MCD_OPC_CheckPredicate, 27, 5, 10, // Skip to: 7015
+/* 4450 */    MCD_OPC_Decode, 205, 20, 168, 2, // Opcode: t2SXTAH
+/* 4455 */    MCD_OPC_FilterValue, 1, 252, 9, // Skip to: 7015
+/* 4459 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 4462 */    MCD_OPC_FilterValue, 15, 245, 9, // Skip to: 7015
+/* 4466 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4481
+/* 4470 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4481
+/* 4476 */    MCD_OPC_Decode, 252, 20, 167, 2, // Opcode: t2UXTH
+/* 4481 */    MCD_OPC_CheckPredicate, 27, 226, 9, // Skip to: 7015
+/* 4485 */    MCD_OPC_Decode, 249, 20, 168, 2, // Opcode: t2UXTAH
+/* 4490 */    MCD_OPC_FilterValue, 1, 105, 0, // Skip to: 4599
+/* 4494 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4497 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 4522
+/* 4501 */    MCD_OPC_CheckPredicate, 22, 206, 9, // Skip to: 7015
+/* 4505 */    MCD_OPC_CheckField, 12, 4, 15, 200, 9, // Skip to: 7015
+/* 4511 */    MCD_OPC_CheckField, 4, 3, 0, 194, 9, // Skip to: 7015
+/* 4517 */    MCD_OPC_Decode, 247, 18, 249, 1, // Opcode: t2LSRrr
+/* 4522 */    MCD_OPC_FilterValue, 1, 185, 9, // Skip to: 7015
+/* 4526 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4529 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4564
+/* 4533 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 4536 */    MCD_OPC_FilterValue, 15, 171, 9, // Skip to: 7015
+/* 4540 */    MCD_OPC_CheckPredicate, 37, 11, 0, // Skip to: 4555
+/* 4544 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4555
+/* 4550 */    MCD_OPC_Decode, 207, 20, 167, 2, // Opcode: t2SXTB16
+/* 4555 */    MCD_OPC_CheckPredicate, 22, 152, 9, // Skip to: 7015
+/* 4559 */    MCD_OPC_Decode, 204, 20, 168, 2, // Opcode: t2SXTAB16
+/* 4564 */    MCD_OPC_FilterValue, 1, 143, 9, // Skip to: 7015
+/* 4568 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 4571 */    MCD_OPC_FilterValue, 15, 136, 9, // Skip to: 7015
+/* 4575 */    MCD_OPC_CheckPredicate, 27, 11, 0, // Skip to: 4590
+/* 4579 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4590
+/* 4585 */    MCD_OPC_Decode, 251, 20, 167, 2, // Opcode: t2UXTB16
+/* 4590 */    MCD_OPC_CheckPredicate, 22, 117, 9, // Skip to: 7015
+/* 4594 */    MCD_OPC_Decode, 248, 20, 168, 2, // Opcode: t2UXTAB16
+/* 4599 */    MCD_OPC_FilterValue, 2, 105, 0, // Skip to: 4708
+/* 4603 */    MCD_OPC_ExtractField, 7, 1,  // Inst{7} ...
+/* 4606 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 4631
+/* 4610 */    MCD_OPC_CheckPredicate, 22, 97, 9, // Skip to: 7015
+/* 4614 */    MCD_OPC_CheckField, 12, 4, 15, 91, 9, // Skip to: 7015
+/* 4620 */    MCD_OPC_CheckField, 4, 3, 0, 85, 9, // Skip to: 7015
+/* 4626 */    MCD_OPC_Decode, 251, 17, 249, 1, // Opcode: t2ASRrr
+/* 4631 */    MCD_OPC_FilterValue, 1, 76, 9, // Skip to: 7015
+/* 4635 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4638 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 4673
+/* 4642 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 4645 */    MCD_OPC_FilterValue, 15, 62, 9, // Skip to: 7015
+/* 4649 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4664
+/* 4653 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4664
+/* 4659 */    MCD_OPC_Decode, 206, 20, 167, 2, // Opcode: t2SXTB
+/* 4664 */    MCD_OPC_CheckPredicate, 27, 43, 9, // Skip to: 7015
+/* 4668 */    MCD_OPC_Decode, 203, 20, 168, 2, // Opcode: t2SXTAB
+/* 4673 */    MCD_OPC_FilterValue, 1, 34, 9, // Skip to: 7015
+/* 4677 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 4680 */    MCD_OPC_FilterValue, 15, 27, 9, // Skip to: 7015
+/* 4684 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 4699
+/* 4688 */    MCD_OPC_CheckField, 16, 4, 15, 5, 0, // Skip to: 4699
+/* 4694 */    MCD_OPC_Decode, 250, 20, 167, 2, // Opcode: t2UXTB
+/* 4699 */    MCD_OPC_CheckPredicate, 27, 8, 9, // Skip to: 7015
+/* 4703 */    MCD_OPC_Decode, 247, 20, 168, 2, // Opcode: t2UXTAB
+/* 4708 */    MCD_OPC_FilterValue, 3, 21, 0, // Skip to: 4733
+/* 4712 */    MCD_OPC_CheckPredicate, 22, 251, 8, // Skip to: 7015
+/* 4716 */    MCD_OPC_CheckField, 12, 4, 15, 245, 8, // Skip to: 7015
+/* 4722 */    MCD_OPC_CheckField, 4, 4, 0, 239, 8, // Skip to: 7015
+/* 4728 */    MCD_OPC_Decode, 200, 19, 249, 1, // Opcode: t2RORrr
+/* 4733 */    MCD_OPC_FilterValue, 4, 197, 1, // Skip to: 5190
+/* 4737 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 4740 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 4785
+/* 4744 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4747 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4766
+/* 4751 */    MCD_OPC_CheckPredicate, 29, 212, 8, // Skip to: 7015
+/* 4755 */    MCD_OPC_CheckField, 12, 4, 15, 206, 8, // Skip to: 7015
+/* 4761 */    MCD_OPC_Decode, 208, 19, 169, 2, // Opcode: t2SADD8
+/* 4766 */    MCD_OPC_FilterValue, 1, 197, 8, // Skip to: 7015
+/* 4770 */    MCD_OPC_CheckPredicate, 29, 193, 8, // Skip to: 7015
+/* 4774 */    MCD_OPC_CheckField, 12, 4, 15, 187, 8, // Skip to: 7015
+/* 4780 */    MCD_OPC_Decode, 207, 19, 169, 2, // Opcode: t2SADD16
+/* 4785 */    MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 4830
+/* 4789 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4792 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4811
+/* 4796 */    MCD_OPC_CheckPredicate, 29, 167, 8, // Skip to: 7015
+/* 4800 */    MCD_OPC_CheckField, 12, 4, 15, 161, 8, // Skip to: 7015
+/* 4806 */    MCD_OPC_Decode, 183, 19, 169, 2, // Opcode: t2QADD8
+/* 4811 */    MCD_OPC_FilterValue, 1, 152, 8, // Skip to: 7015
+/* 4815 */    MCD_OPC_CheckPredicate, 29, 148, 8, // Skip to: 7015
+/* 4819 */    MCD_OPC_CheckField, 12, 4, 15, 142, 8, // Skip to: 7015
+/* 4825 */    MCD_OPC_Decode, 182, 19, 169, 2, // Opcode: t2QADD16
+/* 4830 */    MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 4875
+/* 4834 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4837 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4856
+/* 4841 */    MCD_OPC_CheckPredicate, 29, 122, 8, // Skip to: 7015
+/* 4845 */    MCD_OPC_CheckField, 12, 4, 15, 116, 8, // Skip to: 7015
+/* 4851 */    MCD_OPC_Decode, 217, 19, 169, 2, // Opcode: t2SHADD8
+/* 4856 */    MCD_OPC_FilterValue, 1, 107, 8, // Skip to: 7015
+/* 4860 */    MCD_OPC_CheckPredicate, 29, 103, 8, // Skip to: 7015
+/* 4864 */    MCD_OPC_CheckField, 12, 4, 15, 97, 8, // Skip to: 7015
+/* 4870 */    MCD_OPC_Decode, 216, 19, 169, 2, // Opcode: t2SHADD16
+/* 4875 */    MCD_OPC_FilterValue, 4, 41, 0, // Skip to: 4920
+/* 4879 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4882 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4901
+/* 4886 */    MCD_OPC_CheckPredicate, 29, 77, 8, // Skip to: 7015
+/* 4890 */    MCD_OPC_CheckField, 12, 4, 15, 71, 8, // Skip to: 7015
+/* 4896 */    MCD_OPC_Decode, 220, 20, 169, 2, // Opcode: t2UADD8
+/* 4901 */    MCD_OPC_FilterValue, 1, 62, 8, // Skip to: 7015
+/* 4905 */    MCD_OPC_CheckPredicate, 29, 58, 8, // Skip to: 7015
+/* 4909 */    MCD_OPC_CheckField, 12, 4, 15, 52, 8, // Skip to: 7015
+/* 4915 */    MCD_OPC_Decode, 219, 20, 169, 2, // Opcode: t2UADD16
+/* 4920 */    MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 4965
+/* 4924 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4927 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4946
+/* 4931 */    MCD_OPC_CheckPredicate, 29, 32, 8, // Skip to: 7015
+/* 4935 */    MCD_OPC_CheckField, 12, 4, 15, 26, 8, // Skip to: 7015
+/* 4941 */    MCD_OPC_Decode, 235, 20, 169, 2, // Opcode: t2UQADD8
+/* 4946 */    MCD_OPC_FilterValue, 1, 17, 8, // Skip to: 7015
+/* 4950 */    MCD_OPC_CheckPredicate, 29, 13, 8, // Skip to: 7015
+/* 4954 */    MCD_OPC_CheckField, 12, 4, 15, 7, 8, // Skip to: 7015
+/* 4960 */    MCD_OPC_Decode, 234, 20, 169, 2, // Opcode: t2UQADD16
+/* 4965 */    MCD_OPC_FilterValue, 6, 41, 0, // Skip to: 5010
+/* 4969 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4972 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 4991
+/* 4976 */    MCD_OPC_CheckPredicate, 29, 243, 7, // Skip to: 7015
+/* 4980 */    MCD_OPC_CheckField, 12, 4, 15, 237, 7, // Skip to: 7015
+/* 4986 */    MCD_OPC_Decode, 226, 20, 169, 2, // Opcode: t2UHADD8
+/* 4991 */    MCD_OPC_FilterValue, 1, 228, 7, // Skip to: 7015
+/* 4995 */    MCD_OPC_CheckPredicate, 29, 224, 7, // Skip to: 7015
+/* 4999 */    MCD_OPC_CheckField, 12, 4, 15, 218, 7, // Skip to: 7015
+/* 5005 */    MCD_OPC_Decode, 225, 20, 169, 2, // Opcode: t2UHADD16
+/* 5010 */    MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 5055
+/* 5014 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5017 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5036
+/* 5021 */    MCD_OPC_CheckPredicate, 29, 198, 7, // Skip to: 7015
+/* 5025 */    MCD_OPC_CheckField, 12, 4, 15, 192, 7, // Skip to: 7015
+/* 5031 */    MCD_OPC_Decode, 181, 19, 170, 2, // Opcode: t2QADD
+/* 5036 */    MCD_OPC_FilterValue, 1, 183, 7, // Skip to: 7015
+/* 5040 */    MCD_OPC_CheckPredicate, 22, 179, 7, // Skip to: 7015
+/* 5044 */    MCD_OPC_CheckField, 12, 4, 15, 173, 7, // Skip to: 7015
+/* 5050 */    MCD_OPC_Decode, 192, 19, 171, 2, // Opcode: t2REV
+/* 5055 */    MCD_OPC_FilterValue, 9, 41, 0, // Skip to: 5100
+/* 5059 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5062 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5081
+/* 5066 */    MCD_OPC_CheckPredicate, 29, 153, 7, // Skip to: 7015
+/* 5070 */    MCD_OPC_CheckField, 12, 4, 15, 147, 7, // Skip to: 7015
+/* 5076 */    MCD_OPC_Decode, 185, 19, 170, 2, // Opcode: t2QDADD
+/* 5081 */    MCD_OPC_FilterValue, 1, 138, 7, // Skip to: 7015
+/* 5085 */    MCD_OPC_CheckPredicate, 22, 134, 7, // Skip to: 7015
+/* 5089 */    MCD_OPC_CheckField, 12, 4, 15, 128, 7, // Skip to: 7015
+/* 5095 */    MCD_OPC_Decode, 193, 19, 171, 2, // Opcode: t2REV16
+/* 5100 */    MCD_OPC_FilterValue, 10, 41, 0, // Skip to: 5145
+/* 5104 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5107 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5126
+/* 5111 */    MCD_OPC_CheckPredicate, 29, 108, 7, // Skip to: 7015
+/* 5115 */    MCD_OPC_CheckField, 12, 4, 15, 102, 7, // Skip to: 7015
+/* 5121 */    MCD_OPC_Decode, 188, 19, 170, 2, // Opcode: t2QSUB
+/* 5126 */    MCD_OPC_FilterValue, 1, 93, 7, // Skip to: 7015
+/* 5130 */    MCD_OPC_CheckPredicate, 22, 89, 7, // Skip to: 7015
+/* 5134 */    MCD_OPC_CheckField, 12, 4, 15, 83, 7, // Skip to: 7015
+/* 5140 */    MCD_OPC_Decode, 191, 19, 171, 2, // Opcode: t2RBIT
+/* 5145 */    MCD_OPC_FilterValue, 11, 74, 7, // Skip to: 7015
+/* 5149 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5152 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5171
+/* 5156 */    MCD_OPC_CheckPredicate, 29, 63, 7, // Skip to: 7015
+/* 5160 */    MCD_OPC_CheckField, 12, 4, 15, 57, 7, // Skip to: 7015
+/* 5166 */    MCD_OPC_Decode, 186, 19, 170, 2, // Opcode: t2QDSUB
+/* 5171 */    MCD_OPC_FilterValue, 1, 48, 7, // Skip to: 7015
+/* 5175 */    MCD_OPC_CheckPredicate, 22, 44, 7, // Skip to: 7015
+/* 5179 */    MCD_OPC_CheckField, 12, 4, 15, 38, 7, // Skip to: 7015
+/* 5185 */    MCD_OPC_Decode, 194, 19, 171, 2, // Opcode: t2REVSH
+/* 5190 */    MCD_OPC_FilterValue, 5, 198, 0, // Skip to: 5392
+/* 5194 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 5197 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 5222
+/* 5201 */    MCD_OPC_CheckPredicate, 29, 18, 7, // Skip to: 7015
+/* 5205 */    MCD_OPC_CheckField, 20, 1, 0, 12, 7, // Skip to: 7015
+/* 5211 */    MCD_OPC_CheckField, 12, 4, 15, 6, 7, // Skip to: 7015
+/* 5217 */    MCD_OPC_Decode, 209, 19, 169, 2, // Opcode: t2SASX
+/* 5222 */    MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 5247
+/* 5226 */    MCD_OPC_CheckPredicate, 29, 249, 6, // Skip to: 7015
+/* 5230 */    MCD_OPC_CheckField, 20, 1, 0, 243, 6, // Skip to: 7015
+/* 5236 */    MCD_OPC_CheckField, 12, 4, 15, 237, 6, // Skip to: 7015
+/* 5242 */    MCD_OPC_Decode, 184, 19, 169, 2, // Opcode: t2QASX
+/* 5247 */    MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 5272
+/* 5251 */    MCD_OPC_CheckPredicate, 29, 224, 6, // Skip to: 7015
+/* 5255 */    MCD_OPC_CheckField, 20, 1, 0, 218, 6, // Skip to: 7015
+/* 5261 */    MCD_OPC_CheckField, 12, 4, 15, 212, 6, // Skip to: 7015
+/* 5267 */    MCD_OPC_Decode, 218, 19, 169, 2, // Opcode: t2SHASX
+/* 5272 */    MCD_OPC_FilterValue, 4, 21, 0, // Skip to: 5297
+/* 5276 */    MCD_OPC_CheckPredicate, 29, 199, 6, // Skip to: 7015
+/* 5280 */    MCD_OPC_CheckField, 20, 1, 0, 193, 6, // Skip to: 7015
+/* 5286 */    MCD_OPC_CheckField, 12, 4, 15, 187, 6, // Skip to: 7015
+/* 5292 */    MCD_OPC_Decode, 221, 20, 169, 2, // Opcode: t2UASX
+/* 5297 */    MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 5322
+/* 5301 */    MCD_OPC_CheckPredicate, 29, 174, 6, // Skip to: 7015
+/* 5305 */    MCD_OPC_CheckField, 20, 1, 0, 168, 6, // Skip to: 7015
+/* 5311 */    MCD_OPC_CheckField, 12, 4, 15, 162, 6, // Skip to: 7015
+/* 5317 */    MCD_OPC_Decode, 236, 20, 169, 2, // Opcode: t2UQASX
+/* 5322 */    MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 5347
+/* 5326 */    MCD_OPC_CheckPredicate, 29, 149, 6, // Skip to: 7015
+/* 5330 */    MCD_OPC_CheckField, 20, 1, 0, 143, 6, // Skip to: 7015
+/* 5336 */    MCD_OPC_CheckField, 12, 4, 15, 137, 6, // Skip to: 7015
+/* 5342 */    MCD_OPC_Decode, 227, 20, 169, 2, // Opcode: t2UHASX
+/* 5347 */    MCD_OPC_FilterValue, 8, 128, 6, // Skip to: 7015
+/* 5351 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5354 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5373
+/* 5358 */    MCD_OPC_CheckPredicate, 29, 117, 6, // Skip to: 7015
+/* 5362 */    MCD_OPC_CheckField, 12, 4, 15, 111, 6, // Skip to: 7015
+/* 5368 */    MCD_OPC_Decode, 215, 19, 172, 2, // Opcode: t2SEL
+/* 5373 */    MCD_OPC_FilterValue, 1, 102, 6, // Skip to: 7015
+/* 5377 */    MCD_OPC_CheckPredicate, 22, 98, 6, // Skip to: 7015
+/* 5381 */    MCD_OPC_CheckField, 12, 4, 15, 92, 6, // Skip to: 7015
+/* 5387 */    MCD_OPC_Decode, 136, 18, 171, 2, // Opcode: t2CLZ
+/* 5392 */    MCD_OPC_FilterValue, 6, 152, 1, // Skip to: 5804
+/* 5396 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 5399 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 5444
+/* 5403 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5406 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5425
+/* 5410 */    MCD_OPC_CheckPredicate, 29, 65, 6, // Skip to: 7015
+/* 5414 */    MCD_OPC_CheckField, 12, 4, 15, 59, 6, // Skip to: 7015
+/* 5420 */    MCD_OPC_Decode, 139, 20, 169, 2, // Opcode: t2SSUB8
+/* 5425 */    MCD_OPC_FilterValue, 1, 50, 6, // Skip to: 7015
+/* 5429 */    MCD_OPC_CheckPredicate, 29, 46, 6, // Skip to: 7015
+/* 5433 */    MCD_OPC_CheckField, 12, 4, 15, 40, 6, // Skip to: 7015
+/* 5439 */    MCD_OPC_Decode, 138, 20, 169, 2, // Opcode: t2SSUB16
+/* 5444 */    MCD_OPC_FilterValue, 1, 41, 0, // Skip to: 5489
+/* 5448 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5451 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5470
+/* 5455 */    MCD_OPC_CheckPredicate, 29, 20, 6, // Skip to: 7015
+/* 5459 */    MCD_OPC_CheckField, 12, 4, 15, 14, 6, // Skip to: 7015
+/* 5465 */    MCD_OPC_Decode, 190, 19, 169, 2, // Opcode: t2QSUB8
+/* 5470 */    MCD_OPC_FilterValue, 1, 5, 6, // Skip to: 7015
+/* 5474 */    MCD_OPC_CheckPredicate, 29, 1, 6, // Skip to: 7015
+/* 5478 */    MCD_OPC_CheckField, 12, 4, 15, 251, 5, // Skip to: 7015
+/* 5484 */    MCD_OPC_Decode, 189, 19, 169, 2, // Opcode: t2QSUB16
+/* 5489 */    MCD_OPC_FilterValue, 2, 41, 0, // Skip to: 5534
+/* 5493 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5496 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5515
+/* 5500 */    MCD_OPC_CheckPredicate, 29, 231, 5, // Skip to: 7015
+/* 5504 */    MCD_OPC_CheckField, 12, 4, 15, 225, 5, // Skip to: 7015
+/* 5510 */    MCD_OPC_Decode, 221, 19, 169, 2, // Opcode: t2SHSUB8
+/* 5515 */    MCD_OPC_FilterValue, 1, 216, 5, // Skip to: 7015
+/* 5519 */    MCD_OPC_CheckPredicate, 29, 212, 5, // Skip to: 7015
+/* 5523 */    MCD_OPC_CheckField, 12, 4, 15, 206, 5, // Skip to: 7015
+/* 5529 */    MCD_OPC_Decode, 220, 19, 169, 2, // Opcode: t2SHSUB16
+/* 5534 */    MCD_OPC_FilterValue, 4, 41, 0, // Skip to: 5579
+/* 5538 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5541 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5560
+/* 5545 */    MCD_OPC_CheckPredicate, 29, 186, 5, // Skip to: 7015
+/* 5549 */    MCD_OPC_CheckField, 12, 4, 15, 180, 5, // Skip to: 7015
+/* 5555 */    MCD_OPC_Decode, 246, 20, 169, 2, // Opcode: t2USUB8
+/* 5560 */    MCD_OPC_FilterValue, 1, 171, 5, // Skip to: 7015
+/* 5564 */    MCD_OPC_CheckPredicate, 29, 167, 5, // Skip to: 7015
+/* 5568 */    MCD_OPC_CheckField, 12, 4, 15, 161, 5, // Skip to: 7015
+/* 5574 */    MCD_OPC_Decode, 245, 20, 169, 2, // Opcode: t2USUB16
+/* 5579 */    MCD_OPC_FilterValue, 5, 41, 0, // Skip to: 5624
+/* 5583 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5586 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5605
+/* 5590 */    MCD_OPC_CheckPredicate, 29, 141, 5, // Skip to: 7015
+/* 5594 */    MCD_OPC_CheckField, 12, 4, 15, 135, 5, // Skip to: 7015
+/* 5600 */    MCD_OPC_Decode, 239, 20, 169, 2, // Opcode: t2UQSUB8
+/* 5605 */    MCD_OPC_FilterValue, 1, 126, 5, // Skip to: 7015
+/* 5609 */    MCD_OPC_CheckPredicate, 29, 122, 5, // Skip to: 7015
+/* 5613 */    MCD_OPC_CheckField, 12, 4, 15, 116, 5, // Skip to: 7015
+/* 5619 */    MCD_OPC_Decode, 238, 20, 169, 2, // Opcode: t2UQSUB16
+/* 5624 */    MCD_OPC_FilterValue, 6, 41, 0, // Skip to: 5669
+/* 5628 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5631 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5650
+/* 5635 */    MCD_OPC_CheckPredicate, 29, 96, 5, // Skip to: 7015
+/* 5639 */    MCD_OPC_CheckField, 12, 4, 15, 90, 5, // Skip to: 7015
+/* 5645 */    MCD_OPC_Decode, 230, 20, 169, 2, // Opcode: t2UHSUB8
+/* 5650 */    MCD_OPC_FilterValue, 1, 81, 5, // Skip to: 7015
+/* 5654 */    MCD_OPC_CheckPredicate, 29, 77, 5, // Skip to: 7015
+/* 5658 */    MCD_OPC_CheckField, 12, 4, 15, 71, 5, // Skip to: 7015
+/* 5664 */    MCD_OPC_Decode, 229, 20, 169, 2, // Opcode: t2UHSUB16
+/* 5669 */    MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 5714
+/* 5673 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5676 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5695
+/* 5680 */    MCD_OPC_CheckPredicate, 38, 51, 5, // Skip to: 7015
+/* 5684 */    MCD_OPC_CheckField, 12, 4, 15, 45, 5, // Skip to: 7015
+/* 5690 */    MCD_OPC_Decode, 146, 18, 169, 2, // Opcode: t2CRC32B
+/* 5695 */    MCD_OPC_FilterValue, 1, 36, 5, // Skip to: 7015
+/* 5699 */    MCD_OPC_CheckPredicate, 38, 32, 5, // Skip to: 7015
+/* 5703 */    MCD_OPC_CheckField, 12, 4, 15, 26, 5, // Skip to: 7015
+/* 5709 */    MCD_OPC_Decode, 147, 18, 169, 2, // Opcode: t2CRC32CB
+/* 5714 */    MCD_OPC_FilterValue, 9, 41, 0, // Skip to: 5759
+/* 5718 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5721 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5740
+/* 5725 */    MCD_OPC_CheckPredicate, 38, 6, 5, // Skip to: 7015
+/* 5729 */    MCD_OPC_CheckField, 12, 4, 15, 0, 5, // Skip to: 7015
+/* 5735 */    MCD_OPC_Decode, 150, 18, 169, 2, // Opcode: t2CRC32H
+/* 5740 */    MCD_OPC_FilterValue, 1, 247, 4, // Skip to: 7015
+/* 5744 */    MCD_OPC_CheckPredicate, 38, 243, 4, // Skip to: 7015
+/* 5748 */    MCD_OPC_CheckField, 12, 4, 15, 237, 4, // Skip to: 7015
+/* 5754 */    MCD_OPC_Decode, 148, 18, 169, 2, // Opcode: t2CRC32CH
+/* 5759 */    MCD_OPC_FilterValue, 10, 228, 4, // Skip to: 7015
+/* 5763 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5766 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 5785
+/* 5770 */    MCD_OPC_CheckPredicate, 38, 217, 4, // Skip to: 7015
+/* 5774 */    MCD_OPC_CheckField, 12, 4, 15, 211, 4, // Skip to: 7015
+/* 5780 */    MCD_OPC_Decode, 151, 18, 169, 2, // Opcode: t2CRC32W
+/* 5785 */    MCD_OPC_FilterValue, 1, 202, 4, // Skip to: 7015
+/* 5789 */    MCD_OPC_CheckPredicate, 38, 198, 4, // Skip to: 7015
+/* 5793 */    MCD_OPC_CheckField, 12, 4, 15, 192, 4, // Skip to: 7015
+/* 5799 */    MCD_OPC_Decode, 149, 18, 169, 2, // Opcode: t2CRC32CW
+/* 5804 */    MCD_OPC_FilterValue, 7, 183, 4, // Skip to: 7015
+/* 5808 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 5811 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 5836
+/* 5815 */    MCD_OPC_CheckPredicate, 29, 172, 4, // Skip to: 7015
+/* 5819 */    MCD_OPC_CheckField, 20, 1, 0, 166, 4, // Skip to: 7015
+/* 5825 */    MCD_OPC_CheckField, 12, 4, 15, 160, 4, // Skip to: 7015
+/* 5831 */    MCD_OPC_Decode, 137, 20, 169, 2, // Opcode: t2SSAX
+/* 5836 */    MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 5861
+/* 5840 */    MCD_OPC_CheckPredicate, 29, 147, 4, // Skip to: 7015
+/* 5844 */    MCD_OPC_CheckField, 20, 1, 0, 141, 4, // Skip to: 7015
+/* 5850 */    MCD_OPC_CheckField, 12, 4, 15, 135, 4, // Skip to: 7015
+/* 5856 */    MCD_OPC_Decode, 187, 19, 169, 2, // Opcode: t2QSAX
+/* 5861 */    MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 5886
+/* 5865 */    MCD_OPC_CheckPredicate, 29, 122, 4, // Skip to: 7015
+/* 5869 */    MCD_OPC_CheckField, 20, 1, 0, 116, 4, // Skip to: 7015
+/* 5875 */    MCD_OPC_CheckField, 12, 4, 15, 110, 4, // Skip to: 7015
+/* 5881 */    MCD_OPC_Decode, 219, 19, 169, 2, // Opcode: t2SHSAX
+/* 5886 */    MCD_OPC_FilterValue, 4, 21, 0, // Skip to: 5911
+/* 5890 */    MCD_OPC_CheckPredicate, 29, 97, 4, // Skip to: 7015
+/* 5894 */    MCD_OPC_CheckField, 20, 1, 0, 91, 4, // Skip to: 7015
+/* 5900 */    MCD_OPC_CheckField, 12, 4, 15, 85, 4, // Skip to: 7015
+/* 5906 */    MCD_OPC_Decode, 244, 20, 169, 2, // Opcode: t2USAX
+/* 5911 */    MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 5936
+/* 5915 */    MCD_OPC_CheckPredicate, 29, 72, 4, // Skip to: 7015
+/* 5919 */    MCD_OPC_CheckField, 20, 1, 0, 66, 4, // Skip to: 7015
+/* 5925 */    MCD_OPC_CheckField, 12, 4, 15, 60, 4, // Skip to: 7015
+/* 5931 */    MCD_OPC_Decode, 237, 20, 169, 2, // Opcode: t2UQSAX
+/* 5936 */    MCD_OPC_FilterValue, 6, 51, 4, // Skip to: 7015
+/* 5940 */    MCD_OPC_CheckPredicate, 29, 47, 4, // Skip to: 7015
+/* 5944 */    MCD_OPC_CheckField, 20, 1, 0, 41, 4, // Skip to: 7015
+/* 5950 */    MCD_OPC_CheckField, 12, 4, 15, 35, 4, // Skip to: 7015
+/* 5956 */    MCD_OPC_Decode, 228, 20, 169, 2, // Opcode: t2UHSAX
+/* 5961 */    MCD_OPC_FilterValue, 3, 230, 2, // Skip to: 6707
+/* 5965 */    MCD_OPC_ExtractField, 20, 4,  // Inst{23-20} ...
+/* 5968 */    MCD_OPC_FilterValue, 0, 44, 0, // Skip to: 6016
+/* 5972 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 5975 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6003
+/* 5979 */    MCD_OPC_CheckPredicate, 22, 11, 0, // Skip to: 5994
+/* 5983 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 5994
+/* 5989 */    MCD_OPC_Decode, 157, 19, 169, 2, // Opcode: t2MUL
+/* 5994 */    MCD_OPC_CheckPredicate, 22, 249, 3, // Skip to: 7015
+/* 5998 */    MCD_OPC_Decode, 252, 18, 173, 2, // Opcode: t2MLA
+/* 6003 */    MCD_OPC_FilterValue, 1, 240, 3, // Skip to: 7015
+/* 6007 */    MCD_OPC_CheckPredicate, 22, 236, 3, // Skip to: 7015
+/* 6011 */    MCD_OPC_Decode, 253, 18, 173, 2, // Opcode: t2MLS
+/* 6016 */    MCD_OPC_FilterValue, 1, 115, 0, // Skip to: 6135
+/* 6020 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6023 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6051
+/* 6027 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6042
+/* 6031 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6042
+/* 6037 */    MCD_OPC_Decode, 250, 19, 169, 2, // Opcode: t2SMULBB
+/* 6042 */    MCD_OPC_CheckPredicate, 29, 201, 3, // Skip to: 7015
+/* 6046 */    MCD_OPC_Decode, 223, 19, 173, 2, // Opcode: t2SMLABB
+/* 6051 */    MCD_OPC_FilterValue, 1, 24, 0, // Skip to: 6079
+/* 6055 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6070
+/* 6059 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6070
+/* 6065 */    MCD_OPC_Decode, 251, 19, 169, 2, // Opcode: t2SMULBT
+/* 6070 */    MCD_OPC_CheckPredicate, 29, 173, 3, // Skip to: 7015
+/* 6074 */    MCD_OPC_Decode, 224, 19, 173, 2, // Opcode: t2SMLABT
+/* 6079 */    MCD_OPC_FilterValue, 2, 24, 0, // Skip to: 6107
+/* 6083 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6098
+/* 6087 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6098
+/* 6093 */    MCD_OPC_Decode, 253, 19, 169, 2, // Opcode: t2SMULTB
+/* 6098 */    MCD_OPC_CheckPredicate, 29, 145, 3, // Skip to: 7015
+/* 6102 */    MCD_OPC_Decode, 234, 19, 173, 2, // Opcode: t2SMLATB
+/* 6107 */    MCD_OPC_FilterValue, 3, 136, 3, // Skip to: 7015
+/* 6111 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6126
+/* 6115 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6126
+/* 6121 */    MCD_OPC_Decode, 254, 19, 169, 2, // Opcode: t2SMULTT
+/* 6126 */    MCD_OPC_CheckPredicate, 29, 117, 3, // Skip to: 7015
+/* 6130 */    MCD_OPC_Decode, 235, 19, 173, 2, // Opcode: t2SMLATT
+/* 6135 */    MCD_OPC_FilterValue, 2, 59, 0, // Skip to: 6198
+/* 6139 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6142 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6170
+/* 6146 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6161
+/* 6150 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6161
+/* 6156 */    MCD_OPC_Decode, 248, 19, 169, 2, // Opcode: t2SMUAD
+/* 6161 */    MCD_OPC_CheckPredicate, 29, 82, 3, // Skip to: 7015
+/* 6165 */    MCD_OPC_Decode, 225, 19, 173, 2, // Opcode: t2SMLAD
+/* 6170 */    MCD_OPC_FilterValue, 1, 73, 3, // Skip to: 7015
+/* 6174 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6189
+/* 6178 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6189
+/* 6184 */    MCD_OPC_Decode, 249, 19, 169, 2, // Opcode: t2SMUADX
+/* 6189 */    MCD_OPC_CheckPredicate, 29, 54, 3, // Skip to: 7015
+/* 6193 */    MCD_OPC_Decode, 226, 19, 173, 2, // Opcode: t2SMLADX
+/* 6198 */    MCD_OPC_FilterValue, 3, 59, 0, // Skip to: 6261
+/* 6202 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6205 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6233
+/* 6209 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6224
+/* 6213 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6224
+/* 6219 */    MCD_OPC_Decode, 255, 19, 169, 2, // Opcode: t2SMULWB
+/* 6224 */    MCD_OPC_CheckPredicate, 29, 19, 3, // Skip to: 7015
+/* 6228 */    MCD_OPC_Decode, 236, 19, 173, 2, // Opcode: t2SMLAWB
+/* 6233 */    MCD_OPC_FilterValue, 1, 10, 3, // Skip to: 7015
+/* 6237 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6252
+/* 6241 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6252
+/* 6247 */    MCD_OPC_Decode, 128, 20, 169, 2, // Opcode: t2SMULWT
+/* 6252 */    MCD_OPC_CheckPredicate, 29, 247, 2, // Skip to: 7015
+/* 6256 */    MCD_OPC_Decode, 237, 19, 173, 2, // Opcode: t2SMLAWT
+/* 6261 */    MCD_OPC_FilterValue, 4, 59, 0, // Skip to: 6324
+/* 6265 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6268 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6296
+/* 6272 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6287
+/* 6276 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6287
+/* 6282 */    MCD_OPC_Decode, 129, 20, 169, 2, // Opcode: t2SMUSD
+/* 6287 */    MCD_OPC_CheckPredicate, 29, 212, 2, // Skip to: 7015
+/* 6291 */    MCD_OPC_Decode, 238, 19, 173, 2, // Opcode: t2SMLSD
+/* 6296 */    MCD_OPC_FilterValue, 1, 203, 2, // Skip to: 7015
+/* 6300 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6315
+/* 6304 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6315
+/* 6310 */    MCD_OPC_Decode, 130, 20, 169, 2, // Opcode: t2SMUSDX
+/* 6315 */    MCD_OPC_CheckPredicate, 29, 184, 2, // Skip to: 7015
+/* 6319 */    MCD_OPC_Decode, 239, 19, 173, 2, // Opcode: t2SMLSDX
+/* 6324 */    MCD_OPC_FilterValue, 5, 59, 0, // Skip to: 6387
+/* 6328 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6331 */    MCD_OPC_FilterValue, 0, 24, 0, // Skip to: 6359
+/* 6335 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6350
+/* 6339 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6350
+/* 6345 */    MCD_OPC_Decode, 246, 19, 169, 2, // Opcode: t2SMMUL
+/* 6350 */    MCD_OPC_CheckPredicate, 29, 149, 2, // Skip to: 7015
+/* 6354 */    MCD_OPC_Decode, 242, 19, 173, 2, // Opcode: t2SMMLA
+/* 6359 */    MCD_OPC_FilterValue, 1, 140, 2, // Skip to: 7015
+/* 6363 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6378
+/* 6367 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6378
+/* 6373 */    MCD_OPC_Decode, 247, 19, 169, 2, // Opcode: t2SMMULR
+/* 6378 */    MCD_OPC_CheckPredicate, 29, 121, 2, // Skip to: 7015
+/* 6382 */    MCD_OPC_Decode, 243, 19, 173, 2, // Opcode: t2SMMLAR
+/* 6387 */    MCD_OPC_FilterValue, 6, 29, 0, // Skip to: 6420
+/* 6391 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6394 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6407
+/* 6398 */    MCD_OPC_CheckPredicate, 29, 101, 2, // Skip to: 7015
+/* 6402 */    MCD_OPC_Decode, 244, 19, 173, 2, // Opcode: t2SMMLS
+/* 6407 */    MCD_OPC_FilterValue, 1, 92, 2, // Skip to: 7015
+/* 6411 */    MCD_OPC_CheckPredicate, 29, 88, 2, // Skip to: 7015
+/* 6415 */    MCD_OPC_Decode, 245, 19, 173, 2, // Opcode: t2SMMLSR
+/* 6420 */    MCD_OPC_FilterValue, 7, 31, 0, // Skip to: 6455
+/* 6424 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6427 */    MCD_OPC_FilterValue, 0, 72, 2, // Skip to: 7015
+/* 6431 */    MCD_OPC_CheckPredicate, 29, 11, 0, // Skip to: 6446
+/* 6435 */    MCD_OPC_CheckField, 12, 4, 15, 5, 0, // Skip to: 6446
+/* 6441 */    MCD_OPC_Decode, 240, 20, 169, 2, // Opcode: t2USAD8
+/* 6446 */    MCD_OPC_CheckPredicate, 29, 53, 2, // Skip to: 7015
+/* 6450 */    MCD_OPC_Decode, 241, 20, 173, 2, // Opcode: t2USADA8
+/* 6455 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 6474
+/* 6459 */    MCD_OPC_CheckPredicate, 22, 40, 2, // Skip to: 7015
+/* 6463 */    MCD_OPC_CheckField, 4, 4, 0, 34, 2, // Skip to: 7015
+/* 6469 */    MCD_OPC_Decode, 252, 19, 174, 2, // Opcode: t2SMULL
+/* 6474 */    MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 6499
+/* 6478 */    MCD_OPC_CheckPredicate, 39, 21, 2, // Skip to: 7015
+/* 6482 */    MCD_OPC_CheckField, 12, 4, 15, 15, 2, // Skip to: 7015
+/* 6488 */    MCD_OPC_CheckField, 4, 4, 15, 9, 2, // Skip to: 7015
+/* 6494 */    MCD_OPC_Decode, 214, 19, 169, 2, // Opcode: t2SDIV
+/* 6499 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 6518
+/* 6503 */    MCD_OPC_CheckPredicate, 22, 252, 1, // Skip to: 7015
+/* 6507 */    MCD_OPC_CheckField, 4, 4, 0, 246, 1, // Skip to: 7015
+/* 6513 */    MCD_OPC_Decode, 233, 20, 174, 2, // Opcode: t2UMULL
+/* 6518 */    MCD_OPC_FilterValue, 11, 21, 0, // Skip to: 6543
+/* 6522 */    MCD_OPC_CheckPredicate, 39, 233, 1, // Skip to: 7015
+/* 6526 */    MCD_OPC_CheckField, 12, 4, 15, 227, 1, // Skip to: 7015
+/* 6532 */    MCD_OPC_CheckField, 4, 4, 15, 221, 1, // Skip to: 7015
+/* 6538 */    MCD_OPC_Decode, 224, 20, 169, 2, // Opcode: t2UDIV
+/* 6543 */    MCD_OPC_FilterValue, 12, 94, 0, // Skip to: 6641
+/* 6547 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6550 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6563
+/* 6554 */    MCD_OPC_CheckPredicate, 22, 201, 1, // Skip to: 7015
+/* 6558 */    MCD_OPC_Decode, 227, 19, 175, 2, // Opcode: t2SMLAL
+/* 6563 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 6576
+/* 6567 */    MCD_OPC_CheckPredicate, 29, 188, 1, // Skip to: 7015
+/* 6571 */    MCD_OPC_Decode, 228, 19, 174, 2, // Opcode: t2SMLALBB
+/* 6576 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 6589
+/* 6580 */    MCD_OPC_CheckPredicate, 29, 175, 1, // Skip to: 7015
+/* 6584 */    MCD_OPC_Decode, 229, 19, 174, 2, // Opcode: t2SMLALBT
+/* 6589 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 6602
+/* 6593 */    MCD_OPC_CheckPredicate, 29, 162, 1, // Skip to: 7015
+/* 6597 */    MCD_OPC_Decode, 232, 19, 174, 2, // Opcode: t2SMLALTB
+/* 6602 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 6615
+/* 6606 */    MCD_OPC_CheckPredicate, 29, 149, 1, // Skip to: 7015
+/* 6610 */    MCD_OPC_Decode, 233, 19, 174, 2, // Opcode: t2SMLALTT
+/* 6615 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 6628
+/* 6619 */    MCD_OPC_CheckPredicate, 29, 136, 1, // Skip to: 7015
+/* 6623 */    MCD_OPC_Decode, 230, 19, 174, 2, // Opcode: t2SMLALD
+/* 6628 */    MCD_OPC_FilterValue, 13, 127, 1, // Skip to: 7015
+/* 6632 */    MCD_OPC_CheckPredicate, 29, 123, 1, // Skip to: 7015
+/* 6636 */    MCD_OPC_Decode, 231, 19, 174, 2, // Opcode: t2SMLALDX
+/* 6641 */    MCD_OPC_FilterValue, 13, 29, 0, // Skip to: 6674
+/* 6645 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6648 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 6661
+/* 6652 */    MCD_OPC_CheckPredicate, 29, 103, 1, // Skip to: 7015
+/* 6656 */    MCD_OPC_Decode, 240, 19, 174, 2, // Opcode: t2SMLSLD
+/* 6661 */    MCD_OPC_FilterValue, 13, 94, 1, // Skip to: 7015
+/* 6665 */    MCD_OPC_CheckPredicate, 29, 90, 1, // Skip to: 7015
+/* 6669 */    MCD_OPC_Decode, 241, 19, 176, 2, // Opcode: t2SMLSLDX
+/* 6674 */    MCD_OPC_FilterValue, 14, 81, 1, // Skip to: 7015
+/* 6678 */    MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
+/* 6681 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6694
+/* 6685 */    MCD_OPC_CheckPredicate, 22, 70, 1, // Skip to: 7015
+/* 6689 */    MCD_OPC_Decode, 232, 20, 175, 2, // Opcode: t2UMLAL
+/* 6694 */    MCD_OPC_FilterValue, 6, 61, 1, // Skip to: 7015
+/* 6698 */    MCD_OPC_CheckPredicate, 29, 57, 1, // Skip to: 7015
+/* 6702 */    MCD_OPC_Decode, 231, 20, 174, 2, // Opcode: t2UMAAL
+/* 6707 */    MCD_OPC_FilterValue, 4, 151, 0, // Skip to: 6862
+/* 6711 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
+/* 6714 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 6732
+/* 6718 */    MCD_OPC_CheckPredicate, 40, 37, 1, // Skip to: 7015
+/* 6722 */    MCD_OPC_CheckField, 23, 1, 1, 31, 1, // Skip to: 7015
+/* 6728 */    MCD_OPC_Decode, 145, 20, 84, // Opcode: t2STC2_OPTION
+/* 6732 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 6750
+/* 6736 */    MCD_OPC_CheckPredicate, 40, 19, 1, // Skip to: 7015
+/* 6740 */    MCD_OPC_CheckField, 23, 1, 1, 13, 1, // Skip to: 7015
+/* 6746 */    MCD_OPC_Decode, 178, 18, 84, // Opcode: t2LDC2_OPTION
+/* 6750 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6762
+/* 6754 */    MCD_OPC_CheckPredicate, 40, 1, 1, // Skip to: 7015
+/* 6758 */    MCD_OPC_Decode, 146, 20, 84, // Opcode: t2STC2_POST
+/* 6762 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6774
+/* 6766 */    MCD_OPC_CheckPredicate, 40, 245, 0, // Skip to: 7015
+/* 6770 */    MCD_OPC_Decode, 179, 18, 84, // Opcode: t2LDC2_POST
+/* 6774 */    MCD_OPC_FilterValue, 4, 28, 0, // Skip to: 6806
+/* 6778 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 6781 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6794
+/* 6785 */    MCD_OPC_CheckPredicate, 28, 226, 0, // Skip to: 7015
+/* 6789 */    MCD_OPC_Decode, 251, 18, 130, 2, // Opcode: t2MCRR2
+/* 6794 */    MCD_OPC_FilterValue, 1, 217, 0, // Skip to: 7015
+/* 6798 */    MCD_OPC_CheckPredicate, 40, 213, 0, // Skip to: 7015
+/* 6802 */    MCD_OPC_Decode, 141, 20, 84, // Opcode: t2STC2L_OPTION
+/* 6806 */    MCD_OPC_FilterValue, 5, 28, 0, // Skip to: 6838
+/* 6810 */    MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 6813 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 6826
+/* 6817 */    MCD_OPC_CheckPredicate, 28, 194, 0, // Skip to: 7015
+/* 6821 */    MCD_OPC_Decode, 151, 19, 130, 2, // Opcode: t2MRRC2
+/* 6826 */    MCD_OPC_FilterValue, 1, 185, 0, // Skip to: 7015
+/* 6830 */    MCD_OPC_CheckPredicate, 40, 181, 0, // Skip to: 7015
+/* 6834 */    MCD_OPC_Decode, 174, 18, 84, // Opcode: t2LDC2L_OPTION
+/* 6838 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6850
+/* 6842 */    MCD_OPC_CheckPredicate, 40, 169, 0, // Skip to: 7015
+/* 6846 */    MCD_OPC_Decode, 142, 20, 84, // Opcode: t2STC2L_POST
+/* 6850 */    MCD_OPC_FilterValue, 7, 161, 0, // Skip to: 7015
+/* 6854 */    MCD_OPC_CheckPredicate, 40, 157, 0, // Skip to: 7015
+/* 6858 */    MCD_OPC_Decode, 175, 18, 84, // Opcode: t2LDC2L_POST
+/* 6862 */    MCD_OPC_FilterValue, 5, 99, 0, // Skip to: 6965
+/* 6866 */    MCD_OPC_ExtractField, 20, 3,  // Inst{22-20} ...
+/* 6869 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6881
+/* 6873 */    MCD_OPC_CheckPredicate, 40, 138, 0, // Skip to: 7015
+/* 6877 */    MCD_OPC_Decode, 144, 20, 84, // Opcode: t2STC2_OFFSET
+/* 6881 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6893
+/* 6885 */    MCD_OPC_CheckPredicate, 40, 126, 0, // Skip to: 7015
+/* 6889 */    MCD_OPC_Decode, 177, 18, 84, // Opcode: t2LDC2_OFFSET
+/* 6893 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6905
+/* 6897 */    MCD_OPC_CheckPredicate, 40, 114, 0, // Skip to: 7015
+/* 6901 */    MCD_OPC_Decode, 147, 20, 84, // Opcode: t2STC2_PRE
+/* 6905 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6917
+/* 6909 */    MCD_OPC_CheckPredicate, 40, 102, 0, // Skip to: 7015
+/* 6913 */    MCD_OPC_Decode, 180, 18, 84, // Opcode: t2LDC2_PRE
+/* 6917 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6929
+/* 6921 */    MCD_OPC_CheckPredicate, 40, 90, 0, // Skip to: 7015
+/* 6925 */    MCD_OPC_Decode, 140, 20, 84, // Opcode: t2STC2L_OFFSET
+/* 6929 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6941
+/* 6933 */    MCD_OPC_CheckPredicate, 40, 78, 0, // Skip to: 7015
+/* 6937 */    MCD_OPC_Decode, 173, 18, 84, // Opcode: t2LDC2L_OFFSET
+/* 6941 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6953
+/* 6945 */    MCD_OPC_CheckPredicate, 40, 66, 0, // Skip to: 7015
+/* 6949 */    MCD_OPC_Decode, 143, 20, 84, // Opcode: t2STC2L_PRE
+/* 6953 */    MCD_OPC_FilterValue, 7, 58, 0, // Skip to: 7015
+/* 6957 */    MCD_OPC_CheckPredicate, 40, 54, 0, // Skip to: 7015
+/* 6961 */    MCD_OPC_Decode, 176, 18, 84, // Opcode: t2LDC2L_PRE
+/* 6965 */    MCD_OPC_FilterValue, 6, 46, 0, // Skip to: 7015
+/* 6969 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 6972 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6984
+/* 6976 */    MCD_OPC_CheckPredicate, 28, 35, 0, // Skip to: 7015
+/* 6980 */    MCD_OPC_Decode, 134, 18, 87, // Opcode: t2CDP2
+/* 6984 */    MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 7015
+/* 6988 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 6991 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7003
+/* 6995 */    MCD_OPC_CheckPredicate, 28, 16, 0, // Skip to: 7015
+/* 6999 */    MCD_OPC_Decode, 249, 18, 89, // Opcode: t2MCR2
+/* 7003 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7015
+/* 7007 */    MCD_OPC_CheckPredicate, 28, 4, 0, // Skip to: 7015
+/* 7011 */    MCD_OPC_Decode, 149, 19, 91, // Opcode: t2MRC2
+/* 7015 */    MCD_OPC_Fail,
   0
 };
 
@@ -8717,707 +8731,707 @@
 /* 0 */       MCD_OPC_ExtractField, 11, 5,  // Inst{15-11} ...
 /* 3 */       MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 16
 /* 7 */       MCD_OPC_CheckPredicate, 19, 49, 1, // Skip to: 316
-/* 11 */      MCD_OPC_Decode, 229, 21, 178, 2, // Opcode: tLSLri
+/* 11 */      MCD_OPC_Decode, 183, 21, 177, 2, // Opcode: tLSLri
 /* 16 */      MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 29
 /* 20 */      MCD_OPC_CheckPredicate, 19, 36, 1, // Skip to: 316
-/* 24 */      MCD_OPC_Decode, 231, 21, 178, 2, // Opcode: tLSRri
+/* 24 */      MCD_OPC_Decode, 185, 21, 177, 2, // Opcode: tLSRri
 /* 29 */      MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 42
 /* 33 */      MCD_OPC_CheckPredicate, 19, 23, 1, // Skip to: 316
-/* 37 */      MCD_OPC_Decode, 184, 21, 178, 2, // Opcode: tASRri
+/* 37 */      MCD_OPC_Decode, 138, 21, 177, 2, // Opcode: tASRri
 /* 42 */      MCD_OPC_FilterValue, 3, 55, 0, // Skip to: 101
 /* 46 */      MCD_OPC_ExtractField, 9, 2,  // Inst{10-9} ...
 /* 49 */      MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 62
 /* 53 */      MCD_OPC_CheckPredicate, 19, 3, 1, // Skip to: 316
-/* 57 */      MCD_OPC_Decode, 177, 21, 179, 2, // Opcode: tADDrr
+/* 57 */      MCD_OPC_Decode, 131, 21, 178, 2, // Opcode: tADDrr
 /* 62 */      MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 75
 /* 66 */      MCD_OPC_CheckPredicate, 19, 246, 0, // Skip to: 316
-/* 70 */      MCD_OPC_Decode, 133, 22, 179, 2, // Opcode: tSUBrr
+/* 70 */      MCD_OPC_Decode, 215, 21, 178, 2, // Opcode: tSUBrr
 /* 75 */      MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 88
 /* 79 */      MCD_OPC_CheckPredicate, 19, 233, 0, // Skip to: 316
-/* 83 */      MCD_OPC_Decode, 173, 21, 180, 2, // Opcode: tADDi3
+/* 83 */      MCD_OPC_Decode, 255, 20, 179, 2, // Opcode: tADDi3
 /* 88 */      MCD_OPC_FilterValue, 3, 224, 0, // Skip to: 316
 /* 92 */      MCD_OPC_CheckPredicate, 19, 220, 0, // Skip to: 316
-/* 96 */      MCD_OPC_Decode, 131, 22, 180, 2, // Opcode: tSUBi3
+/* 96 */      MCD_OPC_Decode, 213, 21, 179, 2, // Opcode: tSUBi3
 /* 101 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 114
 /* 105 */     MCD_OPC_CheckPredicate, 19, 207, 0, // Skip to: 316
-/* 109 */     MCD_OPC_Decode, 235, 21, 206, 1, // Opcode: tMOVi8
+/* 109 */     MCD_OPC_Decode, 189, 21, 205, 1, // Opcode: tMOVi8
 /* 114 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 127
 /* 118 */     MCD_OPC_CheckPredicate, 19, 194, 0, // Skip to: 316
-/* 122 */     MCD_OPC_Decode, 174, 21, 181, 2, // Opcode: tADDi8
+/* 122 */     MCD_OPC_Decode, 128, 21, 180, 2, // Opcode: tADDi8
 /* 127 */     MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 140
 /* 131 */     MCD_OPC_CheckPredicate, 19, 181, 0, // Skip to: 316
-/* 135 */     MCD_OPC_Decode, 132, 22, 181, 2, // Opcode: tSUBi8
+/* 135 */     MCD_OPC_Decode, 214, 21, 180, 2, // Opcode: tSUBi8
 /* 140 */     MCD_OPC_FilterValue, 8, 172, 0, // Skip to: 316
 /* 144 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 147 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 160
 /* 151 */     MCD_OPC_CheckPredicate, 19, 161, 0, // Skip to: 316
-/* 155 */     MCD_OPC_Decode, 183, 21, 182, 2, // Opcode: tAND
+/* 155 */     MCD_OPC_Decode, 137, 21, 181, 2, // Opcode: tAND
 /* 160 */     MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 173
 /* 164 */     MCD_OPC_CheckPredicate, 19, 148, 0, // Skip to: 316
-/* 168 */     MCD_OPC_Decode, 207, 21, 182, 2, // Opcode: tEOR
+/* 168 */     MCD_OPC_Decode, 161, 21, 181, 2, // Opcode: tEOR
 /* 173 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 186
 /* 177 */     MCD_OPC_CheckPredicate, 19, 135, 0, // Skip to: 316
-/* 181 */     MCD_OPC_Decode, 230, 21, 182, 2, // Opcode: tLSLrr
+/* 181 */     MCD_OPC_Decode, 184, 21, 181, 2, // Opcode: tLSLrr
 /* 186 */     MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 199
 /* 190 */     MCD_OPC_CheckPredicate, 19, 122, 0, // Skip to: 316
-/* 194 */     MCD_OPC_Decode, 232, 21, 182, 2, // Opcode: tLSRrr
+/* 194 */     MCD_OPC_Decode, 186, 21, 181, 2, // Opcode: tLSRrr
 /* 199 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 212
 /* 203 */     MCD_OPC_CheckPredicate, 19, 109, 0, // Skip to: 316
-/* 207 */     MCD_OPC_Decode, 185, 21, 182, 2, // Opcode: tASRrr
+/* 207 */     MCD_OPC_Decode, 139, 21, 181, 2, // Opcode: tASRrr
 /* 212 */     MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 225
 /* 216 */     MCD_OPC_CheckPredicate, 19, 96, 0, // Skip to: 316
-/* 220 */     MCD_OPC_Decode, 171, 21, 182, 2, // Opcode: tADC
+/* 220 */     MCD_OPC_Decode, 253, 20, 181, 2, // Opcode: tADC
 /* 225 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 238
 /* 229 */     MCD_OPC_CheckPredicate, 19, 83, 0, // Skip to: 316
-/* 233 */     MCD_OPC_Decode, 249, 21, 182, 2, // Opcode: tSBC
+/* 233 */     MCD_OPC_Decode, 203, 21, 181, 2, // Opcode: tSBC
 /* 238 */     MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 251
 /* 242 */     MCD_OPC_CheckPredicate, 19, 70, 0, // Skip to: 316
-/* 246 */     MCD_OPC_Decode, 247, 21, 182, 2, // Opcode: tROR
+/* 246 */     MCD_OPC_Decode, 201, 21, 181, 2, // Opcode: tROR
 /* 251 */     MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 264
 /* 255 */     MCD_OPC_CheckPredicate, 19, 57, 0, // Skip to: 316
-/* 259 */     MCD_OPC_Decode, 248, 21, 205, 1, // Opcode: tRSB
+/* 259 */     MCD_OPC_Decode, 202, 21, 204, 1, // Opcode: tRSB
 /* 264 */     MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 277
 /* 268 */     MCD_OPC_CheckPredicate, 19, 44, 0, // Skip to: 316
-/* 272 */     MCD_OPC_Decode, 239, 21, 182, 2, // Opcode: tORR
+/* 272 */     MCD_OPC_Decode, 193, 21, 181, 2, // Opcode: tORR
 /* 277 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 290
 /* 281 */     MCD_OPC_CheckPredicate, 19, 31, 0, // Skip to: 316
-/* 285 */     MCD_OPC_Decode, 237, 21, 183, 2, // Opcode: tMUL
+/* 285 */     MCD_OPC_Decode, 191, 21, 182, 2, // Opcode: tMUL
 /* 290 */     MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 303
 /* 294 */     MCD_OPC_CheckPredicate, 19, 18, 0, // Skip to: 316
-/* 298 */     MCD_OPC_Decode, 187, 21, 182, 2, // Opcode: tBIC
+/* 298 */     MCD_OPC_Decode, 141, 21, 181, 2, // Opcode: tBIC
 /* 303 */     MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 316
 /* 307 */     MCD_OPC_CheckPredicate, 19, 5, 0, // Skip to: 316
-/* 311 */     MCD_OPC_Decode, 238, 21, 205, 1, // Opcode: tMVN
+/* 311 */     MCD_OPC_Decode, 192, 21, 204, 1, // Opcode: tMVN
 /* 316 */     MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableVFP32[] = {
 /* 0 */       MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 84, 1, // Skip to: 347
+/* 3 */       MCD_OPC_FilterValue, 0, 83, 1, // Skip to: 346
 /* 7 */       MCD_OPC_ExtractField, 24, 4,  // Inst{27-24} ...
-/* 10 */      MCD_OPC_FilterValue, 12, 131, 0, // Skip to: 145
+/* 10 */      MCD_OPC_FilterValue, 12, 130, 0, // Skip to: 144
 /* 14 */      MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 17 */      MCD_OPC_FilterValue, 10, 47, 0, // Skip to: 68
 /* 21 */      MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 24 */      MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 55
-/* 28 */      MCD_OPC_CheckPredicate, 38, 220, 10, // Skip to: 2812
-/* 32 */      MCD_OPC_CheckField, 22, 1, 1, 214, 10, // Skip to: 2812
-/* 38 */      MCD_OPC_CheckField, 6, 2, 0, 208, 10, // Skip to: 2812
-/* 44 */      MCD_OPC_CheckField, 4, 1, 1, 202, 10, // Skip to: 2812
-/* 50 */      MCD_OPC_Decode, 130, 11, 184, 2, // Opcode: VMOVSRR
-/* 55 */      MCD_OPC_FilterValue, 1, 193, 10, // Skip to: 2812
-/* 59 */      MCD_OPC_CheckPredicate, 38, 189, 10, // Skip to: 2812
-/* 63 */      MCD_OPC_Decode, 177, 17, 185, 2, // Opcode: VSTMSIA
-/* 68 */      MCD_OPC_FilterValue, 11, 180, 10, // Skip to: 2812
+/* 28 */      MCD_OPC_CheckPredicate, 41, 211, 10, // Skip to: 2803
+/* 32 */      MCD_OPC_CheckField, 22, 1, 1, 205, 10, // Skip to: 2803
+/* 38 */      MCD_OPC_CheckField, 6, 2, 0, 199, 10, // Skip to: 2803
+/* 44 */      MCD_OPC_CheckField, 4, 1, 1, 193, 10, // Skip to: 2803
+/* 50 */      MCD_OPC_Decode, 210, 10, 183, 2, // Opcode: VMOVSRR
+/* 55 */      MCD_OPC_FilterValue, 1, 184, 10, // Skip to: 2803
+/* 59 */      MCD_OPC_CheckPredicate, 41, 180, 10, // Skip to: 2803
+/* 63 */      MCD_OPC_Decode, 129, 17, 184, 2, // Opcode: VSTMSIA
+/* 68 */      MCD_OPC_FilterValue, 11, 171, 10, // Skip to: 2803
 /* 72 */      MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
 /* 75 */      MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 106
-/* 79 */      MCD_OPC_CheckPredicate, 38, 169, 10, // Skip to: 2812
-/* 83 */      MCD_OPC_CheckField, 22, 1, 1, 163, 10, // Skip to: 2812
-/* 89 */      MCD_OPC_CheckField, 6, 2, 0, 157, 10, // Skip to: 2812
-/* 95 */      MCD_OPC_CheckField, 4, 1, 1, 151, 10, // Skip to: 2812
-/* 101 */     MCD_OPC_Decode, 242, 10, 186, 2, // Opcode: VMOVDRR
-/* 106 */     MCD_OPC_FilterValue, 1, 142, 10, // Skip to: 2812
+/* 79 */      MCD_OPC_CheckPredicate, 41, 160, 10, // Skip to: 2803
+/* 83 */      MCD_OPC_CheckField, 22, 1, 1, 154, 10, // Skip to: 2803
+/* 89 */      MCD_OPC_CheckField, 6, 2, 0, 148, 10, // Skip to: 2803
+/* 95 */      MCD_OPC_CheckField, 4, 1, 1, 142, 10, // Skip to: 2803
+/* 101 */     MCD_OPC_Decode, 193, 10, 185, 2, // Opcode: VMOVDRR
+/* 106 */     MCD_OPC_FilterValue, 1, 133, 10, // Skip to: 2803
 /* 110 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
 /* 113 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 126
-/* 117 */     MCD_OPC_CheckPredicate, 38, 131, 10, // Skip to: 2812
-/* 121 */     MCD_OPC_Decode, 173, 17, 187, 2, // Opcode: VSTMDIA
-/* 126 */     MCD_OPC_FilterValue, 1, 122, 10, // Skip to: 2812
-/* 130 */     MCD_OPC_CheckPredicate, 38, 118, 10, // Skip to: 2812
-/* 134 */     MCD_OPC_CheckField, 22, 1, 0, 112, 10, // Skip to: 2812
-/* 140 */     MCD_OPC_Decode, 158, 1, 188, 2, // Opcode: FSTMXIA
-/* 145 */     MCD_OPC_FilterValue, 13, 29, 0, // Skip to: 178
-/* 149 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 152 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 165
-/* 156 */     MCD_OPC_CheckPredicate, 38, 92, 10, // Skip to: 2812
-/* 160 */     MCD_OPC_Decode, 180, 17, 189, 2, // Opcode: VSTRS
-/* 165 */     MCD_OPC_FilterValue, 11, 83, 10, // Skip to: 2812
-/* 169 */     MCD_OPC_CheckPredicate, 38, 79, 10, // Skip to: 2812
-/* 173 */     MCD_OPC_Decode, 179, 17, 190, 2, // Opcode: VSTRD
-/* 178 */     MCD_OPC_FilterValue, 14, 70, 10, // Skip to: 2812
-/* 182 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 185 */     MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 310
-/* 189 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 192 */     MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 265
-/* 196 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 199 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 232
-/* 203 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 206 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 219
-/* 210 */     MCD_OPC_CheckPredicate, 38, 38, 10, // Skip to: 2812
-/* 214 */     MCD_OPC_Decode, 200, 10, 191, 2, // Opcode: VMLAS
-/* 219 */     MCD_OPC_FilterValue, 1, 29, 10, // Skip to: 2812
-/* 223 */     MCD_OPC_CheckPredicate, 38, 25, 10, // Skip to: 2812
-/* 227 */     MCD_OPC_Decode, 179, 6, 192, 2, // Opcode: VDIVS
-/* 232 */     MCD_OPC_FilterValue, 11, 16, 10, // Skip to: 2812
-/* 236 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 239 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 252
-/* 243 */     MCD_OPC_CheckPredicate, 39, 5, 10, // Skip to: 2812
-/* 247 */     MCD_OPC_Decode, 189, 10, 193, 2, // Opcode: VMLAD
-/* 252 */     MCD_OPC_FilterValue, 1, 252, 9, // Skip to: 2812
-/* 256 */     MCD_OPC_CheckPredicate, 39, 248, 9, // Skip to: 2812
-/* 260 */     MCD_OPC_Decode, 178, 6, 194, 2, // Opcode: VDIVD
-/* 265 */     MCD_OPC_FilterValue, 1, 239, 9, // Skip to: 2812
-/* 269 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 272 */     MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 291
-/* 276 */     MCD_OPC_CheckPredicate, 38, 228, 9, // Skip to: 2812
-/* 280 */     MCD_OPC_CheckField, 23, 1, 0, 222, 9, // Skip to: 2812
-/* 286 */     MCD_OPC_Decode, 226, 10, 191, 2, // Opcode: VMLSS
-/* 291 */     MCD_OPC_FilterValue, 11, 213, 9, // Skip to: 2812
-/* 295 */     MCD_OPC_CheckPredicate, 39, 209, 9, // Skip to: 2812
-/* 299 */     MCD_OPC_CheckField, 23, 1, 0, 203, 9, // Skip to: 2812
-/* 305 */     MCD_OPC_Decode, 215, 10, 193, 2, // Opcode: VMLSD
-/* 310 */     MCD_OPC_FilterValue, 1, 194, 9, // Skip to: 2812
-/* 314 */     MCD_OPC_CheckPredicate, 38, 190, 9, // Skip to: 2812
-/* 318 */     MCD_OPC_CheckField, 22, 2, 0, 184, 9, // Skip to: 2812
-/* 324 */     MCD_OPC_CheckField, 8, 4, 10, 178, 9, // Skip to: 2812
-/* 330 */     MCD_OPC_CheckField, 5, 2, 0, 172, 9, // Skip to: 2812
-/* 336 */     MCD_OPC_CheckField, 0, 4, 0, 166, 9, // Skip to: 2812
-/* 342 */     MCD_OPC_Decode, 129, 11, 195, 2, // Opcode: VMOVSR
-/* 347 */     MCD_OPC_FilterValue, 1, 112, 1, // Skip to: 719
-/* 351 */     MCD_OPC_ExtractField, 24, 4,  // Inst{27-24} ...
-/* 354 */     MCD_OPC_FilterValue, 12, 131, 0, // Skip to: 489
-/* 358 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 361 */     MCD_OPC_FilterValue, 10, 47, 0, // Skip to: 412
-/* 365 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 368 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 399
-/* 372 */     MCD_OPC_CheckPredicate, 38, 132, 9, // Skip to: 2812
-/* 376 */     MCD_OPC_CheckField, 22, 1, 1, 126, 9, // Skip to: 2812
-/* 382 */     MCD_OPC_CheckField, 6, 2, 0, 120, 9, // Skip to: 2812
-/* 388 */     MCD_OPC_CheckField, 4, 1, 1, 114, 9, // Skip to: 2812
-/* 394 */     MCD_OPC_Decode, 254, 10, 196, 2, // Opcode: VMOVRRS
-/* 399 */     MCD_OPC_FilterValue, 1, 105, 9, // Skip to: 2812
-/* 403 */     MCD_OPC_CheckPredicate, 38, 101, 9, // Skip to: 2812
-/* 407 */     MCD_OPC_Decode, 149, 10, 185, 2, // Opcode: VLDMSIA
-/* 412 */     MCD_OPC_FilterValue, 11, 92, 9, // Skip to: 2812
-/* 416 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 419 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 450
-/* 423 */     MCD_OPC_CheckPredicate, 38, 81, 9, // Skip to: 2812
-/* 427 */     MCD_OPC_CheckField, 22, 1, 1, 75, 9, // Skip to: 2812
-/* 433 */     MCD_OPC_CheckField, 6, 2, 0, 69, 9, // Skip to: 2812
-/* 439 */     MCD_OPC_CheckField, 4, 1, 1, 63, 9, // Skip to: 2812
-/* 445 */     MCD_OPC_Decode, 253, 10, 197, 2, // Opcode: VMOVRRD
-/* 450 */     MCD_OPC_FilterValue, 1, 54, 9, // Skip to: 2812
-/* 454 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 457 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 470
-/* 461 */     MCD_OPC_CheckPredicate, 38, 43, 9, // Skip to: 2812
-/* 465 */     MCD_OPC_Decode, 145, 10, 187, 2, // Opcode: VLDMDIA
-/* 470 */     MCD_OPC_FilterValue, 1, 34, 9, // Skip to: 2812
-/* 474 */     MCD_OPC_CheckPredicate, 38, 30, 9, // Skip to: 2812
-/* 478 */     MCD_OPC_CheckField, 22, 1, 0, 24, 9, // Skip to: 2812
-/* 484 */     MCD_OPC_Decode, 154, 1, 188, 2, // Opcode: FLDMXIA
-/* 489 */     MCD_OPC_FilterValue, 13, 29, 0, // Skip to: 522
-/* 493 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 496 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 509
-/* 500 */     MCD_OPC_CheckPredicate, 38, 4, 9, // Skip to: 2812
-/* 504 */     MCD_OPC_Decode, 152, 10, 189, 2, // Opcode: VLDRS
-/* 509 */     MCD_OPC_FilterValue, 11, 251, 8, // Skip to: 2812
-/* 513 */     MCD_OPC_CheckPredicate, 38, 247, 8, // Skip to: 2812
-/* 517 */     MCD_OPC_Decode, 151, 10, 190, 2, // Opcode: VLDRD
-/* 522 */     MCD_OPC_FilterValue, 14, 238, 8, // Skip to: 2812
-/* 526 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 529 */     MCD_OPC_FilterValue, 0, 149, 0, // Skip to: 682
-/* 533 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 536 */     MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 609
-/* 540 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 543 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 576
-/* 547 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 550 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 563
-/* 554 */     MCD_OPC_CheckPredicate, 38, 206, 8, // Skip to: 2812
-/* 558 */     MCD_OPC_Decode, 204, 11, 191, 2, // Opcode: VNMLSS
-/* 563 */     MCD_OPC_FilterValue, 1, 197, 8, // Skip to: 2812
-/* 567 */     MCD_OPC_CheckPredicate, 40, 193, 8, // Skip to: 2812
-/* 571 */     MCD_OPC_Decode, 212, 6, 191, 2, // Opcode: VFNMSS
-/* 576 */     MCD_OPC_FilterValue, 11, 184, 8, // Skip to: 2812
-/* 580 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 583 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 596
-/* 587 */     MCD_OPC_CheckPredicate, 39, 173, 8, // Skip to: 2812
-/* 591 */     MCD_OPC_Decode, 203, 11, 193, 2, // Opcode: VNMLSD
-/* 596 */     MCD_OPC_FilterValue, 1, 164, 8, // Skip to: 2812
-/* 600 */     MCD_OPC_CheckPredicate, 41, 160, 8, // Skip to: 2812
-/* 604 */     MCD_OPC_Decode, 211, 6, 193, 2, // Opcode: VFNMSD
-/* 609 */     MCD_OPC_FilterValue, 1, 151, 8, // Skip to: 2812
-/* 613 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 616 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 649
-/* 620 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 623 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 636
-/* 627 */     MCD_OPC_CheckPredicate, 38, 133, 8, // Skip to: 2812
-/* 631 */     MCD_OPC_Decode, 202, 11, 191, 2, // Opcode: VNMLAS
-/* 636 */     MCD_OPC_FilterValue, 1, 124, 8, // Skip to: 2812
-/* 640 */     MCD_OPC_CheckPredicate, 40, 120, 8, // Skip to: 2812
-/* 644 */     MCD_OPC_Decode, 210, 6, 191, 2, // Opcode: VFNMAS
-/* 649 */     MCD_OPC_FilterValue, 11, 111, 8, // Skip to: 2812
-/* 653 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
-/* 656 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 669
-/* 660 */     MCD_OPC_CheckPredicate, 39, 100, 8, // Skip to: 2812
-/* 664 */     MCD_OPC_Decode, 201, 11, 193, 2, // Opcode: VNMLAD
-/* 669 */     MCD_OPC_FilterValue, 1, 91, 8, // Skip to: 2812
-/* 673 */     MCD_OPC_CheckPredicate, 41, 87, 8, // Skip to: 2812
-/* 677 */     MCD_OPC_Decode, 209, 6, 193, 2, // Opcode: VFNMAD
-/* 682 */     MCD_OPC_FilterValue, 1, 78, 8, // Skip to: 2812
-/* 686 */     MCD_OPC_CheckPredicate, 38, 74, 8, // Skip to: 2812
-/* 690 */     MCD_OPC_CheckField, 22, 2, 0, 68, 8, // Skip to: 2812
-/* 696 */     MCD_OPC_CheckField, 8, 4, 10, 62, 8, // Skip to: 2812
-/* 702 */     MCD_OPC_CheckField, 5, 2, 0, 56, 8, // Skip to: 2812
-/* 708 */     MCD_OPC_CheckField, 0, 4, 0, 50, 8, // Skip to: 2812
-/* 714 */     MCD_OPC_Decode, 255, 10, 198, 2, // Opcode: VMOVRS
-/* 719 */     MCD_OPC_FilterValue, 2, 174, 1, // Skip to: 1153
-/* 723 */     MCD_OPC_ExtractField, 23, 5,  // Inst{27-23} ...
-/* 726 */     MCD_OPC_FilterValue, 25, 55, 0, // Skip to: 785
-/* 730 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 733 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 746
-/* 737 */     MCD_OPC_CheckPredicate, 38, 23, 8, // Skip to: 2812
-/* 741 */     MCD_OPC_Decode, 178, 17, 199, 2, // Opcode: VSTMSIA_UPD
-/* 746 */     MCD_OPC_FilterValue, 11, 14, 8, // Skip to: 2812
-/* 750 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 753 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 766
-/* 757 */     MCD_OPC_CheckPredicate, 38, 3, 8, // Skip to: 2812
-/* 761 */     MCD_OPC_Decode, 174, 17, 200, 2, // Opcode: VSTMDIA_UPD
-/* 766 */     MCD_OPC_FilterValue, 1, 250, 7, // Skip to: 2812
-/* 770 */     MCD_OPC_CheckPredicate, 38, 246, 7, // Skip to: 2812
-/* 774 */     MCD_OPC_CheckField, 22, 1, 0, 240, 7, // Skip to: 2812
-/* 780 */     MCD_OPC_Decode, 159, 1, 201, 2, // Opcode: FSTMXIA_UPD
-/* 785 */     MCD_OPC_FilterValue, 26, 55, 0, // Skip to: 844
-/* 789 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 792 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 805
-/* 796 */     MCD_OPC_CheckPredicate, 38, 220, 7, // Skip to: 2812
-/* 800 */     MCD_OPC_Decode, 176, 17, 199, 2, // Opcode: VSTMSDB_UPD
-/* 805 */     MCD_OPC_FilterValue, 11, 211, 7, // Skip to: 2812
-/* 809 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 812 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 825
-/* 816 */     MCD_OPC_CheckPredicate, 38, 200, 7, // Skip to: 2812
-/* 820 */     MCD_OPC_Decode, 172, 17, 200, 2, // Opcode: VSTMDDB_UPD
-/* 825 */     MCD_OPC_FilterValue, 1, 191, 7, // Skip to: 2812
-/* 829 */     MCD_OPC_CheckPredicate, 38, 187, 7, // Skip to: 2812
-/* 833 */     MCD_OPC_CheckField, 22, 1, 0, 181, 7, // Skip to: 2812
-/* 839 */     MCD_OPC_Decode, 157, 1, 201, 2, // Opcode: FSTMXDB_UPD
-/* 844 */     MCD_OPC_FilterValue, 28, 93, 0, // Skip to: 941
-/* 848 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 851 */     MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 896
-/* 855 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 858 */     MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 877
-/* 862 */     MCD_OPC_CheckPredicate, 38, 154, 7, // Skip to: 2812
-/* 866 */     MCD_OPC_CheckField, 4, 1, 0, 148, 7, // Skip to: 2812
-/* 872 */     MCD_OPC_Decode, 168, 11, 192, 2, // Opcode: VMULS
-/* 877 */     MCD_OPC_FilterValue, 11, 139, 7, // Skip to: 2812
-/* 881 */     MCD_OPC_CheckPredicate, 39, 135, 7, // Skip to: 2812
-/* 885 */     MCD_OPC_CheckField, 4, 1, 0, 129, 7, // Skip to: 2812
-/* 891 */     MCD_OPC_Decode, 155, 11, 194, 2, // Opcode: VMULD
-/* 896 */     MCD_OPC_FilterValue, 1, 120, 7, // Skip to: 2812
-/* 900 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 903 */     MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 922
-/* 907 */     MCD_OPC_CheckPredicate, 38, 109, 7, // Skip to: 2812
-/* 911 */     MCD_OPC_CheckField, 4, 1, 0, 103, 7, // Skip to: 2812
-/* 917 */     MCD_OPC_Decode, 206, 11, 192, 2, // Opcode: VNMULS
-/* 922 */     MCD_OPC_FilterValue, 11, 94, 7, // Skip to: 2812
-/* 926 */     MCD_OPC_CheckPredicate, 39, 90, 7, // Skip to: 2812
-/* 930 */     MCD_OPC_CheckField, 4, 1, 0, 84, 7, // Skip to: 2812
-/* 936 */     MCD_OPC_Decode, 205, 11, 194, 2, // Opcode: VNMULD
-/* 941 */     MCD_OPC_FilterValue, 29, 75, 7, // Skip to: 2812
-/* 945 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 948 */     MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 1021
-/* 952 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 955 */     MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 988
-/* 959 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 962 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 975
-/* 966 */     MCD_OPC_CheckPredicate, 40, 50, 7, // Skip to: 2812
-/* 970 */     MCD_OPC_Decode, 202, 6, 191, 2, // Opcode: VFMAS
-/* 975 */     MCD_OPC_FilterValue, 11, 41, 7, // Skip to: 2812
-/* 979 */     MCD_OPC_CheckPredicate, 41, 37, 7, // Skip to: 2812
-/* 983 */     MCD_OPC_Decode, 201, 6, 193, 2, // Opcode: VFMAD
-/* 988 */     MCD_OPC_FilterValue, 1, 28, 7, // Skip to: 2812
-/* 992 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 995 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1008
-/* 999 */     MCD_OPC_CheckPredicate, 40, 17, 7, // Skip to: 2812
-/* 1003 */    MCD_OPC_Decode, 206, 6, 191, 2, // Opcode: VFMSS
-/* 1008 */    MCD_OPC_FilterValue, 11, 8, 7, // Skip to: 2812
-/* 1012 */    MCD_OPC_CheckPredicate, 41, 4, 7, // Skip to: 2812
-/* 1016 */    MCD_OPC_Decode, 205, 6, 193, 2, // Opcode: VFMSD
-/* 1021 */    MCD_OPC_FilterValue, 1, 251, 6, // Skip to: 2812
-/* 1025 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 1028 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1053
-/* 1032 */    MCD_OPC_CheckPredicate, 38, 240, 6, // Skip to: 2812
-/* 1036 */    MCD_OPC_CheckField, 22, 1, 1, 234, 6, // Skip to: 2812
-/* 1042 */    MCD_OPC_CheckField, 7, 5, 20, 228, 6, // Skip to: 2812
-/* 1048 */    MCD_OPC_Decode, 154, 11, 202, 2, // Opcode: VMSR_FPSID
-/* 1053 */    MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 1078
-/* 1057 */    MCD_OPC_CheckPredicate, 38, 215, 6, // Skip to: 2812
-/* 1061 */    MCD_OPC_CheckField, 22, 1, 1, 209, 6, // Skip to: 2812
-/* 1067 */    MCD_OPC_CheckField, 7, 5, 20, 203, 6, // Skip to: 2812
-/* 1073 */    MCD_OPC_Decode, 150, 11, 202, 2, // Opcode: VMSR
-/* 1078 */    MCD_OPC_FilterValue, 8, 21, 0, // Skip to: 1103
-/* 1082 */    MCD_OPC_CheckPredicate, 38, 190, 6, // Skip to: 2812
-/* 1086 */    MCD_OPC_CheckField, 22, 1, 1, 184, 6, // Skip to: 2812
-/* 1092 */    MCD_OPC_CheckField, 7, 5, 20, 178, 6, // Skip to: 2812
-/* 1098 */    MCD_OPC_Decode, 151, 11, 202, 2, // Opcode: VMSR_FPEXC
-/* 1103 */    MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 1128
-/* 1107 */    MCD_OPC_CheckPredicate, 38, 165, 6, // Skip to: 2812
-/* 1111 */    MCD_OPC_CheckField, 22, 1, 1, 159, 6, // Skip to: 2812
-/* 1117 */    MCD_OPC_CheckField, 7, 5, 20, 153, 6, // Skip to: 2812
-/* 1123 */    MCD_OPC_Decode, 152, 11, 202, 2, // Opcode: VMSR_FPINST
-/* 1128 */    MCD_OPC_FilterValue, 10, 144, 6, // Skip to: 2812
-/* 1132 */    MCD_OPC_CheckPredicate, 38, 140, 6, // Skip to: 2812
-/* 1136 */    MCD_OPC_CheckField, 22, 1, 1, 134, 6, // Skip to: 2812
-/* 1142 */    MCD_OPC_CheckField, 7, 5, 20, 128, 6, // Skip to: 2812
-/* 1148 */    MCD_OPC_Decode, 153, 11, 202, 2, // Opcode: VMSR_FPINST2
-/* 1153 */    MCD_OPC_FilterValue, 3, 119, 6, // Skip to: 2812
-/* 1157 */    MCD_OPC_ExtractField, 23, 5,  // Inst{27-23} ...
-/* 1160 */    MCD_OPC_FilterValue, 25, 55, 0, // Skip to: 1219
-/* 1164 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 1167 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1180
-/* 1171 */    MCD_OPC_CheckPredicate, 38, 101, 6, // Skip to: 2812
-/* 1175 */    MCD_OPC_Decode, 150, 10, 199, 2, // Opcode: VLDMSIA_UPD
-/* 1180 */    MCD_OPC_FilterValue, 11, 92, 6, // Skip to: 2812
-/* 1184 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 1187 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1200
-/* 1191 */    MCD_OPC_CheckPredicate, 38, 81, 6, // Skip to: 2812
-/* 1195 */    MCD_OPC_Decode, 146, 10, 200, 2, // Opcode: VLDMDIA_UPD
-/* 1200 */    MCD_OPC_FilterValue, 1, 72, 6, // Skip to: 2812
-/* 1204 */    MCD_OPC_CheckPredicate, 38, 68, 6, // Skip to: 2812
-/* 1208 */    MCD_OPC_CheckField, 22, 1, 0, 62, 6, // Skip to: 2812
-/* 1214 */    MCD_OPC_Decode, 155, 1, 201, 2, // Opcode: FLDMXIA_UPD
-/* 1219 */    MCD_OPC_FilterValue, 26, 55, 0, // Skip to: 1278
-/* 1223 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 1226 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1239
-/* 1230 */    MCD_OPC_CheckPredicate, 38, 42, 6, // Skip to: 2812
-/* 1234 */    MCD_OPC_Decode, 148, 10, 199, 2, // Opcode: VLDMSDB_UPD
-/* 1239 */    MCD_OPC_FilterValue, 11, 33, 6, // Skip to: 2812
-/* 1243 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 1246 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1259
-/* 1250 */    MCD_OPC_CheckPredicate, 38, 22, 6, // Skip to: 2812
-/* 1254 */    MCD_OPC_Decode, 144, 10, 200, 2, // Opcode: VLDMDDB_UPD
-/* 1259 */    MCD_OPC_FilterValue, 1, 13, 6, // Skip to: 2812
-/* 1263 */    MCD_OPC_CheckPredicate, 38, 9, 6, // Skip to: 2812
-/* 1267 */    MCD_OPC_CheckField, 22, 1, 0, 3, 6, // Skip to: 2812
-/* 1273 */    MCD_OPC_Decode, 153, 1, 201, 2, // Opcode: FLDMXDB_UPD
-/* 1278 */    MCD_OPC_FilterValue, 28, 93, 0, // Skip to: 1375
-/* 1282 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
-/* 1285 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 1330
-/* 1289 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 1292 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1311
-/* 1296 */    MCD_OPC_CheckPredicate, 38, 232, 5, // Skip to: 2812
-/* 1300 */    MCD_OPC_CheckField, 4, 1, 0, 226, 5, // Skip to: 2812
-/* 1306 */    MCD_OPC_Decode, 245, 4, 192, 2, // Opcode: VADDS
-/* 1311 */    MCD_OPC_FilterValue, 11, 217, 5, // Skip to: 2812
-/* 1315 */    MCD_OPC_CheckPredicate, 39, 213, 5, // Skip to: 2812
-/* 1319 */    MCD_OPC_CheckField, 4, 1, 0, 207, 5, // Skip to: 2812
-/* 1325 */    MCD_OPC_Decode, 235, 4, 194, 2, // Opcode: VADDD
-/* 1330 */    MCD_OPC_FilterValue, 1, 198, 5, // Skip to: 2812
-/* 1334 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 1337 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1356
-/* 1341 */    MCD_OPC_CheckPredicate, 38, 187, 5, // Skip to: 2812
-/* 1345 */    MCD_OPC_CheckField, 4, 1, 0, 181, 5, // Skip to: 2812
-/* 1351 */    MCD_OPC_Decode, 191, 17, 192, 2, // Opcode: VSUBS
-/* 1356 */    MCD_OPC_FilterValue, 11, 172, 5, // Skip to: 2812
-/* 1360 */    MCD_OPC_CheckPredicate, 39, 168, 5, // Skip to: 2812
-/* 1364 */    MCD_OPC_CheckField, 4, 1, 0, 162, 5, // Skip to: 2812
-/* 1370 */    MCD_OPC_Decode, 181, 17, 194, 2, // Opcode: VSUBD
-/* 1375 */    MCD_OPC_FilterValue, 29, 153, 5, // Skip to: 2812
-/* 1379 */    MCD_OPC_ExtractField, 6, 6,  // Inst{11-6} ...
-/* 1382 */    MCD_OPC_FilterValue, 40, 239, 0, // Skip to: 1625
-/* 1386 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
-/* 1389 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1402
-/* 1393 */    MCD_OPC_CheckPredicate, 42, 135, 5, // Skip to: 2812
-/* 1397 */    MCD_OPC_Decode, 152, 1, 203, 2, // Opcode: FCONSTS
-/* 1402 */    MCD_OPC_FilterValue, 1, 126, 5, // Skip to: 2812
-/* 1406 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 1409 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1434
-/* 1413 */    MCD_OPC_CheckPredicate, 38, 115, 5, // Skip to: 2812
-/* 1417 */    MCD_OPC_CheckField, 22, 1, 1, 109, 5, // Skip to: 2812
-/* 1423 */    MCD_OPC_CheckField, 0, 4, 0, 103, 5, // Skip to: 2812
-/* 1429 */    MCD_OPC_Decode, 146, 11, 202, 2, // Opcode: VMRS_FPSID
-/* 1434 */    MCD_OPC_FilterValue, 1, 37, 0, // Skip to: 1475
-/* 1438 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
-/* 1441 */    MCD_OPC_FilterValue, 0, 87, 5, // Skip to: 2812
-/* 1445 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
-/* 1448 */    MCD_OPC_FilterValue, 1, 80, 5, // Skip to: 2812
-/* 1452 */    MCD_OPC_CheckPredicate, 38, 10, 0, // Skip to: 1466
-/* 1456 */    MCD_OPC_CheckField, 12, 4, 15, 4, 0, // Skip to: 1466
-/* 1462 */    MCD_OPC_Decode, 156, 1, 27, // Opcode: FMSTAT
-/* 1466 */    MCD_OPC_CheckPredicate, 38, 62, 5, // Skip to: 2812
-/* 1470 */    MCD_OPC_Decode, 142, 11, 202, 2, // Opcode: VMRS
-/* 1475 */    MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 1500
-/* 1479 */    MCD_OPC_CheckPredicate, 43, 49, 5, // Skip to: 2812
-/* 1483 */    MCD_OPC_CheckField, 22, 1, 1, 43, 5, // Skip to: 2812
-/* 1489 */    MCD_OPC_CheckField, 0, 4, 0, 37, 5, // Skip to: 2812
-/* 1495 */    MCD_OPC_Decode, 149, 11, 202, 2, // Opcode: VMRS_MVFR2
-/* 1500 */    MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 1525
-/* 1504 */    MCD_OPC_CheckPredicate, 38, 24, 5, // Skip to: 2812
-/* 1508 */    MCD_OPC_CheckField, 22, 1, 1, 18, 5, // Skip to: 2812
-/* 1514 */    MCD_OPC_CheckField, 0, 4, 0, 12, 5, // Skip to: 2812
-/* 1520 */    MCD_OPC_Decode, 148, 11, 202, 2, // Opcode: VMRS_MVFR1
-/* 1525 */    MCD_OPC_FilterValue, 7, 21, 0, // Skip to: 1550
-/* 1529 */    MCD_OPC_CheckPredicate, 38, 255, 4, // Skip to: 2812
-/* 1533 */    MCD_OPC_CheckField, 22, 1, 1, 249, 4, // Skip to: 2812
-/* 1539 */    MCD_OPC_CheckField, 0, 4, 0, 243, 4, // Skip to: 2812
-/* 1545 */    MCD_OPC_Decode, 147, 11, 202, 2, // Opcode: VMRS_MVFR0
-/* 1550 */    MCD_OPC_FilterValue, 8, 21, 0, // Skip to: 1575
-/* 1554 */    MCD_OPC_CheckPredicate, 38, 230, 4, // Skip to: 2812
-/* 1558 */    MCD_OPC_CheckField, 22, 1, 1, 224, 4, // Skip to: 2812
-/* 1564 */    MCD_OPC_CheckField, 0, 4, 0, 218, 4, // Skip to: 2812
-/* 1570 */    MCD_OPC_Decode, 143, 11, 202, 2, // Opcode: VMRS_FPEXC
-/* 1575 */    MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 1600
-/* 1579 */    MCD_OPC_CheckPredicate, 38, 205, 4, // Skip to: 2812
-/* 1583 */    MCD_OPC_CheckField, 22, 1, 1, 199, 4, // Skip to: 2812
-/* 1589 */    MCD_OPC_CheckField, 0, 4, 0, 193, 4, // Skip to: 2812
-/* 1595 */    MCD_OPC_Decode, 144, 11, 202, 2, // Opcode: VMRS_FPINST
-/* 1600 */    MCD_OPC_FilterValue, 10, 184, 4, // Skip to: 2812
-/* 1604 */    MCD_OPC_CheckPredicate, 38, 180, 4, // Skip to: 2812
-/* 1608 */    MCD_OPC_CheckField, 22, 1, 1, 174, 4, // Skip to: 2812
-/* 1614 */    MCD_OPC_CheckField, 0, 4, 0, 168, 4, // Skip to: 2812
-/* 1620 */    MCD_OPC_Decode, 145, 11, 202, 2, // Opcode: VMRS_FPINST2
-/* 1625 */    MCD_OPC_FilterValue, 41, 32, 1, // Skip to: 1917
-/* 1629 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 1632 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1651
-/* 1636 */    MCD_OPC_CheckPredicate, 38, 148, 4, // Skip to: 2812
-/* 1640 */    MCD_OPC_CheckField, 4, 1, 0, 142, 4, // Skip to: 2812
-/* 1646 */    MCD_OPC_Decode, 128, 11, 204, 2, // Opcode: VMOVS
-/* 1651 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1670
-/* 1655 */    MCD_OPC_CheckPredicate, 38, 129, 4, // Skip to: 2812
-/* 1659 */    MCD_OPC_CheckField, 4, 1, 0, 123, 4, // Skip to: 2812
-/* 1665 */    MCD_OPC_Decode, 192, 11, 204, 2, // Opcode: VNEGS
-/* 1670 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1689
-/* 1674 */    MCD_OPC_CheckPredicate, 38, 110, 4, // Skip to: 2812
-/* 1678 */    MCD_OPC_CheckField, 4, 1, 0, 104, 4, // Skip to: 2812
-/* 1684 */    MCD_OPC_Decode, 128, 6, 204, 2, // Opcode: VCVTBHS
-/* 1689 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1708
-/* 1693 */    MCD_OPC_CheckPredicate, 38, 91, 4, // Skip to: 2812
-/* 1697 */    MCD_OPC_CheckField, 4, 1, 0, 85, 4, // Skip to: 2812
-/* 1703 */    MCD_OPC_Decode, 129, 6, 204, 2, // Opcode: VCVTBSH
-/* 1708 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 1727
-/* 1712 */    MCD_OPC_CheckPredicate, 38, 72, 4, // Skip to: 2812
-/* 1716 */    MCD_OPC_CheckField, 4, 1, 0, 66, 4, // Skip to: 2812
-/* 1722 */    MCD_OPC_Decode, 241, 5, 204, 2, // Opcode: VCMPS
-/* 1727 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1746
-/* 1731 */    MCD_OPC_CheckPredicate, 38, 53, 4, // Skip to: 2812
-/* 1735 */    MCD_OPC_CheckField, 0, 6, 0, 47, 4, // Skip to: 2812
-/* 1741 */    MCD_OPC_Decode, 243, 5, 205, 2, // Opcode: VCMPZS
-/* 1746 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 1765
-/* 1750 */    MCD_OPC_CheckPredicate, 43, 34, 4, // Skip to: 2812
-/* 1754 */    MCD_OPC_CheckField, 4, 1, 0, 28, 4, // Skip to: 2812
-/* 1760 */    MCD_OPC_Decode, 206, 13, 204, 2, // Opcode: VRINTRS
-/* 1765 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 1784
-/* 1769 */    MCD_OPC_CheckPredicate, 43, 15, 4, // Skip to: 2812
-/* 1773 */    MCD_OPC_CheckField, 4, 1, 0, 9, 4, // Skip to: 2812
-/* 1779 */    MCD_OPC_Decode, 210, 13, 204, 2, // Opcode: VRINTXS
-/* 1784 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 1803
-/* 1788 */    MCD_OPC_CheckPredicate, 38, 252, 3, // Skip to: 2812
-/* 1792 */    MCD_OPC_CheckField, 4, 1, 0, 246, 3, // Skip to: 2812
-/* 1798 */    MCD_OPC_Decode, 253, 17, 204, 2, // Opcode: VUITOS
-/* 1803 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1822
-/* 1807 */    MCD_OPC_CheckPredicate, 38, 233, 3, // Skip to: 2812
-/* 1811 */    MCD_OPC_CheckField, 4, 1, 0, 227, 3, // Skip to: 2812
-/* 1817 */    MCD_OPC_Decode, 211, 14, 206, 2, // Opcode: VSHTOS
-/* 1822 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 1841
-/* 1826 */    MCD_OPC_CheckPredicate, 38, 214, 3, // Skip to: 2812
-/* 1830 */    MCD_OPC_CheckField, 4, 1, 0, 208, 3, // Skip to: 2812
-/* 1836 */    MCD_OPC_Decode, 251, 17, 206, 2, // Opcode: VUHTOS
-/* 1841 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 1860
-/* 1845 */    MCD_OPC_CheckPredicate, 38, 195, 3, // Skip to: 2812
-/* 1849 */    MCD_OPC_CheckField, 4, 1, 0, 189, 3, // Skip to: 2812
-/* 1855 */    MCD_OPC_Decode, 233, 17, 204, 2, // Opcode: VTOUIRS
-/* 1860 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 1879
-/* 1864 */    MCD_OPC_CheckPredicate, 38, 176, 3, // Skip to: 2812
-/* 1868 */    MCD_OPC_CheckField, 4, 1, 0, 170, 3, // Skip to: 2812
-/* 1874 */    MCD_OPC_Decode, 225, 17, 204, 2, // Opcode: VTOSIRS
-/* 1879 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 1898
-/* 1883 */    MCD_OPC_CheckPredicate, 38, 157, 3, // Skip to: 2812
-/* 1887 */    MCD_OPC_CheckField, 4, 1, 0, 151, 3, // Skip to: 2812
-/* 1893 */    MCD_OPC_Decode, 223, 17, 206, 2, // Opcode: VTOSHS
-/* 1898 */    MCD_OPC_FilterValue, 15, 142, 3, // Skip to: 2812
-/* 1902 */    MCD_OPC_CheckPredicate, 38, 138, 3, // Skip to: 2812
-/* 1906 */    MCD_OPC_CheckField, 4, 1, 0, 132, 3, // Skip to: 2812
-/* 1912 */    MCD_OPC_Decode, 231, 17, 206, 2, // Opcode: VTOUHS
-/* 1917 */    MCD_OPC_FilterValue, 43, 32, 1, // Skip to: 2209
-/* 1921 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 1924 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1943
-/* 1928 */    MCD_OPC_CheckPredicate, 38, 112, 3, // Skip to: 2812
-/* 1932 */    MCD_OPC_CheckField, 4, 1, 0, 106, 3, // Skip to: 2812
-/* 1938 */    MCD_OPC_Decode, 222, 4, 204, 2, // Opcode: VABSS
-/* 1943 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1962
-/* 1947 */    MCD_OPC_CheckPredicate, 38, 93, 3, // Skip to: 2812
-/* 1951 */    MCD_OPC_CheckField, 4, 1, 0, 87, 3, // Skip to: 2812
-/* 1957 */    MCD_OPC_Decode, 225, 14, 204, 2, // Opcode: VSQRTS
-/* 1962 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1981
-/* 1966 */    MCD_OPC_CheckPredicate, 38, 74, 3, // Skip to: 2812
-/* 1970 */    MCD_OPC_CheckField, 4, 1, 0, 68, 3, // Skip to: 2812
-/* 1976 */    MCD_OPC_Decode, 158, 6, 204, 2, // Opcode: VCVTTHS
-/* 1981 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 2000
-/* 1985 */    MCD_OPC_CheckPredicate, 38, 55, 3, // Skip to: 2812
-/* 1989 */    MCD_OPC_CheckField, 4, 1, 0, 49, 3, // Skip to: 2812
-/* 1995 */    MCD_OPC_Decode, 159, 6, 204, 2, // Opcode: VCVTTSH
-/* 2000 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 2019
-/* 2004 */    MCD_OPC_CheckPredicate, 38, 36, 3, // Skip to: 2812
-/* 2008 */    MCD_OPC_CheckField, 4, 1, 0, 30, 3, // Skip to: 2812
-/* 2014 */    MCD_OPC_Decode, 238, 5, 204, 2, // Opcode: VCMPES
-/* 2019 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 2038
-/* 2023 */    MCD_OPC_CheckPredicate, 38, 17, 3, // Skip to: 2812
-/* 2027 */    MCD_OPC_CheckField, 0, 6, 0, 11, 3, // Skip to: 2812
-/* 2033 */    MCD_OPC_Decode, 240, 5, 205, 2, // Opcode: VCMPEZS
-/* 2038 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 2057
-/* 2042 */    MCD_OPC_CheckPredicate, 43, 254, 2, // Skip to: 2812
-/* 2046 */    MCD_OPC_CheckField, 4, 1, 0, 248, 2, // Skip to: 2812
-/* 2052 */    MCD_OPC_Decode, 214, 13, 204, 2, // Opcode: VRINTZS
-/* 2057 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 2076
-/* 2061 */    MCD_OPC_CheckPredicate, 38, 235, 2, // Skip to: 2812
-/* 2065 */    MCD_OPC_CheckField, 4, 1, 0, 229, 2, // Skip to: 2812
-/* 2071 */    MCD_OPC_Decode, 130, 6, 207, 2, // Opcode: VCVTDS
-/* 2076 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 2095
-/* 2080 */    MCD_OPC_CheckPredicate, 38, 216, 2, // Skip to: 2812
-/* 2084 */    MCD_OPC_CheckField, 4, 1, 0, 210, 2, // Skip to: 2812
-/* 2090 */    MCD_OPC_Decode, 213, 14, 204, 2, // Opcode: VSITOS
-/* 2095 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 2114
-/* 2099 */    MCD_OPC_CheckPredicate, 38, 197, 2, // Skip to: 2812
-/* 2103 */    MCD_OPC_CheckField, 4, 1, 0, 191, 2, // Skip to: 2812
-/* 2109 */    MCD_OPC_Decode, 223, 14, 206, 2, // Opcode: VSLTOS
-/* 2114 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 2133
-/* 2118 */    MCD_OPC_CheckPredicate, 38, 178, 2, // Skip to: 2812
-/* 2122 */    MCD_OPC_CheckField, 4, 1, 0, 172, 2, // Skip to: 2812
-/* 2128 */    MCD_OPC_Decode, 255, 17, 206, 2, // Opcode: VULTOS
-/* 2133 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 2152
-/* 2137 */    MCD_OPC_CheckPredicate, 38, 159, 2, // Skip to: 2812
-/* 2141 */    MCD_OPC_CheckField, 4, 1, 0, 153, 2, // Skip to: 2812
-/* 2147 */    MCD_OPC_Decode, 235, 17, 204, 2, // Opcode: VTOUIZS
-/* 2152 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 2171
-/* 2156 */    MCD_OPC_CheckPredicate, 38, 140, 2, // Skip to: 2812
-/* 2160 */    MCD_OPC_CheckField, 4, 1, 0, 134, 2, // Skip to: 2812
-/* 2166 */    MCD_OPC_Decode, 227, 17, 204, 2, // Opcode: VTOSIZS
-/* 2171 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 2190
-/* 2175 */    MCD_OPC_CheckPredicate, 38, 121, 2, // Skip to: 2812
-/* 2179 */    MCD_OPC_CheckField, 4, 1, 0, 115, 2, // Skip to: 2812
-/* 2185 */    MCD_OPC_Decode, 229, 17, 206, 2, // Opcode: VTOSLS
-/* 2190 */    MCD_OPC_FilterValue, 15, 106, 2, // Skip to: 2812
-/* 2194 */    MCD_OPC_CheckPredicate, 38, 102, 2, // Skip to: 2812
-/* 2198 */    MCD_OPC_CheckField, 4, 1, 0, 96, 2, // Skip to: 2812
-/* 2204 */    MCD_OPC_Decode, 237, 17, 206, 2, // Opcode: VTOULS
-/* 2209 */    MCD_OPC_FilterValue, 44, 15, 0, // Skip to: 2228
-/* 2213 */    MCD_OPC_CheckPredicate, 44, 83, 2, // Skip to: 2812
-/* 2217 */    MCD_OPC_CheckField, 4, 2, 0, 77, 2, // Skip to: 2812
-/* 2223 */    MCD_OPC_Decode, 151, 1, 208, 2, // Opcode: FCONSTD
-/* 2228 */    MCD_OPC_FilterValue, 45, 32, 1, // Skip to: 2520
-/* 2232 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 2235 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2254
-/* 2239 */    MCD_OPC_CheckPredicate, 39, 57, 2, // Skip to: 2812
-/* 2243 */    MCD_OPC_CheckField, 4, 1, 0, 51, 2, // Skip to: 2812
-/* 2249 */    MCD_OPC_Decode, 241, 10, 209, 2, // Opcode: VMOVD
-/* 2254 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 2273
-/* 2258 */    MCD_OPC_CheckPredicate, 39, 38, 2, // Skip to: 2812
-/* 2262 */    MCD_OPC_CheckField, 4, 1, 0, 32, 2, // Skip to: 2812
-/* 2268 */    MCD_OPC_Decode, 191, 11, 209, 2, // Opcode: VNEGD
-/* 2273 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2292
-/* 2277 */    MCD_OPC_CheckPredicate, 45, 19, 2, // Skip to: 2812
-/* 2281 */    MCD_OPC_CheckField, 4, 1, 0, 13, 2, // Skip to: 2812
-/* 2287 */    MCD_OPC_Decode, 255, 5, 207, 2, // Opcode: VCVTBHD
-/* 2292 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 2311
-/* 2296 */    MCD_OPC_CheckPredicate, 45, 0, 2, // Skip to: 2812
-/* 2300 */    MCD_OPC_CheckField, 4, 1, 0, 250, 1, // Skip to: 2812
-/* 2306 */    MCD_OPC_Decode, 254, 5, 210, 2, // Opcode: VCVTBDH
-/* 2311 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 2330
-/* 2315 */    MCD_OPC_CheckPredicate, 39, 237, 1, // Skip to: 2812
-/* 2319 */    MCD_OPC_CheckField, 4, 1, 0, 231, 1, // Skip to: 2812
-/* 2325 */    MCD_OPC_Decode, 236, 5, 209, 2, // Opcode: VCMPD
-/* 2330 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 2349
-/* 2334 */    MCD_OPC_CheckPredicate, 39, 218, 1, // Skip to: 2812
-/* 2338 */    MCD_OPC_CheckField, 0, 6, 0, 212, 1, // Skip to: 2812
-/* 2344 */    MCD_OPC_Decode, 242, 5, 211, 2, // Opcode: VCMPZD
-/* 2349 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 2368
-/* 2353 */    MCD_OPC_CheckPredicate, 45, 199, 1, // Skip to: 2812
-/* 2357 */    MCD_OPC_CheckField, 4, 1, 0, 193, 1, // Skip to: 2812
-/* 2363 */    MCD_OPC_Decode, 205, 13, 209, 2, // Opcode: VRINTRD
-/* 2368 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 2387
-/* 2372 */    MCD_OPC_CheckPredicate, 45, 180, 1, // Skip to: 2812
-/* 2376 */    MCD_OPC_CheckField, 4, 1, 0, 174, 1, // Skip to: 2812
-/* 2382 */    MCD_OPC_Decode, 207, 13, 209, 2, // Opcode: VRINTXD
-/* 2387 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 2406
-/* 2391 */    MCD_OPC_CheckPredicate, 39, 161, 1, // Skip to: 2812
-/* 2395 */    MCD_OPC_CheckField, 4, 1, 0, 155, 1, // Skip to: 2812
-/* 2401 */    MCD_OPC_Decode, 252, 17, 207, 2, // Opcode: VUITOD
-/* 2406 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 2425
-/* 2410 */    MCD_OPC_CheckPredicate, 39, 142, 1, // Skip to: 2812
-/* 2414 */    MCD_OPC_CheckField, 4, 1, 0, 136, 1, // Skip to: 2812
-/* 2420 */    MCD_OPC_Decode, 210, 14, 212, 2, // Opcode: VSHTOD
-/* 2425 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 2444
-/* 2429 */    MCD_OPC_CheckPredicate, 39, 123, 1, // Skip to: 2812
-/* 2433 */    MCD_OPC_CheckField, 4, 1, 0, 117, 1, // Skip to: 2812
-/* 2439 */    MCD_OPC_Decode, 250, 17, 212, 2, // Opcode: VUHTOD
-/* 2444 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 2463
-/* 2448 */    MCD_OPC_CheckPredicate, 39, 104, 1, // Skip to: 2812
-/* 2452 */    MCD_OPC_CheckField, 4, 1, 0, 98, 1, // Skip to: 2812
-/* 2458 */    MCD_OPC_Decode, 232, 17, 210, 2, // Opcode: VTOUIRD
-/* 2463 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 2482
-/* 2467 */    MCD_OPC_CheckPredicate, 39, 85, 1, // Skip to: 2812
-/* 2471 */    MCD_OPC_CheckField, 4, 1, 0, 79, 1, // Skip to: 2812
-/* 2477 */    MCD_OPC_Decode, 224, 17, 210, 2, // Opcode: VTOSIRD
-/* 2482 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 2501
-/* 2486 */    MCD_OPC_CheckPredicate, 39, 66, 1, // Skip to: 2812
-/* 2490 */    MCD_OPC_CheckField, 4, 1, 0, 60, 1, // Skip to: 2812
-/* 2496 */    MCD_OPC_Decode, 222, 17, 212, 2, // Opcode: VTOSHD
-/* 2501 */    MCD_OPC_FilterValue, 15, 51, 1, // Skip to: 2812
-/* 2505 */    MCD_OPC_CheckPredicate, 39, 47, 1, // Skip to: 2812
-/* 2509 */    MCD_OPC_CheckField, 4, 1, 0, 41, 1, // Skip to: 2812
-/* 2515 */    MCD_OPC_Decode, 230, 17, 212, 2, // Opcode: VTOUHD
-/* 2520 */    MCD_OPC_FilterValue, 47, 32, 1, // Skip to: 2812
-/* 2524 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
-/* 2527 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2546
-/* 2531 */    MCD_OPC_CheckPredicate, 39, 21, 1, // Skip to: 2812
-/* 2535 */    MCD_OPC_CheckField, 4, 1, 0, 15, 1, // Skip to: 2812
-/* 2541 */    MCD_OPC_Decode, 221, 4, 209, 2, // Opcode: VABSD
-/* 2546 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 2565
-/* 2550 */    MCD_OPC_CheckPredicate, 39, 2, 1, // Skip to: 2812
-/* 2554 */    MCD_OPC_CheckField, 4, 1, 0, 252, 0, // Skip to: 2812
-/* 2560 */    MCD_OPC_Decode, 224, 14, 209, 2, // Opcode: VSQRTD
-/* 2565 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2584
-/* 2569 */    MCD_OPC_CheckPredicate, 45, 239, 0, // Skip to: 2812
-/* 2573 */    MCD_OPC_CheckField, 4, 1, 0, 233, 0, // Skip to: 2812
-/* 2579 */    MCD_OPC_Decode, 157, 6, 207, 2, // Opcode: VCVTTHD
-/* 2584 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 2603
-/* 2588 */    MCD_OPC_CheckPredicate, 45, 220, 0, // Skip to: 2812
-/* 2592 */    MCD_OPC_CheckField, 4, 1, 0, 214, 0, // Skip to: 2812
-/* 2598 */    MCD_OPC_Decode, 156, 6, 210, 2, // Opcode: VCVTTDH
-/* 2603 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 2622
-/* 2607 */    MCD_OPC_CheckPredicate, 39, 201, 0, // Skip to: 2812
-/* 2611 */    MCD_OPC_CheckField, 4, 1, 0, 195, 0, // Skip to: 2812
-/* 2617 */    MCD_OPC_Decode, 237, 5, 209, 2, // Opcode: VCMPED
-/* 2622 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 2641
-/* 2626 */    MCD_OPC_CheckPredicate, 39, 182, 0, // Skip to: 2812
-/* 2630 */    MCD_OPC_CheckField, 0, 6, 0, 176, 0, // Skip to: 2812
-/* 2636 */    MCD_OPC_Decode, 239, 5, 211, 2, // Opcode: VCMPEZD
-/* 2641 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 2660
-/* 2645 */    MCD_OPC_CheckPredicate, 45, 163, 0, // Skip to: 2812
-/* 2649 */    MCD_OPC_CheckField, 4, 1, 0, 157, 0, // Skip to: 2812
-/* 2655 */    MCD_OPC_Decode, 211, 13, 209, 2, // Opcode: VRINTZD
-/* 2660 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 2679
-/* 2664 */    MCD_OPC_CheckPredicate, 39, 144, 0, // Skip to: 2812
-/* 2668 */    MCD_OPC_CheckField, 4, 1, 0, 138, 0, // Skip to: 2812
-/* 2674 */    MCD_OPC_Decode, 155, 6, 210, 2, // Opcode: VCVTSD
-/* 2679 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 2698
-/* 2683 */    MCD_OPC_CheckPredicate, 39, 125, 0, // Skip to: 2812
-/* 2687 */    MCD_OPC_CheckField, 4, 1, 0, 119, 0, // Skip to: 2812
-/* 2693 */    MCD_OPC_Decode, 212, 14, 207, 2, // Opcode: VSITOD
-/* 2698 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 2717
-/* 2702 */    MCD_OPC_CheckPredicate, 39, 106, 0, // Skip to: 2812
-/* 2706 */    MCD_OPC_CheckField, 4, 1, 0, 100, 0, // Skip to: 2812
-/* 2712 */    MCD_OPC_Decode, 222, 14, 212, 2, // Opcode: VSLTOD
-/* 2717 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 2736
-/* 2721 */    MCD_OPC_CheckPredicate, 39, 87, 0, // Skip to: 2812
-/* 2725 */    MCD_OPC_CheckField, 4, 1, 0, 81, 0, // Skip to: 2812
-/* 2731 */    MCD_OPC_Decode, 254, 17, 212, 2, // Opcode: VULTOD
-/* 2736 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 2755
-/* 2740 */    MCD_OPC_CheckPredicate, 39, 68, 0, // Skip to: 2812
-/* 2744 */    MCD_OPC_CheckField, 4, 1, 0, 62, 0, // Skip to: 2812
-/* 2750 */    MCD_OPC_Decode, 234, 17, 210, 2, // Opcode: VTOUIZD
-/* 2755 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 2774
-/* 2759 */    MCD_OPC_CheckPredicate, 39, 49, 0, // Skip to: 2812
-/* 2763 */    MCD_OPC_CheckField, 4, 1, 0, 43, 0, // Skip to: 2812
-/* 2769 */    MCD_OPC_Decode, 226, 17, 210, 2, // Opcode: VTOSIZD
-/* 2774 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 2793
-/* 2778 */    MCD_OPC_CheckPredicate, 39, 30, 0, // Skip to: 2812
-/* 2782 */    MCD_OPC_CheckField, 4, 1, 0, 24, 0, // Skip to: 2812
-/* 2788 */    MCD_OPC_Decode, 228, 17, 212, 2, // Opcode: VTOSLD
-/* 2793 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 2812
-/* 2797 */    MCD_OPC_CheckPredicate, 39, 11, 0, // Skip to: 2812
-/* 2801 */    MCD_OPC_CheckField, 4, 1, 0, 5, 0, // Skip to: 2812
-/* 2807 */    MCD_OPC_Decode, 236, 17, 212, 2, // Opcode: VTOULD
-/* 2812 */    MCD_OPC_Fail,
+/* 117 */     MCD_OPC_CheckPredicate, 41, 122, 10, // Skip to: 2803
+/* 121 */     MCD_OPC_Decode, 253, 16, 186, 2, // Opcode: VSTMDIA
+/* 126 */     MCD_OPC_FilterValue, 1, 113, 10, // Skip to: 2803
+/* 130 */     MCD_OPC_CheckPredicate, 41, 109, 10, // Skip to: 2803
+/* 134 */     MCD_OPC_CheckField, 22, 1, 0, 103, 10, // Skip to: 2803
+/* 140 */     MCD_OPC_Decode, 109, 187, 2, // Opcode: FSTMXIA
+/* 144 */     MCD_OPC_FilterValue, 13, 29, 0, // Skip to: 177
+/* 148 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 151 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 164
+/* 155 */     MCD_OPC_CheckPredicate, 41, 84, 10, // Skip to: 2803
+/* 159 */     MCD_OPC_Decode, 132, 17, 188, 2, // Opcode: VSTRS
+/* 164 */     MCD_OPC_FilterValue, 11, 75, 10, // Skip to: 2803
+/* 168 */     MCD_OPC_CheckPredicate, 41, 71, 10, // Skip to: 2803
+/* 172 */     MCD_OPC_Decode, 131, 17, 189, 2, // Opcode: VSTRD
+/* 177 */     MCD_OPC_FilterValue, 14, 62, 10, // Skip to: 2803
+/* 181 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 184 */     MCD_OPC_FilterValue, 0, 121, 0, // Skip to: 309
+/* 188 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 191 */     MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 264
+/* 195 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 198 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 231
+/* 202 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 205 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 218
+/* 209 */     MCD_OPC_CheckPredicate, 41, 30, 10, // Skip to: 2803
+/* 213 */     MCD_OPC_Decode, 150, 10, 190, 2, // Opcode: VMLAS
+/* 218 */     MCD_OPC_FilterValue, 1, 21, 10, // Skip to: 2803
+/* 222 */     MCD_OPC_CheckPredicate, 41, 17, 10, // Skip to: 2803
+/* 226 */     MCD_OPC_Decode, 129, 6, 191, 2, // Opcode: VDIVS
+/* 231 */     MCD_OPC_FilterValue, 11, 8, 10, // Skip to: 2803
+/* 235 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 238 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 251
+/* 242 */     MCD_OPC_CheckPredicate, 42, 253, 9, // Skip to: 2803
+/* 246 */     MCD_OPC_Decode, 139, 10, 192, 2, // Opcode: VMLAD
+/* 251 */     MCD_OPC_FilterValue, 1, 244, 9, // Skip to: 2803
+/* 255 */     MCD_OPC_CheckPredicate, 42, 240, 9, // Skip to: 2803
+/* 259 */     MCD_OPC_Decode, 128, 6, 193, 2, // Opcode: VDIVD
+/* 264 */     MCD_OPC_FilterValue, 1, 231, 9, // Skip to: 2803
+/* 268 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 271 */     MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 290
+/* 275 */     MCD_OPC_CheckPredicate, 41, 220, 9, // Skip to: 2803
+/* 279 */     MCD_OPC_CheckField, 23, 1, 0, 214, 9, // Skip to: 2803
+/* 285 */     MCD_OPC_Decode, 176, 10, 190, 2, // Opcode: VMLSS
+/* 290 */     MCD_OPC_FilterValue, 11, 205, 9, // Skip to: 2803
+/* 294 */     MCD_OPC_CheckPredicate, 42, 201, 9, // Skip to: 2803
+/* 298 */     MCD_OPC_CheckField, 23, 1, 0, 195, 9, // Skip to: 2803
+/* 304 */     MCD_OPC_Decode, 165, 10, 192, 2, // Opcode: VMLSD
+/* 309 */     MCD_OPC_FilterValue, 1, 186, 9, // Skip to: 2803
+/* 313 */     MCD_OPC_CheckPredicate, 41, 182, 9, // Skip to: 2803
+/* 317 */     MCD_OPC_CheckField, 22, 2, 0, 176, 9, // Skip to: 2803
+/* 323 */     MCD_OPC_CheckField, 8, 4, 10, 170, 9, // Skip to: 2803
+/* 329 */     MCD_OPC_CheckField, 5, 2, 0, 164, 9, // Skip to: 2803
+/* 335 */     MCD_OPC_CheckField, 0, 4, 0, 158, 9, // Skip to: 2803
+/* 341 */     MCD_OPC_Decode, 209, 10, 194, 2, // Opcode: VMOVSR
+/* 346 */     MCD_OPC_FilterValue, 1, 111, 1, // Skip to: 717
+/* 350 */     MCD_OPC_ExtractField, 24, 4,  // Inst{27-24} ...
+/* 353 */     MCD_OPC_FilterValue, 12, 130, 0, // Skip to: 487
+/* 357 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 360 */     MCD_OPC_FilterValue, 10, 47, 0, // Skip to: 411
+/* 364 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 367 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 398
+/* 371 */     MCD_OPC_CheckPredicate, 41, 124, 9, // Skip to: 2803
+/* 375 */     MCD_OPC_CheckField, 22, 1, 1, 118, 9, // Skip to: 2803
+/* 381 */     MCD_OPC_CheckField, 6, 2, 0, 112, 9, // Skip to: 2803
+/* 387 */     MCD_OPC_CheckField, 4, 1, 1, 106, 9, // Skip to: 2803
+/* 393 */     MCD_OPC_Decode, 206, 10, 195, 2, // Opcode: VMOVRRS
+/* 398 */     MCD_OPC_FilterValue, 1, 97, 9, // Skip to: 2803
+/* 402 */     MCD_OPC_CheckPredicate, 41, 93, 9, // Skip to: 2803
+/* 406 */     MCD_OPC_Decode, 227, 9, 184, 2, // Opcode: VLDMSIA
+/* 411 */     MCD_OPC_FilterValue, 11, 84, 9, // Skip to: 2803
+/* 415 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 418 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 449
+/* 422 */     MCD_OPC_CheckPredicate, 41, 73, 9, // Skip to: 2803
+/* 426 */     MCD_OPC_CheckField, 22, 1, 1, 67, 9, // Skip to: 2803
+/* 432 */     MCD_OPC_CheckField, 6, 2, 0, 61, 9, // Skip to: 2803
+/* 438 */     MCD_OPC_CheckField, 4, 1, 1, 55, 9, // Skip to: 2803
+/* 444 */     MCD_OPC_Decode, 205, 10, 196, 2, // Opcode: VMOVRRD
+/* 449 */     MCD_OPC_FilterValue, 1, 46, 9, // Skip to: 2803
+/* 453 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 456 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 469
+/* 460 */     MCD_OPC_CheckPredicate, 41, 35, 9, // Skip to: 2803
+/* 464 */     MCD_OPC_Decode, 223, 9, 186, 2, // Opcode: VLDMDIA
+/* 469 */     MCD_OPC_FilterValue, 1, 26, 9, // Skip to: 2803
+/* 473 */     MCD_OPC_CheckPredicate, 41, 22, 9, // Skip to: 2803
+/* 477 */     MCD_OPC_CheckField, 22, 1, 0, 16, 9, // Skip to: 2803
+/* 483 */     MCD_OPC_Decode, 105, 187, 2, // Opcode: FLDMXIA
+/* 487 */     MCD_OPC_FilterValue, 13, 29, 0, // Skip to: 520
+/* 491 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 494 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 507
+/* 498 */     MCD_OPC_CheckPredicate, 41, 253, 8, // Skip to: 2803
+/* 502 */     MCD_OPC_Decode, 230, 9, 188, 2, // Opcode: VLDRS
+/* 507 */     MCD_OPC_FilterValue, 11, 244, 8, // Skip to: 2803
+/* 511 */     MCD_OPC_CheckPredicate, 41, 240, 8, // Skip to: 2803
+/* 515 */     MCD_OPC_Decode, 229, 9, 189, 2, // Opcode: VLDRD
+/* 520 */     MCD_OPC_FilterValue, 14, 231, 8, // Skip to: 2803
+/* 524 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 527 */     MCD_OPC_FilterValue, 0, 149, 0, // Skip to: 680
+/* 531 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 534 */     MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 607
+/* 538 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 541 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 574
+/* 545 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 548 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 561
+/* 552 */     MCD_OPC_CheckPredicate, 41, 199, 8, // Skip to: 2803
+/* 556 */     MCD_OPC_Decode, 156, 11, 190, 2, // Opcode: VNMLSS
+/* 561 */     MCD_OPC_FilterValue, 1, 190, 8, // Skip to: 2803
+/* 565 */     MCD_OPC_CheckPredicate, 43, 186, 8, // Skip to: 2803
+/* 569 */     MCD_OPC_Decode, 162, 6, 190, 2, // Opcode: VFNMSS
+/* 574 */     MCD_OPC_FilterValue, 11, 177, 8, // Skip to: 2803
+/* 578 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 581 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 594
+/* 585 */     MCD_OPC_CheckPredicate, 42, 166, 8, // Skip to: 2803
+/* 589 */     MCD_OPC_Decode, 155, 11, 192, 2, // Opcode: VNMLSD
+/* 594 */     MCD_OPC_FilterValue, 1, 157, 8, // Skip to: 2803
+/* 598 */     MCD_OPC_CheckPredicate, 44, 153, 8, // Skip to: 2803
+/* 602 */     MCD_OPC_Decode, 161, 6, 192, 2, // Opcode: VFNMSD
+/* 607 */     MCD_OPC_FilterValue, 1, 144, 8, // Skip to: 2803
+/* 611 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 614 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 647
+/* 618 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 621 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 634
+/* 625 */     MCD_OPC_CheckPredicate, 41, 126, 8, // Skip to: 2803
+/* 629 */     MCD_OPC_Decode, 154, 11, 190, 2, // Opcode: VNMLAS
+/* 634 */     MCD_OPC_FilterValue, 1, 117, 8, // Skip to: 2803
+/* 638 */     MCD_OPC_CheckPredicate, 43, 113, 8, // Skip to: 2803
+/* 642 */     MCD_OPC_Decode, 160, 6, 190, 2, // Opcode: VFNMAS
+/* 647 */     MCD_OPC_FilterValue, 11, 104, 8, // Skip to: 2803
+/* 651 */     MCD_OPC_ExtractField, 23, 1,  // Inst{23} ...
+/* 654 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 667
+/* 658 */     MCD_OPC_CheckPredicate, 42, 93, 8, // Skip to: 2803
+/* 662 */     MCD_OPC_Decode, 153, 11, 192, 2, // Opcode: VNMLAD
+/* 667 */     MCD_OPC_FilterValue, 1, 84, 8, // Skip to: 2803
+/* 671 */     MCD_OPC_CheckPredicate, 44, 80, 8, // Skip to: 2803
+/* 675 */     MCD_OPC_Decode, 159, 6, 192, 2, // Opcode: VFNMAD
+/* 680 */     MCD_OPC_FilterValue, 1, 71, 8, // Skip to: 2803
+/* 684 */     MCD_OPC_CheckPredicate, 41, 67, 8, // Skip to: 2803
+/* 688 */     MCD_OPC_CheckField, 22, 2, 0, 61, 8, // Skip to: 2803
+/* 694 */     MCD_OPC_CheckField, 8, 4, 10, 55, 8, // Skip to: 2803
+/* 700 */     MCD_OPC_CheckField, 5, 2, 0, 49, 8, // Skip to: 2803
+/* 706 */     MCD_OPC_CheckField, 0, 4, 0, 43, 8, // Skip to: 2803
+/* 712 */     MCD_OPC_Decode, 207, 10, 197, 2, // Opcode: VMOVRS
+/* 717 */     MCD_OPC_FilterValue, 2, 172, 1, // Skip to: 1149
+/* 721 */     MCD_OPC_ExtractField, 23, 5,  // Inst{27-23} ...
+/* 724 */     MCD_OPC_FilterValue, 25, 54, 0, // Skip to: 782
+/* 728 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 731 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 744
+/* 735 */     MCD_OPC_CheckPredicate, 41, 16, 8, // Skip to: 2803
+/* 739 */     MCD_OPC_Decode, 130, 17, 198, 2, // Opcode: VSTMSIA_UPD
+/* 744 */     MCD_OPC_FilterValue, 11, 7, 8, // Skip to: 2803
+/* 748 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 751 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 764
+/* 755 */     MCD_OPC_CheckPredicate, 41, 252, 7, // Skip to: 2803
+/* 759 */     MCD_OPC_Decode, 254, 16, 199, 2, // Opcode: VSTMDIA_UPD
+/* 764 */     MCD_OPC_FilterValue, 1, 243, 7, // Skip to: 2803
+/* 768 */     MCD_OPC_CheckPredicate, 41, 239, 7, // Skip to: 2803
+/* 772 */     MCD_OPC_CheckField, 22, 1, 0, 233, 7, // Skip to: 2803
+/* 778 */     MCD_OPC_Decode, 110, 200, 2, // Opcode: FSTMXIA_UPD
+/* 782 */     MCD_OPC_FilterValue, 26, 54, 0, // Skip to: 840
+/* 786 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 789 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 802
+/* 793 */     MCD_OPC_CheckPredicate, 41, 214, 7, // Skip to: 2803
+/* 797 */     MCD_OPC_Decode, 128, 17, 198, 2, // Opcode: VSTMSDB_UPD
+/* 802 */     MCD_OPC_FilterValue, 11, 205, 7, // Skip to: 2803
+/* 806 */     MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 809 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 822
+/* 813 */     MCD_OPC_CheckPredicate, 41, 194, 7, // Skip to: 2803
+/* 817 */     MCD_OPC_Decode, 252, 16, 199, 2, // Opcode: VSTMDDB_UPD
+/* 822 */     MCD_OPC_FilterValue, 1, 185, 7, // Skip to: 2803
+/* 826 */     MCD_OPC_CheckPredicate, 41, 181, 7, // Skip to: 2803
+/* 830 */     MCD_OPC_CheckField, 22, 1, 0, 175, 7, // Skip to: 2803
+/* 836 */     MCD_OPC_Decode, 108, 200, 2, // Opcode: FSTMXDB_UPD
+/* 840 */     MCD_OPC_FilterValue, 28, 93, 0, // Skip to: 937
+/* 844 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 847 */     MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 892
+/* 851 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 854 */     MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 873
+/* 858 */     MCD_OPC_CheckPredicate, 41, 149, 7, // Skip to: 2803
+/* 862 */     MCD_OPC_CheckField, 4, 1, 0, 143, 7, // Skip to: 2803
+/* 868 */     MCD_OPC_Decode, 248, 10, 191, 2, // Opcode: VMULS
+/* 873 */     MCD_OPC_FilterValue, 11, 134, 7, // Skip to: 2803
+/* 877 */     MCD_OPC_CheckPredicate, 42, 130, 7, // Skip to: 2803
+/* 881 */     MCD_OPC_CheckField, 4, 1, 0, 124, 7, // Skip to: 2803
+/* 887 */     MCD_OPC_Decode, 235, 10, 193, 2, // Opcode: VMULD
+/* 892 */     MCD_OPC_FilterValue, 1, 115, 7, // Skip to: 2803
+/* 896 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 899 */     MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 918
+/* 903 */     MCD_OPC_CheckPredicate, 41, 104, 7, // Skip to: 2803
+/* 907 */     MCD_OPC_CheckField, 4, 1, 0, 98, 7, // Skip to: 2803
+/* 913 */     MCD_OPC_Decode, 158, 11, 191, 2, // Opcode: VNMULS
+/* 918 */     MCD_OPC_FilterValue, 11, 89, 7, // Skip to: 2803
+/* 922 */     MCD_OPC_CheckPredicate, 42, 85, 7, // Skip to: 2803
+/* 926 */     MCD_OPC_CheckField, 4, 1, 0, 79, 7, // Skip to: 2803
+/* 932 */     MCD_OPC_Decode, 157, 11, 193, 2, // Opcode: VNMULD
+/* 937 */     MCD_OPC_FilterValue, 29, 70, 7, // Skip to: 2803
+/* 941 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 944 */     MCD_OPC_FilterValue, 0, 69, 0, // Skip to: 1017
+/* 948 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 951 */     MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 984
+/* 955 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 958 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 971
+/* 962 */     MCD_OPC_CheckPredicate, 43, 45, 7, // Skip to: 2803
+/* 966 */     MCD_OPC_Decode, 152, 6, 190, 2, // Opcode: VFMAS
+/* 971 */     MCD_OPC_FilterValue, 11, 36, 7, // Skip to: 2803
+/* 975 */     MCD_OPC_CheckPredicate, 44, 32, 7, // Skip to: 2803
+/* 979 */     MCD_OPC_Decode, 151, 6, 192, 2, // Opcode: VFMAD
+/* 984 */     MCD_OPC_FilterValue, 1, 23, 7, // Skip to: 2803
+/* 988 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 991 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1004
+/* 995 */     MCD_OPC_CheckPredicate, 43, 12, 7, // Skip to: 2803
+/* 999 */     MCD_OPC_Decode, 156, 6, 190, 2, // Opcode: VFMSS
+/* 1004 */    MCD_OPC_FilterValue, 11, 3, 7, // Skip to: 2803
+/* 1008 */    MCD_OPC_CheckPredicate, 44, 255, 6, // Skip to: 2803
+/* 1012 */    MCD_OPC_Decode, 155, 6, 192, 2, // Opcode: VFMSD
+/* 1017 */    MCD_OPC_FilterValue, 1, 246, 6, // Skip to: 2803
+/* 1021 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 1024 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1049
+/* 1028 */    MCD_OPC_CheckPredicate, 41, 235, 6, // Skip to: 2803
+/* 1032 */    MCD_OPC_CheckField, 22, 1, 1, 229, 6, // Skip to: 2803
+/* 1038 */    MCD_OPC_CheckField, 7, 5, 20, 223, 6, // Skip to: 2803
+/* 1044 */    MCD_OPC_Decode, 234, 10, 201, 2, // Opcode: VMSR_FPSID
+/* 1049 */    MCD_OPC_FilterValue, 1, 21, 0, // Skip to: 1074
+/* 1053 */    MCD_OPC_CheckPredicate, 41, 210, 6, // Skip to: 2803
+/* 1057 */    MCD_OPC_CheckField, 22, 1, 1, 204, 6, // Skip to: 2803
+/* 1063 */    MCD_OPC_CheckField, 7, 5, 20, 198, 6, // Skip to: 2803
+/* 1069 */    MCD_OPC_Decode, 230, 10, 201, 2, // Opcode: VMSR
+/* 1074 */    MCD_OPC_FilterValue, 8, 21, 0, // Skip to: 1099
+/* 1078 */    MCD_OPC_CheckPredicate, 41, 185, 6, // Skip to: 2803
+/* 1082 */    MCD_OPC_CheckField, 22, 1, 1, 179, 6, // Skip to: 2803
+/* 1088 */    MCD_OPC_CheckField, 7, 5, 20, 173, 6, // Skip to: 2803
+/* 1094 */    MCD_OPC_Decode, 231, 10, 201, 2, // Opcode: VMSR_FPEXC
+/* 1099 */    MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 1124
+/* 1103 */    MCD_OPC_CheckPredicate, 41, 160, 6, // Skip to: 2803
+/* 1107 */    MCD_OPC_CheckField, 22, 1, 1, 154, 6, // Skip to: 2803
+/* 1113 */    MCD_OPC_CheckField, 7, 5, 20, 148, 6, // Skip to: 2803
+/* 1119 */    MCD_OPC_Decode, 232, 10, 201, 2, // Opcode: VMSR_FPINST
+/* 1124 */    MCD_OPC_FilterValue, 10, 139, 6, // Skip to: 2803
+/* 1128 */    MCD_OPC_CheckPredicate, 41, 135, 6, // Skip to: 2803
+/* 1132 */    MCD_OPC_CheckField, 22, 1, 1, 129, 6, // Skip to: 2803
+/* 1138 */    MCD_OPC_CheckField, 7, 5, 20, 123, 6, // Skip to: 2803
+/* 1144 */    MCD_OPC_Decode, 233, 10, 201, 2, // Opcode: VMSR_FPINST2
+/* 1149 */    MCD_OPC_FilterValue, 3, 114, 6, // Skip to: 2803
+/* 1153 */    MCD_OPC_ExtractField, 23, 5,  // Inst{27-23} ...
+/* 1156 */    MCD_OPC_FilterValue, 25, 54, 0, // Skip to: 1214
+/* 1160 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 1163 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1176
+/* 1167 */    MCD_OPC_CheckPredicate, 41, 96, 6, // Skip to: 2803
+/* 1171 */    MCD_OPC_Decode, 228, 9, 198, 2, // Opcode: VLDMSIA_UPD
+/* 1176 */    MCD_OPC_FilterValue, 11, 87, 6, // Skip to: 2803
+/* 1180 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 1183 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1196
+/* 1187 */    MCD_OPC_CheckPredicate, 41, 76, 6, // Skip to: 2803
+/* 1191 */    MCD_OPC_Decode, 224, 9, 199, 2, // Opcode: VLDMDIA_UPD
+/* 1196 */    MCD_OPC_FilterValue, 1, 67, 6, // Skip to: 2803
+/* 1200 */    MCD_OPC_CheckPredicate, 41, 63, 6, // Skip to: 2803
+/* 1204 */    MCD_OPC_CheckField, 22, 1, 0, 57, 6, // Skip to: 2803
+/* 1210 */    MCD_OPC_Decode, 106, 200, 2, // Opcode: FLDMXIA_UPD
+/* 1214 */    MCD_OPC_FilterValue, 26, 54, 0, // Skip to: 1272
+/* 1218 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 1221 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1234
+/* 1225 */    MCD_OPC_CheckPredicate, 41, 38, 6, // Skip to: 2803
+/* 1229 */    MCD_OPC_Decode, 226, 9, 198, 2, // Opcode: VLDMSDB_UPD
+/* 1234 */    MCD_OPC_FilterValue, 11, 29, 6, // Skip to: 2803
+/* 1238 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 1241 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1254
+/* 1245 */    MCD_OPC_CheckPredicate, 41, 18, 6, // Skip to: 2803
+/* 1249 */    MCD_OPC_Decode, 222, 9, 199, 2, // Opcode: VLDMDDB_UPD
+/* 1254 */    MCD_OPC_FilterValue, 1, 9, 6, // Skip to: 2803
+/* 1258 */    MCD_OPC_CheckPredicate, 41, 5, 6, // Skip to: 2803
+/* 1262 */    MCD_OPC_CheckField, 22, 1, 0, 255, 5, // Skip to: 2803
+/* 1268 */    MCD_OPC_Decode, 104, 200, 2, // Opcode: FLDMXDB_UPD
+/* 1272 */    MCD_OPC_FilterValue, 28, 93, 0, // Skip to: 1369
+/* 1276 */    MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
+/* 1279 */    MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 1324
+/* 1283 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 1286 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1305
+/* 1290 */    MCD_OPC_CheckPredicate, 41, 229, 5, // Skip to: 2803
+/* 1294 */    MCD_OPC_CheckField, 4, 1, 0, 223, 5, // Skip to: 2803
+/* 1300 */    MCD_OPC_Decode, 195, 4, 191, 2, // Opcode: VADDS
+/* 1305 */    MCD_OPC_FilterValue, 11, 214, 5, // Skip to: 2803
+/* 1309 */    MCD_OPC_CheckPredicate, 42, 210, 5, // Skip to: 2803
+/* 1313 */    MCD_OPC_CheckField, 4, 1, 0, 204, 5, // Skip to: 2803
+/* 1319 */    MCD_OPC_Decode, 185, 4, 193, 2, // Opcode: VADDD
+/* 1324 */    MCD_OPC_FilterValue, 1, 195, 5, // Skip to: 2803
+/* 1328 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 1331 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1350
+/* 1335 */    MCD_OPC_CheckPredicate, 41, 184, 5, // Skip to: 2803
+/* 1339 */    MCD_OPC_CheckField, 4, 1, 0, 178, 5, // Skip to: 2803
+/* 1345 */    MCD_OPC_Decode, 143, 17, 191, 2, // Opcode: VSUBS
+/* 1350 */    MCD_OPC_FilterValue, 11, 169, 5, // Skip to: 2803
+/* 1354 */    MCD_OPC_CheckPredicate, 42, 165, 5, // Skip to: 2803
+/* 1358 */    MCD_OPC_CheckField, 4, 1, 0, 159, 5, // Skip to: 2803
+/* 1364 */    MCD_OPC_Decode, 133, 17, 193, 2, // Opcode: VSUBD
+/* 1369 */    MCD_OPC_FilterValue, 29, 150, 5, // Skip to: 2803
+/* 1373 */    MCD_OPC_ExtractField, 6, 6,  // Inst{11-6} ...
+/* 1376 */    MCD_OPC_FilterValue, 40, 237, 0, // Skip to: 1617
+/* 1380 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
+/* 1383 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1395
+/* 1387 */    MCD_OPC_CheckPredicate, 45, 132, 5, // Skip to: 2803
+/* 1391 */    MCD_OPC_Decode, 103, 202, 2, // Opcode: FCONSTS
+/* 1395 */    MCD_OPC_FilterValue, 1, 124, 5, // Skip to: 2803
+/* 1399 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 1402 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1427
+/* 1406 */    MCD_OPC_CheckPredicate, 41, 113, 5, // Skip to: 2803
+/* 1410 */    MCD_OPC_CheckField, 22, 1, 1, 107, 5, // Skip to: 2803
+/* 1416 */    MCD_OPC_CheckField, 0, 4, 0, 101, 5, // Skip to: 2803
+/* 1422 */    MCD_OPC_Decode, 226, 10, 201, 2, // Opcode: VMRS_FPSID
+/* 1427 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 1467
+/* 1431 */    MCD_OPC_ExtractField, 0, 4,  // Inst{3-0} ...
+/* 1434 */    MCD_OPC_FilterValue, 0, 85, 5, // Skip to: 2803
+/* 1438 */    MCD_OPC_ExtractField, 22, 1,  // Inst{22} ...
+/* 1441 */    MCD_OPC_FilterValue, 1, 78, 5, // Skip to: 2803
+/* 1445 */    MCD_OPC_CheckPredicate, 41, 9, 0, // Skip to: 1458
+/* 1449 */    MCD_OPC_CheckField, 12, 4, 15, 3, 0, // Skip to: 1458
+/* 1455 */    MCD_OPC_Decode, 107, 27, // Opcode: FMSTAT
+/* 1458 */    MCD_OPC_CheckPredicate, 41, 61, 5, // Skip to: 2803
+/* 1462 */    MCD_OPC_Decode, 222, 10, 201, 2, // Opcode: VMRS
+/* 1467 */    MCD_OPC_FilterValue, 5, 21, 0, // Skip to: 1492
+/* 1471 */    MCD_OPC_CheckPredicate, 46, 48, 5, // Skip to: 2803
+/* 1475 */    MCD_OPC_CheckField, 22, 1, 1, 42, 5, // Skip to: 2803
+/* 1481 */    MCD_OPC_CheckField, 0, 4, 0, 36, 5, // Skip to: 2803
+/* 1487 */    MCD_OPC_Decode, 229, 10, 201, 2, // Opcode: VMRS_MVFR2
+/* 1492 */    MCD_OPC_FilterValue, 6, 21, 0, // Skip to: 1517
+/* 1496 */    MCD_OPC_CheckPredicate, 41, 23, 5, // Skip to: 2803
+/* 1500 */    MCD_OPC_CheckField, 22, 1, 1, 17, 5, // Skip to: 2803
+/* 1506 */    MCD_OPC_CheckField, 0, 4, 0, 11, 5, // Skip to: 2803
+/* 1512 */    MCD_OPC_Decode, 228, 10, 201, 2, // Opcode: VMRS_MVFR1
+/* 1517 */    MCD_OPC_FilterValue, 7, 21, 0, // Skip to: 1542
+/* 1521 */    MCD_OPC_CheckPredicate, 41, 254, 4, // Skip to: 2803
+/* 1525 */    MCD_OPC_CheckField, 22, 1, 1, 248, 4, // Skip to: 2803
+/* 1531 */    MCD_OPC_CheckField, 0, 4, 0, 242, 4, // Skip to: 2803
+/* 1537 */    MCD_OPC_Decode, 227, 10, 201, 2, // Opcode: VMRS_MVFR0
+/* 1542 */    MCD_OPC_FilterValue, 8, 21, 0, // Skip to: 1567
+/* 1546 */    MCD_OPC_CheckPredicate, 41, 229, 4, // Skip to: 2803
+/* 1550 */    MCD_OPC_CheckField, 22, 1, 1, 223, 4, // Skip to: 2803
+/* 1556 */    MCD_OPC_CheckField, 0, 4, 0, 217, 4, // Skip to: 2803
+/* 1562 */    MCD_OPC_Decode, 223, 10, 201, 2, // Opcode: VMRS_FPEXC
+/* 1567 */    MCD_OPC_FilterValue, 9, 21, 0, // Skip to: 1592
+/* 1571 */    MCD_OPC_CheckPredicate, 41, 204, 4, // Skip to: 2803
+/* 1575 */    MCD_OPC_CheckField, 22, 1, 1, 198, 4, // Skip to: 2803
+/* 1581 */    MCD_OPC_CheckField, 0, 4, 0, 192, 4, // Skip to: 2803
+/* 1587 */    MCD_OPC_Decode, 224, 10, 201, 2, // Opcode: VMRS_FPINST
+/* 1592 */    MCD_OPC_FilterValue, 10, 183, 4, // Skip to: 2803
+/* 1596 */    MCD_OPC_CheckPredicate, 41, 179, 4, // Skip to: 2803
+/* 1600 */    MCD_OPC_CheckField, 22, 1, 1, 173, 4, // Skip to: 2803
+/* 1606 */    MCD_OPC_CheckField, 0, 4, 0, 167, 4, // Skip to: 2803
+/* 1612 */    MCD_OPC_Decode, 225, 10, 201, 2, // Opcode: VMRS_FPINST2
+/* 1617 */    MCD_OPC_FilterValue, 41, 32, 1, // Skip to: 1909
+/* 1621 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 1624 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1643
+/* 1628 */    MCD_OPC_CheckPredicate, 41, 147, 4, // Skip to: 2803
+/* 1632 */    MCD_OPC_CheckField, 4, 1, 0, 141, 4, // Skip to: 2803
+/* 1638 */    MCD_OPC_Decode, 208, 10, 203, 2, // Opcode: VMOVS
+/* 1643 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1662
+/* 1647 */    MCD_OPC_CheckPredicate, 41, 128, 4, // Skip to: 2803
+/* 1651 */    MCD_OPC_CheckField, 4, 1, 0, 122, 4, // Skip to: 2803
+/* 1657 */    MCD_OPC_Decode, 144, 11, 203, 2, // Opcode: VNEGS
+/* 1662 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1681
+/* 1666 */    MCD_OPC_CheckPredicate, 41, 109, 4, // Skip to: 2803
+/* 1670 */    MCD_OPC_CheckField, 4, 1, 0, 103, 4, // Skip to: 2803
+/* 1676 */    MCD_OPC_Decode, 206, 5, 203, 2, // Opcode: VCVTBHS
+/* 1681 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1700
+/* 1685 */    MCD_OPC_CheckPredicate, 41, 90, 4, // Skip to: 2803
+/* 1689 */    MCD_OPC_CheckField, 4, 1, 0, 84, 4, // Skip to: 2803
+/* 1695 */    MCD_OPC_Decode, 207, 5, 203, 2, // Opcode: VCVTBSH
+/* 1700 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 1719
+/* 1704 */    MCD_OPC_CheckPredicate, 41, 71, 4, // Skip to: 2803
+/* 1708 */    MCD_OPC_CheckField, 4, 1, 0, 65, 4, // Skip to: 2803
+/* 1714 */    MCD_OPC_Decode, 191, 5, 203, 2, // Opcode: VCMPS
+/* 1719 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1738
+/* 1723 */    MCD_OPC_CheckPredicate, 41, 52, 4, // Skip to: 2803
+/* 1727 */    MCD_OPC_CheckField, 0, 6, 0, 46, 4, // Skip to: 2803
+/* 1733 */    MCD_OPC_Decode, 193, 5, 204, 2, // Opcode: VCMPZS
+/* 1738 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 1757
+/* 1742 */    MCD_OPC_CheckPredicate, 46, 33, 4, // Skip to: 2803
+/* 1746 */    MCD_OPC_CheckField, 4, 1, 0, 27, 4, // Skip to: 2803
+/* 1752 */    MCD_OPC_Decode, 158, 13, 203, 2, // Opcode: VRINTRS
+/* 1757 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 1776
+/* 1761 */    MCD_OPC_CheckPredicate, 46, 14, 4, // Skip to: 2803
+/* 1765 */    MCD_OPC_CheckField, 4, 1, 0, 8, 4, // Skip to: 2803
+/* 1771 */    MCD_OPC_Decode, 162, 13, 203, 2, // Opcode: VRINTXS
+/* 1776 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 1795
+/* 1780 */    MCD_OPC_CheckPredicate, 41, 251, 3, // Skip to: 2803
+/* 1784 */    MCD_OPC_CheckField, 4, 1, 0, 245, 3, // Skip to: 2803
+/* 1790 */    MCD_OPC_Decode, 205, 17, 203, 2, // Opcode: VUITOS
+/* 1795 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1814
+/* 1799 */    MCD_OPC_CheckPredicate, 41, 232, 3, // Skip to: 2803
+/* 1803 */    MCD_OPC_CheckField, 4, 1, 0, 226, 3, // Skip to: 2803
+/* 1809 */    MCD_OPC_Decode, 163, 14, 205, 2, // Opcode: VSHTOS
+/* 1814 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 1833
+/* 1818 */    MCD_OPC_CheckPredicate, 41, 213, 3, // Skip to: 2803
+/* 1822 */    MCD_OPC_CheckField, 4, 1, 0, 207, 3, // Skip to: 2803
+/* 1828 */    MCD_OPC_Decode, 203, 17, 205, 2, // Opcode: VUHTOS
+/* 1833 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 1852
+/* 1837 */    MCD_OPC_CheckPredicate, 41, 194, 3, // Skip to: 2803
+/* 1841 */    MCD_OPC_CheckField, 4, 1, 0, 188, 3, // Skip to: 2803
+/* 1847 */    MCD_OPC_Decode, 185, 17, 203, 2, // Opcode: VTOUIRS
+/* 1852 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 1871
+/* 1856 */    MCD_OPC_CheckPredicate, 41, 175, 3, // Skip to: 2803
+/* 1860 */    MCD_OPC_CheckField, 4, 1, 0, 169, 3, // Skip to: 2803
+/* 1866 */    MCD_OPC_Decode, 177, 17, 203, 2, // Opcode: VTOSIRS
+/* 1871 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 1890
+/* 1875 */    MCD_OPC_CheckPredicate, 41, 156, 3, // Skip to: 2803
+/* 1879 */    MCD_OPC_CheckField, 4, 1, 0, 150, 3, // Skip to: 2803
+/* 1885 */    MCD_OPC_Decode, 175, 17, 205, 2, // Opcode: VTOSHS
+/* 1890 */    MCD_OPC_FilterValue, 15, 141, 3, // Skip to: 2803
+/* 1894 */    MCD_OPC_CheckPredicate, 41, 137, 3, // Skip to: 2803
+/* 1898 */    MCD_OPC_CheckField, 4, 1, 0, 131, 3, // Skip to: 2803
+/* 1904 */    MCD_OPC_Decode, 183, 17, 205, 2, // Opcode: VTOUHS
+/* 1909 */    MCD_OPC_FilterValue, 43, 32, 1, // Skip to: 2201
+/* 1913 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 1916 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 1935
+/* 1920 */    MCD_OPC_CheckPredicate, 41, 111, 3, // Skip to: 2803
+/* 1924 */    MCD_OPC_CheckField, 4, 1, 0, 105, 3, // Skip to: 2803
+/* 1930 */    MCD_OPC_Decode, 172, 4, 203, 2, // Opcode: VABSS
+/* 1935 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1954
+/* 1939 */    MCD_OPC_CheckPredicate, 41, 92, 3, // Skip to: 2803
+/* 1943 */    MCD_OPC_CheckField, 4, 1, 0, 86, 3, // Skip to: 2803
+/* 1949 */    MCD_OPC_Decode, 177, 14, 203, 2, // Opcode: VSQRTS
+/* 1954 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1973
+/* 1958 */    MCD_OPC_CheckPredicate, 41, 73, 3, // Skip to: 2803
+/* 1962 */    MCD_OPC_CheckField, 4, 1, 0, 67, 3, // Skip to: 2803
+/* 1968 */    MCD_OPC_Decode, 236, 5, 203, 2, // Opcode: VCVTTHS
+/* 1973 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1992
+/* 1977 */    MCD_OPC_CheckPredicate, 41, 54, 3, // Skip to: 2803
+/* 1981 */    MCD_OPC_CheckField, 4, 1, 0, 48, 3, // Skip to: 2803
+/* 1987 */    MCD_OPC_Decode, 237, 5, 203, 2, // Opcode: VCVTTSH
+/* 1992 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 2011
+/* 1996 */    MCD_OPC_CheckPredicate, 41, 35, 3, // Skip to: 2803
+/* 2000 */    MCD_OPC_CheckField, 4, 1, 0, 29, 3, // Skip to: 2803
+/* 2006 */    MCD_OPC_Decode, 188, 5, 203, 2, // Opcode: VCMPES
+/* 2011 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 2030
+/* 2015 */    MCD_OPC_CheckPredicate, 41, 16, 3, // Skip to: 2803
+/* 2019 */    MCD_OPC_CheckField, 0, 6, 0, 10, 3, // Skip to: 2803
+/* 2025 */    MCD_OPC_Decode, 190, 5, 204, 2, // Opcode: VCMPEZS
+/* 2030 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 2049
+/* 2034 */    MCD_OPC_CheckPredicate, 46, 253, 2, // Skip to: 2803
+/* 2038 */    MCD_OPC_CheckField, 4, 1, 0, 247, 2, // Skip to: 2803
+/* 2044 */    MCD_OPC_Decode, 166, 13, 203, 2, // Opcode: VRINTZS
+/* 2049 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 2068
+/* 2053 */    MCD_OPC_CheckPredicate, 41, 234, 2, // Skip to: 2803
+/* 2057 */    MCD_OPC_CheckField, 4, 1, 0, 228, 2, // Skip to: 2803
+/* 2063 */    MCD_OPC_Decode, 208, 5, 206, 2, // Opcode: VCVTDS
+/* 2068 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 2087
+/* 2072 */    MCD_OPC_CheckPredicate, 41, 215, 2, // Skip to: 2803
+/* 2076 */    MCD_OPC_CheckField, 4, 1, 0, 209, 2, // Skip to: 2803
+/* 2082 */    MCD_OPC_Decode, 165, 14, 203, 2, // Opcode: VSITOS
+/* 2087 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 2106
+/* 2091 */    MCD_OPC_CheckPredicate, 41, 196, 2, // Skip to: 2803
+/* 2095 */    MCD_OPC_CheckField, 4, 1, 0, 190, 2, // Skip to: 2803
+/* 2101 */    MCD_OPC_Decode, 175, 14, 205, 2, // Opcode: VSLTOS
+/* 2106 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 2125
+/* 2110 */    MCD_OPC_CheckPredicate, 41, 177, 2, // Skip to: 2803
+/* 2114 */    MCD_OPC_CheckField, 4, 1, 0, 171, 2, // Skip to: 2803
+/* 2120 */    MCD_OPC_Decode, 207, 17, 205, 2, // Opcode: VULTOS
+/* 2125 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 2144
+/* 2129 */    MCD_OPC_CheckPredicate, 41, 158, 2, // Skip to: 2803
+/* 2133 */    MCD_OPC_CheckField, 4, 1, 0, 152, 2, // Skip to: 2803
+/* 2139 */    MCD_OPC_Decode, 187, 17, 203, 2, // Opcode: VTOUIZS
+/* 2144 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 2163
+/* 2148 */    MCD_OPC_CheckPredicate, 41, 139, 2, // Skip to: 2803
+/* 2152 */    MCD_OPC_CheckField, 4, 1, 0, 133, 2, // Skip to: 2803
+/* 2158 */    MCD_OPC_Decode, 179, 17, 203, 2, // Opcode: VTOSIZS
+/* 2163 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 2182
+/* 2167 */    MCD_OPC_CheckPredicate, 41, 120, 2, // Skip to: 2803
+/* 2171 */    MCD_OPC_CheckField, 4, 1, 0, 114, 2, // Skip to: 2803
+/* 2177 */    MCD_OPC_Decode, 181, 17, 205, 2, // Opcode: VTOSLS
+/* 2182 */    MCD_OPC_FilterValue, 15, 105, 2, // Skip to: 2803
+/* 2186 */    MCD_OPC_CheckPredicate, 41, 101, 2, // Skip to: 2803
+/* 2190 */    MCD_OPC_CheckField, 4, 1, 0, 95, 2, // Skip to: 2803
+/* 2196 */    MCD_OPC_Decode, 189, 17, 205, 2, // Opcode: VTOULS
+/* 2201 */    MCD_OPC_FilterValue, 44, 14, 0, // Skip to: 2219
+/* 2205 */    MCD_OPC_CheckPredicate, 47, 82, 2, // Skip to: 2803
+/* 2209 */    MCD_OPC_CheckField, 4, 2, 0, 76, 2, // Skip to: 2803
+/* 2215 */    MCD_OPC_Decode, 102, 207, 2, // Opcode: FCONSTD
+/* 2219 */    MCD_OPC_FilterValue, 45, 32, 1, // Skip to: 2511
+/* 2223 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 2226 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2245
+/* 2230 */    MCD_OPC_CheckPredicate, 42, 57, 2, // Skip to: 2803
+/* 2234 */    MCD_OPC_CheckField, 4, 1, 0, 51, 2, // Skip to: 2803
+/* 2240 */    MCD_OPC_Decode, 191, 10, 208, 2, // Opcode: VMOVD
+/* 2245 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 2264
+/* 2249 */    MCD_OPC_CheckPredicate, 42, 38, 2, // Skip to: 2803
+/* 2253 */    MCD_OPC_CheckField, 4, 1, 0, 32, 2, // Skip to: 2803
+/* 2259 */    MCD_OPC_Decode, 143, 11, 208, 2, // Opcode: VNEGD
+/* 2264 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2283
+/* 2268 */    MCD_OPC_CheckPredicate, 48, 19, 2, // Skip to: 2803
+/* 2272 */    MCD_OPC_CheckField, 4, 1, 0, 13, 2, // Skip to: 2803
+/* 2278 */    MCD_OPC_Decode, 205, 5, 206, 2, // Opcode: VCVTBHD
+/* 2283 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 2302
+/* 2287 */    MCD_OPC_CheckPredicate, 48, 0, 2, // Skip to: 2803
+/* 2291 */    MCD_OPC_CheckField, 4, 1, 0, 250, 1, // Skip to: 2803
+/* 2297 */    MCD_OPC_Decode, 204, 5, 209, 2, // Opcode: VCVTBDH
+/* 2302 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 2321
+/* 2306 */    MCD_OPC_CheckPredicate, 42, 237, 1, // Skip to: 2803
+/* 2310 */    MCD_OPC_CheckField, 4, 1, 0, 231, 1, // Skip to: 2803
+/* 2316 */    MCD_OPC_Decode, 186, 5, 208, 2, // Opcode: VCMPD
+/* 2321 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 2340
+/* 2325 */    MCD_OPC_CheckPredicate, 42, 218, 1, // Skip to: 2803
+/* 2329 */    MCD_OPC_CheckField, 0, 6, 0, 212, 1, // Skip to: 2803
+/* 2335 */    MCD_OPC_Decode, 192, 5, 210, 2, // Opcode: VCMPZD
+/* 2340 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 2359
+/* 2344 */    MCD_OPC_CheckPredicate, 48, 199, 1, // Skip to: 2803
+/* 2348 */    MCD_OPC_CheckField, 4, 1, 0, 193, 1, // Skip to: 2803
+/* 2354 */    MCD_OPC_Decode, 157, 13, 208, 2, // Opcode: VRINTRD
+/* 2359 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 2378
+/* 2363 */    MCD_OPC_CheckPredicate, 48, 180, 1, // Skip to: 2803
+/* 2367 */    MCD_OPC_CheckField, 4, 1, 0, 174, 1, // Skip to: 2803
+/* 2373 */    MCD_OPC_Decode, 159, 13, 208, 2, // Opcode: VRINTXD
+/* 2378 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 2397
+/* 2382 */    MCD_OPC_CheckPredicate, 42, 161, 1, // Skip to: 2803
+/* 2386 */    MCD_OPC_CheckField, 4, 1, 0, 155, 1, // Skip to: 2803
+/* 2392 */    MCD_OPC_Decode, 204, 17, 206, 2, // Opcode: VUITOD
+/* 2397 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 2416
+/* 2401 */    MCD_OPC_CheckPredicate, 42, 142, 1, // Skip to: 2803
+/* 2405 */    MCD_OPC_CheckField, 4, 1, 0, 136, 1, // Skip to: 2803
+/* 2411 */    MCD_OPC_Decode, 162, 14, 211, 2, // Opcode: VSHTOD
+/* 2416 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 2435
+/* 2420 */    MCD_OPC_CheckPredicate, 42, 123, 1, // Skip to: 2803
+/* 2424 */    MCD_OPC_CheckField, 4, 1, 0, 117, 1, // Skip to: 2803
+/* 2430 */    MCD_OPC_Decode, 202, 17, 211, 2, // Opcode: VUHTOD
+/* 2435 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 2454
+/* 2439 */    MCD_OPC_CheckPredicate, 42, 104, 1, // Skip to: 2803
+/* 2443 */    MCD_OPC_CheckField, 4, 1, 0, 98, 1, // Skip to: 2803
+/* 2449 */    MCD_OPC_Decode, 184, 17, 209, 2, // Opcode: VTOUIRD
+/* 2454 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 2473
+/* 2458 */    MCD_OPC_CheckPredicate, 42, 85, 1, // Skip to: 2803
+/* 2462 */    MCD_OPC_CheckField, 4, 1, 0, 79, 1, // Skip to: 2803
+/* 2468 */    MCD_OPC_Decode, 176, 17, 209, 2, // Opcode: VTOSIRD
+/* 2473 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 2492
+/* 2477 */    MCD_OPC_CheckPredicate, 42, 66, 1, // Skip to: 2803
+/* 2481 */    MCD_OPC_CheckField, 4, 1, 0, 60, 1, // Skip to: 2803
+/* 2487 */    MCD_OPC_Decode, 174, 17, 211, 2, // Opcode: VTOSHD
+/* 2492 */    MCD_OPC_FilterValue, 15, 51, 1, // Skip to: 2803
+/* 2496 */    MCD_OPC_CheckPredicate, 42, 47, 1, // Skip to: 2803
+/* 2500 */    MCD_OPC_CheckField, 4, 1, 0, 41, 1, // Skip to: 2803
+/* 2506 */    MCD_OPC_Decode, 182, 17, 211, 2, // Opcode: VTOUHD
+/* 2511 */    MCD_OPC_FilterValue, 47, 32, 1, // Skip to: 2803
+/* 2515 */    MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
+/* 2518 */    MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 2537
+/* 2522 */    MCD_OPC_CheckPredicate, 42, 21, 1, // Skip to: 2803
+/* 2526 */    MCD_OPC_CheckField, 4, 1, 0, 15, 1, // Skip to: 2803
+/* 2532 */    MCD_OPC_Decode, 171, 4, 208, 2, // Opcode: VABSD
+/* 2537 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 2556
+/* 2541 */    MCD_OPC_CheckPredicate, 42, 2, 1, // Skip to: 2803
+/* 2545 */    MCD_OPC_CheckField, 4, 1, 0, 252, 0, // Skip to: 2803
+/* 2551 */    MCD_OPC_Decode, 176, 14, 208, 2, // Opcode: VSQRTD
+/* 2556 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2575
+/* 2560 */    MCD_OPC_CheckPredicate, 48, 239, 0, // Skip to: 2803
+/* 2564 */    MCD_OPC_CheckField, 4, 1, 0, 233, 0, // Skip to: 2803
+/* 2570 */    MCD_OPC_Decode, 235, 5, 206, 2, // Opcode: VCVTTHD
+/* 2575 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 2594
+/* 2579 */    MCD_OPC_CheckPredicate, 48, 220, 0, // Skip to: 2803
+/* 2583 */    MCD_OPC_CheckField, 4, 1, 0, 214, 0, // Skip to: 2803
+/* 2589 */    MCD_OPC_Decode, 234, 5, 209, 2, // Opcode: VCVTTDH
+/* 2594 */    MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 2613
+/* 2598 */    MCD_OPC_CheckPredicate, 42, 201, 0, // Skip to: 2803
+/* 2602 */    MCD_OPC_CheckField, 4, 1, 0, 195, 0, // Skip to: 2803
+/* 2608 */    MCD_OPC_Decode, 187, 5, 208, 2, // Opcode: VCMPED
+/* 2613 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 2632
+/* 2617 */    MCD_OPC_CheckPredicate, 42, 182, 0, // Skip to: 2803
+/* 2621 */    MCD_OPC_CheckField, 0, 6, 0, 176, 0, // Skip to: 2803
+/* 2627 */    MCD_OPC_Decode, 189, 5, 210, 2, // Opcode: VCMPEZD
+/* 2632 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 2651
+/* 2636 */    MCD_OPC_CheckPredicate, 48, 163, 0, // Skip to: 2803
+/* 2640 */    MCD_OPC_CheckField, 4, 1, 0, 157, 0, // Skip to: 2803
+/* 2646 */    MCD_OPC_Decode, 163, 13, 208, 2, // Opcode: VRINTZD
+/* 2651 */    MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 2670
+/* 2655 */    MCD_OPC_CheckPredicate, 42, 144, 0, // Skip to: 2803
+/* 2659 */    MCD_OPC_CheckField, 4, 1, 0, 138, 0, // Skip to: 2803
+/* 2665 */    MCD_OPC_Decode, 233, 5, 209, 2, // Opcode: VCVTSD
+/* 2670 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 2689
+/* 2674 */    MCD_OPC_CheckPredicate, 42, 125, 0, // Skip to: 2803
+/* 2678 */    MCD_OPC_CheckField, 4, 1, 0, 119, 0, // Skip to: 2803
+/* 2684 */    MCD_OPC_Decode, 164, 14, 206, 2, // Opcode: VSITOD
+/* 2689 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 2708
+/* 2693 */    MCD_OPC_CheckPredicate, 42, 106, 0, // Skip to: 2803
+/* 2697 */    MCD_OPC_CheckField, 4, 1, 0, 100, 0, // Skip to: 2803
+/* 2703 */    MCD_OPC_Decode, 174, 14, 211, 2, // Opcode: VSLTOD
+/* 2708 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 2727
+/* 2712 */    MCD_OPC_CheckPredicate, 42, 87, 0, // Skip to: 2803
+/* 2716 */    MCD_OPC_CheckField, 4, 1, 0, 81, 0, // Skip to: 2803
+/* 2722 */    MCD_OPC_Decode, 206, 17, 211, 2, // Opcode: VULTOD
+/* 2727 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 2746
+/* 2731 */    MCD_OPC_CheckPredicate, 42, 68, 0, // Skip to: 2803
+/* 2735 */    MCD_OPC_CheckField, 4, 1, 0, 62, 0, // Skip to: 2803
+/* 2741 */    MCD_OPC_Decode, 186, 17, 209, 2, // Opcode: VTOUIZD
+/* 2746 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 2765
+/* 2750 */    MCD_OPC_CheckPredicate, 42, 49, 0, // Skip to: 2803
+/* 2754 */    MCD_OPC_CheckField, 4, 1, 0, 43, 0, // Skip to: 2803
+/* 2760 */    MCD_OPC_Decode, 178, 17, 209, 2, // Opcode: VTOSIZD
+/* 2765 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 2784
+/* 2769 */    MCD_OPC_CheckPredicate, 42, 30, 0, // Skip to: 2803
+/* 2773 */    MCD_OPC_CheckField, 4, 1, 0, 24, 0, // Skip to: 2803
+/* 2779 */    MCD_OPC_Decode, 180, 17, 211, 2, // Opcode: VTOSLD
+/* 2784 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 2803
+/* 2788 */    MCD_OPC_CheckPredicate, 42, 11, 0, // Skip to: 2803
+/* 2792 */    MCD_OPC_CheckField, 4, 1, 0, 5, 0, // Skip to: 2803
+/* 2798 */    MCD_OPC_Decode, 188, 17, 211, 2, // Opcode: VTOULD
+/* 2803 */    MCD_OPC_Fail,
   0
 };
 
@@ -9430,215 +9444,215 @@
 /* 17 */      MCD_OPC_FilterValue, 10, 43, 0, // Skip to: 64
 /* 21 */      MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 24 */      MCD_OPC_FilterValue, 252, 3, 15, 0, // Skip to: 44
-/* 29 */      MCD_OPC_CheckPredicate, 43, 254, 3, // Skip to: 1055
+/* 29 */      MCD_OPC_CheckPredicate, 46, 254, 3, // Skip to: 1055
 /* 33 */      MCD_OPC_CheckField, 4, 1, 0, 248, 3, // Skip to: 1055
-/* 39 */      MCD_OPC_Decode, 148, 14, 213, 2, // Opcode: VSELEQS
+/* 39 */      MCD_OPC_Decode, 228, 13, 212, 2, // Opcode: VSELEQS
 /* 44 */      MCD_OPC_FilterValue, 253, 3, 238, 3, // Skip to: 1055
-/* 49 */      MCD_OPC_CheckPredicate, 43, 234, 3, // Skip to: 1055
+/* 49 */      MCD_OPC_CheckPredicate, 46, 234, 3, // Skip to: 1055
 /* 53 */      MCD_OPC_CheckField, 4, 1, 0, 228, 3, // Skip to: 1055
-/* 59 */      MCD_OPC_Decode, 156, 10, 213, 2, // Opcode: VMAXNMS
+/* 59 */      MCD_OPC_Decode, 234, 9, 212, 2, // Opcode: VMAXNMS
 /* 64 */      MCD_OPC_FilterValue, 11, 219, 3, // Skip to: 1055
 /* 68 */      MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 71 */      MCD_OPC_FilterValue, 252, 3, 14, 0, // Skip to: 90
-/* 76 */      MCD_OPC_CheckPredicate, 45, 207, 3, // Skip to: 1055
+/* 76 */      MCD_OPC_CheckPredicate, 48, 207, 3, // Skip to: 1055
 /* 80 */      MCD_OPC_CheckField, 4, 1, 0, 201, 3, // Skip to: 1055
-/* 86 */      MCD_OPC_Decode, 147, 14, 95, // Opcode: VSELEQD
+/* 86 */      MCD_OPC_Decode, 227, 13, 94, // Opcode: VSELEQD
 /* 90 */      MCD_OPC_FilterValue, 253, 3, 192, 3, // Skip to: 1055
-/* 95 */      MCD_OPC_CheckPredicate, 45, 188, 3, // Skip to: 1055
+/* 95 */      MCD_OPC_CheckPredicate, 48, 188, 3, // Skip to: 1055
 /* 99 */      MCD_OPC_CheckField, 4, 1, 0, 182, 3, // Skip to: 1055
-/* 105 */     MCD_OPC_Decode, 153, 10, 95, // Opcode: VMAXNMD
+/* 105 */     MCD_OPC_Decode, 231, 9, 94, // Opcode: VMAXNMD
 /* 109 */     MCD_OPC_FilterValue, 1, 174, 3, // Skip to: 1055
 /* 113 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 116 */     MCD_OPC_FilterValue, 10, 22, 0, // Skip to: 142
-/* 120 */     MCD_OPC_CheckPredicate, 43, 163, 3, // Skip to: 1055
+/* 120 */     MCD_OPC_CheckPredicate, 46, 163, 3, // Skip to: 1055
 /* 124 */     MCD_OPC_CheckField, 23, 9, 253, 3, 156, 3, // Skip to: 1055
 /* 131 */     MCD_OPC_CheckField, 4, 1, 0, 150, 3, // Skip to: 1055
-/* 137 */     MCD_OPC_Decode, 174, 10, 213, 2, // Opcode: VMINNMS
+/* 137 */     MCD_OPC_Decode, 252, 9, 212, 2, // Opcode: VMINNMS
 /* 142 */     MCD_OPC_FilterValue, 11, 141, 3, // Skip to: 1055
-/* 146 */     MCD_OPC_CheckPredicate, 45, 137, 3, // Skip to: 1055
+/* 146 */     MCD_OPC_CheckPredicate, 48, 137, 3, // Skip to: 1055
 /* 150 */     MCD_OPC_CheckField, 23, 9, 253, 3, 130, 3, // Skip to: 1055
 /* 157 */     MCD_OPC_CheckField, 4, 1, 0, 124, 3, // Skip to: 1055
-/* 163 */     MCD_OPC_Decode, 171, 10, 95, // Opcode: VMINNMD
+/* 163 */     MCD_OPC_Decode, 249, 9, 94, // Opcode: VMINNMD
 /* 167 */     MCD_OPC_FilterValue, 1, 66, 0, // Skip to: 237
 /* 171 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 174 */     MCD_OPC_FilterValue, 10, 28, 0, // Skip to: 206
-/* 178 */     MCD_OPC_CheckPredicate, 43, 105, 3, // Skip to: 1055
+/* 178 */     MCD_OPC_CheckPredicate, 46, 105, 3, // Skip to: 1055
 /* 182 */     MCD_OPC_CheckField, 23, 9, 252, 3, 98, 3, // Skip to: 1055
 /* 189 */     MCD_OPC_CheckField, 6, 1, 0, 92, 3, // Skip to: 1055
 /* 195 */     MCD_OPC_CheckField, 4, 1, 0, 86, 3, // Skip to: 1055
-/* 201 */     MCD_OPC_Decode, 154, 14, 213, 2, // Opcode: VSELVSS
+/* 201 */     MCD_OPC_Decode, 234, 13, 212, 2, // Opcode: VSELVSS
 /* 206 */     MCD_OPC_FilterValue, 11, 77, 3, // Skip to: 1055
-/* 210 */     MCD_OPC_CheckPredicate, 45, 73, 3, // Skip to: 1055
+/* 210 */     MCD_OPC_CheckPredicate, 48, 73, 3, // Skip to: 1055
 /* 214 */     MCD_OPC_CheckField, 23, 9, 252, 3, 66, 3, // Skip to: 1055
 /* 221 */     MCD_OPC_CheckField, 6, 1, 0, 60, 3, // Skip to: 1055
 /* 227 */     MCD_OPC_CheckField, 4, 1, 0, 54, 3, // Skip to: 1055
-/* 233 */     MCD_OPC_Decode, 153, 14, 95, // Opcode: VSELVSD
+/* 233 */     MCD_OPC_Decode, 233, 13, 94, // Opcode: VSELVSD
 /* 237 */     MCD_OPC_FilterValue, 2, 66, 0, // Skip to: 307
 /* 241 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 244 */     MCD_OPC_FilterValue, 10, 28, 0, // Skip to: 276
-/* 248 */     MCD_OPC_CheckPredicate, 43, 35, 3, // Skip to: 1055
+/* 248 */     MCD_OPC_CheckPredicate, 46, 35, 3, // Skip to: 1055
 /* 252 */     MCD_OPC_CheckField, 23, 9, 252, 3, 28, 3, // Skip to: 1055
 /* 259 */     MCD_OPC_CheckField, 6, 1, 0, 22, 3, // Skip to: 1055
 /* 265 */     MCD_OPC_CheckField, 4, 1, 0, 16, 3, // Skip to: 1055
-/* 271 */     MCD_OPC_Decode, 150, 14, 213, 2, // Opcode: VSELGES
+/* 271 */     MCD_OPC_Decode, 230, 13, 212, 2, // Opcode: VSELGES
 /* 276 */     MCD_OPC_FilterValue, 11, 7, 3, // Skip to: 1055
-/* 280 */     MCD_OPC_CheckPredicate, 45, 3, 3, // Skip to: 1055
+/* 280 */     MCD_OPC_CheckPredicate, 48, 3, 3, // Skip to: 1055
 /* 284 */     MCD_OPC_CheckField, 23, 9, 252, 3, 252, 2, // Skip to: 1055
 /* 291 */     MCD_OPC_CheckField, 6, 1, 0, 246, 2, // Skip to: 1055
 /* 297 */     MCD_OPC_CheckField, 4, 1, 0, 240, 2, // Skip to: 1055
-/* 303 */     MCD_OPC_Decode, 149, 14, 95, // Opcode: VSELGED
+/* 303 */     MCD_OPC_Decode, 229, 13, 94, // Opcode: VSELGED
 /* 307 */     MCD_OPC_FilterValue, 3, 232, 2, // Skip to: 1055
 /* 311 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 314 */     MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 372
 /* 318 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 321 */     MCD_OPC_FilterValue, 10, 22, 0, // Skip to: 347
-/* 325 */     MCD_OPC_CheckPredicate, 43, 214, 2, // Skip to: 1055
+/* 325 */     MCD_OPC_CheckPredicate, 46, 214, 2, // Skip to: 1055
 /* 329 */     MCD_OPC_CheckField, 23, 9, 252, 3, 207, 2, // Skip to: 1055
 /* 336 */     MCD_OPC_CheckField, 4, 1, 0, 201, 2, // Skip to: 1055
-/* 342 */     MCD_OPC_Decode, 152, 14, 213, 2, // Opcode: VSELGTS
+/* 342 */     MCD_OPC_Decode, 232, 13, 212, 2, // Opcode: VSELGTS
 /* 347 */     MCD_OPC_FilterValue, 11, 192, 2, // Skip to: 1055
-/* 351 */     MCD_OPC_CheckPredicate, 45, 188, 2, // Skip to: 1055
+/* 351 */     MCD_OPC_CheckPredicate, 48, 188, 2, // Skip to: 1055
 /* 355 */     MCD_OPC_CheckField, 23, 9, 252, 3, 181, 2, // Skip to: 1055
 /* 362 */     MCD_OPC_CheckField, 4, 1, 0, 175, 2, // Skip to: 1055
-/* 368 */     MCD_OPC_Decode, 151, 14, 95, // Opcode: VSELGTD
+/* 368 */     MCD_OPC_Decode, 231, 13, 94, // Opcode: VSELGTD
 /* 372 */     MCD_OPC_FilterValue, 1, 167, 2, // Skip to: 1055
 /* 376 */     MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 379 */     MCD_OPC_FilterValue, 8, 54, 0, // Skip to: 437
 /* 383 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 386 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 412
-/* 390 */     MCD_OPC_CheckPredicate, 43, 149, 2, // Skip to: 1055
+/* 390 */     MCD_OPC_CheckPredicate, 46, 149, 2, // Skip to: 1055
 /* 394 */     MCD_OPC_CheckField, 23, 9, 253, 3, 142, 2, // Skip to: 1055
 /* 401 */     MCD_OPC_CheckField, 4, 1, 0, 136, 2, // Skip to: 1055
-/* 407 */     MCD_OPC_Decode, 192, 13, 214, 2, // Opcode: VRINTAS
+/* 407 */     MCD_OPC_Decode, 144, 13, 213, 2, // Opcode: VRINTAS
 /* 412 */     MCD_OPC_FilterValue, 22, 127, 2, // Skip to: 1055
-/* 416 */     MCD_OPC_CheckPredicate, 45, 123, 2, // Skip to: 1055
+/* 416 */     MCD_OPC_CheckPredicate, 48, 123, 2, // Skip to: 1055
 /* 420 */     MCD_OPC_CheckField, 23, 9, 253, 3, 116, 2, // Skip to: 1055
 /* 427 */     MCD_OPC_CheckField, 4, 1, 0, 110, 2, // Skip to: 1055
-/* 433 */     MCD_OPC_Decode, 189, 13, 124, // Opcode: VRINTAD
+/* 433 */     MCD_OPC_Decode, 141, 13, 123, // Opcode: VRINTAD
 /* 437 */     MCD_OPC_FilterValue, 9, 54, 0, // Skip to: 495
 /* 441 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 444 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 470
-/* 448 */     MCD_OPC_CheckPredicate, 43, 91, 2, // Skip to: 1055
+/* 448 */     MCD_OPC_CheckPredicate, 46, 91, 2, // Skip to: 1055
 /* 452 */     MCD_OPC_CheckField, 23, 9, 253, 3, 84, 2, // Skip to: 1055
 /* 459 */     MCD_OPC_CheckField, 4, 1, 0, 78, 2, // Skip to: 1055
-/* 465 */     MCD_OPC_Decode, 200, 13, 214, 2, // Opcode: VRINTNS
+/* 465 */     MCD_OPC_Decode, 152, 13, 213, 2, // Opcode: VRINTNS
 /* 470 */     MCD_OPC_FilterValue, 22, 69, 2, // Skip to: 1055
-/* 474 */     MCD_OPC_CheckPredicate, 45, 65, 2, // Skip to: 1055
+/* 474 */     MCD_OPC_CheckPredicate, 48, 65, 2, // Skip to: 1055
 /* 478 */     MCD_OPC_CheckField, 23, 9, 253, 3, 58, 2, // Skip to: 1055
 /* 485 */     MCD_OPC_CheckField, 4, 1, 0, 52, 2, // Skip to: 1055
-/* 491 */     MCD_OPC_Decode, 197, 13, 124, // Opcode: VRINTND
+/* 491 */     MCD_OPC_Decode, 149, 13, 123, // Opcode: VRINTND
 /* 495 */     MCD_OPC_FilterValue, 10, 54, 0, // Skip to: 553
 /* 499 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 502 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 528
-/* 506 */     MCD_OPC_CheckPredicate, 43, 33, 2, // Skip to: 1055
+/* 506 */     MCD_OPC_CheckPredicate, 46, 33, 2, // Skip to: 1055
 /* 510 */     MCD_OPC_CheckField, 23, 9, 253, 3, 26, 2, // Skip to: 1055
 /* 517 */     MCD_OPC_CheckField, 4, 1, 0, 20, 2, // Skip to: 1055
-/* 523 */     MCD_OPC_Decode, 204, 13, 214, 2, // Opcode: VRINTPS
+/* 523 */     MCD_OPC_Decode, 156, 13, 213, 2, // Opcode: VRINTPS
 /* 528 */     MCD_OPC_FilterValue, 22, 11, 2, // Skip to: 1055
-/* 532 */     MCD_OPC_CheckPredicate, 45, 7, 2, // Skip to: 1055
+/* 532 */     MCD_OPC_CheckPredicate, 48, 7, 2, // Skip to: 1055
 /* 536 */     MCD_OPC_CheckField, 23, 9, 253, 3, 0, 2, // Skip to: 1055
 /* 543 */     MCD_OPC_CheckField, 4, 1, 0, 250, 1, // Skip to: 1055
-/* 549 */     MCD_OPC_Decode, 201, 13, 124, // Opcode: VRINTPD
+/* 549 */     MCD_OPC_Decode, 153, 13, 123, // Opcode: VRINTPD
 /* 553 */     MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 611
 /* 557 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 560 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 586
-/* 564 */     MCD_OPC_CheckPredicate, 43, 231, 1, // Skip to: 1055
+/* 564 */     MCD_OPC_CheckPredicate, 46, 231, 1, // Skip to: 1055
 /* 568 */     MCD_OPC_CheckField, 23, 9, 253, 3, 224, 1, // Skip to: 1055
 /* 575 */     MCD_OPC_CheckField, 4, 1, 0, 218, 1, // Skip to: 1055
-/* 581 */     MCD_OPC_Decode, 196, 13, 214, 2, // Opcode: VRINTMS
+/* 581 */     MCD_OPC_Decode, 148, 13, 213, 2, // Opcode: VRINTMS
 /* 586 */     MCD_OPC_FilterValue, 22, 209, 1, // Skip to: 1055
-/* 590 */     MCD_OPC_CheckPredicate, 45, 205, 1, // Skip to: 1055
+/* 590 */     MCD_OPC_CheckPredicate, 48, 205, 1, // Skip to: 1055
 /* 594 */     MCD_OPC_CheckField, 23, 9, 253, 3, 198, 1, // Skip to: 1055
 /* 601 */     MCD_OPC_CheckField, 4, 1, 0, 192, 1, // Skip to: 1055
-/* 607 */     MCD_OPC_Decode, 193, 13, 124, // Opcode: VRINTMD
+/* 607 */     MCD_OPC_Decode, 145, 13, 123, // Opcode: VRINTMD
 /* 611 */     MCD_OPC_FilterValue, 12, 107, 0, // Skip to: 722
 /* 615 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 618 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 644
-/* 622 */     MCD_OPC_CheckPredicate, 43, 173, 1, // Skip to: 1055
+/* 622 */     MCD_OPC_CheckPredicate, 46, 173, 1, // Skip to: 1055
 /* 626 */     MCD_OPC_CheckField, 23, 9, 253, 3, 166, 1, // Skip to: 1055
 /* 633 */     MCD_OPC_CheckField, 4, 1, 0, 160, 1, // Skip to: 1055
-/* 639 */     MCD_OPC_Decode, 253, 5, 214, 2, // Opcode: VCVTAUS
+/* 639 */     MCD_OPC_Decode, 203, 5, 213, 2, // Opcode: VCVTAUS
 /* 644 */     MCD_OPC_FilterValue, 21, 22, 0, // Skip to: 670
-/* 648 */     MCD_OPC_CheckPredicate, 43, 147, 1, // Skip to: 1055
+/* 648 */     MCD_OPC_CheckPredicate, 46, 147, 1, // Skip to: 1055
 /* 652 */     MCD_OPC_CheckField, 23, 9, 253, 3, 140, 1, // Skip to: 1055
 /* 659 */     MCD_OPC_CheckField, 4, 1, 0, 134, 1, // Skip to: 1055
-/* 665 */     MCD_OPC_Decode, 251, 5, 214, 2, // Opcode: VCVTASS
+/* 665 */     MCD_OPC_Decode, 201, 5, 213, 2, // Opcode: VCVTASS
 /* 670 */     MCD_OPC_FilterValue, 22, 22, 0, // Skip to: 696
-/* 674 */     MCD_OPC_CheckPredicate, 45, 121, 1, // Skip to: 1055
+/* 674 */     MCD_OPC_CheckPredicate, 48, 121, 1, // Skip to: 1055
 /* 678 */     MCD_OPC_CheckField, 23, 9, 253, 3, 114, 1, // Skip to: 1055
 /* 685 */     MCD_OPC_CheckField, 4, 1, 0, 108, 1, // Skip to: 1055
-/* 691 */     MCD_OPC_Decode, 252, 5, 215, 2, // Opcode: VCVTAUD
+/* 691 */     MCD_OPC_Decode, 202, 5, 214, 2, // Opcode: VCVTAUD
 /* 696 */     MCD_OPC_FilterValue, 23, 99, 1, // Skip to: 1055
-/* 700 */     MCD_OPC_CheckPredicate, 45, 95, 1, // Skip to: 1055
+/* 700 */     MCD_OPC_CheckPredicate, 48, 95, 1, // Skip to: 1055
 /* 704 */     MCD_OPC_CheckField, 23, 9, 253, 3, 88, 1, // Skip to: 1055
 /* 711 */     MCD_OPC_CheckField, 4, 1, 0, 82, 1, // Skip to: 1055
-/* 717 */     MCD_OPC_Decode, 250, 5, 215, 2, // Opcode: VCVTASD
+/* 717 */     MCD_OPC_Decode, 200, 5, 214, 2, // Opcode: VCVTASD
 /* 722 */     MCD_OPC_FilterValue, 13, 107, 0, // Skip to: 833
 /* 726 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 729 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 755
-/* 733 */     MCD_OPC_CheckPredicate, 43, 62, 1, // Skip to: 1055
+/* 733 */     MCD_OPC_CheckPredicate, 46, 62, 1, // Skip to: 1055
 /* 737 */     MCD_OPC_CheckField, 23, 9, 253, 3, 55, 1, // Skip to: 1055
 /* 744 */     MCD_OPC_CheckField, 4, 1, 0, 49, 1, // Skip to: 1055
-/* 750 */     MCD_OPC_Decode, 146, 6, 214, 2, // Opcode: VCVTNUS
+/* 750 */     MCD_OPC_Decode, 224, 5, 213, 2, // Opcode: VCVTNUS
 /* 755 */     MCD_OPC_FilterValue, 21, 22, 0, // Skip to: 781
-/* 759 */     MCD_OPC_CheckPredicate, 43, 36, 1, // Skip to: 1055
+/* 759 */     MCD_OPC_CheckPredicate, 46, 36, 1, // Skip to: 1055
 /* 763 */     MCD_OPC_CheckField, 23, 9, 253, 3, 29, 1, // Skip to: 1055
 /* 770 */     MCD_OPC_CheckField, 4, 1, 0, 23, 1, // Skip to: 1055
-/* 776 */     MCD_OPC_Decode, 144, 6, 214, 2, // Opcode: VCVTNSS
+/* 776 */     MCD_OPC_Decode, 222, 5, 213, 2, // Opcode: VCVTNSS
 /* 781 */     MCD_OPC_FilterValue, 22, 22, 0, // Skip to: 807
-/* 785 */     MCD_OPC_CheckPredicate, 45, 10, 1, // Skip to: 1055
+/* 785 */     MCD_OPC_CheckPredicate, 48, 10, 1, // Skip to: 1055
 /* 789 */     MCD_OPC_CheckField, 23, 9, 253, 3, 3, 1, // Skip to: 1055
 /* 796 */     MCD_OPC_CheckField, 4, 1, 0, 253, 0, // Skip to: 1055
-/* 802 */     MCD_OPC_Decode, 145, 6, 215, 2, // Opcode: VCVTNUD
+/* 802 */     MCD_OPC_Decode, 223, 5, 214, 2, // Opcode: VCVTNUD
 /* 807 */     MCD_OPC_FilterValue, 23, 244, 0, // Skip to: 1055
-/* 811 */     MCD_OPC_CheckPredicate, 45, 240, 0, // Skip to: 1055
+/* 811 */     MCD_OPC_CheckPredicate, 48, 240, 0, // Skip to: 1055
 /* 815 */     MCD_OPC_CheckField, 23, 9, 253, 3, 233, 0, // Skip to: 1055
 /* 822 */     MCD_OPC_CheckField, 4, 1, 0, 227, 0, // Skip to: 1055
-/* 828 */     MCD_OPC_Decode, 143, 6, 215, 2, // Opcode: VCVTNSD
+/* 828 */     MCD_OPC_Decode, 221, 5, 214, 2, // Opcode: VCVTNSD
 /* 833 */     MCD_OPC_FilterValue, 14, 107, 0, // Skip to: 944
 /* 837 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 840 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 866
-/* 844 */     MCD_OPC_CheckPredicate, 43, 207, 0, // Skip to: 1055
+/* 844 */     MCD_OPC_CheckPredicate, 46, 207, 0, // Skip to: 1055
 /* 848 */     MCD_OPC_CheckField, 23, 9, 253, 3, 200, 0, // Skip to: 1055
 /* 855 */     MCD_OPC_CheckField, 4, 1, 0, 194, 0, // Skip to: 1055
-/* 861 */     MCD_OPC_Decode, 154, 6, 214, 2, // Opcode: VCVTPUS
+/* 861 */     MCD_OPC_Decode, 232, 5, 213, 2, // Opcode: VCVTPUS
 /* 866 */     MCD_OPC_FilterValue, 21, 22, 0, // Skip to: 892
-/* 870 */     MCD_OPC_CheckPredicate, 43, 181, 0, // Skip to: 1055
+/* 870 */     MCD_OPC_CheckPredicate, 46, 181, 0, // Skip to: 1055
 /* 874 */     MCD_OPC_CheckField, 23, 9, 253, 3, 174, 0, // Skip to: 1055
 /* 881 */     MCD_OPC_CheckField, 4, 1, 0, 168, 0, // Skip to: 1055
-/* 887 */     MCD_OPC_Decode, 152, 6, 214, 2, // Opcode: VCVTPSS
+/* 887 */     MCD_OPC_Decode, 230, 5, 213, 2, // Opcode: VCVTPSS
 /* 892 */     MCD_OPC_FilterValue, 22, 22, 0, // Skip to: 918
-/* 896 */     MCD_OPC_CheckPredicate, 45, 155, 0, // Skip to: 1055
+/* 896 */     MCD_OPC_CheckPredicate, 48, 155, 0, // Skip to: 1055
 /* 900 */     MCD_OPC_CheckField, 23, 9, 253, 3, 148, 0, // Skip to: 1055
 /* 907 */     MCD_OPC_CheckField, 4, 1, 0, 142, 0, // Skip to: 1055
-/* 913 */     MCD_OPC_Decode, 153, 6, 215, 2, // Opcode: VCVTPUD
+/* 913 */     MCD_OPC_Decode, 231, 5, 214, 2, // Opcode: VCVTPUD
 /* 918 */     MCD_OPC_FilterValue, 23, 133, 0, // Skip to: 1055
-/* 922 */     MCD_OPC_CheckPredicate, 45, 129, 0, // Skip to: 1055
+/* 922 */     MCD_OPC_CheckPredicate, 48, 129, 0, // Skip to: 1055
 /* 926 */     MCD_OPC_CheckField, 23, 9, 253, 3, 122, 0, // Skip to: 1055
 /* 933 */     MCD_OPC_CheckField, 4, 1, 0, 116, 0, // Skip to: 1055
-/* 939 */     MCD_OPC_Decode, 151, 6, 215, 2, // Opcode: VCVTPSD
+/* 939 */     MCD_OPC_Decode, 229, 5, 214, 2, // Opcode: VCVTPSD
 /* 944 */     MCD_OPC_FilterValue, 15, 107, 0, // Skip to: 1055
 /* 948 */     MCD_OPC_ExtractField, 7, 5,  // Inst{11-7} ...
 /* 951 */     MCD_OPC_FilterValue, 20, 22, 0, // Skip to: 977
-/* 955 */     MCD_OPC_CheckPredicate, 43, 96, 0, // Skip to: 1055
+/* 955 */     MCD_OPC_CheckPredicate, 46, 96, 0, // Skip to: 1055
 /* 959 */     MCD_OPC_CheckField, 23, 9, 253, 3, 89, 0, // Skip to: 1055
 /* 966 */     MCD_OPC_CheckField, 4, 1, 0, 83, 0, // Skip to: 1055
-/* 972 */     MCD_OPC_Decode, 138, 6, 214, 2, // Opcode: VCVTMUS
+/* 972 */     MCD_OPC_Decode, 216, 5, 213, 2, // Opcode: VCVTMUS
 /* 977 */     MCD_OPC_FilterValue, 21, 22, 0, // Skip to: 1003
-/* 981 */     MCD_OPC_CheckPredicate, 43, 70, 0, // Skip to: 1055
+/* 981 */     MCD_OPC_CheckPredicate, 46, 70, 0, // Skip to: 1055
 /* 985 */     MCD_OPC_CheckField, 23, 9, 253, 3, 63, 0, // Skip to: 1055
 /* 992 */     MCD_OPC_CheckField, 4, 1, 0, 57, 0, // Skip to: 1055
-/* 998 */     MCD_OPC_Decode, 136, 6, 214, 2, // Opcode: VCVTMSS
+/* 998 */     MCD_OPC_Decode, 214, 5, 213, 2, // Opcode: VCVTMSS
 /* 1003 */    MCD_OPC_FilterValue, 22, 22, 0, // Skip to: 1029
-/* 1007 */    MCD_OPC_CheckPredicate, 45, 44, 0, // Skip to: 1055
+/* 1007 */    MCD_OPC_CheckPredicate, 48, 44, 0, // Skip to: 1055
 /* 1011 */    MCD_OPC_CheckField, 23, 9, 253, 3, 37, 0, // Skip to: 1055
 /* 1018 */    MCD_OPC_CheckField, 4, 1, 0, 31, 0, // Skip to: 1055
-/* 1024 */    MCD_OPC_Decode, 137, 6, 215, 2, // Opcode: VCVTMUD
+/* 1024 */    MCD_OPC_Decode, 215, 5, 214, 2, // Opcode: VCVTMUD
 /* 1029 */    MCD_OPC_FilterValue, 23, 22, 0, // Skip to: 1055
-/* 1033 */    MCD_OPC_CheckPredicate, 45, 18, 0, // Skip to: 1055
+/* 1033 */    MCD_OPC_CheckPredicate, 48, 18, 0, // Skip to: 1055
 /* 1037 */    MCD_OPC_CheckField, 23, 9, 253, 3, 11, 0, // Skip to: 1055
 /* 1044 */    MCD_OPC_CheckField, 4, 1, 0, 5, 0, // Skip to: 1055
-/* 1050 */    MCD_OPC_Decode, 135, 6, 215, 2, // Opcode: VCVTMSD
+/* 1050 */    MCD_OPC_Decode, 213, 5, 214, 2, // Opcode: VCVTMSD
 /* 1055 */    MCD_OPC_Fail,
   0
 };
@@ -9652,13 +9666,13 @@
 /* 19 */      MCD_OPC_CheckField, 8, 4, 12, 187, 1, // Skip to: 468
 /* 25 */      MCD_OPC_CheckField, 6, 1, 1, 181, 1, // Skip to: 468
 /* 31 */      MCD_OPC_CheckField, 4, 1, 0, 175, 1, // Skip to: 468
-/* 37 */      MCD_OPC_Decode, 236, 2, 104, // Opcode: SHA1C
+/* 37 */      MCD_OPC_Decode, 186, 2, 103, // Opcode: SHA1C
 /* 41 */      MCD_OPC_FilterValue, 230, 3, 166, 1, // Skip to: 468
 /* 46 */      MCD_OPC_CheckPredicate, 16, 162, 1, // Skip to: 468
 /* 50 */      MCD_OPC_CheckField, 8, 4, 12, 156, 1, // Skip to: 468
 /* 56 */      MCD_OPC_CheckField, 6, 1, 1, 150, 1, // Skip to: 468
 /* 62 */      MCD_OPC_CheckField, 4, 1, 0, 144, 1, // Skip to: 468
-/* 68 */      MCD_OPC_Decode, 242, 2, 104, // Opcode: SHA256H
+/* 68 */      MCD_OPC_Decode, 192, 2, 103, // Opcode: SHA256H
 /* 72 */      MCD_OPC_FilterValue, 1, 65, 0, // Skip to: 141
 /* 76 */      MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 79 */      MCD_OPC_FilterValue, 228, 3, 26, 0, // Skip to: 110
@@ -9666,13 +9680,13 @@
 /* 88 */      MCD_OPC_CheckField, 8, 4, 12, 118, 1, // Skip to: 468
 /* 94 */      MCD_OPC_CheckField, 6, 1, 1, 112, 1, // Skip to: 468
 /* 100 */     MCD_OPC_CheckField, 4, 1, 0, 106, 1, // Skip to: 468
-/* 106 */     MCD_OPC_Decode, 239, 2, 104, // Opcode: SHA1P
+/* 106 */     MCD_OPC_Decode, 189, 2, 103, // Opcode: SHA1P
 /* 110 */     MCD_OPC_FilterValue, 230, 3, 97, 1, // Skip to: 468
 /* 115 */     MCD_OPC_CheckPredicate, 16, 93, 1, // Skip to: 468
 /* 119 */     MCD_OPC_CheckField, 8, 4, 12, 87, 1, // Skip to: 468
 /* 125 */     MCD_OPC_CheckField, 6, 1, 1, 81, 1, // Skip to: 468
 /* 131 */     MCD_OPC_CheckField, 4, 1, 0, 75, 1, // Skip to: 468
-/* 137 */     MCD_OPC_Decode, 243, 2, 104, // Opcode: SHA256H2
+/* 137 */     MCD_OPC_Decode, 193, 2, 103, // Opcode: SHA256H2
 /* 141 */     MCD_OPC_FilterValue, 2, 65, 0, // Skip to: 210
 /* 145 */     MCD_OPC_ExtractField, 23, 9,  // Inst{31-23} ...
 /* 148 */     MCD_OPC_FilterValue, 228, 3, 26, 0, // Skip to: 179
@@ -9680,13 +9694,13 @@
 /* 157 */     MCD_OPC_CheckField, 8, 4, 12, 49, 1, // Skip to: 468
 /* 163 */     MCD_OPC_CheckField, 6, 1, 1, 43, 1, // Skip to: 468
 /* 169 */     MCD_OPC_CheckField, 4, 1, 0, 37, 1, // Skip to: 468
-/* 175 */     MCD_OPC_Decode, 238, 2, 104, // Opcode: SHA1M
+/* 175 */     MCD_OPC_Decode, 188, 2, 103, // Opcode: SHA1M
 /* 179 */     MCD_OPC_FilterValue, 230, 3, 28, 1, // Skip to: 468
 /* 184 */     MCD_OPC_CheckPredicate, 16, 24, 1, // Skip to: 468
 /* 188 */     MCD_OPC_CheckField, 8, 4, 12, 18, 1, // Skip to: 468
 /* 194 */     MCD_OPC_CheckField, 6, 1, 1, 12, 1, // Skip to: 468
 /* 200 */     MCD_OPC_CheckField, 4, 1, 0, 6, 1, // Skip to: 468
-/* 206 */     MCD_OPC_Decode, 245, 2, 104, // Opcode: SHA256SU1
+/* 206 */     MCD_OPC_Decode, 195, 2, 103, // Opcode: SHA256SU1
 /* 210 */     MCD_OPC_FilterValue, 3, 254, 0, // Skip to: 468
 /* 214 */     MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
 /* 217 */     MCD_OPC_FilterValue, 2, 33, 0, // Skip to: 254
@@ -9695,7 +9709,7 @@
 /* 232 */     MCD_OPC_CheckField, 16, 4, 9, 230, 0, // Skip to: 468
 /* 238 */     MCD_OPC_CheckField, 6, 2, 3, 224, 0, // Skip to: 468
 /* 244 */     MCD_OPC_CheckField, 4, 1, 0, 218, 0, // Skip to: 468
-/* 250 */     MCD_OPC_Decode, 237, 2, 125, // Opcode: SHA1H
+/* 250 */     MCD_OPC_Decode, 187, 2, 124, // Opcode: SHA1H
 /* 254 */     MCD_OPC_FilterValue, 3, 179, 0, // Skip to: 437
 /* 258 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 261 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 292
@@ -9703,43 +9717,43 @@
 /* 269 */     MCD_OPC_CheckField, 23, 9, 231, 3, 192, 0, // Skip to: 468
 /* 276 */     MCD_OPC_CheckField, 16, 4, 0, 186, 0, // Skip to: 468
 /* 282 */     MCD_OPC_CheckField, 4, 1, 0, 180, 0, // Skip to: 468
-/* 288 */     MCD_OPC_Decode, 36, 131, 1, // Opcode: AESE
+/* 288 */     MCD_OPC_Decode, 37, 130, 1, // Opcode: AESE
 /* 292 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 323
 /* 296 */     MCD_OPC_CheckPredicate, 16, 168, 0, // Skip to: 468
 /* 300 */     MCD_OPC_CheckField, 23, 9, 231, 3, 161, 0, // Skip to: 468
 /* 307 */     MCD_OPC_CheckField, 16, 4, 0, 155, 0, // Skip to: 468
 /* 313 */     MCD_OPC_CheckField, 4, 1, 0, 149, 0, // Skip to: 468
-/* 319 */     MCD_OPC_Decode, 35, 131, 1, // Opcode: AESD
+/* 319 */     MCD_OPC_Decode, 36, 130, 1, // Opcode: AESD
 /* 323 */     MCD_OPC_FilterValue, 2, 53, 0, // Skip to: 380
 /* 327 */     MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 330 */     MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 354
 /* 334 */     MCD_OPC_CheckPredicate, 16, 130, 0, // Skip to: 468
 /* 338 */     MCD_OPC_CheckField, 23, 9, 231, 3, 123, 0, // Skip to: 468
 /* 345 */     MCD_OPC_CheckField, 4, 1, 0, 117, 0, // Skip to: 468
-/* 351 */     MCD_OPC_Decode, 38, 125, // Opcode: AESMC
+/* 351 */     MCD_OPC_Decode, 39, 124, // Opcode: AESMC
 /* 354 */     MCD_OPC_FilterValue, 10, 110, 0, // Skip to: 468
 /* 358 */     MCD_OPC_CheckPredicate, 16, 106, 0, // Skip to: 468
 /* 362 */     MCD_OPC_CheckField, 23, 9, 231, 3, 99, 0, // Skip to: 468
 /* 369 */     MCD_OPC_CheckField, 4, 1, 0, 93, 0, // Skip to: 468
-/* 375 */     MCD_OPC_Decode, 241, 2, 131, 1, // Opcode: SHA1SU1
+/* 375 */     MCD_OPC_Decode, 191, 2, 130, 1, // Opcode: SHA1SU1
 /* 380 */     MCD_OPC_FilterValue, 3, 84, 0, // Skip to: 468
 /* 384 */     MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 387 */     MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 411
 /* 391 */     MCD_OPC_CheckPredicate, 16, 73, 0, // Skip to: 468
 /* 395 */     MCD_OPC_CheckField, 23, 9, 231, 3, 66, 0, // Skip to: 468
 /* 402 */     MCD_OPC_CheckField, 4, 1, 0, 60, 0, // Skip to: 468
-/* 408 */     MCD_OPC_Decode, 37, 125, // Opcode: AESIMC
+/* 408 */     MCD_OPC_Decode, 38, 124, // Opcode: AESIMC
 /* 411 */     MCD_OPC_FilterValue, 10, 53, 0, // Skip to: 468
 /* 415 */     MCD_OPC_CheckPredicate, 16, 49, 0, // Skip to: 468
 /* 419 */     MCD_OPC_CheckField, 23, 9, 231, 3, 42, 0, // Skip to: 468
 /* 426 */     MCD_OPC_CheckField, 4, 1, 0, 36, 0, // Skip to: 468
-/* 432 */     MCD_OPC_Decode, 244, 2, 131, 1, // Opcode: SHA256SU0
+/* 432 */     MCD_OPC_Decode, 194, 2, 130, 1, // Opcode: SHA256SU0
 /* 437 */     MCD_OPC_FilterValue, 12, 27, 0, // Skip to: 468
 /* 441 */     MCD_OPC_CheckPredicate, 16, 23, 0, // Skip to: 468
 /* 445 */     MCD_OPC_CheckField, 23, 9, 228, 3, 16, 0, // Skip to: 468
 /* 452 */     MCD_OPC_CheckField, 6, 1, 1, 10, 0, // Skip to: 468
 /* 458 */     MCD_OPC_CheckField, 4, 1, 0, 4, 0, // Skip to: 468
-/* 464 */     MCD_OPC_Decode, 240, 2, 104, // Opcode: SHA1SU0
+/* 464 */     MCD_OPC_Decode, 190, 2, 103, // Opcode: SHA1SU0
 /* 468 */     MCD_OPC_Fail,
   0
 };
@@ -9749,213 +9763,213 @@
 /* 3 */       MCD_OPC_FilterValue, 0, 127, 0, // Skip to: 134
 /* 7 */       MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 41
-/* 14 */      MCD_OPC_CheckPredicate, 46, 6, 4, // Skip to: 1048
+/* 14 */      MCD_OPC_CheckPredicate, 49, 6, 4, // Skip to: 1048
 /* 18 */      MCD_OPC_CheckField, 23, 9, 231, 3, 255, 3, // Skip to: 1048
 /* 25 */      MCD_OPC_CheckField, 16, 6, 59, 249, 3, // Skip to: 1048
 /* 31 */      MCD_OPC_CheckField, 4, 1, 0, 243, 3, // Skip to: 1048
-/* 37 */      MCD_OPC_Decode, 246, 5, 124, // Opcode: VCVTANSD
+/* 37 */      MCD_OPC_Decode, 196, 5, 123, // Opcode: VCVTANSD
 /* 41 */      MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 72
-/* 45 */      MCD_OPC_CheckPredicate, 46, 231, 3, // Skip to: 1048
+/* 45 */      MCD_OPC_CheckPredicate, 49, 231, 3, // Skip to: 1048
 /* 49 */      MCD_OPC_CheckField, 23, 9, 231, 3, 224, 3, // Skip to: 1048
 /* 56 */      MCD_OPC_CheckField, 16, 6, 59, 218, 3, // Skip to: 1048
 /* 62 */      MCD_OPC_CheckField, 4, 1, 0, 212, 3, // Skip to: 1048
-/* 68 */      MCD_OPC_Decode, 247, 5, 125, // Opcode: VCVTANSQ
+/* 68 */      MCD_OPC_Decode, 197, 5, 124, // Opcode: VCVTANSQ
 /* 72 */      MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 103
-/* 76 */      MCD_OPC_CheckPredicate, 46, 200, 3, // Skip to: 1048
+/* 76 */      MCD_OPC_CheckPredicate, 49, 200, 3, // Skip to: 1048
 /* 80 */      MCD_OPC_CheckField, 23, 9, 231, 3, 193, 3, // Skip to: 1048
 /* 87 */      MCD_OPC_CheckField, 16, 6, 59, 187, 3, // Skip to: 1048
 /* 93 */      MCD_OPC_CheckField, 4, 1, 0, 181, 3, // Skip to: 1048
-/* 99 */      MCD_OPC_Decode, 248, 5, 124, // Opcode: VCVTANUD
+/* 99 */      MCD_OPC_Decode, 198, 5, 123, // Opcode: VCVTANUD
 /* 103 */     MCD_OPC_FilterValue, 3, 173, 3, // Skip to: 1048
-/* 107 */     MCD_OPC_CheckPredicate, 46, 169, 3, // Skip to: 1048
+/* 107 */     MCD_OPC_CheckPredicate, 49, 169, 3, // Skip to: 1048
 /* 111 */     MCD_OPC_CheckField, 23, 9, 231, 3, 162, 3, // Skip to: 1048
 /* 118 */     MCD_OPC_CheckField, 16, 6, 59, 156, 3, // Skip to: 1048
 /* 124 */     MCD_OPC_CheckField, 4, 1, 0, 150, 3, // Skip to: 1048
-/* 130 */     MCD_OPC_Decode, 249, 5, 125, // Opcode: VCVTANUQ
+/* 130 */     MCD_OPC_Decode, 199, 5, 124, // Opcode: VCVTANUQ
 /* 134 */     MCD_OPC_FilterValue, 1, 127, 0, // Skip to: 265
 /* 138 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 141 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 172
-/* 145 */     MCD_OPC_CheckPredicate, 46, 131, 3, // Skip to: 1048
+/* 145 */     MCD_OPC_CheckPredicate, 49, 131, 3, // Skip to: 1048
 /* 149 */     MCD_OPC_CheckField, 23, 9, 231, 3, 124, 3, // Skip to: 1048
 /* 156 */     MCD_OPC_CheckField, 16, 6, 59, 118, 3, // Skip to: 1048
 /* 162 */     MCD_OPC_CheckField, 4, 1, 0, 112, 3, // Skip to: 1048
-/* 168 */     MCD_OPC_Decode, 139, 6, 124, // Opcode: VCVTNNSD
+/* 168 */     MCD_OPC_Decode, 217, 5, 123, // Opcode: VCVTNNSD
 /* 172 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 203
-/* 176 */     MCD_OPC_CheckPredicate, 46, 100, 3, // Skip to: 1048
+/* 176 */     MCD_OPC_CheckPredicate, 49, 100, 3, // Skip to: 1048
 /* 180 */     MCD_OPC_CheckField, 23, 9, 231, 3, 93, 3, // Skip to: 1048
 /* 187 */     MCD_OPC_CheckField, 16, 6, 59, 87, 3, // Skip to: 1048
 /* 193 */     MCD_OPC_CheckField, 4, 1, 0, 81, 3, // Skip to: 1048
-/* 199 */     MCD_OPC_Decode, 140, 6, 125, // Opcode: VCVTNNSQ
+/* 199 */     MCD_OPC_Decode, 218, 5, 124, // Opcode: VCVTNNSQ
 /* 203 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 234
-/* 207 */     MCD_OPC_CheckPredicate, 46, 69, 3, // Skip to: 1048
+/* 207 */     MCD_OPC_CheckPredicate, 49, 69, 3, // Skip to: 1048
 /* 211 */     MCD_OPC_CheckField, 23, 9, 231, 3, 62, 3, // Skip to: 1048
 /* 218 */     MCD_OPC_CheckField, 16, 6, 59, 56, 3, // Skip to: 1048
 /* 224 */     MCD_OPC_CheckField, 4, 1, 0, 50, 3, // Skip to: 1048
-/* 230 */     MCD_OPC_Decode, 141, 6, 124, // Opcode: VCVTNNUD
+/* 230 */     MCD_OPC_Decode, 219, 5, 123, // Opcode: VCVTNNUD
 /* 234 */     MCD_OPC_FilterValue, 3, 42, 3, // Skip to: 1048
-/* 238 */     MCD_OPC_CheckPredicate, 46, 38, 3, // Skip to: 1048
+/* 238 */     MCD_OPC_CheckPredicate, 49, 38, 3, // Skip to: 1048
 /* 242 */     MCD_OPC_CheckField, 23, 9, 231, 3, 31, 3, // Skip to: 1048
 /* 249 */     MCD_OPC_CheckField, 16, 6, 59, 25, 3, // Skip to: 1048
 /* 255 */     MCD_OPC_CheckField, 4, 1, 0, 19, 3, // Skip to: 1048
-/* 261 */     MCD_OPC_Decode, 142, 6, 125, // Opcode: VCVTNNUQ
+/* 261 */     MCD_OPC_Decode, 220, 5, 124, // Opcode: VCVTNNUQ
 /* 265 */     MCD_OPC_FilterValue, 2, 127, 0, // Skip to: 396
 /* 269 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 272 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 303
-/* 276 */     MCD_OPC_CheckPredicate, 46, 0, 3, // Skip to: 1048
+/* 276 */     MCD_OPC_CheckPredicate, 49, 0, 3, // Skip to: 1048
 /* 280 */     MCD_OPC_CheckField, 23, 9, 231, 3, 249, 2, // Skip to: 1048
 /* 287 */     MCD_OPC_CheckField, 16, 6, 59, 243, 2, // Skip to: 1048
 /* 293 */     MCD_OPC_CheckField, 4, 1, 0, 237, 2, // Skip to: 1048
-/* 299 */     MCD_OPC_Decode, 147, 6, 124, // Opcode: VCVTPNSD
+/* 299 */     MCD_OPC_Decode, 225, 5, 123, // Opcode: VCVTPNSD
 /* 303 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 334
-/* 307 */     MCD_OPC_CheckPredicate, 46, 225, 2, // Skip to: 1048
+/* 307 */     MCD_OPC_CheckPredicate, 49, 225, 2, // Skip to: 1048
 /* 311 */     MCD_OPC_CheckField, 23, 9, 231, 3, 218, 2, // Skip to: 1048
 /* 318 */     MCD_OPC_CheckField, 16, 6, 59, 212, 2, // Skip to: 1048
 /* 324 */     MCD_OPC_CheckField, 4, 1, 0, 206, 2, // Skip to: 1048
-/* 330 */     MCD_OPC_Decode, 148, 6, 125, // Opcode: VCVTPNSQ
+/* 330 */     MCD_OPC_Decode, 226, 5, 124, // Opcode: VCVTPNSQ
 /* 334 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 365
-/* 338 */     MCD_OPC_CheckPredicate, 46, 194, 2, // Skip to: 1048
+/* 338 */     MCD_OPC_CheckPredicate, 49, 194, 2, // Skip to: 1048
 /* 342 */     MCD_OPC_CheckField, 23, 9, 231, 3, 187, 2, // Skip to: 1048
 /* 349 */     MCD_OPC_CheckField, 16, 6, 59, 181, 2, // Skip to: 1048
 /* 355 */     MCD_OPC_CheckField, 4, 1, 0, 175, 2, // Skip to: 1048
-/* 361 */     MCD_OPC_Decode, 149, 6, 124, // Opcode: VCVTPNUD
+/* 361 */     MCD_OPC_Decode, 227, 5, 123, // Opcode: VCVTPNUD
 /* 365 */     MCD_OPC_FilterValue, 3, 167, 2, // Skip to: 1048
-/* 369 */     MCD_OPC_CheckPredicate, 46, 163, 2, // Skip to: 1048
+/* 369 */     MCD_OPC_CheckPredicate, 49, 163, 2, // Skip to: 1048
 /* 373 */     MCD_OPC_CheckField, 23, 9, 231, 3, 156, 2, // Skip to: 1048
 /* 380 */     MCD_OPC_CheckField, 16, 6, 59, 150, 2, // Skip to: 1048
 /* 386 */     MCD_OPC_CheckField, 4, 1, 0, 144, 2, // Skip to: 1048
-/* 392 */     MCD_OPC_Decode, 150, 6, 125, // Opcode: VCVTPNUQ
+/* 392 */     MCD_OPC_Decode, 228, 5, 124, // Opcode: VCVTPNUQ
 /* 396 */     MCD_OPC_FilterValue, 3, 127, 0, // Skip to: 527
 /* 400 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 403 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 434
-/* 407 */     MCD_OPC_CheckPredicate, 46, 125, 2, // Skip to: 1048
+/* 407 */     MCD_OPC_CheckPredicate, 49, 125, 2, // Skip to: 1048
 /* 411 */     MCD_OPC_CheckField, 23, 9, 231, 3, 118, 2, // Skip to: 1048
 /* 418 */     MCD_OPC_CheckField, 16, 6, 59, 112, 2, // Skip to: 1048
 /* 424 */     MCD_OPC_CheckField, 4, 1, 0, 106, 2, // Skip to: 1048
-/* 430 */     MCD_OPC_Decode, 131, 6, 124, // Opcode: VCVTMNSD
+/* 430 */     MCD_OPC_Decode, 209, 5, 123, // Opcode: VCVTMNSD
 /* 434 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 465
-/* 438 */     MCD_OPC_CheckPredicate, 46, 94, 2, // Skip to: 1048
+/* 438 */     MCD_OPC_CheckPredicate, 49, 94, 2, // Skip to: 1048
 /* 442 */     MCD_OPC_CheckField, 23, 9, 231, 3, 87, 2, // Skip to: 1048
 /* 449 */     MCD_OPC_CheckField, 16, 6, 59, 81, 2, // Skip to: 1048
 /* 455 */     MCD_OPC_CheckField, 4, 1, 0, 75, 2, // Skip to: 1048
-/* 461 */     MCD_OPC_Decode, 132, 6, 125, // Opcode: VCVTMNSQ
+/* 461 */     MCD_OPC_Decode, 210, 5, 124, // Opcode: VCVTMNSQ
 /* 465 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 496
-/* 469 */     MCD_OPC_CheckPredicate, 46, 63, 2, // Skip to: 1048
+/* 469 */     MCD_OPC_CheckPredicate, 49, 63, 2, // Skip to: 1048
 /* 473 */     MCD_OPC_CheckField, 23, 9, 231, 3, 56, 2, // Skip to: 1048
 /* 480 */     MCD_OPC_CheckField, 16, 6, 59, 50, 2, // Skip to: 1048
 /* 486 */     MCD_OPC_CheckField, 4, 1, 0, 44, 2, // Skip to: 1048
-/* 492 */     MCD_OPC_Decode, 133, 6, 124, // Opcode: VCVTMNUD
+/* 492 */     MCD_OPC_Decode, 211, 5, 123, // Opcode: VCVTMNUD
 /* 496 */     MCD_OPC_FilterValue, 3, 36, 2, // Skip to: 1048
-/* 500 */     MCD_OPC_CheckPredicate, 46, 32, 2, // Skip to: 1048
+/* 500 */     MCD_OPC_CheckPredicate, 49, 32, 2, // Skip to: 1048
 /* 504 */     MCD_OPC_CheckField, 23, 9, 231, 3, 25, 2, // Skip to: 1048
 /* 511 */     MCD_OPC_CheckField, 16, 6, 59, 19, 2, // Skip to: 1048
 /* 517 */     MCD_OPC_CheckField, 4, 1, 0, 13, 2, // Skip to: 1048
-/* 523 */     MCD_OPC_Decode, 134, 6, 125, // Opcode: VCVTMNUQ
+/* 523 */     MCD_OPC_Decode, 212, 5, 124, // Opcode: VCVTMNUQ
 /* 527 */     MCD_OPC_FilterValue, 4, 127, 0, // Skip to: 658
 /* 531 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 534 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 565
-/* 538 */     MCD_OPC_CheckPredicate, 46, 250, 1, // Skip to: 1048
+/* 538 */     MCD_OPC_CheckPredicate, 49, 250, 1, // Skip to: 1048
 /* 542 */     MCD_OPC_CheckField, 23, 9, 231, 3, 243, 1, // Skip to: 1048
 /* 549 */     MCD_OPC_CheckField, 16, 6, 58, 237, 1, // Skip to: 1048
 /* 555 */     MCD_OPC_CheckField, 4, 1, 0, 231, 1, // Skip to: 1048
-/* 561 */     MCD_OPC_Decode, 198, 13, 124, // Opcode: VRINTNND
+/* 561 */     MCD_OPC_Decode, 150, 13, 123, // Opcode: VRINTNND
 /* 565 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 596
-/* 569 */     MCD_OPC_CheckPredicate, 46, 219, 1, // Skip to: 1048
+/* 569 */     MCD_OPC_CheckPredicate, 49, 219, 1, // Skip to: 1048
 /* 573 */     MCD_OPC_CheckField, 23, 9, 231, 3, 212, 1, // Skip to: 1048
 /* 580 */     MCD_OPC_CheckField, 16, 6, 58, 206, 1, // Skip to: 1048
 /* 586 */     MCD_OPC_CheckField, 4, 1, 0, 200, 1, // Skip to: 1048
-/* 592 */     MCD_OPC_Decode, 199, 13, 125, // Opcode: VRINTNNQ
+/* 592 */     MCD_OPC_Decode, 151, 13, 124, // Opcode: VRINTNNQ
 /* 596 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 627
-/* 600 */     MCD_OPC_CheckPredicate, 46, 188, 1, // Skip to: 1048
+/* 600 */     MCD_OPC_CheckPredicate, 49, 188, 1, // Skip to: 1048
 /* 604 */     MCD_OPC_CheckField, 23, 9, 231, 3, 181, 1, // Skip to: 1048
 /* 611 */     MCD_OPC_CheckField, 16, 6, 58, 175, 1, // Skip to: 1048
 /* 617 */     MCD_OPC_CheckField, 4, 1, 0, 169, 1, // Skip to: 1048
-/* 623 */     MCD_OPC_Decode, 208, 13, 124, // Opcode: VRINTXND
+/* 623 */     MCD_OPC_Decode, 160, 13, 123, // Opcode: VRINTXND
 /* 627 */     MCD_OPC_FilterValue, 3, 161, 1, // Skip to: 1048
-/* 631 */     MCD_OPC_CheckPredicate, 46, 157, 1, // Skip to: 1048
+/* 631 */     MCD_OPC_CheckPredicate, 49, 157, 1, // Skip to: 1048
 /* 635 */     MCD_OPC_CheckField, 23, 9, 231, 3, 150, 1, // Skip to: 1048
 /* 642 */     MCD_OPC_CheckField, 16, 6, 58, 144, 1, // Skip to: 1048
 /* 648 */     MCD_OPC_CheckField, 4, 1, 0, 138, 1, // Skip to: 1048
-/* 654 */     MCD_OPC_Decode, 209, 13, 125, // Opcode: VRINTXNQ
+/* 654 */     MCD_OPC_Decode, 161, 13, 124, // Opcode: VRINTXNQ
 /* 658 */     MCD_OPC_FilterValue, 5, 127, 0, // Skip to: 789
 /* 662 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 665 */     MCD_OPC_FilterValue, 0, 27, 0, // Skip to: 696
-/* 669 */     MCD_OPC_CheckPredicate, 46, 119, 1, // Skip to: 1048
+/* 669 */     MCD_OPC_CheckPredicate, 49, 119, 1, // Skip to: 1048
 /* 673 */     MCD_OPC_CheckField, 23, 9, 231, 3, 112, 1, // Skip to: 1048
 /* 680 */     MCD_OPC_CheckField, 16, 6, 58, 106, 1, // Skip to: 1048
 /* 686 */     MCD_OPC_CheckField, 4, 1, 0, 100, 1, // Skip to: 1048
-/* 692 */     MCD_OPC_Decode, 190, 13, 124, // Opcode: VRINTAND
+/* 692 */     MCD_OPC_Decode, 142, 13, 123, // Opcode: VRINTAND
 /* 696 */     MCD_OPC_FilterValue, 1, 27, 0, // Skip to: 727
-/* 700 */     MCD_OPC_CheckPredicate, 46, 88, 1, // Skip to: 1048
+/* 700 */     MCD_OPC_CheckPredicate, 49, 88, 1, // Skip to: 1048
 /* 704 */     MCD_OPC_CheckField, 23, 9, 231, 3, 81, 1, // Skip to: 1048
 /* 711 */     MCD_OPC_CheckField, 16, 6, 58, 75, 1, // Skip to: 1048
 /* 717 */     MCD_OPC_CheckField, 4, 1, 0, 69, 1, // Skip to: 1048
-/* 723 */     MCD_OPC_Decode, 191, 13, 125, // Opcode: VRINTANQ
+/* 723 */     MCD_OPC_Decode, 143, 13, 124, // Opcode: VRINTANQ
 /* 727 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 758
-/* 731 */     MCD_OPC_CheckPredicate, 46, 57, 1, // Skip to: 1048
+/* 731 */     MCD_OPC_CheckPredicate, 49, 57, 1, // Skip to: 1048
 /* 735 */     MCD_OPC_CheckField, 23, 9, 231, 3, 50, 1, // Skip to: 1048
 /* 742 */     MCD_OPC_CheckField, 16, 6, 58, 44, 1, // Skip to: 1048
 /* 748 */     MCD_OPC_CheckField, 4, 1, 0, 38, 1, // Skip to: 1048
-/* 754 */     MCD_OPC_Decode, 212, 13, 124, // Opcode: VRINTZND
+/* 754 */     MCD_OPC_Decode, 164, 13, 123, // Opcode: VRINTZND
 /* 758 */     MCD_OPC_FilterValue, 3, 30, 1, // Skip to: 1048
-/* 762 */     MCD_OPC_CheckPredicate, 46, 26, 1, // Skip to: 1048
+/* 762 */     MCD_OPC_CheckPredicate, 49, 26, 1, // Skip to: 1048
 /* 766 */     MCD_OPC_CheckField, 23, 9, 231, 3, 19, 1, // Skip to: 1048
 /* 773 */     MCD_OPC_CheckField, 16, 6, 58, 13, 1, // Skip to: 1048
 /* 779 */     MCD_OPC_CheckField, 4, 1, 0, 7, 1, // Skip to: 1048
-/* 785 */     MCD_OPC_Decode, 213, 13, 125, // Opcode: VRINTZNQ
+/* 785 */     MCD_OPC_Decode, 165, 13, 124, // Opcode: VRINTZNQ
 /* 789 */     MCD_OPC_FilterValue, 6, 65, 0, // Skip to: 858
 /* 793 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 796 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 827
-/* 800 */     MCD_OPC_CheckPredicate, 46, 244, 0, // Skip to: 1048
+/* 800 */     MCD_OPC_CheckPredicate, 49, 244, 0, // Skip to: 1048
 /* 804 */     MCD_OPC_CheckField, 23, 9, 231, 3, 237, 0, // Skip to: 1048
 /* 811 */     MCD_OPC_CheckField, 16, 6, 58, 231, 0, // Skip to: 1048
 /* 817 */     MCD_OPC_CheckField, 4, 1, 0, 225, 0, // Skip to: 1048
-/* 823 */     MCD_OPC_Decode, 194, 13, 124, // Opcode: VRINTMND
+/* 823 */     MCD_OPC_Decode, 146, 13, 123, // Opcode: VRINTMND
 /* 827 */     MCD_OPC_FilterValue, 3, 217, 0, // Skip to: 1048
-/* 831 */     MCD_OPC_CheckPredicate, 46, 213, 0, // Skip to: 1048
+/* 831 */     MCD_OPC_CheckPredicate, 49, 213, 0, // Skip to: 1048
 /* 835 */     MCD_OPC_CheckField, 23, 9, 231, 3, 206, 0, // Skip to: 1048
 /* 842 */     MCD_OPC_CheckField, 16, 6, 58, 200, 0, // Skip to: 1048
 /* 848 */     MCD_OPC_CheckField, 4, 1, 0, 194, 0, // Skip to: 1048
-/* 854 */     MCD_OPC_Decode, 195, 13, 125, // Opcode: VRINTMNQ
+/* 854 */     MCD_OPC_Decode, 147, 13, 124, // Opcode: VRINTMNQ
 /* 858 */     MCD_OPC_FilterValue, 7, 65, 0, // Skip to: 927
 /* 862 */     MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
 /* 865 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 896
-/* 869 */     MCD_OPC_CheckPredicate, 46, 175, 0, // Skip to: 1048
+/* 869 */     MCD_OPC_CheckPredicate, 49, 175, 0, // Skip to: 1048
 /* 873 */     MCD_OPC_CheckField, 23, 9, 231, 3, 168, 0, // Skip to: 1048
 /* 880 */     MCD_OPC_CheckField, 16, 6, 58, 162, 0, // Skip to: 1048
 /* 886 */     MCD_OPC_CheckField, 4, 1, 0, 156, 0, // Skip to: 1048
-/* 892 */     MCD_OPC_Decode, 202, 13, 124, // Opcode: VRINTPND
+/* 892 */     MCD_OPC_Decode, 154, 13, 123, // Opcode: VRINTPND
 /* 896 */     MCD_OPC_FilterValue, 3, 148, 0, // Skip to: 1048
-/* 900 */     MCD_OPC_CheckPredicate, 46, 144, 0, // Skip to: 1048
+/* 900 */     MCD_OPC_CheckPredicate, 49, 144, 0, // Skip to: 1048
 /* 904 */     MCD_OPC_CheckField, 23, 9, 231, 3, 137, 0, // Skip to: 1048
 /* 911 */     MCD_OPC_CheckField, 16, 6, 58, 131, 0, // Skip to: 1048
 /* 917 */     MCD_OPC_CheckField, 4, 1, 0, 125, 0, // Skip to: 1048
-/* 923 */     MCD_OPC_Decode, 203, 13, 125, // Opcode: VRINTPNQ
+/* 923 */     MCD_OPC_Decode, 155, 13, 124, // Opcode: VRINTPNQ
 /* 927 */     MCD_OPC_FilterValue, 15, 117, 0, // Skip to: 1048
 /* 931 */     MCD_OPC_ExtractField, 6, 1,  // Inst{6} ...
 /* 934 */     MCD_OPC_FilterValue, 0, 53, 0, // Skip to: 991
 /* 938 */     MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 941 */     MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 966
-/* 945 */     MCD_OPC_CheckPredicate, 46, 99, 0, // Skip to: 1048
+/* 945 */     MCD_OPC_CheckPredicate, 49, 99, 0, // Skip to: 1048
 /* 949 */     MCD_OPC_CheckField, 23, 9, 230, 3, 92, 0, // Skip to: 1048
 /* 956 */     MCD_OPC_CheckField, 4, 1, 1, 86, 0, // Skip to: 1048
-/* 962 */     MCD_OPC_Decode, 154, 10, 95, // Opcode: VMAXNMND
+/* 962 */     MCD_OPC_Decode, 232, 9, 94, // Opcode: VMAXNMND
 /* 966 */     MCD_OPC_FilterValue, 2, 78, 0, // Skip to: 1048
-/* 970 */     MCD_OPC_CheckPredicate, 46, 74, 0, // Skip to: 1048
+/* 970 */     MCD_OPC_CheckPredicate, 49, 74, 0, // Skip to: 1048
 /* 974 */     MCD_OPC_CheckField, 23, 9, 230, 3, 67, 0, // Skip to: 1048
 /* 981 */     MCD_OPC_CheckField, 4, 1, 1, 61, 0, // Skip to: 1048
-/* 987 */     MCD_OPC_Decode, 172, 10, 95, // Opcode: VMINNMND
+/* 987 */     MCD_OPC_Decode, 250, 9, 94, // Opcode: VMINNMND
 /* 991 */     MCD_OPC_FilterValue, 1, 53, 0, // Skip to: 1048
 /* 995 */     MCD_OPC_ExtractField, 20, 2,  // Inst{21-20} ...
 /* 998 */     MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1023
-/* 1002 */    MCD_OPC_CheckPredicate, 46, 42, 0, // Skip to: 1048
+/* 1002 */    MCD_OPC_CheckPredicate, 49, 42, 0, // Skip to: 1048
 /* 1006 */    MCD_OPC_CheckField, 23, 9, 230, 3, 35, 0, // Skip to: 1048
 /* 1013 */    MCD_OPC_CheckField, 4, 1, 1, 29, 0, // Skip to: 1048
-/* 1019 */    MCD_OPC_Decode, 155, 10, 96, // Opcode: VMAXNMNQ
+/* 1019 */    MCD_OPC_Decode, 233, 9, 95, // Opcode: VMAXNMNQ
 /* 1023 */    MCD_OPC_FilterValue, 2, 21, 0, // Skip to: 1048
-/* 1027 */    MCD_OPC_CheckPredicate, 46, 17, 0, // Skip to: 1048
+/* 1027 */    MCD_OPC_CheckPredicate, 49, 17, 0, // Skip to: 1048
 /* 1031 */    MCD_OPC_CheckField, 23, 9, 230, 3, 10, 0, // Skip to: 1048
 /* 1038 */    MCD_OPC_CheckField, 4, 1, 1, 4, 0, // Skip to: 1048
-/* 1044 */    MCD_OPC_Decode, 173, 10, 96, // Opcode: VMINNMNQ
+/* 1044 */    MCD_OPC_Decode, 251, 9, 95, // Opcode: VMINNMNQ
 /* 1048 */    MCD_OPC_Fail,
   0
 };
@@ -10016,52 +10030,58 @@
   case 22:
     return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2));
   case 23:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_HasV8Ops));
+    return getbool(!(Bits & ARM_FeatureMClass));
   case 24:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_HasV6MOps));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_HasV8Ops));
   case 25:
-    return getbool((Bits & ARM_FeatureT2XtPk) && (Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_HasV6MOps));
   case 26:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && !(Bits & ARM_HasV8Ops));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_HasV5TOps) && !(Bits & ARM_FeatureMClass));
   case 27:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_FeatureDSPThumb2));
+    return getbool((Bits & ARM_FeatureT2XtPk) && (Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2));
   case 28:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV7Ops));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && !(Bits & ARM_HasV8Ops));
   case 29:
-    return getbool((Bits & ARM_FeatureDB));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_FeatureDSPThumb2));
   case 30:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && !(Bits & ARM_FeatureMClass));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV7Ops));
   case 31:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureMClass));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureDB));
   case 32:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV8Ops));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && !(Bits & ARM_FeatureMClass));
   case 33:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_FeatureTrustZone));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureMClass));
   case 34:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV7Ops) && (Bits & ARM_FeatureMP));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV8Ops));
   case 35:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_FeatureT2XtPk));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_FeatureTrustZone));
   case 36:
-    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV8Ops) && (Bits & ARM_FeatureCRC));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV7Ops) && (Bits & ARM_FeatureMP));
   case 37:
-    return getbool((Bits & ARM_FeatureHWDiv) && (Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_FeatureT2XtPk));
   case 38:
-    return getbool((Bits & ARM_FeatureVFP2));
+    return getbool((Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2) && (Bits & ARM_HasV8Ops) && (Bits & ARM_FeatureCRC));
   case 39:
-    return getbool((Bits & ARM_FeatureVFP2) && !(Bits & ARM_FeatureVFPOnlySP));
+    return getbool((Bits & ARM_FeatureHWDiv) && (Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2));
   case 40:
-    return getbool((Bits & ARM_FeatureVFP4));
+    return getbool(!(Bits & ARM_HasV8Ops) && (Bits & ARM_ModeThumb) && (Bits & ARM_FeatureThumb2));
   case 41:
-    return getbool((Bits & ARM_FeatureVFP4) && !(Bits & ARM_FeatureVFPOnlySP));
+    return getbool((Bits & ARM_FeatureVFP2));
   case 42:
-    return getbool((Bits & ARM_FeatureVFP3));
+    return getbool((Bits & ARM_FeatureVFP2) && !(Bits & ARM_FeatureVFPOnlySP));
   case 43:
-    return getbool((Bits & ARM_FeatureFPARMv8));
+    return getbool((Bits & ARM_FeatureVFP4));
   case 44:
-    return getbool((Bits & ARM_FeatureVFP3) && !(Bits & ARM_FeatureVFPOnlySP));
+    return getbool((Bits & ARM_FeatureVFP4) && !(Bits & ARM_FeatureVFPOnlySP));
   case 45:
-    return getbool((Bits & ARM_FeatureFPARMv8) && !(Bits & ARM_FeatureVFPOnlySP));
+    return getbool((Bits & ARM_FeatureVFP3));
   case 46:
+    return getbool((Bits & ARM_FeatureFPARMv8));
+  case 47:
+    return getbool((Bits & ARM_FeatureVFP3) && !(Bits & ARM_FeatureVFPOnlySP));
+  case 48:
+    return getbool((Bits & ARM_FeatureFPARMv8) && !(Bits & ARM_FeatureVFPOnlySP));
+  case 49:
     return getbool((Bits & ARM_HasV8Ops) && (Bits & ARM_FeatureNEON));
   }
 }
@@ -10770,18 +10790,6 @@
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 78: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 7, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 79: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
@@ -10789,33 +10797,33 @@
     tmp = fieldname(insn, 0, 16); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 80: \
+  case 79: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 81: \
+  case 80: \
     if (!Check(&S, DecodeMemMultipleWritebackInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 82: \
+  case 81: \
     tmp = fieldname(insn, 0, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 83: \
+  case 82: \
     if (!Check(&S, DecodeBranchImmInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 84: \
+  case 83: \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 24) << 1); \
     tmp |= (fieldname(insn, 24, 1) << 0); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 85: \
+  case 84: \
     if (!Check(&S, DecodeCopMemInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 86: \
+  case 85: \
     if (!Check(&S, DecodeMRRC2(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 87: \
+  case 86: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 4, 4); \
@@ -10829,6 +10837,20 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 87: \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 0, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 5, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 88: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -10842,22 +10864,22 @@
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 28, 4); \
+    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 89: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 4); \
+    tmp = fieldname(insn, 21, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 12, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 0, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 3); \
     MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 90: \
     tmp = fieldname(insn, 8, 4); \
@@ -10872,22 +10894,22 @@
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 3); \
     MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 28, 4); \
+    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 91: \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecodeGPRwithAPSRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 3); \
     MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 0, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 3); \
     MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 92: \
     tmp = fieldname(insn, 12, 4); \
@@ -10902,30 +10924,16 @@
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 5, 3); \
     MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 93: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRwithAPSRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 16, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 0, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 5, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 94: \
+  case 93: \
     tmp = fieldname(insn, 0, 24); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 95: \
+  case 94: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -10939,6 +10947,20 @@
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 95: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 96: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
@@ -10947,11 +10969,11 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 97: \
     tmp = 0; \
@@ -10961,7 +10983,7 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
@@ -10971,67 +10993,71 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 99: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 100: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 101: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 102: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
@@ -11045,51 +11071,53 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 104: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 3); \
+    if (!Check(&S, DecodeDPR_8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp |= (fieldname(insn, 3, 1) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 105: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodeDPR_8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -11109,7 +11137,7 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodeDPR_8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -11121,11 +11149,7 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
@@ -11141,11 +11165,11 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodeDPR_8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -11161,7 +11185,7 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodeDPR_8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -11173,31 +11197,33 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 3); \
-    if (!Check(&S, DecodeDPR_8RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 3, 1) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 1); \
+    tmp = fieldname(insn, 0, 4); \
+    if (!Check(&S, DecodeDPR_VFP2RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 5, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 111: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecodeDPR_VFP2RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 1); \
@@ -11215,7 +11241,7 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecodeDPR_VFP2RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 1); \
@@ -11225,11 +11251,7 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
@@ -11243,11 +11265,11 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecodeDPR_VFP2RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 1); \
@@ -11261,27 +11283,13 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecodeDPR_VFP2RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 5, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 116: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecodeDPR_VFP2RegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 5, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 117: \
+  case 116: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11297,7 +11305,7 @@
     tmp = fieldname(insn, 10, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 118: \
+  case 117: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11313,7 +11321,7 @@
     tmp = fieldname(insn, 9, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 119: \
+  case 118: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11329,7 +11337,7 @@
     tmp = fieldname(insn, 8, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 120: \
+  case 119: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11345,7 +11353,7 @@
     tmp = fieldname(insn, 11, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 121: \
+  case 120: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11361,7 +11369,7 @@
     tmp = fieldname(insn, 10, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 122: \
+  case 121: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11377,7 +11385,7 @@
     tmp = fieldname(insn, 9, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 123: \
+  case 122: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11393,7 +11401,7 @@
     tmp = fieldname(insn, 8, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 124: \
+  case 123: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11403,53 +11411,70 @@
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 124: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 125: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 126: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 127: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 128: \
+    if (!Check(&S, DecodeVSHLMaxInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 129: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11457,24 +11482,21 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 129: \
-    if (!Check(&S, DecodeVSHLMaxInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 130: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 131: \
     tmp = 0; \
@@ -11482,27 +11504,25 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 132: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 133: \
+  case 132: \
     if (!Check(&S, DecodeTBLInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 133: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 19, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 134: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
@@ -11512,7 +11532,7 @@
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 19, 1); \
+    tmp = fieldname(insn, 18, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 135: \
@@ -11524,22 +11544,10 @@
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 136: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 17, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 137: \
+  case 136: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11551,7 +11559,7 @@
     tmp = fieldname(insn, 19, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 138: \
+  case 137: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11563,7 +11571,7 @@
     tmp = fieldname(insn, 18, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 139: \
+  case 138: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11575,7 +11583,7 @@
     tmp = fieldname(insn, 17, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 140: \
+  case 139: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11587,7 +11595,7 @@
     tmp = fieldname(insn, 16, 3); \
     if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 141: \
+  case 140: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11599,7 +11607,7 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeShiftRight16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 142: \
+  case 141: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11611,6 +11619,22 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeShiftRight32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 142: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 143: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
@@ -11624,26 +11648,10 @@
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 144: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeShiftRight16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 145: \
+  case 144: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11659,12 +11667,28 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeShiftRight32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 145: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 146: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11677,14 +11701,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
+    tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 148: \
@@ -11693,6 +11713,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11705,14 +11729,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 150: \
@@ -11721,6 +11741,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11733,29 +11757,13 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 152: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
     if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 153: \
+  case 152: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11767,7 +11775,7 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeShiftRight16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 154: \
+  case 153: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11779,7 +11787,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeShiftRight32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 155: \
+  case 154: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11791,7 +11799,7 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 156: \
+  case 155: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11803,7 +11811,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 157: \
+  case 156: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11815,18 +11823,34 @@
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 158: \
+  case 157: \
     if (!Check(&S, DecodeNEONModImmInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 159: \
+  case 158: \
     if (!Check(&S, DecodeVCVTD(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 159: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeShiftRight64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 160: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11839,15 +11863,11 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftRight64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 162: \
     tmp = 0; \
@@ -11855,6 +11875,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11865,22 +11889,6 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 164: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
@@ -11889,7 +11897,7 @@
     tmp = fieldname(insn, 16, 3); \
     if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 165: \
+  case 164: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11901,7 +11909,7 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeShiftRight16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 166: \
+  case 165: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11913,6 +11921,22 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeShiftRight32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 166: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 167: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
@@ -11926,26 +11950,10 @@
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    if (!Check(&S, DecodeShiftRight8Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 168: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeShiftRight16Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 169: \
+  case 168: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -11961,12 +11969,28 @@
     tmp = fieldname(insn, 16, 5); \
     if (!Check(&S, DecodeShiftRight32Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 169: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 170: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -11979,14 +12003,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
+    tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 172: \
@@ -11995,6 +12015,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12007,14 +12031,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 174: \
@@ -12023,6 +12043,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12030,10 +12054,9 @@
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 175: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeVCVTQ(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 176: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -12042,11 +12065,8 @@
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 176: \
-    if (!Check(&S, DecodeVCVTQ(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    if (!Check(&S, DecodeShiftRight64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 177: \
     tmp = 0; \
@@ -12054,6 +12074,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12066,15 +12090,11 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
-    if (!Check(&S, DecodeShiftRight64Imm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 179: \
     tmp = 0; \
@@ -12082,6 +12102,10 @@
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12090,22 +12114,6 @@
     return S; \
   case 180: \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 181: \
-    tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12120,30 +12128,44 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 181: \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 28, 4); \
+    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 182: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 1); \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 6, 1) << 0); \
+    tmp |= (fieldname(insn, 21, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 183: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 6, 1) << 0); \
     tmp |= (fieldname(insn, 21, 1) << 1); \
     MCOperand_CreateImm0(MI, tmp); \
@@ -12151,31 +12173,31 @@
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 184: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 6, 1) << 0); \
-    tmp |= (fieldname(insn, 21, 1) << 1); \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 5, 2) << 0); \
+    tmp |= (fieldname(insn, 21, 1) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 185: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
     tmp |= (fieldname(insn, 5, 2) << 0); \
     tmp |= (fieldname(insn, 21, 1) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
@@ -12183,16 +12205,12 @@
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 186: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 5, 2) << 0); \
-    tmp |= (fieldname(insn, 21, 1) << 2); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
@@ -12200,86 +12218,76 @@
     tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 4); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 188: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecodeQPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 189: \
+  case 188: \
     if (!Check(&S, DecodeVLDST4Instruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 190: \
+  case 189: \
     if (!Check(&S, DecodeVST1LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 191: \
+  case 190: \
     if (!Check(&S, DecodeVLD1LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 192: \
+  case 191: \
     if (!Check(&S, DecodeVST2LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 193: \
+  case 192: \
     if (!Check(&S, DecodeVLD2LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 194: \
+  case 193: \
     if (!Check(&S, DecodeVLDST1Instruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 195: \
+  case 194: \
     if (!Check(&S, DecodeVST3LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 196: \
+  case 195: \
     if (!Check(&S, DecodeVLD3LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 197: \
+  case 196: \
     if (!Check(&S, DecodeVLDST2Instruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 198: \
+  case 197: \
     if (!Check(&S, DecodeVST4LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 199: \
+  case 198: \
     if (!Check(&S, DecodeVLD4LN(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 200: \
+  case 199: \
     if (!Check(&S, DecodeVLDST3Instruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 201: \
+  case 200: \
     if (!Check(&S, DecodeVLD1DupInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 202: \
+  case 201: \
     if (!Check(&S, DecodeVLD2DupInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 203: \
+  case 202: \
     if (!Check(&S, DecodeVLD3DupInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 204: \
+  case 203: \
     if (!Check(&S, DecodeVLD4DupInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 205: \
+  case 204: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 206: \
+  case 205: \
     tmp = fieldname(insn, 8, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 207: \
+  case 206: \
     if (!Check(&S, DecodeThumbAddSPReg(MI, (uint16_t)insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 208: \
+  case 207: \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 3) << 0); \
     tmp |= (fieldname(insn, 7, 1) << 3); \
@@ -12291,49 +12299,49 @@
     tmp = fieldname(insn, 3, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 208: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 3) << 0); \
+    tmp |= (fieldname(insn, 7, 1) << 3); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 3, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 209: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 3) << 0); \
-    tmp |= (fieldname(insn, 7, 1) << 3); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 210: \
-    tmp = fieldname(insn, 3, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 211: \
     tmp = fieldname(insn, 8, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 8); \
     if (!Check(&S, DecodeThumbAddrModePC(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 212: \
+  case 211: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 6); \
     if (!Check(&S, DecodeThumbAddrModeRR(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 213: \
+  case 212: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 8); \
     if (!Check(&S, DecodeThumbAddrModeIS(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 214: \
+  case 213: \
     tmp = fieldname(insn, 8, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 8); \
     if (!Check(&S, DecodeThumbAddrModeSP(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 215: \
+  case 214: \
     if (!Check(&S, DecodeThumbAddSpecialReg(MI, (uint16_t)insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 216: \
+  case 215: \
     if (!Check(&S, DecodeThumbAddSPImm(MI, (uint16_t)insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 217: \
+  case 216: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12341,38 +12349,38 @@
     tmp |= (fieldname(insn, 9, 1) << 5); \
     if (!Check(&S, DecodeThumbCmpBROperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 218: \
+  case 217: \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 8) << 0); \
     tmp |= (fieldname(insn, 8, 1) << 14); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 219: \
+  case 218: \
     tmp = fieldname(insn, 3, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 220: \
+  case 219: \
     if (!Check(&S, DecodeThumbCPS(MI, (uint16_t)insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 221: \
+  case 220: \
     tmp = fieldname(insn, 0, 6); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 222: \
+  case 221: \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 8) << 0); \
     tmp |= (fieldname(insn, 8, 1) << 15); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 223: \
+  case 222: \
     tmp = fieldname(insn, 0, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 224: \
+  case 223: \
     tmp = fieldname(insn, 4, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 225: \
+  case 224: \
     tmp = fieldname(insn, 8, 3); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 3); \
@@ -12380,23 +12388,23 @@
     tmp = fieldname(insn, 0, 8); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 226: \
+  case 225: \
     tmp = fieldname(insn, 8, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 8); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 227: \
+  case 226: \
     tmp = fieldname(insn, 0, 8); \
     if (!Check(&S, DecodeThumbBCCTargetOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 228: \
+  case 227: \
     tmp = fieldname(insn, 0, 11); \
     if (!Check(&S, DecodeThumbBROperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 229: \
+  case 228: \
     tmp = 0; \
     tmp |= (fieldname(insn, 1, 10) << 1); \
     tmp |= (fieldname(insn, 11, 1) << 21); \
@@ -12405,7 +12413,7 @@
     tmp |= (fieldname(insn, 26, 1) << 23); \
     if (!Check(&S, DecodeThumbBLXOffset(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 230: \
+  case 229: \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 11) << 0); \
     tmp |= (fieldname(insn, 11, 1) << 21); \
@@ -12414,10 +12422,10 @@
     tmp |= (fieldname(insn, 26, 1) << 23); \
     if (!Check(&S, DecodeThumbBLTargetOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 231: \
+  case 230: \
     if (!Check(&S, DecodeIT(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 232: \
+  case 231: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12425,31 +12433,31 @@
     tmp |= (fieldname(insn, 14, 1) << 14); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 233: \
+  case 232: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 233: \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 13) << 0); \
+    tmp |= (fieldname(insn, 14, 1) << 14); \
+    if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 234: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 13) << 0); \
-    tmp |= (fieldname(insn, 14, 1) << 14); \
-    if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 235: \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (!Check(&S, DecodeRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 236: \
+  case 235: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
@@ -12459,7 +12467,7 @@
     tmp |= (fieldname(insn, 16, 4) << 8); \
     if (!Check(&S, DecodeT2AddrModeImm0_1020s4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 237: \
+  case 236: \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
@@ -12467,7 +12475,7 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 238: \
+  case 237: \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
@@ -12477,24 +12485,24 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 238: \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 239: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 240: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 8) << 0); \
     tmp |= (fieldname(insn, 16, 4) << 8); \
     if (!Check(&S, DecodeT2AddrModeImm0_1020s4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 241: \
+  case 240: \
     if (!Check(&S, DecodeThumbTableBranch(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 242: \
+  case 241: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
@@ -12502,7 +12510,7 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 243: \
+  case 242: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
@@ -12516,55 +12524,44 @@
     tmp |= (fieldname(insn, 23, 1) << 8); \
     if (!Check(&S, DecodeT2Imm8S4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 243: \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 8) << 0); \
+    tmp |= (fieldname(insn, 23, 1) << 8); \
+    if (!Check(&S, DecodeT2Imm8S4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 244: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeAddrMode7Operand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 8) << 0); \
+    tmp |= (fieldname(insn, 16, 4) << 9); \
     tmp |= (fieldname(insn, 23, 1) << 8); \
-    if (!Check(&S, DecodeT2Imm8S4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecodeT2AddrModeImm8s4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 245: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 8) << 0); \
-    tmp |= (fieldname(insn, 16, 4) << 9); \
-    tmp |= (fieldname(insn, 23, 1) << 8); \
-    if (!Check(&S, DecodeT2AddrModeImm8s4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 246: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 8) << 0); \
-    tmp |= (fieldname(insn, 16, 4) << 9); \
-    tmp |= (fieldname(insn, 23, 1) << 8); \
-    if (!Check(&S, DecodeT2AddrModeImm8s4(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 247: \
     if (!Check(&S, DecodeT2STRDPreInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 248: \
+  case 246: \
     if (!Check(&S, DecodeT2LDRDPreInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 249: \
+  case 247: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 250: \
+  case 248: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12573,7 +12570,7 @@
     tmp |= (fieldname(insn, 12, 3) << 9); \
     if (!Check(&S, DecodeSORegImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 251: \
+  case 249: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -12583,82 +12580,82 @@
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 250: \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 4, 4) << 5); \
+    tmp |= (fieldname(insn, 12, 3) << 9); \
+    if (!Check(&S, DecodeSORegImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 1); \
+    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 251: \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 1); \
+    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 252: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
+    tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 4, 4) << 5); \
-    tmp |= (fieldname(insn, 12, 3) << 9); \
-    if (!Check(&S, DecodeSORegImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 253: \
     tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 6, 2) << 0); \
+    tmp |= (fieldname(insn, 12, 3) << 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 254: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 4, 4) << 5); \
+    tmp |= (fieldname(insn, 12, 3) << 9); \
+    if (!Check(&S, DecodeSORegImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 255: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 6, 2) << 0); \
     tmp |= (fieldname(insn, 12, 3) << 2); \
     MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 20, 1); \
-    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 256: \
     tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 4, 4) << 5); \
-    tmp |= (fieldname(insn, 12, 3) << 9); \
-    if (!Check(&S, DecodeSORegImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 257: \
     tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 6, 2) << 0); \
-    tmp |= (fieldname(insn, 12, 3) << 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 258: \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 1); \
-    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 259: \
-    tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12670,7 +12667,7 @@
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 260: \
+  case 258: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeCoprocessor(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 4, 4); \
@@ -12682,7 +12679,7 @@
     tmp = fieldname(insn, 0, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 261: \
+  case 259: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12691,7 +12688,7 @@
     tmp |= (fieldname(insn, 26, 1) << 11); \
     if (!Check(&S, DecodeT2SOImm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 262: \
+  case 260: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -12704,32 +12701,32 @@
     tmp = fieldname(insn, 20, 1); \
     if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 261: \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 8) << 0); \
+    tmp |= (fieldname(insn, 12, 3) << 8); \
+    tmp |= (fieldname(insn, 26, 1) << 11); \
+    if (!Check(&S, DecodeT2SOImm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 1); \
+    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 262: \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 8) << 0); \
+    tmp |= (fieldname(insn, 12, 3) << 8); \
+    tmp |= (fieldname(insn, 26, 1) << 11); \
+    if (!Check(&S, DecodeT2SOImm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 20, 1); \
+    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 263: \
     tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 8) << 0); \
-    tmp |= (fieldname(insn, 12, 3) << 8); \
-    tmp |= (fieldname(insn, 26, 1) << 11); \
-    if (!Check(&S, DecodeT2SOImm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 1); \
-    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 264: \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 8) << 0); \
-    tmp |= (fieldname(insn, 12, 3) << 8); \
-    tmp |= (fieldname(insn, 26, 1) << 11); \
-    if (!Check(&S, DecodeT2SOImm(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 20, 1); \
-    if (!Check(&S, DecodeCCOutOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 265: \
-    tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeGPRnopcRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12739,13 +12736,13 @@
     tmp |= (fieldname(insn, 26, 1) << 11); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 266: \
+  case 264: \
     if (!Check(&S, DecodeT2Adr(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 267: \
+  case 265: \
     if (!Check(&S, DecodeT2MOVTWInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 268: \
+  case 266: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
@@ -12753,7 +12750,7 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 269: \
+  case 267: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 5); \
@@ -12766,7 +12763,7 @@
     tmp |= (fieldname(insn, 21, 1) << 5); \
     if (!Check(&S, DecodeT2ShifterImmOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 270: \
+  case 268: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -12778,7 +12775,7 @@
     tmp = fieldname(insn, 0, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 271: \
+  case 269: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
@@ -12789,7 +12786,7 @@
     tmp |= (fieldname(insn, 12, 3) << 2); \
     if (!Check(&S, DecodeBitfieldMaskOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 272: \
+  case 270: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
@@ -12802,38 +12799,44 @@
     tmp |= (fieldname(insn, 12, 3) << 2); \
     if (!Check(&S, DecodeBitfieldMaskOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 273: \
+  case 271: \
     tmp = fieldname(insn, 0, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 274: \
+  case 272: \
     if (!Check(&S, DecodeT2CPSInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 275: \
+  case 273: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 276: \
+  case 274: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 8); \
     if (!Check(&S, DecodeMSRMask(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 277: \
+  case 275: \
     tmp = fieldname(insn, 0, 12); \
     if (!Check(&S, DecodeMSRMask(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 278: \
+  case 276: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 279: \
+  case 277: \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 280: \
+  case 278: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 12) << 0); \
+    tmp |= (fieldname(insn, 16, 4) << 12); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 279: \
     tmp = 0; \
     tmp |= (fieldname(insn, 8, 4) << 0); \
     tmp |= (fieldname(insn, 20, 1) << 4); \
@@ -12841,13 +12844,13 @@
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 281: \
+  case 280: \
     if (!Check(&S, DecodeThumb2BCCInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 282: \
+  case 281: \
     if (!Check(&S, DecodeT2BInstruction(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 283: \
+  case 282: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12856,14 +12859,23 @@
     tmp |= (fieldname(insn, 16, 4) << 6); \
     if (!Check(&S, DecodeT2AddrModeSOReg(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 284: \
+  case 283: \
     if (!Check(&S, DecodeT2LdStPre(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 284: \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 8) << 0); \
+    tmp |= (fieldname(insn, 16, 4) << 9); \
+    if (!Check(&S, DecodeT2AddrModeImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 285: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 8) << 0); \
+    tmp |= (fieldname(insn, 9, 1) << 8); \
     tmp |= (fieldname(insn, 16, 4) << 9); \
     if (!Check(&S, DecodeT2AddrModeImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
@@ -12871,35 +12883,26 @@
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
-    tmp |= (fieldname(insn, 0, 8) << 0); \
-    tmp |= (fieldname(insn, 9, 1) << 8); \
-    tmp |= (fieldname(insn, 16, 4) << 9); \
-    if (!Check(&S, DecodeT2AddrModeImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 287: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
     tmp |= (fieldname(insn, 0, 12) << 0); \
     tmp |= (fieldname(insn, 16, 4) << 13); \
     if (!Check(&S, DecodeT2AddrModeImm12(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 288: \
+  case 287: \
     if (!Check(&S, DecodeT2LoadShift(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 289: \
+  case 288: \
     if (!Check(&S, DecodeT2LoadImm8(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 290: \
+  case 289: \
     if (!Check(&S, DecodeT2LoadT(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 291: \
+  case 290: \
     if (!Check(&S, DecodeT2LoadImm12(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 292: \
+  case 291: \
     if (!Check(&S, DecodeT2LoadLabel(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 293: \
+  case 292: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12908,7 +12911,7 @@
     tmp |= (fieldname(insn, 16, 4) << 6); \
     if (!Check(&S, DecodeT2AddrModeSOReg(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 294: \
+  case 293: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12917,7 +12920,7 @@
     tmp |= (fieldname(insn, 16, 4) << 9); \
     if (!Check(&S, DecodeT2AddrModeImm8(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 295: \
+  case 294: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -12925,9 +12928,19 @@
     tmp |= (fieldname(insn, 16, 4) << 13); \
     if (!Check(&S, DecodeT2AddrModeImm12(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 295: \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 4, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 296: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 4, 2); \
@@ -12940,34 +12953,24 @@
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 4, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 298: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 299: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 300: \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 16, 4) << 0); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 301: \
+  case 300: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -12975,7 +12978,7 @@
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 302: \
+  case 301: \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -12985,6 +12988,16 @@
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 302: \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 303: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -12994,32 +13007,22 @@
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 304: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
+    tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 305: \
-    tmp = fieldname(insn, 12, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    if (!Check(&S, DecoderGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 306: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 3); \
@@ -13027,7 +13030,7 @@
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 307: \
+  case 306: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 3); \
@@ -13035,7 +13038,7 @@
     tmp = fieldname(insn, 6, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 308: \
+  case 307: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 3); \
@@ -13043,7 +13046,7 @@
     tmp = fieldname(insn, 6, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 309: \
+  case 308: \
     tmp = fieldname(insn, 8, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 3); \
@@ -13051,26 +13054,26 @@
     tmp = fieldname(insn, 0, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 309: \
+    tmp = fieldname(insn, 0, 3); \
+    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 3); \
+    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 3, 3); \
+    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 310: \
     tmp = fieldname(insn, 0, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 3); \
-    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 3, 3); \
     if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 3); \
+    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
   case 311: \
-    tmp = fieldname(insn, 0, 3); \
-    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 3, 3); \
-    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 3); \
-    if (!Check(&S, DecodetGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 312: \
     if (!Check(&S, DecodeVMOVSRR(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 313: \
+  case 312: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
@@ -13081,7 +13084,7 @@
     tmp |= (fieldname(insn, 22, 1) << 8); \
     if (!Check(&S, DecodeSPRRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 314: \
+  case 313: \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
@@ -13093,7 +13096,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 315: \
+  case 314: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
@@ -13104,7 +13107,7 @@
     tmp |= (fieldname(insn, 22, 1) << 12); \
     if (!Check(&S, DecodeDPRRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 316: \
+  case 315: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
@@ -13114,7 +13117,7 @@
     tmp |= (fieldname(insn, 12, 4) << 8); \
     if (!Check(&S, DecodeDPRRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 317: \
+  case 316: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13127,7 +13130,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 318: \
+  case 317: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -13140,7 +13143,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 319: \
+  case 318: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13160,28 +13163,44 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 319: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 1); \
+    tmp |= (fieldname(insn, 22, 1) << 0); \
+    if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 0); \
+    tmp |= (fieldname(insn, 16, 4) << 1); \
+    if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 1); \
+    tmp |= (fieldname(insn, 5, 1) << 0); \
+    if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 28, 4); \
+    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 320: \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 1); \
-    tmp |= (fieldname(insn, 22, 1) << 0); \
-    if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 0); \
-    tmp |= (fieldname(insn, 16, 4) << 1); \
-    if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 1); \
-    tmp |= (fieldname(insn, 5, 1) << 0); \
-    if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 321: \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
+    tmp |= (fieldname(insn, 7, 1) << 4); \
+    tmp |= (fieldname(insn, 16, 4) << 0); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 5, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 28, 4); \
+    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
+  case 321: \
+    tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -13198,22 +13217,6 @@
     return S; \
   case 322: \
     tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 7, 1) << 4); \
-    tmp |= (fieldname(insn, 16, 4) << 0); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 5, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 323: \
-    tmp = 0; \
     tmp |= (fieldname(insn, 7, 1) << 0); \
     tmp |= (fieldname(insn, 16, 4) << 1); \
     if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
@@ -13222,10 +13225,10 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 324: \
+  case 323: \
     if (!Check(&S, DecodeVMOVRRS(MI, insn, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 325: \
+  case 324: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -13237,7 +13240,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 326: \
+  case 325: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
@@ -13247,7 +13250,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 327: \
+  case 326: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -13260,7 +13263,7 @@
     tmp |= (fieldname(insn, 22, 1) << 8); \
     if (!Check(&S, DecodeSPRRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 328: \
+  case 327: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -13273,7 +13276,7 @@
     tmp |= (fieldname(insn, 22, 1) << 12); \
     if (!Check(&S, DecodeDPRRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 329: \
+  case 328: \
     tmp = fieldname(insn, 16, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
@@ -13285,13 +13288,13 @@
     tmp |= (fieldname(insn, 12, 4) << 8); \
     if (!Check(&S, DecodeDPRRegListOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 330: \
+  case 329: \
     tmp = fieldname(insn, 12, 4); \
     if (!Check(&S, DecodeGPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 331: \
+  case 330: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13303,7 +13306,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 332: \
+  case 331: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13315,7 +13318,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 333: \
+  case 332: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13323,7 +13326,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 334: \
+  case 333: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13339,7 +13342,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 335: \
+  case 334: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -13351,6 +13354,18 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
+  case 335: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 12, 4) << 0); \
+    tmp |= (fieldname(insn, 22, 1) << 4); \
+    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 4) << 0); \
+    tmp |= (fieldname(insn, 16, 4) << 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 28, 4); \
+    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
+    return S; \
   case 336: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
@@ -13358,24 +13373,12 @@
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = 0; \
     tmp |= (fieldname(insn, 0, 4) << 0); \
-    tmp |= (fieldname(insn, 16, 4) << 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 28, 4); \
-    if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    return S; \
-  case 337: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 12, 4) << 0); \
-    tmp |= (fieldname(insn, 22, 1) << 4); \
-    if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 0, 4) << 0); \
     tmp |= (fieldname(insn, 5, 1) << 4); \
     if (!Check(&S, DecodeDPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 338: \
+  case 337: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13387,7 +13390,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 339: \
+  case 338: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -13395,7 +13398,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 340: \
+  case 339: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 0); \
     tmp |= (fieldname(insn, 22, 1) << 4); \
@@ -13411,7 +13414,7 @@
     tmp = fieldname(insn, 28, 4); \
     if (!Check(&S, DecodePredicateOperand(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 341: \
+  case 340: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13425,7 +13428,7 @@
     tmp |= (fieldname(insn, 5, 1) << 0); \
     if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 342: \
+  case 341: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13435,7 +13438,7 @@
     tmp |= (fieldname(insn, 5, 1) << 0); \
     if (!Check(&S, DecodeSPRRegisterClass(MI, tmp, Address, Decoder))) return MCDisassembler_Fail; \
     return S; \
-  case 343: \
+  case 342: \
     tmp = 0; \
     tmp |= (fieldname(insn, 12, 4) << 1); \
     tmp |= (fieldname(insn, 22, 1) << 0); \
@@ -13452,7 +13455,7 @@
 static DecodeStatus fname(uint8_t DecodeTable[], MCInst *MI, \
            InsnType insn, uint64_t Address, MCRegisterInfo *MRI, int feature) \
 { \
-  uint64_t Bits = getFeatureBits(feature); \
+  uint64_t Bits = ARM_getFeatureBits(feature); \
   uint8_t *Ptr = DecodeTable; \
   uint32_t CurFieldValue = 0, ExpectedValue; \
   DecodeStatus S = MCDisassembler_Success; \
@@ -13503,9 +13506,9 @@
       break; \
     } \
     case MCD_OPC_Decode: { \
-      Opc = (uint32_t)decodeULEB128(++Ptr, &Len); \
+      Opc = (unsigned)decodeULEB128(++Ptr, &Len); \
       Ptr += Len; \
-      DecodeIdx = (uint32_t)decodeULEB128(Ptr, &Len); \
+      DecodeIdx = (unsigned)decodeULEB128(Ptr, &Len); \
       Ptr += Len; \
       MCInst_setOpcode(MI, Opc); \
       return decoder(S, DecodeIdx, insn, MI, Address, MRI); \
diff --git a/arch/ARM/ARMGenInstrInfo.inc b/arch/ARM/ARMGenInstrInfo.inc
index 1c2ce7d..b44e15c 100644
--- a/arch/ARM/ARMGenInstrInfo.inc
+++ b/arch/ARM/ARMGenInstrInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_INSTRINFO_ENUM
@@ -16,7 +16,7 @@
 enum {
     ARM_PHI	= 0,
     ARM_INLINEASM	= 1,
-    ARM_PROLOG_LABEL	= 2,
+    ARM_CFI_INSTRUCTION	= 2,
     ARM_EH_LABEL	= 3,
     ARM_GC_LABEL	= 4,
     ARM_KILL	= 5,
@@ -33,2822 +33,2777 @@
     ARM_LIFETIME_END	= 16,
     ARM_STACKMAP	= 17,
     ARM_PATCHPOINT	= 18,
-    ARM_ABS	= 19,
-    ARM_ADCri	= 20,
-    ARM_ADCrr	= 21,
-    ARM_ADCrsi	= 22,
-    ARM_ADCrsr	= 23,
-    ARM_ADDSri	= 24,
-    ARM_ADDSrr	= 25,
-    ARM_ADDSrsi	= 26,
-    ARM_ADDSrsr	= 27,
-    ARM_ADDri	= 28,
-    ARM_ADDrr	= 29,
-    ARM_ADDrsi	= 30,
-    ARM_ADDrsr	= 31,
-    ARM_ADJCALLSTACKDOWN	= 32,
-    ARM_ADJCALLSTACKUP	= 33,
-    ARM_ADR	= 34,
-    ARM_AESD	= 35,
-    ARM_AESE	= 36,
-    ARM_AESIMC	= 37,
-    ARM_AESMC	= 38,
-    ARM_ANDri	= 39,
-    ARM_ANDrr	= 40,
-    ARM_ANDrsi	= 41,
-    ARM_ANDrsr	= 42,
-    ARM_ASRi	= 43,
-    ARM_ASRr	= 44,
-    ARM_ATOMIC_CMP_SWAP_I16	= 45,
-    ARM_ATOMIC_CMP_SWAP_I32	= 46,
-    ARM_ATOMIC_CMP_SWAP_I64	= 47,
-    ARM_ATOMIC_CMP_SWAP_I8	= 48,
-    ARM_ATOMIC_LOAD_ADD_I16	= 49,
-    ARM_ATOMIC_LOAD_ADD_I32	= 50,
-    ARM_ATOMIC_LOAD_ADD_I64	= 51,
-    ARM_ATOMIC_LOAD_ADD_I8	= 52,
-    ARM_ATOMIC_LOAD_AND_I16	= 53,
-    ARM_ATOMIC_LOAD_AND_I32	= 54,
-    ARM_ATOMIC_LOAD_AND_I64	= 55,
-    ARM_ATOMIC_LOAD_AND_I8	= 56,
-    ARM_ATOMIC_LOAD_I64	= 57,
-    ARM_ATOMIC_LOAD_MAX_I16	= 58,
-    ARM_ATOMIC_LOAD_MAX_I32	= 59,
-    ARM_ATOMIC_LOAD_MAX_I64	= 60,
-    ARM_ATOMIC_LOAD_MAX_I8	= 61,
-    ARM_ATOMIC_LOAD_MIN_I16	= 62,
-    ARM_ATOMIC_LOAD_MIN_I32	= 63,
-    ARM_ATOMIC_LOAD_MIN_I64	= 64,
-    ARM_ATOMIC_LOAD_MIN_I8	= 65,
-    ARM_ATOMIC_LOAD_NAND_I16	= 66,
-    ARM_ATOMIC_LOAD_NAND_I32	= 67,
-    ARM_ATOMIC_LOAD_NAND_I64	= 68,
-    ARM_ATOMIC_LOAD_NAND_I8	= 69,
-    ARM_ATOMIC_LOAD_OR_I16	= 70,
-    ARM_ATOMIC_LOAD_OR_I32	= 71,
-    ARM_ATOMIC_LOAD_OR_I64	= 72,
-    ARM_ATOMIC_LOAD_OR_I8	= 73,
-    ARM_ATOMIC_LOAD_SUB_I16	= 74,
-    ARM_ATOMIC_LOAD_SUB_I32	= 75,
-    ARM_ATOMIC_LOAD_SUB_I64	= 76,
-    ARM_ATOMIC_LOAD_SUB_I8	= 77,
-    ARM_ATOMIC_LOAD_UMAX_I16	= 78,
-    ARM_ATOMIC_LOAD_UMAX_I32	= 79,
-    ARM_ATOMIC_LOAD_UMAX_I64	= 80,
-    ARM_ATOMIC_LOAD_UMAX_I8	= 81,
-    ARM_ATOMIC_LOAD_UMIN_I16	= 82,
-    ARM_ATOMIC_LOAD_UMIN_I32	= 83,
-    ARM_ATOMIC_LOAD_UMIN_I64	= 84,
-    ARM_ATOMIC_LOAD_UMIN_I8	= 85,
-    ARM_ATOMIC_LOAD_XOR_I16	= 86,
-    ARM_ATOMIC_LOAD_XOR_I32	= 87,
-    ARM_ATOMIC_LOAD_XOR_I64	= 88,
-    ARM_ATOMIC_LOAD_XOR_I8	= 89,
-    ARM_ATOMIC_STORE_I64	= 90,
-    ARM_ATOMIC_SWAP_I16	= 91,
-    ARM_ATOMIC_SWAP_I32	= 92,
-    ARM_ATOMIC_SWAP_I64	= 93,
-    ARM_ATOMIC_SWAP_I8	= 94,
-    ARM_B	= 95,
-    ARM_BCCZi64	= 96,
-    ARM_BCCi64	= 97,
-    ARM_BFC	= 98,
-    ARM_BFI	= 99,
-    ARM_BICri	= 100,
-    ARM_BICrr	= 101,
-    ARM_BICrsi	= 102,
-    ARM_BICrsr	= 103,
-    ARM_BKPT	= 104,
-    ARM_BL	= 105,
-    ARM_BLX	= 106,
-    ARM_BLX_pred	= 107,
-    ARM_BLXi	= 108,
-    ARM_BL_pred	= 109,
-    ARM_BMOVPCB_CALL	= 110,
-    ARM_BMOVPCRX_CALL	= 111,
-    ARM_BR_JTadd	= 112,
-    ARM_BR_JTm	= 113,
-    ARM_BR_JTr	= 114,
-    ARM_BX	= 115,
-    ARM_BXJ	= 116,
-    ARM_BX_CALL	= 117,
-    ARM_BX_RET	= 118,
-    ARM_BX_pred	= 119,
-    ARM_Bcc	= 120,
-    ARM_CDP	= 121,
-    ARM_CDP2	= 122,
-    ARM_CLREX	= 123,
-    ARM_CLZ	= 124,
-    ARM_CMNri	= 125,
-    ARM_CMNzrr	= 126,
-    ARM_CMNzrsi	= 127,
-    ARM_CMNzrsr	= 128,
-    ARM_CMPri	= 129,
-    ARM_CMPrr	= 130,
-    ARM_CMPrsi	= 131,
-    ARM_CMPrsr	= 132,
-    ARM_CONSTPOOL_ENTRY	= 133,
-    ARM_COPY_STRUCT_BYVAL_I32	= 134,
-    ARM_CPS1p	= 135,
-    ARM_CPS2p	= 136,
-    ARM_CPS3p	= 137,
-    ARM_CRC32B	= 138,
-    ARM_CRC32CB	= 139,
-    ARM_CRC32CH	= 140,
-    ARM_CRC32CW	= 141,
-    ARM_CRC32H	= 142,
-    ARM_CRC32W	= 143,
-    ARM_DBG	= 144,
-    ARM_DMB	= 145,
-    ARM_DSB	= 146,
-    ARM_EORri	= 147,
-    ARM_EORrr	= 148,
-    ARM_EORrsi	= 149,
-    ARM_EORrsr	= 150,
-    ARM_FCONSTD	= 151,
-    ARM_FCONSTS	= 152,
-    ARM_FLDMXDB_UPD	= 153,
-    ARM_FLDMXIA	= 154,
-    ARM_FLDMXIA_UPD	= 155,
-    ARM_FMSTAT	= 156,
-    ARM_FSTMXDB_UPD	= 157,
-    ARM_FSTMXIA	= 158,
-    ARM_FSTMXIA_UPD	= 159,
-    ARM_HINT	= 160,
-    ARM_HLT	= 161,
-    ARM_ISB	= 162,
-    ARM_ITasm	= 163,
-    ARM_Int_eh_sjlj_dispatchsetup	= 164,
-    ARM_Int_eh_sjlj_longjmp	= 165,
-    ARM_Int_eh_sjlj_setjmp	= 166,
-    ARM_Int_eh_sjlj_setjmp_nofp	= 167,
-    ARM_LDA	= 168,
-    ARM_LDAB	= 169,
-    ARM_LDAEX	= 170,
-    ARM_LDAEXB	= 171,
-    ARM_LDAEXD	= 172,
-    ARM_LDAEXH	= 173,
-    ARM_LDAH	= 174,
-    ARM_LDC2L_OFFSET	= 175,
-    ARM_LDC2L_OPTION	= 176,
-    ARM_LDC2L_POST	= 177,
-    ARM_LDC2L_PRE	= 178,
-    ARM_LDC2_OFFSET	= 179,
-    ARM_LDC2_OPTION	= 180,
-    ARM_LDC2_POST	= 181,
-    ARM_LDC2_PRE	= 182,
-    ARM_LDCL_OFFSET	= 183,
-    ARM_LDCL_OPTION	= 184,
-    ARM_LDCL_POST	= 185,
-    ARM_LDCL_PRE	= 186,
-    ARM_LDC_OFFSET	= 187,
-    ARM_LDC_OPTION	= 188,
-    ARM_LDC_POST	= 189,
-    ARM_LDC_PRE	= 190,
-    ARM_LDMDA	= 191,
-    ARM_LDMDA_UPD	= 192,
-    ARM_LDMDB	= 193,
-    ARM_LDMDB_UPD	= 194,
-    ARM_LDMIA	= 195,
-    ARM_LDMIA_RET	= 196,
-    ARM_LDMIA_UPD	= 197,
-    ARM_LDMIB	= 198,
-    ARM_LDMIB_UPD	= 199,
-    ARM_LDRBT_POST	= 200,
-    ARM_LDRBT_POST_IMM	= 201,
-    ARM_LDRBT_POST_REG	= 202,
-    ARM_LDRB_POST_IMM	= 203,
-    ARM_LDRB_POST_REG	= 204,
-    ARM_LDRB_PRE_IMM	= 205,
-    ARM_LDRB_PRE_REG	= 206,
-    ARM_LDRBi12	= 207,
-    ARM_LDRBrs	= 208,
-    ARM_LDRD	= 209,
-    ARM_LDRD_PAIR	= 210,
-    ARM_LDRD_POST	= 211,
-    ARM_LDRD_PRE	= 212,
-    ARM_LDREX	= 213,
-    ARM_LDREXB	= 214,
-    ARM_LDREXD	= 215,
-    ARM_LDREXH	= 216,
-    ARM_LDRH	= 217,
-    ARM_LDRHTi	= 218,
-    ARM_LDRHTr	= 219,
-    ARM_LDRH_POST	= 220,
-    ARM_LDRH_PRE	= 221,
-    ARM_LDRLIT_ga_abs	= 222,
-    ARM_LDRLIT_ga_pcrel	= 223,
-    ARM_LDRLIT_ga_pcrel_ldr	= 224,
-    ARM_LDRSB	= 225,
-    ARM_LDRSBTi	= 226,
-    ARM_LDRSBTr	= 227,
-    ARM_LDRSB_POST	= 228,
-    ARM_LDRSB_PRE	= 229,
-    ARM_LDRSH	= 230,
-    ARM_LDRSHTi	= 231,
-    ARM_LDRSHTr	= 232,
-    ARM_LDRSH_POST	= 233,
-    ARM_LDRSH_PRE	= 234,
-    ARM_LDRT_POST	= 235,
-    ARM_LDRT_POST_IMM	= 236,
-    ARM_LDRT_POST_REG	= 237,
-    ARM_LDR_POST_IMM	= 238,
-    ARM_LDR_POST_REG	= 239,
-    ARM_LDR_PRE_IMM	= 240,
-    ARM_LDR_PRE_REG	= 241,
-    ARM_LDRcp	= 242,
-    ARM_LDRi12	= 243,
-    ARM_LDRrs	= 244,
-    ARM_LEApcrel	= 245,
-    ARM_LEApcrelJT	= 246,
-    ARM_LSLi	= 247,
-    ARM_LSLr	= 248,
-    ARM_LSRi	= 249,
-    ARM_LSRr	= 250,
-    ARM_MCR	= 251,
-    ARM_MCR2	= 252,
-    ARM_MCRR	= 253,
-    ARM_MCRR2	= 254,
-    ARM_MLA	= 255,
-    ARM_MLAv5	= 256,
-    ARM_MLS	= 257,
-    ARM_MOVCCi	= 258,
-    ARM_MOVCCi16	= 259,
-    ARM_MOVCCi32imm	= 260,
-    ARM_MOVCCr	= 261,
-    ARM_MOVCCsi	= 262,
-    ARM_MOVCCsr	= 263,
-    ARM_MOVPCLR	= 264,
-    ARM_MOVPCRX	= 265,
-    ARM_MOVTi16	= 266,
-    ARM_MOVTi16_ga_pcrel	= 267,
-    ARM_MOV_ga_pcrel	= 268,
-    ARM_MOV_ga_pcrel_ldr	= 269,
-    ARM_MOVi	= 270,
-    ARM_MOVi16	= 271,
-    ARM_MOVi16_ga_pcrel	= 272,
-    ARM_MOVi32imm	= 273,
-    ARM_MOVr	= 274,
-    ARM_MOVr_TC	= 275,
-    ARM_MOVsi	= 276,
-    ARM_MOVsr	= 277,
-    ARM_MOVsra_flag	= 278,
-    ARM_MOVsrl_flag	= 279,
-    ARM_MRC	= 280,
-    ARM_MRC2	= 281,
-    ARM_MRRC	= 282,
-    ARM_MRRC2	= 283,
-    ARM_MRS	= 284,
-    ARM_MRSsys	= 285,
-    ARM_MSR	= 286,
-    ARM_MSRi	= 287,
-    ARM_MUL	= 288,
-    ARM_MULv5	= 289,
-    ARM_MVNCCi	= 290,
-    ARM_MVNi	= 291,
-    ARM_MVNr	= 292,
-    ARM_MVNsi	= 293,
-    ARM_MVNsr	= 294,
-    ARM_ORRri	= 295,
-    ARM_ORRrr	= 296,
-    ARM_ORRrsi	= 297,
-    ARM_ORRrsr	= 298,
-    ARM_PICADD	= 299,
-    ARM_PICLDR	= 300,
-    ARM_PICLDRB	= 301,
-    ARM_PICLDRH	= 302,
-    ARM_PICLDRSB	= 303,
-    ARM_PICLDRSH	= 304,
-    ARM_PICSTR	= 305,
-    ARM_PICSTRB	= 306,
-    ARM_PICSTRH	= 307,
-    ARM_PKHBT	= 308,
-    ARM_PKHTB	= 309,
-    ARM_PLDWi12	= 310,
-    ARM_PLDWrs	= 311,
-    ARM_PLDi12	= 312,
-    ARM_PLDrs	= 313,
-    ARM_PLIi12	= 314,
-    ARM_PLIrs	= 315,
-    ARM_QADD	= 316,
-    ARM_QADD16	= 317,
-    ARM_QADD8	= 318,
-    ARM_QASX	= 319,
-    ARM_QDADD	= 320,
-    ARM_QDSUB	= 321,
-    ARM_QSAX	= 322,
-    ARM_QSUB	= 323,
-    ARM_QSUB16	= 324,
-    ARM_QSUB8	= 325,
-    ARM_RBIT	= 326,
-    ARM_REV	= 327,
-    ARM_REV16	= 328,
-    ARM_REVSH	= 329,
-    ARM_RFEDA	= 330,
-    ARM_RFEDA_UPD	= 331,
-    ARM_RFEDB	= 332,
-    ARM_RFEDB_UPD	= 333,
-    ARM_RFEIA	= 334,
-    ARM_RFEIA_UPD	= 335,
-    ARM_RFEIB	= 336,
-    ARM_RFEIB_UPD	= 337,
-    ARM_RORi	= 338,
-    ARM_RORr	= 339,
-    ARM_RRX	= 340,
-    ARM_RRXi	= 341,
-    ARM_RSBSri	= 342,
-    ARM_RSBSrsi	= 343,
-    ARM_RSBSrsr	= 344,
-    ARM_RSBri	= 345,
-    ARM_RSBrr	= 346,
-    ARM_RSBrsi	= 347,
-    ARM_RSBrsr	= 348,
-    ARM_RSCri	= 349,
-    ARM_RSCrr	= 350,
-    ARM_RSCrsi	= 351,
-    ARM_RSCrsr	= 352,
-    ARM_SADD16	= 353,
-    ARM_SADD8	= 354,
-    ARM_SASX	= 355,
-    ARM_SBCri	= 356,
-    ARM_SBCrr	= 357,
-    ARM_SBCrsi	= 358,
-    ARM_SBCrsr	= 359,
-    ARM_SBFX	= 360,
-    ARM_SDIV	= 361,
-    ARM_SEL	= 362,
-    ARM_SETEND	= 363,
-    ARM_SHA1C	= 364,
-    ARM_SHA1H	= 365,
-    ARM_SHA1M	= 366,
-    ARM_SHA1P	= 367,
-    ARM_SHA1SU0	= 368,
-    ARM_SHA1SU1	= 369,
-    ARM_SHA256H	= 370,
-    ARM_SHA256H2	= 371,
-    ARM_SHA256SU0	= 372,
-    ARM_SHA256SU1	= 373,
-    ARM_SHADD16	= 374,
-    ARM_SHADD8	= 375,
-    ARM_SHASX	= 376,
-    ARM_SHSAX	= 377,
-    ARM_SHSUB16	= 378,
-    ARM_SHSUB8	= 379,
-    ARM_SMC	= 380,
-    ARM_SMLABB	= 381,
-    ARM_SMLABT	= 382,
-    ARM_SMLAD	= 383,
-    ARM_SMLADX	= 384,
-    ARM_SMLAL	= 385,
-    ARM_SMLALBB	= 386,
-    ARM_SMLALBT	= 387,
-    ARM_SMLALD	= 388,
-    ARM_SMLALDX	= 389,
-    ARM_SMLALTB	= 390,
-    ARM_SMLALTT	= 391,
-    ARM_SMLALv5	= 392,
-    ARM_SMLATB	= 393,
-    ARM_SMLATT	= 394,
-    ARM_SMLAWB	= 395,
-    ARM_SMLAWT	= 396,
-    ARM_SMLSD	= 397,
-    ARM_SMLSDX	= 398,
-    ARM_SMLSLD	= 399,
-    ARM_SMLSLDX	= 400,
-    ARM_SMMLA	= 401,
-    ARM_SMMLAR	= 402,
-    ARM_SMMLS	= 403,
-    ARM_SMMLSR	= 404,
-    ARM_SMMUL	= 405,
-    ARM_SMMULR	= 406,
-    ARM_SMUAD	= 407,
-    ARM_SMUADX	= 408,
-    ARM_SMULBB	= 409,
-    ARM_SMULBT	= 410,
-    ARM_SMULL	= 411,
-    ARM_SMULLv5	= 412,
-    ARM_SMULTB	= 413,
-    ARM_SMULTT	= 414,
-    ARM_SMULWB	= 415,
-    ARM_SMULWT	= 416,
-    ARM_SMUSD	= 417,
-    ARM_SMUSDX	= 418,
-    ARM_SRSDA	= 419,
-    ARM_SRSDA_UPD	= 420,
-    ARM_SRSDB	= 421,
-    ARM_SRSDB_UPD	= 422,
-    ARM_SRSIA	= 423,
-    ARM_SRSIA_UPD	= 424,
-    ARM_SRSIB	= 425,
-    ARM_SRSIB_UPD	= 426,
-    ARM_SSAT	= 427,
-    ARM_SSAT16	= 428,
-    ARM_SSAX	= 429,
-    ARM_SSUB16	= 430,
-    ARM_SSUB8	= 431,
-    ARM_STC2L_OFFSET	= 432,
-    ARM_STC2L_OPTION	= 433,
-    ARM_STC2L_POST	= 434,
-    ARM_STC2L_PRE	= 435,
-    ARM_STC2_OFFSET	= 436,
-    ARM_STC2_OPTION	= 437,
-    ARM_STC2_POST	= 438,
-    ARM_STC2_PRE	= 439,
-    ARM_STCL_OFFSET	= 440,
-    ARM_STCL_OPTION	= 441,
-    ARM_STCL_POST	= 442,
-    ARM_STCL_PRE	= 443,
-    ARM_STC_OFFSET	= 444,
-    ARM_STC_OPTION	= 445,
-    ARM_STC_POST	= 446,
-    ARM_STC_PRE	= 447,
-    ARM_STL	= 448,
-    ARM_STLB	= 449,
-    ARM_STLEX	= 450,
-    ARM_STLEXB	= 451,
-    ARM_STLEXD	= 452,
-    ARM_STLEXH	= 453,
-    ARM_STLH	= 454,
-    ARM_STMDA	= 455,
-    ARM_STMDA_UPD	= 456,
-    ARM_STMDB	= 457,
-    ARM_STMDB_UPD	= 458,
-    ARM_STMIA	= 459,
-    ARM_STMIA_UPD	= 460,
-    ARM_STMIB	= 461,
-    ARM_STMIB_UPD	= 462,
-    ARM_STRBT_POST	= 463,
-    ARM_STRBT_POST_IMM	= 464,
-    ARM_STRBT_POST_REG	= 465,
-    ARM_STRB_POST_IMM	= 466,
-    ARM_STRB_POST_REG	= 467,
-    ARM_STRB_PRE_IMM	= 468,
-    ARM_STRB_PRE_REG	= 469,
-    ARM_STRBi12	= 470,
-    ARM_STRBi_preidx	= 471,
-    ARM_STRBr_preidx	= 472,
-    ARM_STRBrs	= 473,
-    ARM_STRD	= 474,
-    ARM_STRD_PAIR	= 475,
-    ARM_STRD_POST	= 476,
-    ARM_STRD_PRE	= 477,
-    ARM_STREX	= 478,
-    ARM_STREXB	= 479,
-    ARM_STREXD	= 480,
-    ARM_STREXH	= 481,
-    ARM_STRH	= 482,
-    ARM_STRHTi	= 483,
-    ARM_STRHTr	= 484,
-    ARM_STRH_POST	= 485,
-    ARM_STRH_PRE	= 486,
-    ARM_STRH_preidx	= 487,
-    ARM_STRT_POST	= 488,
-    ARM_STRT_POST_IMM	= 489,
-    ARM_STRT_POST_REG	= 490,
-    ARM_STR_POST_IMM	= 491,
-    ARM_STR_POST_REG	= 492,
-    ARM_STR_PRE_IMM	= 493,
-    ARM_STR_PRE_REG	= 494,
-    ARM_STRi12	= 495,
-    ARM_STRi_preidx	= 496,
-    ARM_STRr_preidx	= 497,
-    ARM_STRrs	= 498,
-    ARM_SUBS_PC_LR	= 499,
-    ARM_SUBSri	= 500,
-    ARM_SUBSrr	= 501,
-    ARM_SUBSrsi	= 502,
-    ARM_SUBSrsr	= 503,
-    ARM_SUBri	= 504,
-    ARM_SUBrr	= 505,
-    ARM_SUBrsi	= 506,
-    ARM_SUBrsr	= 507,
-    ARM_SVC	= 508,
-    ARM_SWP	= 509,
-    ARM_SWPB	= 510,
-    ARM_SXTAB	= 511,
-    ARM_SXTAB16	= 512,
-    ARM_SXTAH	= 513,
-    ARM_SXTB	= 514,
-    ARM_SXTB16	= 515,
-    ARM_SXTH	= 516,
-    ARM_TAILJMPd	= 517,
-    ARM_TAILJMPr	= 518,
-    ARM_TCRETURNdi	= 519,
-    ARM_TCRETURNri	= 520,
-    ARM_TEQri	= 521,
-    ARM_TEQrr	= 522,
-    ARM_TEQrsi	= 523,
-    ARM_TEQrsr	= 524,
-    ARM_TPsoft	= 525,
-    ARM_TRAP	= 526,
-    ARM_TRAPNaCl	= 527,
-    ARM_TSTri	= 528,
-    ARM_TSTrr	= 529,
-    ARM_TSTrsi	= 530,
-    ARM_TSTrsr	= 531,
-    ARM_UADD16	= 532,
-    ARM_UADD8	= 533,
-    ARM_UASX	= 534,
-    ARM_UBFX	= 535,
-    ARM_UDIV	= 536,
-    ARM_UHADD16	= 537,
-    ARM_UHADD8	= 538,
-    ARM_UHASX	= 539,
-    ARM_UHSAX	= 540,
-    ARM_UHSUB16	= 541,
-    ARM_UHSUB8	= 542,
-    ARM_UMAAL	= 543,
-    ARM_UMLAL	= 544,
-    ARM_UMLALv5	= 545,
-    ARM_UMULL	= 546,
-    ARM_UMULLv5	= 547,
-    ARM_UQADD16	= 548,
-    ARM_UQADD8	= 549,
-    ARM_UQASX	= 550,
-    ARM_UQSAX	= 551,
-    ARM_UQSUB16	= 552,
-    ARM_UQSUB8	= 553,
-    ARM_USAD8	= 554,
-    ARM_USADA8	= 555,
-    ARM_USAT	= 556,
-    ARM_USAT16	= 557,
-    ARM_USAX	= 558,
-    ARM_USUB16	= 559,
-    ARM_USUB8	= 560,
-    ARM_UXTAB	= 561,
-    ARM_UXTAB16	= 562,
-    ARM_UXTAH	= 563,
-    ARM_UXTB	= 564,
-    ARM_UXTB16	= 565,
-    ARM_UXTH	= 566,
-    ARM_VABALsv2i64	= 567,
-    ARM_VABALsv4i32	= 568,
-    ARM_VABALsv8i16	= 569,
-    ARM_VABALuv2i64	= 570,
-    ARM_VABALuv4i32	= 571,
-    ARM_VABALuv8i16	= 572,
-    ARM_VABAsv16i8	= 573,
-    ARM_VABAsv2i32	= 574,
-    ARM_VABAsv4i16	= 575,
-    ARM_VABAsv4i32	= 576,
-    ARM_VABAsv8i16	= 577,
-    ARM_VABAsv8i8	= 578,
-    ARM_VABAuv16i8	= 579,
-    ARM_VABAuv2i32	= 580,
-    ARM_VABAuv4i16	= 581,
-    ARM_VABAuv4i32	= 582,
-    ARM_VABAuv8i16	= 583,
-    ARM_VABAuv8i8	= 584,
-    ARM_VABDLsv2i64	= 585,
-    ARM_VABDLsv4i32	= 586,
-    ARM_VABDLsv8i16	= 587,
-    ARM_VABDLuv2i64	= 588,
-    ARM_VABDLuv4i32	= 589,
-    ARM_VABDLuv8i16	= 590,
-    ARM_VABDfd	= 591,
-    ARM_VABDfq	= 592,
-    ARM_VABDsv16i8	= 593,
-    ARM_VABDsv2i32	= 594,
-    ARM_VABDsv4i16	= 595,
-    ARM_VABDsv4i32	= 596,
-    ARM_VABDsv8i16	= 597,
-    ARM_VABDsv8i8	= 598,
-    ARM_VABDuv16i8	= 599,
-    ARM_VABDuv2i32	= 600,
-    ARM_VABDuv4i16	= 601,
-    ARM_VABDuv4i32	= 602,
-    ARM_VABDuv8i16	= 603,
-    ARM_VABDuv8i8	= 604,
-    ARM_VABSD	= 605,
-    ARM_VABSS	= 606,
-    ARM_VABSfd	= 607,
-    ARM_VABSfq	= 608,
-    ARM_VABSv16i8	= 609,
-    ARM_VABSv2i32	= 610,
-    ARM_VABSv4i16	= 611,
-    ARM_VABSv4i32	= 612,
-    ARM_VABSv8i16	= 613,
-    ARM_VABSv8i8	= 614,
-    ARM_VACGEd	= 615,
-    ARM_VACGEq	= 616,
-    ARM_VACGTd	= 617,
-    ARM_VACGTq	= 618,
-    ARM_VADDD	= 619,
-    ARM_VADDHNv2i32	= 620,
-    ARM_VADDHNv4i16	= 621,
-    ARM_VADDHNv8i8	= 622,
-    ARM_VADDLsv2i64	= 623,
-    ARM_VADDLsv4i32	= 624,
-    ARM_VADDLsv8i16	= 625,
-    ARM_VADDLuv2i64	= 626,
-    ARM_VADDLuv4i32	= 627,
-    ARM_VADDLuv8i16	= 628,
-    ARM_VADDS	= 629,
-    ARM_VADDWsv2i64	= 630,
-    ARM_VADDWsv4i32	= 631,
-    ARM_VADDWsv8i16	= 632,
-    ARM_VADDWuv2i64	= 633,
-    ARM_VADDWuv4i32	= 634,
-    ARM_VADDWuv8i16	= 635,
-    ARM_VADDfd	= 636,
-    ARM_VADDfq	= 637,
-    ARM_VADDv16i8	= 638,
-    ARM_VADDv1i64	= 639,
-    ARM_VADDv2i32	= 640,
-    ARM_VADDv2i64	= 641,
-    ARM_VADDv4i16	= 642,
-    ARM_VADDv4i32	= 643,
-    ARM_VADDv8i16	= 644,
-    ARM_VADDv8i8	= 645,
-    ARM_VANDd	= 646,
-    ARM_VANDq	= 647,
-    ARM_VBICd	= 648,
-    ARM_VBICiv2i32	= 649,
-    ARM_VBICiv4i16	= 650,
-    ARM_VBICiv4i32	= 651,
-    ARM_VBICiv8i16	= 652,
-    ARM_VBICq	= 653,
-    ARM_VBIFd	= 654,
-    ARM_VBIFq	= 655,
-    ARM_VBITd	= 656,
-    ARM_VBITq	= 657,
-    ARM_VBSLd	= 658,
-    ARM_VBSLq	= 659,
-    ARM_VCEQfd	= 660,
-    ARM_VCEQfq	= 661,
-    ARM_VCEQv16i8	= 662,
-    ARM_VCEQv2i32	= 663,
-    ARM_VCEQv4i16	= 664,
-    ARM_VCEQv4i32	= 665,
-    ARM_VCEQv8i16	= 666,
-    ARM_VCEQv8i8	= 667,
-    ARM_VCEQzv16i8	= 668,
-    ARM_VCEQzv2f32	= 669,
-    ARM_VCEQzv2i32	= 670,
-    ARM_VCEQzv4f32	= 671,
-    ARM_VCEQzv4i16	= 672,
-    ARM_VCEQzv4i32	= 673,
-    ARM_VCEQzv8i16	= 674,
-    ARM_VCEQzv8i8	= 675,
-    ARM_VCGEfd	= 676,
-    ARM_VCGEfq	= 677,
-    ARM_VCGEsv16i8	= 678,
-    ARM_VCGEsv2i32	= 679,
-    ARM_VCGEsv4i16	= 680,
-    ARM_VCGEsv4i32	= 681,
-    ARM_VCGEsv8i16	= 682,
-    ARM_VCGEsv8i8	= 683,
-    ARM_VCGEuv16i8	= 684,
-    ARM_VCGEuv2i32	= 685,
-    ARM_VCGEuv4i16	= 686,
-    ARM_VCGEuv4i32	= 687,
-    ARM_VCGEuv8i16	= 688,
-    ARM_VCGEuv8i8	= 689,
-    ARM_VCGEzv16i8	= 690,
-    ARM_VCGEzv2f32	= 691,
-    ARM_VCGEzv2i32	= 692,
-    ARM_VCGEzv4f32	= 693,
-    ARM_VCGEzv4i16	= 694,
-    ARM_VCGEzv4i32	= 695,
-    ARM_VCGEzv8i16	= 696,
-    ARM_VCGEzv8i8	= 697,
-    ARM_VCGTfd	= 698,
-    ARM_VCGTfq	= 699,
-    ARM_VCGTsv16i8	= 700,
-    ARM_VCGTsv2i32	= 701,
-    ARM_VCGTsv4i16	= 702,
-    ARM_VCGTsv4i32	= 703,
-    ARM_VCGTsv8i16	= 704,
-    ARM_VCGTsv8i8	= 705,
-    ARM_VCGTuv16i8	= 706,
-    ARM_VCGTuv2i32	= 707,
-    ARM_VCGTuv4i16	= 708,
-    ARM_VCGTuv4i32	= 709,
-    ARM_VCGTuv8i16	= 710,
-    ARM_VCGTuv8i8	= 711,
-    ARM_VCGTzv16i8	= 712,
-    ARM_VCGTzv2f32	= 713,
-    ARM_VCGTzv2i32	= 714,
-    ARM_VCGTzv4f32	= 715,
-    ARM_VCGTzv4i16	= 716,
-    ARM_VCGTzv4i32	= 717,
-    ARM_VCGTzv8i16	= 718,
-    ARM_VCGTzv8i8	= 719,
-    ARM_VCLEzv16i8	= 720,
-    ARM_VCLEzv2f32	= 721,
-    ARM_VCLEzv2i32	= 722,
-    ARM_VCLEzv4f32	= 723,
-    ARM_VCLEzv4i16	= 724,
-    ARM_VCLEzv4i32	= 725,
-    ARM_VCLEzv8i16	= 726,
-    ARM_VCLEzv8i8	= 727,
-    ARM_VCLSv16i8	= 728,
-    ARM_VCLSv2i32	= 729,
-    ARM_VCLSv4i16	= 730,
-    ARM_VCLSv4i32	= 731,
-    ARM_VCLSv8i16	= 732,
-    ARM_VCLSv8i8	= 733,
-    ARM_VCLTzv16i8	= 734,
-    ARM_VCLTzv2f32	= 735,
-    ARM_VCLTzv2i32	= 736,
-    ARM_VCLTzv4f32	= 737,
-    ARM_VCLTzv4i16	= 738,
-    ARM_VCLTzv4i32	= 739,
-    ARM_VCLTzv8i16	= 740,
-    ARM_VCLTzv8i8	= 741,
-    ARM_VCLZv16i8	= 742,
-    ARM_VCLZv2i32	= 743,
-    ARM_VCLZv4i16	= 744,
-    ARM_VCLZv4i32	= 745,
-    ARM_VCLZv8i16	= 746,
-    ARM_VCLZv8i8	= 747,
-    ARM_VCMPD	= 748,
-    ARM_VCMPED	= 749,
-    ARM_VCMPES	= 750,
-    ARM_VCMPEZD	= 751,
-    ARM_VCMPEZS	= 752,
-    ARM_VCMPS	= 753,
-    ARM_VCMPZD	= 754,
-    ARM_VCMPZS	= 755,
-    ARM_VCNTd	= 756,
-    ARM_VCNTq	= 757,
-    ARM_VCVTANSD	= 758,
-    ARM_VCVTANSQ	= 759,
-    ARM_VCVTANUD	= 760,
-    ARM_VCVTANUQ	= 761,
-    ARM_VCVTASD	= 762,
-    ARM_VCVTASS	= 763,
-    ARM_VCVTAUD	= 764,
-    ARM_VCVTAUS	= 765,
-    ARM_VCVTBDH	= 766,
-    ARM_VCVTBHD	= 767,
-    ARM_VCVTBHS	= 768,
-    ARM_VCVTBSH	= 769,
-    ARM_VCVTDS	= 770,
-    ARM_VCVTMNSD	= 771,
-    ARM_VCVTMNSQ	= 772,
-    ARM_VCVTMNUD	= 773,
-    ARM_VCVTMNUQ	= 774,
-    ARM_VCVTMSD	= 775,
-    ARM_VCVTMSS	= 776,
-    ARM_VCVTMUD	= 777,
-    ARM_VCVTMUS	= 778,
-    ARM_VCVTNNSD	= 779,
-    ARM_VCVTNNSQ	= 780,
-    ARM_VCVTNNUD	= 781,
-    ARM_VCVTNNUQ	= 782,
-    ARM_VCVTNSD	= 783,
-    ARM_VCVTNSS	= 784,
-    ARM_VCVTNUD	= 785,
-    ARM_VCVTNUS	= 786,
-    ARM_VCVTPNSD	= 787,
-    ARM_VCVTPNSQ	= 788,
-    ARM_VCVTPNUD	= 789,
-    ARM_VCVTPNUQ	= 790,
-    ARM_VCVTPSD	= 791,
-    ARM_VCVTPSS	= 792,
-    ARM_VCVTPUD	= 793,
-    ARM_VCVTPUS	= 794,
-    ARM_VCVTSD	= 795,
-    ARM_VCVTTDH	= 796,
-    ARM_VCVTTHD	= 797,
-    ARM_VCVTTHS	= 798,
-    ARM_VCVTTSH	= 799,
-    ARM_VCVTf2h	= 800,
-    ARM_VCVTf2sd	= 801,
-    ARM_VCVTf2sq	= 802,
-    ARM_VCVTf2ud	= 803,
-    ARM_VCVTf2uq	= 804,
-    ARM_VCVTf2xsd	= 805,
-    ARM_VCVTf2xsq	= 806,
-    ARM_VCVTf2xud	= 807,
-    ARM_VCVTf2xuq	= 808,
-    ARM_VCVTh2f	= 809,
-    ARM_VCVTs2fd	= 810,
-    ARM_VCVTs2fq	= 811,
-    ARM_VCVTu2fd	= 812,
-    ARM_VCVTu2fq	= 813,
-    ARM_VCVTxs2fd	= 814,
-    ARM_VCVTxs2fq	= 815,
-    ARM_VCVTxu2fd	= 816,
-    ARM_VCVTxu2fq	= 817,
-    ARM_VDIVD	= 818,
-    ARM_VDIVS	= 819,
-    ARM_VDUP16d	= 820,
-    ARM_VDUP16q	= 821,
-    ARM_VDUP32d	= 822,
-    ARM_VDUP32q	= 823,
-    ARM_VDUP8d	= 824,
-    ARM_VDUP8q	= 825,
-    ARM_VDUPLN16d	= 826,
-    ARM_VDUPLN16q	= 827,
-    ARM_VDUPLN32d	= 828,
-    ARM_VDUPLN32q	= 829,
-    ARM_VDUPLN8d	= 830,
-    ARM_VDUPLN8q	= 831,
-    ARM_VEORd	= 832,
-    ARM_VEORq	= 833,
-    ARM_VEXTd16	= 834,
-    ARM_VEXTd32	= 835,
-    ARM_VEXTd8	= 836,
-    ARM_VEXTq16	= 837,
-    ARM_VEXTq32	= 838,
-    ARM_VEXTq64	= 839,
-    ARM_VEXTq8	= 840,
-    ARM_VFMAD	= 841,
-    ARM_VFMAS	= 842,
-    ARM_VFMAfd	= 843,
-    ARM_VFMAfq	= 844,
-    ARM_VFMSD	= 845,
-    ARM_VFMSS	= 846,
-    ARM_VFMSfd	= 847,
-    ARM_VFMSfq	= 848,
-    ARM_VFNMAD	= 849,
-    ARM_VFNMAS	= 850,
-    ARM_VFNMSD	= 851,
-    ARM_VFNMSS	= 852,
-    ARM_VGETLNi32	= 853,
-    ARM_VGETLNs16	= 854,
-    ARM_VGETLNs8	= 855,
-    ARM_VGETLNu16	= 856,
-    ARM_VGETLNu8	= 857,
-    ARM_VHADDsv16i8	= 858,
-    ARM_VHADDsv2i32	= 859,
-    ARM_VHADDsv4i16	= 860,
-    ARM_VHADDsv4i32	= 861,
-    ARM_VHADDsv8i16	= 862,
-    ARM_VHADDsv8i8	= 863,
-    ARM_VHADDuv16i8	= 864,
-    ARM_VHADDuv2i32	= 865,
-    ARM_VHADDuv4i16	= 866,
-    ARM_VHADDuv4i32	= 867,
-    ARM_VHADDuv8i16	= 868,
-    ARM_VHADDuv8i8	= 869,
-    ARM_VHSUBsv16i8	= 870,
-    ARM_VHSUBsv2i32	= 871,
-    ARM_VHSUBsv4i16	= 872,
-    ARM_VHSUBsv4i32	= 873,
-    ARM_VHSUBsv8i16	= 874,
-    ARM_VHSUBsv8i8	= 875,
-    ARM_VHSUBuv16i8	= 876,
-    ARM_VHSUBuv2i32	= 877,
-    ARM_VHSUBuv4i16	= 878,
-    ARM_VHSUBuv4i32	= 879,
-    ARM_VHSUBuv8i16	= 880,
-    ARM_VHSUBuv8i8	= 881,
-    ARM_VLD1DUPd16	= 882,
-    ARM_VLD1DUPd16wb_fixed	= 883,
-    ARM_VLD1DUPd16wb_register	= 884,
-    ARM_VLD1DUPd32	= 885,
-    ARM_VLD1DUPd32wb_fixed	= 886,
-    ARM_VLD1DUPd32wb_register	= 887,
-    ARM_VLD1DUPd8	= 888,
-    ARM_VLD1DUPd8wb_fixed	= 889,
-    ARM_VLD1DUPd8wb_register	= 890,
-    ARM_VLD1DUPq16	= 891,
-    ARM_VLD1DUPq16wb_fixed	= 892,
-    ARM_VLD1DUPq16wb_register	= 893,
-    ARM_VLD1DUPq32	= 894,
-    ARM_VLD1DUPq32wb_fixed	= 895,
-    ARM_VLD1DUPq32wb_register	= 896,
-    ARM_VLD1DUPq8	= 897,
-    ARM_VLD1DUPq8wb_fixed	= 898,
-    ARM_VLD1DUPq8wb_register	= 899,
-    ARM_VLD1LNd16	= 900,
-    ARM_VLD1LNd16_UPD	= 901,
-    ARM_VLD1LNd32	= 902,
-    ARM_VLD1LNd32_UPD	= 903,
-    ARM_VLD1LNd8	= 904,
-    ARM_VLD1LNd8_UPD	= 905,
-    ARM_VLD1LNdAsm_16	= 906,
-    ARM_VLD1LNdAsm_32	= 907,
-    ARM_VLD1LNdAsm_8	= 908,
-    ARM_VLD1LNdWB_fixed_Asm_16	= 909,
-    ARM_VLD1LNdWB_fixed_Asm_32	= 910,
-    ARM_VLD1LNdWB_fixed_Asm_8	= 911,
-    ARM_VLD1LNdWB_register_Asm_16	= 912,
-    ARM_VLD1LNdWB_register_Asm_32	= 913,
-    ARM_VLD1LNdWB_register_Asm_8	= 914,
-    ARM_VLD1LNq16Pseudo	= 915,
-    ARM_VLD1LNq16Pseudo_UPD	= 916,
-    ARM_VLD1LNq32Pseudo	= 917,
-    ARM_VLD1LNq32Pseudo_UPD	= 918,
-    ARM_VLD1LNq8Pseudo	= 919,
-    ARM_VLD1LNq8Pseudo_UPD	= 920,
-    ARM_VLD1d16	= 921,
-    ARM_VLD1d16Q	= 922,
-    ARM_VLD1d16Qwb_fixed	= 923,
-    ARM_VLD1d16Qwb_register	= 924,
-    ARM_VLD1d16T	= 925,
-    ARM_VLD1d16Twb_fixed	= 926,
-    ARM_VLD1d16Twb_register	= 927,
-    ARM_VLD1d16wb_fixed	= 928,
-    ARM_VLD1d16wb_register	= 929,
-    ARM_VLD1d32	= 930,
-    ARM_VLD1d32Q	= 931,
-    ARM_VLD1d32Qwb_fixed	= 932,
-    ARM_VLD1d32Qwb_register	= 933,
-    ARM_VLD1d32T	= 934,
-    ARM_VLD1d32Twb_fixed	= 935,
-    ARM_VLD1d32Twb_register	= 936,
-    ARM_VLD1d32wb_fixed	= 937,
-    ARM_VLD1d32wb_register	= 938,
-    ARM_VLD1d64	= 939,
-    ARM_VLD1d64Q	= 940,
-    ARM_VLD1d64QPseudo	= 941,
-    ARM_VLD1d64QPseudoWB_fixed	= 942,
-    ARM_VLD1d64QPseudoWB_register	= 943,
-    ARM_VLD1d64Qwb_fixed	= 944,
-    ARM_VLD1d64Qwb_register	= 945,
-    ARM_VLD1d64T	= 946,
-    ARM_VLD1d64TPseudo	= 947,
-    ARM_VLD1d64TPseudoWB_fixed	= 948,
-    ARM_VLD1d64TPseudoWB_register	= 949,
-    ARM_VLD1d64Twb_fixed	= 950,
-    ARM_VLD1d64Twb_register	= 951,
-    ARM_VLD1d64wb_fixed	= 952,
-    ARM_VLD1d64wb_register	= 953,
-    ARM_VLD1d8	= 954,
-    ARM_VLD1d8Q	= 955,
-    ARM_VLD1d8Qwb_fixed	= 956,
-    ARM_VLD1d8Qwb_register	= 957,
-    ARM_VLD1d8T	= 958,
-    ARM_VLD1d8Twb_fixed	= 959,
-    ARM_VLD1d8Twb_register	= 960,
-    ARM_VLD1d8wb_fixed	= 961,
-    ARM_VLD1d8wb_register	= 962,
-    ARM_VLD1q16	= 963,
-    ARM_VLD1q16wb_fixed	= 964,
-    ARM_VLD1q16wb_register	= 965,
-    ARM_VLD1q32	= 966,
-    ARM_VLD1q32wb_fixed	= 967,
-    ARM_VLD1q32wb_register	= 968,
-    ARM_VLD1q64	= 969,
-    ARM_VLD1q64wb_fixed	= 970,
-    ARM_VLD1q64wb_register	= 971,
-    ARM_VLD1q8	= 972,
-    ARM_VLD1q8wb_fixed	= 973,
-    ARM_VLD1q8wb_register	= 974,
-    ARM_VLD2DUPd16	= 975,
-    ARM_VLD2DUPd16wb_fixed	= 976,
-    ARM_VLD2DUPd16wb_register	= 977,
-    ARM_VLD2DUPd16x2	= 978,
-    ARM_VLD2DUPd16x2wb_fixed	= 979,
-    ARM_VLD2DUPd16x2wb_register	= 980,
-    ARM_VLD2DUPd32	= 981,
-    ARM_VLD2DUPd32wb_fixed	= 982,
-    ARM_VLD2DUPd32wb_register	= 983,
-    ARM_VLD2DUPd32x2	= 984,
-    ARM_VLD2DUPd32x2wb_fixed	= 985,
-    ARM_VLD2DUPd32x2wb_register	= 986,
-    ARM_VLD2DUPd8	= 987,
-    ARM_VLD2DUPd8wb_fixed	= 988,
-    ARM_VLD2DUPd8wb_register	= 989,
-    ARM_VLD2DUPd8x2	= 990,
-    ARM_VLD2DUPd8x2wb_fixed	= 991,
-    ARM_VLD2DUPd8x2wb_register	= 992,
-    ARM_VLD2LNd16	= 993,
-    ARM_VLD2LNd16Pseudo	= 994,
-    ARM_VLD2LNd16Pseudo_UPD	= 995,
-    ARM_VLD2LNd16_UPD	= 996,
-    ARM_VLD2LNd32	= 997,
-    ARM_VLD2LNd32Pseudo	= 998,
-    ARM_VLD2LNd32Pseudo_UPD	= 999,
-    ARM_VLD2LNd32_UPD	= 1000,
-    ARM_VLD2LNd8	= 1001,
-    ARM_VLD2LNd8Pseudo	= 1002,
-    ARM_VLD2LNd8Pseudo_UPD	= 1003,
-    ARM_VLD2LNd8_UPD	= 1004,
-    ARM_VLD2LNdAsm_16	= 1005,
-    ARM_VLD2LNdAsm_32	= 1006,
-    ARM_VLD2LNdAsm_8	= 1007,
-    ARM_VLD2LNdWB_fixed_Asm_16	= 1008,
-    ARM_VLD2LNdWB_fixed_Asm_32	= 1009,
-    ARM_VLD2LNdWB_fixed_Asm_8	= 1010,
-    ARM_VLD2LNdWB_register_Asm_16	= 1011,
-    ARM_VLD2LNdWB_register_Asm_32	= 1012,
-    ARM_VLD2LNdWB_register_Asm_8	= 1013,
-    ARM_VLD2LNq16	= 1014,
-    ARM_VLD2LNq16Pseudo	= 1015,
-    ARM_VLD2LNq16Pseudo_UPD	= 1016,
-    ARM_VLD2LNq16_UPD	= 1017,
-    ARM_VLD2LNq32	= 1018,
-    ARM_VLD2LNq32Pseudo	= 1019,
-    ARM_VLD2LNq32Pseudo_UPD	= 1020,
-    ARM_VLD2LNq32_UPD	= 1021,
-    ARM_VLD2LNqAsm_16	= 1022,
-    ARM_VLD2LNqAsm_32	= 1023,
-    ARM_VLD2LNqWB_fixed_Asm_16	= 1024,
-    ARM_VLD2LNqWB_fixed_Asm_32	= 1025,
-    ARM_VLD2LNqWB_register_Asm_16	= 1026,
-    ARM_VLD2LNqWB_register_Asm_32	= 1027,
-    ARM_VLD2b16	= 1028,
-    ARM_VLD2b16wb_fixed	= 1029,
-    ARM_VLD2b16wb_register	= 1030,
-    ARM_VLD2b32	= 1031,
-    ARM_VLD2b32wb_fixed	= 1032,
-    ARM_VLD2b32wb_register	= 1033,
-    ARM_VLD2b8	= 1034,
-    ARM_VLD2b8wb_fixed	= 1035,
-    ARM_VLD2b8wb_register	= 1036,
-    ARM_VLD2d16	= 1037,
-    ARM_VLD2d16wb_fixed	= 1038,
-    ARM_VLD2d16wb_register	= 1039,
-    ARM_VLD2d32	= 1040,
-    ARM_VLD2d32wb_fixed	= 1041,
-    ARM_VLD2d32wb_register	= 1042,
-    ARM_VLD2d8	= 1043,
-    ARM_VLD2d8wb_fixed	= 1044,
-    ARM_VLD2d8wb_register	= 1045,
-    ARM_VLD2q16	= 1046,
-    ARM_VLD2q16Pseudo	= 1047,
-    ARM_VLD2q16PseudoWB_fixed	= 1048,
-    ARM_VLD2q16PseudoWB_register	= 1049,
-    ARM_VLD2q16wb_fixed	= 1050,
-    ARM_VLD2q16wb_register	= 1051,
-    ARM_VLD2q32	= 1052,
-    ARM_VLD2q32Pseudo	= 1053,
-    ARM_VLD2q32PseudoWB_fixed	= 1054,
-    ARM_VLD2q32PseudoWB_register	= 1055,
-    ARM_VLD2q32wb_fixed	= 1056,
-    ARM_VLD2q32wb_register	= 1057,
-    ARM_VLD2q8	= 1058,
-    ARM_VLD2q8Pseudo	= 1059,
-    ARM_VLD2q8PseudoWB_fixed	= 1060,
-    ARM_VLD2q8PseudoWB_register	= 1061,
-    ARM_VLD2q8wb_fixed	= 1062,
-    ARM_VLD2q8wb_register	= 1063,
-    ARM_VLD3DUPd16	= 1064,
-    ARM_VLD3DUPd16Pseudo	= 1065,
-    ARM_VLD3DUPd16Pseudo_UPD	= 1066,
-    ARM_VLD3DUPd16_UPD	= 1067,
-    ARM_VLD3DUPd32	= 1068,
-    ARM_VLD3DUPd32Pseudo	= 1069,
-    ARM_VLD3DUPd32Pseudo_UPD	= 1070,
-    ARM_VLD3DUPd32_UPD	= 1071,
-    ARM_VLD3DUPd8	= 1072,
-    ARM_VLD3DUPd8Pseudo	= 1073,
-    ARM_VLD3DUPd8Pseudo_UPD	= 1074,
-    ARM_VLD3DUPd8_UPD	= 1075,
-    ARM_VLD3DUPdAsm_16	= 1076,
-    ARM_VLD3DUPdAsm_32	= 1077,
-    ARM_VLD3DUPdAsm_8	= 1078,
-    ARM_VLD3DUPdWB_fixed_Asm_16	= 1079,
-    ARM_VLD3DUPdWB_fixed_Asm_32	= 1080,
-    ARM_VLD3DUPdWB_fixed_Asm_8	= 1081,
-    ARM_VLD3DUPdWB_register_Asm_16	= 1082,
-    ARM_VLD3DUPdWB_register_Asm_32	= 1083,
-    ARM_VLD3DUPdWB_register_Asm_8	= 1084,
-    ARM_VLD3DUPq16	= 1085,
-    ARM_VLD3DUPq16_UPD	= 1086,
-    ARM_VLD3DUPq32	= 1087,
-    ARM_VLD3DUPq32_UPD	= 1088,
-    ARM_VLD3DUPq8	= 1089,
-    ARM_VLD3DUPq8_UPD	= 1090,
-    ARM_VLD3DUPqAsm_16	= 1091,
-    ARM_VLD3DUPqAsm_32	= 1092,
-    ARM_VLD3DUPqAsm_8	= 1093,
-    ARM_VLD3DUPqWB_fixed_Asm_16	= 1094,
-    ARM_VLD3DUPqWB_fixed_Asm_32	= 1095,
-    ARM_VLD3DUPqWB_fixed_Asm_8	= 1096,
-    ARM_VLD3DUPqWB_register_Asm_16	= 1097,
-    ARM_VLD3DUPqWB_register_Asm_32	= 1098,
-    ARM_VLD3DUPqWB_register_Asm_8	= 1099,
-    ARM_VLD3LNd16	= 1100,
-    ARM_VLD3LNd16Pseudo	= 1101,
-    ARM_VLD3LNd16Pseudo_UPD	= 1102,
-    ARM_VLD3LNd16_UPD	= 1103,
-    ARM_VLD3LNd32	= 1104,
-    ARM_VLD3LNd32Pseudo	= 1105,
-    ARM_VLD3LNd32Pseudo_UPD	= 1106,
-    ARM_VLD3LNd32_UPD	= 1107,
-    ARM_VLD3LNd8	= 1108,
-    ARM_VLD3LNd8Pseudo	= 1109,
-    ARM_VLD3LNd8Pseudo_UPD	= 1110,
-    ARM_VLD3LNd8_UPD	= 1111,
-    ARM_VLD3LNdAsm_16	= 1112,
-    ARM_VLD3LNdAsm_32	= 1113,
-    ARM_VLD3LNdAsm_8	= 1114,
-    ARM_VLD3LNdWB_fixed_Asm_16	= 1115,
-    ARM_VLD3LNdWB_fixed_Asm_32	= 1116,
-    ARM_VLD3LNdWB_fixed_Asm_8	= 1117,
-    ARM_VLD3LNdWB_register_Asm_16	= 1118,
-    ARM_VLD3LNdWB_register_Asm_32	= 1119,
-    ARM_VLD3LNdWB_register_Asm_8	= 1120,
-    ARM_VLD3LNq16	= 1121,
-    ARM_VLD3LNq16Pseudo	= 1122,
-    ARM_VLD3LNq16Pseudo_UPD	= 1123,
-    ARM_VLD3LNq16_UPD	= 1124,
-    ARM_VLD3LNq32	= 1125,
-    ARM_VLD3LNq32Pseudo	= 1126,
-    ARM_VLD3LNq32Pseudo_UPD	= 1127,
-    ARM_VLD3LNq32_UPD	= 1128,
-    ARM_VLD3LNqAsm_16	= 1129,
-    ARM_VLD3LNqAsm_32	= 1130,
-    ARM_VLD3LNqWB_fixed_Asm_16	= 1131,
-    ARM_VLD3LNqWB_fixed_Asm_32	= 1132,
-    ARM_VLD3LNqWB_register_Asm_16	= 1133,
-    ARM_VLD3LNqWB_register_Asm_32	= 1134,
-    ARM_VLD3d16	= 1135,
-    ARM_VLD3d16Pseudo	= 1136,
-    ARM_VLD3d16Pseudo_UPD	= 1137,
-    ARM_VLD3d16_UPD	= 1138,
-    ARM_VLD3d32	= 1139,
-    ARM_VLD3d32Pseudo	= 1140,
-    ARM_VLD3d32Pseudo_UPD	= 1141,
-    ARM_VLD3d32_UPD	= 1142,
-    ARM_VLD3d8	= 1143,
-    ARM_VLD3d8Pseudo	= 1144,
-    ARM_VLD3d8Pseudo_UPD	= 1145,
-    ARM_VLD3d8_UPD	= 1146,
-    ARM_VLD3dAsm_16	= 1147,
-    ARM_VLD3dAsm_32	= 1148,
-    ARM_VLD3dAsm_8	= 1149,
-    ARM_VLD3dWB_fixed_Asm_16	= 1150,
-    ARM_VLD3dWB_fixed_Asm_32	= 1151,
-    ARM_VLD3dWB_fixed_Asm_8	= 1152,
-    ARM_VLD3dWB_register_Asm_16	= 1153,
-    ARM_VLD3dWB_register_Asm_32	= 1154,
-    ARM_VLD3dWB_register_Asm_8	= 1155,
-    ARM_VLD3q16	= 1156,
-    ARM_VLD3q16Pseudo_UPD	= 1157,
-    ARM_VLD3q16_UPD	= 1158,
-    ARM_VLD3q16oddPseudo	= 1159,
-    ARM_VLD3q16oddPseudo_UPD	= 1160,
-    ARM_VLD3q32	= 1161,
-    ARM_VLD3q32Pseudo_UPD	= 1162,
-    ARM_VLD3q32_UPD	= 1163,
-    ARM_VLD3q32oddPseudo	= 1164,
-    ARM_VLD3q32oddPseudo_UPD	= 1165,
-    ARM_VLD3q8	= 1166,
-    ARM_VLD3q8Pseudo_UPD	= 1167,
-    ARM_VLD3q8_UPD	= 1168,
-    ARM_VLD3q8oddPseudo	= 1169,
-    ARM_VLD3q8oddPseudo_UPD	= 1170,
-    ARM_VLD3qAsm_16	= 1171,
-    ARM_VLD3qAsm_32	= 1172,
-    ARM_VLD3qAsm_8	= 1173,
-    ARM_VLD3qWB_fixed_Asm_16	= 1174,
-    ARM_VLD3qWB_fixed_Asm_32	= 1175,
-    ARM_VLD3qWB_fixed_Asm_8	= 1176,
-    ARM_VLD3qWB_register_Asm_16	= 1177,
-    ARM_VLD3qWB_register_Asm_32	= 1178,
-    ARM_VLD3qWB_register_Asm_8	= 1179,
-    ARM_VLD4DUPd16	= 1180,
-    ARM_VLD4DUPd16Pseudo	= 1181,
-    ARM_VLD4DUPd16Pseudo_UPD	= 1182,
-    ARM_VLD4DUPd16_UPD	= 1183,
-    ARM_VLD4DUPd32	= 1184,
-    ARM_VLD4DUPd32Pseudo	= 1185,
-    ARM_VLD4DUPd32Pseudo_UPD	= 1186,
-    ARM_VLD4DUPd32_UPD	= 1187,
-    ARM_VLD4DUPd8	= 1188,
-    ARM_VLD4DUPd8Pseudo	= 1189,
-    ARM_VLD4DUPd8Pseudo_UPD	= 1190,
-    ARM_VLD4DUPd8_UPD	= 1191,
-    ARM_VLD4DUPdAsm_16	= 1192,
-    ARM_VLD4DUPdAsm_32	= 1193,
-    ARM_VLD4DUPdAsm_8	= 1194,
-    ARM_VLD4DUPdWB_fixed_Asm_16	= 1195,
-    ARM_VLD4DUPdWB_fixed_Asm_32	= 1196,
-    ARM_VLD4DUPdWB_fixed_Asm_8	= 1197,
-    ARM_VLD4DUPdWB_register_Asm_16	= 1198,
-    ARM_VLD4DUPdWB_register_Asm_32	= 1199,
-    ARM_VLD4DUPdWB_register_Asm_8	= 1200,
-    ARM_VLD4DUPq16	= 1201,
-    ARM_VLD4DUPq16_UPD	= 1202,
-    ARM_VLD4DUPq32	= 1203,
-    ARM_VLD4DUPq32_UPD	= 1204,
-    ARM_VLD4DUPq8	= 1205,
-    ARM_VLD4DUPq8_UPD	= 1206,
-    ARM_VLD4DUPqAsm_16	= 1207,
-    ARM_VLD4DUPqAsm_32	= 1208,
-    ARM_VLD4DUPqAsm_8	= 1209,
-    ARM_VLD4DUPqWB_fixed_Asm_16	= 1210,
-    ARM_VLD4DUPqWB_fixed_Asm_32	= 1211,
-    ARM_VLD4DUPqWB_fixed_Asm_8	= 1212,
-    ARM_VLD4DUPqWB_register_Asm_16	= 1213,
-    ARM_VLD4DUPqWB_register_Asm_32	= 1214,
-    ARM_VLD4DUPqWB_register_Asm_8	= 1215,
-    ARM_VLD4LNd16	= 1216,
-    ARM_VLD4LNd16Pseudo	= 1217,
-    ARM_VLD4LNd16Pseudo_UPD	= 1218,
-    ARM_VLD4LNd16_UPD	= 1219,
-    ARM_VLD4LNd32	= 1220,
-    ARM_VLD4LNd32Pseudo	= 1221,
-    ARM_VLD4LNd32Pseudo_UPD	= 1222,
-    ARM_VLD4LNd32_UPD	= 1223,
-    ARM_VLD4LNd8	= 1224,
-    ARM_VLD4LNd8Pseudo	= 1225,
-    ARM_VLD4LNd8Pseudo_UPD	= 1226,
-    ARM_VLD4LNd8_UPD	= 1227,
-    ARM_VLD4LNdAsm_16	= 1228,
-    ARM_VLD4LNdAsm_32	= 1229,
-    ARM_VLD4LNdAsm_8	= 1230,
-    ARM_VLD4LNdWB_fixed_Asm_16	= 1231,
-    ARM_VLD4LNdWB_fixed_Asm_32	= 1232,
-    ARM_VLD4LNdWB_fixed_Asm_8	= 1233,
-    ARM_VLD4LNdWB_register_Asm_16	= 1234,
-    ARM_VLD4LNdWB_register_Asm_32	= 1235,
-    ARM_VLD4LNdWB_register_Asm_8	= 1236,
-    ARM_VLD4LNq16	= 1237,
-    ARM_VLD4LNq16Pseudo	= 1238,
-    ARM_VLD4LNq16Pseudo_UPD	= 1239,
-    ARM_VLD4LNq16_UPD	= 1240,
-    ARM_VLD4LNq32	= 1241,
-    ARM_VLD4LNq32Pseudo	= 1242,
-    ARM_VLD4LNq32Pseudo_UPD	= 1243,
-    ARM_VLD4LNq32_UPD	= 1244,
-    ARM_VLD4LNqAsm_16	= 1245,
-    ARM_VLD4LNqAsm_32	= 1246,
-    ARM_VLD4LNqWB_fixed_Asm_16	= 1247,
-    ARM_VLD4LNqWB_fixed_Asm_32	= 1248,
-    ARM_VLD4LNqWB_register_Asm_16	= 1249,
-    ARM_VLD4LNqWB_register_Asm_32	= 1250,
-    ARM_VLD4d16	= 1251,
-    ARM_VLD4d16Pseudo	= 1252,
-    ARM_VLD4d16Pseudo_UPD	= 1253,
-    ARM_VLD4d16_UPD	= 1254,
-    ARM_VLD4d32	= 1255,
-    ARM_VLD4d32Pseudo	= 1256,
-    ARM_VLD4d32Pseudo_UPD	= 1257,
-    ARM_VLD4d32_UPD	= 1258,
-    ARM_VLD4d8	= 1259,
-    ARM_VLD4d8Pseudo	= 1260,
-    ARM_VLD4d8Pseudo_UPD	= 1261,
-    ARM_VLD4d8_UPD	= 1262,
-    ARM_VLD4dAsm_16	= 1263,
-    ARM_VLD4dAsm_32	= 1264,
-    ARM_VLD4dAsm_8	= 1265,
-    ARM_VLD4dWB_fixed_Asm_16	= 1266,
-    ARM_VLD4dWB_fixed_Asm_32	= 1267,
-    ARM_VLD4dWB_fixed_Asm_8	= 1268,
-    ARM_VLD4dWB_register_Asm_16	= 1269,
-    ARM_VLD4dWB_register_Asm_32	= 1270,
-    ARM_VLD4dWB_register_Asm_8	= 1271,
-    ARM_VLD4q16	= 1272,
-    ARM_VLD4q16Pseudo_UPD	= 1273,
-    ARM_VLD4q16_UPD	= 1274,
-    ARM_VLD4q16oddPseudo	= 1275,
-    ARM_VLD4q16oddPseudo_UPD	= 1276,
-    ARM_VLD4q32	= 1277,
-    ARM_VLD4q32Pseudo_UPD	= 1278,
-    ARM_VLD4q32_UPD	= 1279,
-    ARM_VLD4q32oddPseudo	= 1280,
-    ARM_VLD4q32oddPseudo_UPD	= 1281,
-    ARM_VLD4q8	= 1282,
-    ARM_VLD4q8Pseudo_UPD	= 1283,
-    ARM_VLD4q8_UPD	= 1284,
-    ARM_VLD4q8oddPseudo	= 1285,
-    ARM_VLD4q8oddPseudo_UPD	= 1286,
-    ARM_VLD4qAsm_16	= 1287,
-    ARM_VLD4qAsm_32	= 1288,
-    ARM_VLD4qAsm_8	= 1289,
-    ARM_VLD4qWB_fixed_Asm_16	= 1290,
-    ARM_VLD4qWB_fixed_Asm_32	= 1291,
-    ARM_VLD4qWB_fixed_Asm_8	= 1292,
-    ARM_VLD4qWB_register_Asm_16	= 1293,
-    ARM_VLD4qWB_register_Asm_32	= 1294,
-    ARM_VLD4qWB_register_Asm_8	= 1295,
-    ARM_VLDMDDB_UPD	= 1296,
-    ARM_VLDMDIA	= 1297,
-    ARM_VLDMDIA_UPD	= 1298,
-    ARM_VLDMQIA	= 1299,
-    ARM_VLDMSDB_UPD	= 1300,
-    ARM_VLDMSIA	= 1301,
-    ARM_VLDMSIA_UPD	= 1302,
-    ARM_VLDRD	= 1303,
-    ARM_VLDRS	= 1304,
-    ARM_VMAXNMD	= 1305,
-    ARM_VMAXNMND	= 1306,
-    ARM_VMAXNMNQ	= 1307,
-    ARM_VMAXNMS	= 1308,
-    ARM_VMAXfd	= 1309,
-    ARM_VMAXfq	= 1310,
-    ARM_VMAXsv16i8	= 1311,
-    ARM_VMAXsv2i32	= 1312,
-    ARM_VMAXsv4i16	= 1313,
-    ARM_VMAXsv4i32	= 1314,
-    ARM_VMAXsv8i16	= 1315,
-    ARM_VMAXsv8i8	= 1316,
-    ARM_VMAXuv16i8	= 1317,
-    ARM_VMAXuv2i32	= 1318,
-    ARM_VMAXuv4i16	= 1319,
-    ARM_VMAXuv4i32	= 1320,
-    ARM_VMAXuv8i16	= 1321,
-    ARM_VMAXuv8i8	= 1322,
-    ARM_VMINNMD	= 1323,
-    ARM_VMINNMND	= 1324,
-    ARM_VMINNMNQ	= 1325,
-    ARM_VMINNMS	= 1326,
-    ARM_VMINfd	= 1327,
-    ARM_VMINfq	= 1328,
-    ARM_VMINsv16i8	= 1329,
-    ARM_VMINsv2i32	= 1330,
-    ARM_VMINsv4i16	= 1331,
-    ARM_VMINsv4i32	= 1332,
-    ARM_VMINsv8i16	= 1333,
-    ARM_VMINsv8i8	= 1334,
-    ARM_VMINuv16i8	= 1335,
-    ARM_VMINuv2i32	= 1336,
-    ARM_VMINuv4i16	= 1337,
-    ARM_VMINuv4i32	= 1338,
-    ARM_VMINuv8i16	= 1339,
-    ARM_VMINuv8i8	= 1340,
-    ARM_VMLAD	= 1341,
-    ARM_VMLALslsv2i32	= 1342,
-    ARM_VMLALslsv4i16	= 1343,
-    ARM_VMLALsluv2i32	= 1344,
-    ARM_VMLALsluv4i16	= 1345,
-    ARM_VMLALsv2i64	= 1346,
-    ARM_VMLALsv4i32	= 1347,
-    ARM_VMLALsv8i16	= 1348,
-    ARM_VMLALuv2i64	= 1349,
-    ARM_VMLALuv4i32	= 1350,
-    ARM_VMLALuv8i16	= 1351,
-    ARM_VMLAS	= 1352,
-    ARM_VMLAfd	= 1353,
-    ARM_VMLAfq	= 1354,
-    ARM_VMLAslfd	= 1355,
-    ARM_VMLAslfq	= 1356,
-    ARM_VMLAslv2i32	= 1357,
-    ARM_VMLAslv4i16	= 1358,
-    ARM_VMLAslv4i32	= 1359,
-    ARM_VMLAslv8i16	= 1360,
-    ARM_VMLAv16i8	= 1361,
-    ARM_VMLAv2i32	= 1362,
-    ARM_VMLAv4i16	= 1363,
-    ARM_VMLAv4i32	= 1364,
-    ARM_VMLAv8i16	= 1365,
-    ARM_VMLAv8i8	= 1366,
-    ARM_VMLSD	= 1367,
-    ARM_VMLSLslsv2i32	= 1368,
-    ARM_VMLSLslsv4i16	= 1369,
-    ARM_VMLSLsluv2i32	= 1370,
-    ARM_VMLSLsluv4i16	= 1371,
-    ARM_VMLSLsv2i64	= 1372,
-    ARM_VMLSLsv4i32	= 1373,
-    ARM_VMLSLsv8i16	= 1374,
-    ARM_VMLSLuv2i64	= 1375,
-    ARM_VMLSLuv4i32	= 1376,
-    ARM_VMLSLuv8i16	= 1377,
-    ARM_VMLSS	= 1378,
-    ARM_VMLSfd	= 1379,
-    ARM_VMLSfq	= 1380,
-    ARM_VMLSslfd	= 1381,
-    ARM_VMLSslfq	= 1382,
-    ARM_VMLSslv2i32	= 1383,
-    ARM_VMLSslv4i16	= 1384,
-    ARM_VMLSslv4i32	= 1385,
-    ARM_VMLSslv8i16	= 1386,
-    ARM_VMLSv16i8	= 1387,
-    ARM_VMLSv2i32	= 1388,
-    ARM_VMLSv4i16	= 1389,
-    ARM_VMLSv4i32	= 1390,
-    ARM_VMLSv8i16	= 1391,
-    ARM_VMLSv8i8	= 1392,
-    ARM_VMOVD	= 1393,
-    ARM_VMOVDRR	= 1394,
-    ARM_VMOVDcc	= 1395,
-    ARM_VMOVLsv2i64	= 1396,
-    ARM_VMOVLsv4i32	= 1397,
-    ARM_VMOVLsv8i16	= 1398,
-    ARM_VMOVLuv2i64	= 1399,
-    ARM_VMOVLuv4i32	= 1400,
-    ARM_VMOVLuv8i16	= 1401,
-    ARM_VMOVNv2i32	= 1402,
-    ARM_VMOVNv4i16	= 1403,
-    ARM_VMOVNv8i8	= 1404,
-    ARM_VMOVRRD	= 1405,
-    ARM_VMOVRRS	= 1406,
-    ARM_VMOVRS	= 1407,
-    ARM_VMOVS	= 1408,
-    ARM_VMOVSR	= 1409,
-    ARM_VMOVSRR	= 1410,
-    ARM_VMOVScc	= 1411,
-    ARM_VMOVv16i8	= 1412,
-    ARM_VMOVv1i64	= 1413,
-    ARM_VMOVv2f32	= 1414,
-    ARM_VMOVv2i32	= 1415,
-    ARM_VMOVv2i64	= 1416,
-    ARM_VMOVv4f32	= 1417,
-    ARM_VMOVv4i16	= 1418,
-    ARM_VMOVv4i32	= 1419,
-    ARM_VMOVv8i16	= 1420,
-    ARM_VMOVv8i8	= 1421,
-    ARM_VMRS	= 1422,
-    ARM_VMRS_FPEXC	= 1423,
-    ARM_VMRS_FPINST	= 1424,
-    ARM_VMRS_FPINST2	= 1425,
-    ARM_VMRS_FPSID	= 1426,
-    ARM_VMRS_MVFR0	= 1427,
-    ARM_VMRS_MVFR1	= 1428,
-    ARM_VMRS_MVFR2	= 1429,
-    ARM_VMSR	= 1430,
-    ARM_VMSR_FPEXC	= 1431,
-    ARM_VMSR_FPINST	= 1432,
-    ARM_VMSR_FPINST2	= 1433,
-    ARM_VMSR_FPSID	= 1434,
-    ARM_VMULD	= 1435,
-    ARM_VMULLp64	= 1436,
-    ARM_VMULLp8	= 1437,
-    ARM_VMULLslsv2i32	= 1438,
-    ARM_VMULLslsv4i16	= 1439,
-    ARM_VMULLsluv2i32	= 1440,
-    ARM_VMULLsluv4i16	= 1441,
-    ARM_VMULLsv2i64	= 1442,
-    ARM_VMULLsv4i32	= 1443,
-    ARM_VMULLsv8i16	= 1444,
-    ARM_VMULLuv2i64	= 1445,
-    ARM_VMULLuv4i32	= 1446,
-    ARM_VMULLuv8i16	= 1447,
-    ARM_VMULS	= 1448,
-    ARM_VMULfd	= 1449,
-    ARM_VMULfq	= 1450,
-    ARM_VMULpd	= 1451,
-    ARM_VMULpq	= 1452,
-    ARM_VMULslfd	= 1453,
-    ARM_VMULslfq	= 1454,
-    ARM_VMULslv2i32	= 1455,
-    ARM_VMULslv4i16	= 1456,
-    ARM_VMULslv4i32	= 1457,
-    ARM_VMULslv8i16	= 1458,
-    ARM_VMULv16i8	= 1459,
-    ARM_VMULv2i32	= 1460,
-    ARM_VMULv4i16	= 1461,
-    ARM_VMULv4i32	= 1462,
-    ARM_VMULv8i16	= 1463,
-    ARM_VMULv8i8	= 1464,
-    ARM_VMVNd	= 1465,
-    ARM_VMVNq	= 1466,
-    ARM_VMVNv2i32	= 1467,
-    ARM_VMVNv4i16	= 1468,
-    ARM_VMVNv4i32	= 1469,
-    ARM_VMVNv8i16	= 1470,
-    ARM_VNEGD	= 1471,
-    ARM_VNEGS	= 1472,
-    ARM_VNEGf32q	= 1473,
-    ARM_VNEGfd	= 1474,
-    ARM_VNEGs16d	= 1475,
-    ARM_VNEGs16q	= 1476,
-    ARM_VNEGs32d	= 1477,
-    ARM_VNEGs32q	= 1478,
-    ARM_VNEGs8d	= 1479,
-    ARM_VNEGs8q	= 1480,
-    ARM_VNMLAD	= 1481,
-    ARM_VNMLAS	= 1482,
-    ARM_VNMLSD	= 1483,
-    ARM_VNMLSS	= 1484,
-    ARM_VNMULD	= 1485,
-    ARM_VNMULS	= 1486,
-    ARM_VORNd	= 1487,
-    ARM_VORNq	= 1488,
-    ARM_VORRd	= 1489,
-    ARM_VORRiv2i32	= 1490,
-    ARM_VORRiv4i16	= 1491,
-    ARM_VORRiv4i32	= 1492,
-    ARM_VORRiv8i16	= 1493,
-    ARM_VORRq	= 1494,
-    ARM_VPADALsv16i8	= 1495,
-    ARM_VPADALsv2i32	= 1496,
-    ARM_VPADALsv4i16	= 1497,
-    ARM_VPADALsv4i32	= 1498,
-    ARM_VPADALsv8i16	= 1499,
-    ARM_VPADALsv8i8	= 1500,
-    ARM_VPADALuv16i8	= 1501,
-    ARM_VPADALuv2i32	= 1502,
-    ARM_VPADALuv4i16	= 1503,
-    ARM_VPADALuv4i32	= 1504,
-    ARM_VPADALuv8i16	= 1505,
-    ARM_VPADALuv8i8	= 1506,
-    ARM_VPADDLsv16i8	= 1507,
-    ARM_VPADDLsv2i32	= 1508,
-    ARM_VPADDLsv4i16	= 1509,
-    ARM_VPADDLsv4i32	= 1510,
-    ARM_VPADDLsv8i16	= 1511,
-    ARM_VPADDLsv8i8	= 1512,
-    ARM_VPADDLuv16i8	= 1513,
-    ARM_VPADDLuv2i32	= 1514,
-    ARM_VPADDLuv4i16	= 1515,
-    ARM_VPADDLuv4i32	= 1516,
-    ARM_VPADDLuv8i16	= 1517,
-    ARM_VPADDLuv8i8	= 1518,
-    ARM_VPADDf	= 1519,
-    ARM_VPADDi16	= 1520,
-    ARM_VPADDi32	= 1521,
-    ARM_VPADDi8	= 1522,
-    ARM_VPMAXf	= 1523,
-    ARM_VPMAXs16	= 1524,
-    ARM_VPMAXs32	= 1525,
-    ARM_VPMAXs8	= 1526,
-    ARM_VPMAXu16	= 1527,
-    ARM_VPMAXu32	= 1528,
-    ARM_VPMAXu8	= 1529,
-    ARM_VPMINf	= 1530,
-    ARM_VPMINs16	= 1531,
-    ARM_VPMINs32	= 1532,
-    ARM_VPMINs8	= 1533,
-    ARM_VPMINu16	= 1534,
-    ARM_VPMINu32	= 1535,
-    ARM_VPMINu8	= 1536,
-    ARM_VQABSv16i8	= 1537,
-    ARM_VQABSv2i32	= 1538,
-    ARM_VQABSv4i16	= 1539,
-    ARM_VQABSv4i32	= 1540,
-    ARM_VQABSv8i16	= 1541,
-    ARM_VQABSv8i8	= 1542,
-    ARM_VQADDsv16i8	= 1543,
-    ARM_VQADDsv1i64	= 1544,
-    ARM_VQADDsv2i32	= 1545,
-    ARM_VQADDsv2i64	= 1546,
-    ARM_VQADDsv4i16	= 1547,
-    ARM_VQADDsv4i32	= 1548,
-    ARM_VQADDsv8i16	= 1549,
-    ARM_VQADDsv8i8	= 1550,
-    ARM_VQADDuv16i8	= 1551,
-    ARM_VQADDuv1i64	= 1552,
-    ARM_VQADDuv2i32	= 1553,
-    ARM_VQADDuv2i64	= 1554,
-    ARM_VQADDuv4i16	= 1555,
-    ARM_VQADDuv4i32	= 1556,
-    ARM_VQADDuv8i16	= 1557,
-    ARM_VQADDuv8i8	= 1558,
-    ARM_VQDMLALslv2i32	= 1559,
-    ARM_VQDMLALslv4i16	= 1560,
-    ARM_VQDMLALv2i64	= 1561,
-    ARM_VQDMLALv4i32	= 1562,
-    ARM_VQDMLSLslv2i32	= 1563,
-    ARM_VQDMLSLslv4i16	= 1564,
-    ARM_VQDMLSLv2i64	= 1565,
-    ARM_VQDMLSLv4i32	= 1566,
-    ARM_VQDMULHslv2i32	= 1567,
-    ARM_VQDMULHslv4i16	= 1568,
-    ARM_VQDMULHslv4i32	= 1569,
-    ARM_VQDMULHslv8i16	= 1570,
-    ARM_VQDMULHv2i32	= 1571,
-    ARM_VQDMULHv4i16	= 1572,
-    ARM_VQDMULHv4i32	= 1573,
-    ARM_VQDMULHv8i16	= 1574,
-    ARM_VQDMULLslv2i32	= 1575,
-    ARM_VQDMULLslv4i16	= 1576,
-    ARM_VQDMULLv2i64	= 1577,
-    ARM_VQDMULLv4i32	= 1578,
-    ARM_VQMOVNsuv2i32	= 1579,
-    ARM_VQMOVNsuv4i16	= 1580,
-    ARM_VQMOVNsuv8i8	= 1581,
-    ARM_VQMOVNsv2i32	= 1582,
-    ARM_VQMOVNsv4i16	= 1583,
-    ARM_VQMOVNsv8i8	= 1584,
-    ARM_VQMOVNuv2i32	= 1585,
-    ARM_VQMOVNuv4i16	= 1586,
-    ARM_VQMOVNuv8i8	= 1587,
-    ARM_VQNEGv16i8	= 1588,
-    ARM_VQNEGv2i32	= 1589,
-    ARM_VQNEGv4i16	= 1590,
-    ARM_VQNEGv4i32	= 1591,
-    ARM_VQNEGv8i16	= 1592,
-    ARM_VQNEGv8i8	= 1593,
-    ARM_VQRDMULHslv2i32	= 1594,
-    ARM_VQRDMULHslv4i16	= 1595,
-    ARM_VQRDMULHslv4i32	= 1596,
-    ARM_VQRDMULHslv8i16	= 1597,
-    ARM_VQRDMULHv2i32	= 1598,
-    ARM_VQRDMULHv4i16	= 1599,
-    ARM_VQRDMULHv4i32	= 1600,
-    ARM_VQRDMULHv8i16	= 1601,
-    ARM_VQRSHLsv16i8	= 1602,
-    ARM_VQRSHLsv1i64	= 1603,
-    ARM_VQRSHLsv2i32	= 1604,
-    ARM_VQRSHLsv2i64	= 1605,
-    ARM_VQRSHLsv4i16	= 1606,
-    ARM_VQRSHLsv4i32	= 1607,
-    ARM_VQRSHLsv8i16	= 1608,
-    ARM_VQRSHLsv8i8	= 1609,
-    ARM_VQRSHLuv16i8	= 1610,
-    ARM_VQRSHLuv1i64	= 1611,
-    ARM_VQRSHLuv2i32	= 1612,
-    ARM_VQRSHLuv2i64	= 1613,
-    ARM_VQRSHLuv4i16	= 1614,
-    ARM_VQRSHLuv4i32	= 1615,
-    ARM_VQRSHLuv8i16	= 1616,
-    ARM_VQRSHLuv8i8	= 1617,
-    ARM_VQRSHRNsv2i32	= 1618,
-    ARM_VQRSHRNsv4i16	= 1619,
-    ARM_VQRSHRNsv8i8	= 1620,
-    ARM_VQRSHRNuv2i32	= 1621,
-    ARM_VQRSHRNuv4i16	= 1622,
-    ARM_VQRSHRNuv8i8	= 1623,
-    ARM_VQRSHRUNv2i32	= 1624,
-    ARM_VQRSHRUNv4i16	= 1625,
-    ARM_VQRSHRUNv8i8	= 1626,
-    ARM_VQSHLsiv16i8	= 1627,
-    ARM_VQSHLsiv1i64	= 1628,
-    ARM_VQSHLsiv2i32	= 1629,
-    ARM_VQSHLsiv2i64	= 1630,
-    ARM_VQSHLsiv4i16	= 1631,
-    ARM_VQSHLsiv4i32	= 1632,
-    ARM_VQSHLsiv8i16	= 1633,
-    ARM_VQSHLsiv8i8	= 1634,
-    ARM_VQSHLsuv16i8	= 1635,
-    ARM_VQSHLsuv1i64	= 1636,
-    ARM_VQSHLsuv2i32	= 1637,
-    ARM_VQSHLsuv2i64	= 1638,
-    ARM_VQSHLsuv4i16	= 1639,
-    ARM_VQSHLsuv4i32	= 1640,
-    ARM_VQSHLsuv8i16	= 1641,
-    ARM_VQSHLsuv8i8	= 1642,
-    ARM_VQSHLsv16i8	= 1643,
-    ARM_VQSHLsv1i64	= 1644,
-    ARM_VQSHLsv2i32	= 1645,
-    ARM_VQSHLsv2i64	= 1646,
-    ARM_VQSHLsv4i16	= 1647,
-    ARM_VQSHLsv4i32	= 1648,
-    ARM_VQSHLsv8i16	= 1649,
-    ARM_VQSHLsv8i8	= 1650,
-    ARM_VQSHLuiv16i8	= 1651,
-    ARM_VQSHLuiv1i64	= 1652,
-    ARM_VQSHLuiv2i32	= 1653,
-    ARM_VQSHLuiv2i64	= 1654,
-    ARM_VQSHLuiv4i16	= 1655,
-    ARM_VQSHLuiv4i32	= 1656,
-    ARM_VQSHLuiv8i16	= 1657,
-    ARM_VQSHLuiv8i8	= 1658,
-    ARM_VQSHLuv16i8	= 1659,
-    ARM_VQSHLuv1i64	= 1660,
-    ARM_VQSHLuv2i32	= 1661,
-    ARM_VQSHLuv2i64	= 1662,
-    ARM_VQSHLuv4i16	= 1663,
-    ARM_VQSHLuv4i32	= 1664,
-    ARM_VQSHLuv8i16	= 1665,
-    ARM_VQSHLuv8i8	= 1666,
-    ARM_VQSHRNsv2i32	= 1667,
-    ARM_VQSHRNsv4i16	= 1668,
-    ARM_VQSHRNsv8i8	= 1669,
-    ARM_VQSHRNuv2i32	= 1670,
-    ARM_VQSHRNuv4i16	= 1671,
-    ARM_VQSHRNuv8i8	= 1672,
-    ARM_VQSHRUNv2i32	= 1673,
-    ARM_VQSHRUNv4i16	= 1674,
-    ARM_VQSHRUNv8i8	= 1675,
-    ARM_VQSUBsv16i8	= 1676,
-    ARM_VQSUBsv1i64	= 1677,
-    ARM_VQSUBsv2i32	= 1678,
-    ARM_VQSUBsv2i64	= 1679,
-    ARM_VQSUBsv4i16	= 1680,
-    ARM_VQSUBsv4i32	= 1681,
-    ARM_VQSUBsv8i16	= 1682,
-    ARM_VQSUBsv8i8	= 1683,
-    ARM_VQSUBuv16i8	= 1684,
-    ARM_VQSUBuv1i64	= 1685,
-    ARM_VQSUBuv2i32	= 1686,
-    ARM_VQSUBuv2i64	= 1687,
-    ARM_VQSUBuv4i16	= 1688,
-    ARM_VQSUBuv4i32	= 1689,
-    ARM_VQSUBuv8i16	= 1690,
-    ARM_VQSUBuv8i8	= 1691,
-    ARM_VRADDHNv2i32	= 1692,
-    ARM_VRADDHNv4i16	= 1693,
-    ARM_VRADDHNv8i8	= 1694,
-    ARM_VRECPEd	= 1695,
-    ARM_VRECPEfd	= 1696,
-    ARM_VRECPEfq	= 1697,
-    ARM_VRECPEq	= 1698,
-    ARM_VRECPSfd	= 1699,
-    ARM_VRECPSfq	= 1700,
-    ARM_VREV16d8	= 1701,
-    ARM_VREV16q8	= 1702,
-    ARM_VREV32d16	= 1703,
-    ARM_VREV32d8	= 1704,
-    ARM_VREV32q16	= 1705,
-    ARM_VREV32q8	= 1706,
-    ARM_VREV64d16	= 1707,
-    ARM_VREV64d32	= 1708,
-    ARM_VREV64d8	= 1709,
-    ARM_VREV64q16	= 1710,
-    ARM_VREV64q32	= 1711,
-    ARM_VREV64q8	= 1712,
-    ARM_VRHADDsv16i8	= 1713,
-    ARM_VRHADDsv2i32	= 1714,
-    ARM_VRHADDsv4i16	= 1715,
-    ARM_VRHADDsv4i32	= 1716,
-    ARM_VRHADDsv8i16	= 1717,
-    ARM_VRHADDsv8i8	= 1718,
-    ARM_VRHADDuv16i8	= 1719,
-    ARM_VRHADDuv2i32	= 1720,
-    ARM_VRHADDuv4i16	= 1721,
-    ARM_VRHADDuv4i32	= 1722,
-    ARM_VRHADDuv8i16	= 1723,
-    ARM_VRHADDuv8i8	= 1724,
-    ARM_VRINTAD	= 1725,
-    ARM_VRINTAND	= 1726,
-    ARM_VRINTANQ	= 1727,
-    ARM_VRINTAS	= 1728,
-    ARM_VRINTMD	= 1729,
-    ARM_VRINTMND	= 1730,
-    ARM_VRINTMNQ	= 1731,
-    ARM_VRINTMS	= 1732,
-    ARM_VRINTND	= 1733,
-    ARM_VRINTNND	= 1734,
-    ARM_VRINTNNQ	= 1735,
-    ARM_VRINTNS	= 1736,
-    ARM_VRINTPD	= 1737,
-    ARM_VRINTPND	= 1738,
-    ARM_VRINTPNQ	= 1739,
-    ARM_VRINTPS	= 1740,
-    ARM_VRINTRD	= 1741,
-    ARM_VRINTRS	= 1742,
-    ARM_VRINTXD	= 1743,
-    ARM_VRINTXND	= 1744,
-    ARM_VRINTXNQ	= 1745,
-    ARM_VRINTXS	= 1746,
-    ARM_VRINTZD	= 1747,
-    ARM_VRINTZND	= 1748,
-    ARM_VRINTZNQ	= 1749,
-    ARM_VRINTZS	= 1750,
-    ARM_VRSHLsv16i8	= 1751,
-    ARM_VRSHLsv1i64	= 1752,
-    ARM_VRSHLsv2i32	= 1753,
-    ARM_VRSHLsv2i64	= 1754,
-    ARM_VRSHLsv4i16	= 1755,
-    ARM_VRSHLsv4i32	= 1756,
-    ARM_VRSHLsv8i16	= 1757,
-    ARM_VRSHLsv8i8	= 1758,
-    ARM_VRSHLuv16i8	= 1759,
-    ARM_VRSHLuv1i64	= 1760,
-    ARM_VRSHLuv2i32	= 1761,
-    ARM_VRSHLuv2i64	= 1762,
-    ARM_VRSHLuv4i16	= 1763,
-    ARM_VRSHLuv4i32	= 1764,
-    ARM_VRSHLuv8i16	= 1765,
-    ARM_VRSHLuv8i8	= 1766,
-    ARM_VRSHRNv2i32	= 1767,
-    ARM_VRSHRNv4i16	= 1768,
-    ARM_VRSHRNv8i8	= 1769,
-    ARM_VRSHRsv16i8	= 1770,
-    ARM_VRSHRsv1i64	= 1771,
-    ARM_VRSHRsv2i32	= 1772,
-    ARM_VRSHRsv2i64	= 1773,
-    ARM_VRSHRsv4i16	= 1774,
-    ARM_VRSHRsv4i32	= 1775,
-    ARM_VRSHRsv8i16	= 1776,
-    ARM_VRSHRsv8i8	= 1777,
-    ARM_VRSHRuv16i8	= 1778,
-    ARM_VRSHRuv1i64	= 1779,
-    ARM_VRSHRuv2i32	= 1780,
-    ARM_VRSHRuv2i64	= 1781,
-    ARM_VRSHRuv4i16	= 1782,
-    ARM_VRSHRuv4i32	= 1783,
-    ARM_VRSHRuv8i16	= 1784,
-    ARM_VRSHRuv8i8	= 1785,
-    ARM_VRSQRTEd	= 1786,
-    ARM_VRSQRTEfd	= 1787,
-    ARM_VRSQRTEfq	= 1788,
-    ARM_VRSQRTEq	= 1789,
-    ARM_VRSQRTSfd	= 1790,
-    ARM_VRSQRTSfq	= 1791,
-    ARM_VRSRAsv16i8	= 1792,
-    ARM_VRSRAsv1i64	= 1793,
-    ARM_VRSRAsv2i32	= 1794,
-    ARM_VRSRAsv2i64	= 1795,
-    ARM_VRSRAsv4i16	= 1796,
-    ARM_VRSRAsv4i32	= 1797,
-    ARM_VRSRAsv8i16	= 1798,
-    ARM_VRSRAsv8i8	= 1799,
-    ARM_VRSRAuv16i8	= 1800,
-    ARM_VRSRAuv1i64	= 1801,
-    ARM_VRSRAuv2i32	= 1802,
-    ARM_VRSRAuv2i64	= 1803,
-    ARM_VRSRAuv4i16	= 1804,
-    ARM_VRSRAuv4i32	= 1805,
-    ARM_VRSRAuv8i16	= 1806,
-    ARM_VRSRAuv8i8	= 1807,
-    ARM_VRSUBHNv2i32	= 1808,
-    ARM_VRSUBHNv4i16	= 1809,
-    ARM_VRSUBHNv8i8	= 1810,
-    ARM_VSELEQD	= 1811,
-    ARM_VSELEQS	= 1812,
-    ARM_VSELGED	= 1813,
-    ARM_VSELGES	= 1814,
-    ARM_VSELGTD	= 1815,
-    ARM_VSELGTS	= 1816,
-    ARM_VSELVSD	= 1817,
-    ARM_VSELVSS	= 1818,
-    ARM_VSETLNi16	= 1819,
-    ARM_VSETLNi32	= 1820,
-    ARM_VSETLNi8	= 1821,
-    ARM_VSHLLi16	= 1822,
-    ARM_VSHLLi32	= 1823,
-    ARM_VSHLLi8	= 1824,
-    ARM_VSHLLsv2i64	= 1825,
-    ARM_VSHLLsv4i32	= 1826,
-    ARM_VSHLLsv8i16	= 1827,
-    ARM_VSHLLuv2i64	= 1828,
-    ARM_VSHLLuv4i32	= 1829,
-    ARM_VSHLLuv8i16	= 1830,
-    ARM_VSHLiv16i8	= 1831,
-    ARM_VSHLiv1i64	= 1832,
-    ARM_VSHLiv2i32	= 1833,
-    ARM_VSHLiv2i64	= 1834,
-    ARM_VSHLiv4i16	= 1835,
-    ARM_VSHLiv4i32	= 1836,
-    ARM_VSHLiv8i16	= 1837,
-    ARM_VSHLiv8i8	= 1838,
-    ARM_VSHLsv16i8	= 1839,
-    ARM_VSHLsv1i64	= 1840,
-    ARM_VSHLsv2i32	= 1841,
-    ARM_VSHLsv2i64	= 1842,
-    ARM_VSHLsv4i16	= 1843,
-    ARM_VSHLsv4i32	= 1844,
-    ARM_VSHLsv8i16	= 1845,
-    ARM_VSHLsv8i8	= 1846,
-    ARM_VSHLuv16i8	= 1847,
-    ARM_VSHLuv1i64	= 1848,
-    ARM_VSHLuv2i32	= 1849,
-    ARM_VSHLuv2i64	= 1850,
-    ARM_VSHLuv4i16	= 1851,
-    ARM_VSHLuv4i32	= 1852,
-    ARM_VSHLuv8i16	= 1853,
-    ARM_VSHLuv8i8	= 1854,
-    ARM_VSHRNv2i32	= 1855,
-    ARM_VSHRNv4i16	= 1856,
-    ARM_VSHRNv8i8	= 1857,
-    ARM_VSHRsv16i8	= 1858,
-    ARM_VSHRsv1i64	= 1859,
-    ARM_VSHRsv2i32	= 1860,
-    ARM_VSHRsv2i64	= 1861,
-    ARM_VSHRsv4i16	= 1862,
-    ARM_VSHRsv4i32	= 1863,
-    ARM_VSHRsv8i16	= 1864,
-    ARM_VSHRsv8i8	= 1865,
-    ARM_VSHRuv16i8	= 1866,
-    ARM_VSHRuv1i64	= 1867,
-    ARM_VSHRuv2i32	= 1868,
-    ARM_VSHRuv2i64	= 1869,
-    ARM_VSHRuv4i16	= 1870,
-    ARM_VSHRuv4i32	= 1871,
-    ARM_VSHRuv8i16	= 1872,
-    ARM_VSHRuv8i8	= 1873,
-    ARM_VSHTOD	= 1874,
-    ARM_VSHTOS	= 1875,
-    ARM_VSITOD	= 1876,
-    ARM_VSITOS	= 1877,
-    ARM_VSLIv16i8	= 1878,
-    ARM_VSLIv1i64	= 1879,
-    ARM_VSLIv2i32	= 1880,
-    ARM_VSLIv2i64	= 1881,
-    ARM_VSLIv4i16	= 1882,
-    ARM_VSLIv4i32	= 1883,
-    ARM_VSLIv8i16	= 1884,
-    ARM_VSLIv8i8	= 1885,
-    ARM_VSLTOD	= 1886,
-    ARM_VSLTOS	= 1887,
-    ARM_VSQRTD	= 1888,
-    ARM_VSQRTS	= 1889,
-    ARM_VSRAsv16i8	= 1890,
-    ARM_VSRAsv1i64	= 1891,
-    ARM_VSRAsv2i32	= 1892,
-    ARM_VSRAsv2i64	= 1893,
-    ARM_VSRAsv4i16	= 1894,
-    ARM_VSRAsv4i32	= 1895,
-    ARM_VSRAsv8i16	= 1896,
-    ARM_VSRAsv8i8	= 1897,
-    ARM_VSRAuv16i8	= 1898,
-    ARM_VSRAuv1i64	= 1899,
-    ARM_VSRAuv2i32	= 1900,
-    ARM_VSRAuv2i64	= 1901,
-    ARM_VSRAuv4i16	= 1902,
-    ARM_VSRAuv4i32	= 1903,
-    ARM_VSRAuv8i16	= 1904,
-    ARM_VSRAuv8i8	= 1905,
-    ARM_VSRIv16i8	= 1906,
-    ARM_VSRIv1i64	= 1907,
-    ARM_VSRIv2i32	= 1908,
-    ARM_VSRIv2i64	= 1909,
-    ARM_VSRIv4i16	= 1910,
-    ARM_VSRIv4i32	= 1911,
-    ARM_VSRIv8i16	= 1912,
-    ARM_VSRIv8i8	= 1913,
-    ARM_VST1LNd16	= 1914,
-    ARM_VST1LNd16_UPD	= 1915,
-    ARM_VST1LNd32	= 1916,
-    ARM_VST1LNd32_UPD	= 1917,
-    ARM_VST1LNd8	= 1918,
-    ARM_VST1LNd8_UPD	= 1919,
-    ARM_VST1LNdAsm_16	= 1920,
-    ARM_VST1LNdAsm_32	= 1921,
-    ARM_VST1LNdAsm_8	= 1922,
-    ARM_VST1LNdWB_fixed_Asm_16	= 1923,
-    ARM_VST1LNdWB_fixed_Asm_32	= 1924,
-    ARM_VST1LNdWB_fixed_Asm_8	= 1925,
-    ARM_VST1LNdWB_register_Asm_16	= 1926,
-    ARM_VST1LNdWB_register_Asm_32	= 1927,
-    ARM_VST1LNdWB_register_Asm_8	= 1928,
-    ARM_VST1LNq16Pseudo	= 1929,
-    ARM_VST1LNq16Pseudo_UPD	= 1930,
-    ARM_VST1LNq32Pseudo	= 1931,
-    ARM_VST1LNq32Pseudo_UPD	= 1932,
-    ARM_VST1LNq8Pseudo	= 1933,
-    ARM_VST1LNq8Pseudo_UPD	= 1934,
-    ARM_VST1d16	= 1935,
-    ARM_VST1d16Q	= 1936,
-    ARM_VST1d16Qwb_fixed	= 1937,
-    ARM_VST1d16Qwb_register	= 1938,
-    ARM_VST1d16T	= 1939,
-    ARM_VST1d16Twb_fixed	= 1940,
-    ARM_VST1d16Twb_register	= 1941,
-    ARM_VST1d16wb_fixed	= 1942,
-    ARM_VST1d16wb_register	= 1943,
-    ARM_VST1d32	= 1944,
-    ARM_VST1d32Q	= 1945,
-    ARM_VST1d32Qwb_fixed	= 1946,
-    ARM_VST1d32Qwb_register	= 1947,
-    ARM_VST1d32T	= 1948,
-    ARM_VST1d32Twb_fixed	= 1949,
-    ARM_VST1d32Twb_register	= 1950,
-    ARM_VST1d32wb_fixed	= 1951,
-    ARM_VST1d32wb_register	= 1952,
-    ARM_VST1d64	= 1953,
-    ARM_VST1d64Q	= 1954,
-    ARM_VST1d64QPseudo	= 1955,
-    ARM_VST1d64QPseudoWB_fixed	= 1956,
-    ARM_VST1d64QPseudoWB_register	= 1957,
-    ARM_VST1d64Qwb_fixed	= 1958,
-    ARM_VST1d64Qwb_register	= 1959,
-    ARM_VST1d64T	= 1960,
-    ARM_VST1d64TPseudo	= 1961,
-    ARM_VST1d64TPseudoWB_fixed	= 1962,
-    ARM_VST1d64TPseudoWB_register	= 1963,
-    ARM_VST1d64Twb_fixed	= 1964,
-    ARM_VST1d64Twb_register	= 1965,
-    ARM_VST1d64wb_fixed	= 1966,
-    ARM_VST1d64wb_register	= 1967,
-    ARM_VST1d8	= 1968,
-    ARM_VST1d8Q	= 1969,
-    ARM_VST1d8Qwb_fixed	= 1970,
-    ARM_VST1d8Qwb_register	= 1971,
-    ARM_VST1d8T	= 1972,
-    ARM_VST1d8Twb_fixed	= 1973,
-    ARM_VST1d8Twb_register	= 1974,
-    ARM_VST1d8wb_fixed	= 1975,
-    ARM_VST1d8wb_register	= 1976,
-    ARM_VST1q16	= 1977,
-    ARM_VST1q16wb_fixed	= 1978,
-    ARM_VST1q16wb_register	= 1979,
-    ARM_VST1q32	= 1980,
-    ARM_VST1q32wb_fixed	= 1981,
-    ARM_VST1q32wb_register	= 1982,
-    ARM_VST1q64	= 1983,
-    ARM_VST1q64wb_fixed	= 1984,
-    ARM_VST1q64wb_register	= 1985,
-    ARM_VST1q8	= 1986,
-    ARM_VST1q8wb_fixed	= 1987,
-    ARM_VST1q8wb_register	= 1988,
-    ARM_VST2LNd16	= 1989,
-    ARM_VST2LNd16Pseudo	= 1990,
-    ARM_VST2LNd16Pseudo_UPD	= 1991,
-    ARM_VST2LNd16_UPD	= 1992,
-    ARM_VST2LNd32	= 1993,
-    ARM_VST2LNd32Pseudo	= 1994,
-    ARM_VST2LNd32Pseudo_UPD	= 1995,
-    ARM_VST2LNd32_UPD	= 1996,
-    ARM_VST2LNd8	= 1997,
-    ARM_VST2LNd8Pseudo	= 1998,
-    ARM_VST2LNd8Pseudo_UPD	= 1999,
-    ARM_VST2LNd8_UPD	= 2000,
-    ARM_VST2LNdAsm_16	= 2001,
-    ARM_VST2LNdAsm_32	= 2002,
-    ARM_VST2LNdAsm_8	= 2003,
-    ARM_VST2LNdWB_fixed_Asm_16	= 2004,
-    ARM_VST2LNdWB_fixed_Asm_32	= 2005,
-    ARM_VST2LNdWB_fixed_Asm_8	= 2006,
-    ARM_VST2LNdWB_register_Asm_16	= 2007,
-    ARM_VST2LNdWB_register_Asm_32	= 2008,
-    ARM_VST2LNdWB_register_Asm_8	= 2009,
-    ARM_VST2LNq16	= 2010,
-    ARM_VST2LNq16Pseudo	= 2011,
-    ARM_VST2LNq16Pseudo_UPD	= 2012,
-    ARM_VST2LNq16_UPD	= 2013,
-    ARM_VST2LNq32	= 2014,
-    ARM_VST2LNq32Pseudo	= 2015,
-    ARM_VST2LNq32Pseudo_UPD	= 2016,
-    ARM_VST2LNq32_UPD	= 2017,
-    ARM_VST2LNqAsm_16	= 2018,
-    ARM_VST2LNqAsm_32	= 2019,
-    ARM_VST2LNqWB_fixed_Asm_16	= 2020,
-    ARM_VST2LNqWB_fixed_Asm_32	= 2021,
-    ARM_VST2LNqWB_register_Asm_16	= 2022,
-    ARM_VST2LNqWB_register_Asm_32	= 2023,
-    ARM_VST2b16	= 2024,
-    ARM_VST2b16wb_fixed	= 2025,
-    ARM_VST2b16wb_register	= 2026,
-    ARM_VST2b32	= 2027,
-    ARM_VST2b32wb_fixed	= 2028,
-    ARM_VST2b32wb_register	= 2029,
-    ARM_VST2b8	= 2030,
-    ARM_VST2b8wb_fixed	= 2031,
-    ARM_VST2b8wb_register	= 2032,
-    ARM_VST2d16	= 2033,
-    ARM_VST2d16wb_fixed	= 2034,
-    ARM_VST2d16wb_register	= 2035,
-    ARM_VST2d32	= 2036,
-    ARM_VST2d32wb_fixed	= 2037,
-    ARM_VST2d32wb_register	= 2038,
-    ARM_VST2d8	= 2039,
-    ARM_VST2d8wb_fixed	= 2040,
-    ARM_VST2d8wb_register	= 2041,
-    ARM_VST2q16	= 2042,
-    ARM_VST2q16Pseudo	= 2043,
-    ARM_VST2q16PseudoWB_fixed	= 2044,
-    ARM_VST2q16PseudoWB_register	= 2045,
-    ARM_VST2q16wb_fixed	= 2046,
-    ARM_VST2q16wb_register	= 2047,
-    ARM_VST2q32	= 2048,
-    ARM_VST2q32Pseudo	= 2049,
-    ARM_VST2q32PseudoWB_fixed	= 2050,
-    ARM_VST2q32PseudoWB_register	= 2051,
-    ARM_VST2q32wb_fixed	= 2052,
-    ARM_VST2q32wb_register	= 2053,
-    ARM_VST2q8	= 2054,
-    ARM_VST2q8Pseudo	= 2055,
-    ARM_VST2q8PseudoWB_fixed	= 2056,
-    ARM_VST2q8PseudoWB_register	= 2057,
-    ARM_VST2q8wb_fixed	= 2058,
-    ARM_VST2q8wb_register	= 2059,
-    ARM_VST3LNd16	= 2060,
-    ARM_VST3LNd16Pseudo	= 2061,
-    ARM_VST3LNd16Pseudo_UPD	= 2062,
-    ARM_VST3LNd16_UPD	= 2063,
-    ARM_VST3LNd32	= 2064,
-    ARM_VST3LNd32Pseudo	= 2065,
-    ARM_VST3LNd32Pseudo_UPD	= 2066,
-    ARM_VST3LNd32_UPD	= 2067,
-    ARM_VST3LNd8	= 2068,
-    ARM_VST3LNd8Pseudo	= 2069,
-    ARM_VST3LNd8Pseudo_UPD	= 2070,
-    ARM_VST3LNd8_UPD	= 2071,
-    ARM_VST3LNdAsm_16	= 2072,
-    ARM_VST3LNdAsm_32	= 2073,
-    ARM_VST3LNdAsm_8	= 2074,
-    ARM_VST3LNdWB_fixed_Asm_16	= 2075,
-    ARM_VST3LNdWB_fixed_Asm_32	= 2076,
-    ARM_VST3LNdWB_fixed_Asm_8	= 2077,
-    ARM_VST3LNdWB_register_Asm_16	= 2078,
-    ARM_VST3LNdWB_register_Asm_32	= 2079,
-    ARM_VST3LNdWB_register_Asm_8	= 2080,
-    ARM_VST3LNq16	= 2081,
-    ARM_VST3LNq16Pseudo	= 2082,
-    ARM_VST3LNq16Pseudo_UPD	= 2083,
-    ARM_VST3LNq16_UPD	= 2084,
-    ARM_VST3LNq32	= 2085,
-    ARM_VST3LNq32Pseudo	= 2086,
-    ARM_VST3LNq32Pseudo_UPD	= 2087,
-    ARM_VST3LNq32_UPD	= 2088,
-    ARM_VST3LNqAsm_16	= 2089,
-    ARM_VST3LNqAsm_32	= 2090,
-    ARM_VST3LNqWB_fixed_Asm_16	= 2091,
-    ARM_VST3LNqWB_fixed_Asm_32	= 2092,
-    ARM_VST3LNqWB_register_Asm_16	= 2093,
-    ARM_VST3LNqWB_register_Asm_32	= 2094,
-    ARM_VST3d16	= 2095,
-    ARM_VST3d16Pseudo	= 2096,
-    ARM_VST3d16Pseudo_UPD	= 2097,
-    ARM_VST3d16_UPD	= 2098,
-    ARM_VST3d32	= 2099,
-    ARM_VST3d32Pseudo	= 2100,
-    ARM_VST3d32Pseudo_UPD	= 2101,
-    ARM_VST3d32_UPD	= 2102,
-    ARM_VST3d8	= 2103,
-    ARM_VST3d8Pseudo	= 2104,
-    ARM_VST3d8Pseudo_UPD	= 2105,
-    ARM_VST3d8_UPD	= 2106,
-    ARM_VST3dAsm_16	= 2107,
-    ARM_VST3dAsm_32	= 2108,
-    ARM_VST3dAsm_8	= 2109,
-    ARM_VST3dWB_fixed_Asm_16	= 2110,
-    ARM_VST3dWB_fixed_Asm_32	= 2111,
-    ARM_VST3dWB_fixed_Asm_8	= 2112,
-    ARM_VST3dWB_register_Asm_16	= 2113,
-    ARM_VST3dWB_register_Asm_32	= 2114,
-    ARM_VST3dWB_register_Asm_8	= 2115,
-    ARM_VST3q16	= 2116,
-    ARM_VST3q16Pseudo_UPD	= 2117,
-    ARM_VST3q16_UPD	= 2118,
-    ARM_VST3q16oddPseudo	= 2119,
-    ARM_VST3q16oddPseudo_UPD	= 2120,
-    ARM_VST3q32	= 2121,
-    ARM_VST3q32Pseudo_UPD	= 2122,
-    ARM_VST3q32_UPD	= 2123,
-    ARM_VST3q32oddPseudo	= 2124,
-    ARM_VST3q32oddPseudo_UPD	= 2125,
-    ARM_VST3q8	= 2126,
-    ARM_VST3q8Pseudo_UPD	= 2127,
-    ARM_VST3q8_UPD	= 2128,
-    ARM_VST3q8oddPseudo	= 2129,
-    ARM_VST3q8oddPseudo_UPD	= 2130,
-    ARM_VST3qAsm_16	= 2131,
-    ARM_VST3qAsm_32	= 2132,
-    ARM_VST3qAsm_8	= 2133,
-    ARM_VST3qWB_fixed_Asm_16	= 2134,
-    ARM_VST3qWB_fixed_Asm_32	= 2135,
-    ARM_VST3qWB_fixed_Asm_8	= 2136,
-    ARM_VST3qWB_register_Asm_16	= 2137,
-    ARM_VST3qWB_register_Asm_32	= 2138,
-    ARM_VST3qWB_register_Asm_8	= 2139,
-    ARM_VST4LNd16	= 2140,
-    ARM_VST4LNd16Pseudo	= 2141,
-    ARM_VST4LNd16Pseudo_UPD	= 2142,
-    ARM_VST4LNd16_UPD	= 2143,
-    ARM_VST4LNd32	= 2144,
-    ARM_VST4LNd32Pseudo	= 2145,
-    ARM_VST4LNd32Pseudo_UPD	= 2146,
-    ARM_VST4LNd32_UPD	= 2147,
-    ARM_VST4LNd8	= 2148,
-    ARM_VST4LNd8Pseudo	= 2149,
-    ARM_VST4LNd8Pseudo_UPD	= 2150,
-    ARM_VST4LNd8_UPD	= 2151,
-    ARM_VST4LNdAsm_16	= 2152,
-    ARM_VST4LNdAsm_32	= 2153,
-    ARM_VST4LNdAsm_8	= 2154,
-    ARM_VST4LNdWB_fixed_Asm_16	= 2155,
-    ARM_VST4LNdWB_fixed_Asm_32	= 2156,
-    ARM_VST4LNdWB_fixed_Asm_8	= 2157,
-    ARM_VST4LNdWB_register_Asm_16	= 2158,
-    ARM_VST4LNdWB_register_Asm_32	= 2159,
-    ARM_VST4LNdWB_register_Asm_8	= 2160,
-    ARM_VST4LNq16	= 2161,
-    ARM_VST4LNq16Pseudo	= 2162,
-    ARM_VST4LNq16Pseudo_UPD	= 2163,
-    ARM_VST4LNq16_UPD	= 2164,
-    ARM_VST4LNq32	= 2165,
-    ARM_VST4LNq32Pseudo	= 2166,
-    ARM_VST4LNq32Pseudo_UPD	= 2167,
-    ARM_VST4LNq32_UPD	= 2168,
-    ARM_VST4LNqAsm_16	= 2169,
-    ARM_VST4LNqAsm_32	= 2170,
-    ARM_VST4LNqWB_fixed_Asm_16	= 2171,
-    ARM_VST4LNqWB_fixed_Asm_32	= 2172,
-    ARM_VST4LNqWB_register_Asm_16	= 2173,
-    ARM_VST4LNqWB_register_Asm_32	= 2174,
-    ARM_VST4d16	= 2175,
-    ARM_VST4d16Pseudo	= 2176,
-    ARM_VST4d16Pseudo_UPD	= 2177,
-    ARM_VST4d16_UPD	= 2178,
-    ARM_VST4d32	= 2179,
-    ARM_VST4d32Pseudo	= 2180,
-    ARM_VST4d32Pseudo_UPD	= 2181,
-    ARM_VST4d32_UPD	= 2182,
-    ARM_VST4d8	= 2183,
-    ARM_VST4d8Pseudo	= 2184,
-    ARM_VST4d8Pseudo_UPD	= 2185,
-    ARM_VST4d8_UPD	= 2186,
-    ARM_VST4dAsm_16	= 2187,
-    ARM_VST4dAsm_32	= 2188,
-    ARM_VST4dAsm_8	= 2189,
-    ARM_VST4dWB_fixed_Asm_16	= 2190,
-    ARM_VST4dWB_fixed_Asm_32	= 2191,
-    ARM_VST4dWB_fixed_Asm_8	= 2192,
-    ARM_VST4dWB_register_Asm_16	= 2193,
-    ARM_VST4dWB_register_Asm_32	= 2194,
-    ARM_VST4dWB_register_Asm_8	= 2195,
-    ARM_VST4q16	= 2196,
-    ARM_VST4q16Pseudo_UPD	= 2197,
-    ARM_VST4q16_UPD	= 2198,
-    ARM_VST4q16oddPseudo	= 2199,
-    ARM_VST4q16oddPseudo_UPD	= 2200,
-    ARM_VST4q32	= 2201,
-    ARM_VST4q32Pseudo_UPD	= 2202,
-    ARM_VST4q32_UPD	= 2203,
-    ARM_VST4q32oddPseudo	= 2204,
-    ARM_VST4q32oddPseudo_UPD	= 2205,
-    ARM_VST4q8	= 2206,
-    ARM_VST4q8Pseudo_UPD	= 2207,
-    ARM_VST4q8_UPD	= 2208,
-    ARM_VST4q8oddPseudo	= 2209,
-    ARM_VST4q8oddPseudo_UPD	= 2210,
-    ARM_VST4qAsm_16	= 2211,
-    ARM_VST4qAsm_32	= 2212,
-    ARM_VST4qAsm_8	= 2213,
-    ARM_VST4qWB_fixed_Asm_16	= 2214,
-    ARM_VST4qWB_fixed_Asm_32	= 2215,
-    ARM_VST4qWB_fixed_Asm_8	= 2216,
-    ARM_VST4qWB_register_Asm_16	= 2217,
-    ARM_VST4qWB_register_Asm_32	= 2218,
-    ARM_VST4qWB_register_Asm_8	= 2219,
-    ARM_VSTMDDB_UPD	= 2220,
-    ARM_VSTMDIA	= 2221,
-    ARM_VSTMDIA_UPD	= 2222,
-    ARM_VSTMQIA	= 2223,
-    ARM_VSTMSDB_UPD	= 2224,
-    ARM_VSTMSIA	= 2225,
-    ARM_VSTMSIA_UPD	= 2226,
-    ARM_VSTRD	= 2227,
-    ARM_VSTRS	= 2228,
-    ARM_VSUBD	= 2229,
-    ARM_VSUBHNv2i32	= 2230,
-    ARM_VSUBHNv4i16	= 2231,
-    ARM_VSUBHNv8i8	= 2232,
-    ARM_VSUBLsv2i64	= 2233,
-    ARM_VSUBLsv4i32	= 2234,
-    ARM_VSUBLsv8i16	= 2235,
-    ARM_VSUBLuv2i64	= 2236,
-    ARM_VSUBLuv4i32	= 2237,
-    ARM_VSUBLuv8i16	= 2238,
-    ARM_VSUBS	= 2239,
-    ARM_VSUBWsv2i64	= 2240,
-    ARM_VSUBWsv4i32	= 2241,
-    ARM_VSUBWsv8i16	= 2242,
-    ARM_VSUBWuv2i64	= 2243,
-    ARM_VSUBWuv4i32	= 2244,
-    ARM_VSUBWuv8i16	= 2245,
-    ARM_VSUBfd	= 2246,
-    ARM_VSUBfq	= 2247,
-    ARM_VSUBv16i8	= 2248,
-    ARM_VSUBv1i64	= 2249,
-    ARM_VSUBv2i32	= 2250,
-    ARM_VSUBv2i64	= 2251,
-    ARM_VSUBv4i16	= 2252,
-    ARM_VSUBv4i32	= 2253,
-    ARM_VSUBv8i16	= 2254,
-    ARM_VSUBv8i8	= 2255,
-    ARM_VSWPd	= 2256,
-    ARM_VSWPq	= 2257,
-    ARM_VTBL1	= 2258,
-    ARM_VTBL2	= 2259,
-    ARM_VTBL3	= 2260,
-    ARM_VTBL3Pseudo	= 2261,
-    ARM_VTBL4	= 2262,
-    ARM_VTBL4Pseudo	= 2263,
-    ARM_VTBX1	= 2264,
-    ARM_VTBX2	= 2265,
-    ARM_VTBX3	= 2266,
-    ARM_VTBX3Pseudo	= 2267,
-    ARM_VTBX4	= 2268,
-    ARM_VTBX4Pseudo	= 2269,
-    ARM_VTOSHD	= 2270,
-    ARM_VTOSHS	= 2271,
-    ARM_VTOSIRD	= 2272,
-    ARM_VTOSIRS	= 2273,
-    ARM_VTOSIZD	= 2274,
-    ARM_VTOSIZS	= 2275,
-    ARM_VTOSLD	= 2276,
-    ARM_VTOSLS	= 2277,
-    ARM_VTOUHD	= 2278,
-    ARM_VTOUHS	= 2279,
-    ARM_VTOUIRD	= 2280,
-    ARM_VTOUIRS	= 2281,
-    ARM_VTOUIZD	= 2282,
-    ARM_VTOUIZS	= 2283,
-    ARM_VTOULD	= 2284,
-    ARM_VTOULS	= 2285,
-    ARM_VTRNd16	= 2286,
-    ARM_VTRNd32	= 2287,
-    ARM_VTRNd8	= 2288,
-    ARM_VTRNq16	= 2289,
-    ARM_VTRNq32	= 2290,
-    ARM_VTRNq8	= 2291,
-    ARM_VTSTv16i8	= 2292,
-    ARM_VTSTv2i32	= 2293,
-    ARM_VTSTv4i16	= 2294,
-    ARM_VTSTv4i32	= 2295,
-    ARM_VTSTv8i16	= 2296,
-    ARM_VTSTv8i8	= 2297,
-    ARM_VUHTOD	= 2298,
-    ARM_VUHTOS	= 2299,
-    ARM_VUITOD	= 2300,
-    ARM_VUITOS	= 2301,
-    ARM_VULTOD	= 2302,
-    ARM_VULTOS	= 2303,
-    ARM_VUZPd16	= 2304,
-    ARM_VUZPd8	= 2305,
-    ARM_VUZPq16	= 2306,
-    ARM_VUZPq32	= 2307,
-    ARM_VUZPq8	= 2308,
-    ARM_VZIPd16	= 2309,
-    ARM_VZIPd8	= 2310,
-    ARM_VZIPq16	= 2311,
-    ARM_VZIPq32	= 2312,
-    ARM_VZIPq8	= 2313,
-    ARM_sysLDMDA	= 2314,
-    ARM_sysLDMDA_UPD	= 2315,
-    ARM_sysLDMDB	= 2316,
-    ARM_sysLDMDB_UPD	= 2317,
-    ARM_sysLDMIA	= 2318,
-    ARM_sysLDMIA_UPD	= 2319,
-    ARM_sysLDMIB	= 2320,
-    ARM_sysLDMIB_UPD	= 2321,
-    ARM_sysSTMDA	= 2322,
-    ARM_sysSTMDA_UPD	= 2323,
-    ARM_sysSTMDB	= 2324,
-    ARM_sysSTMDB_UPD	= 2325,
-    ARM_sysSTMIA	= 2326,
-    ARM_sysSTMIA_UPD	= 2327,
-    ARM_sysSTMIB	= 2328,
-    ARM_sysSTMIB_UPD	= 2329,
-    ARM_t2ABS	= 2330,
-    ARM_t2ADCri	= 2331,
-    ARM_t2ADCrr	= 2332,
-    ARM_t2ADCrs	= 2333,
-    ARM_t2ADDSri	= 2334,
-    ARM_t2ADDSrr	= 2335,
-    ARM_t2ADDSrs	= 2336,
-    ARM_t2ADDri	= 2337,
-    ARM_t2ADDri12	= 2338,
-    ARM_t2ADDrr	= 2339,
-    ARM_t2ADDrs	= 2340,
-    ARM_t2ADR	= 2341,
-    ARM_t2ANDri	= 2342,
-    ARM_t2ANDrr	= 2343,
-    ARM_t2ANDrs	= 2344,
-    ARM_t2ASRri	= 2345,
-    ARM_t2ASRrr	= 2346,
-    ARM_t2B	= 2347,
-    ARM_t2BFC	= 2348,
-    ARM_t2BFI	= 2349,
-    ARM_t2BICri	= 2350,
-    ARM_t2BICrr	= 2351,
-    ARM_t2BICrs	= 2352,
-    ARM_t2BR_JT	= 2353,
-    ARM_t2BXJ	= 2354,
-    ARM_t2Bcc	= 2355,
-    ARM_t2CDP	= 2356,
-    ARM_t2CDP2	= 2357,
-    ARM_t2CLREX	= 2358,
-    ARM_t2CLZ	= 2359,
-    ARM_t2CMNri	= 2360,
-    ARM_t2CMNzrr	= 2361,
-    ARM_t2CMNzrs	= 2362,
-    ARM_t2CMPri	= 2363,
-    ARM_t2CMPrr	= 2364,
-    ARM_t2CMPrs	= 2365,
-    ARM_t2CPS1p	= 2366,
-    ARM_t2CPS2p	= 2367,
-    ARM_t2CPS3p	= 2368,
-    ARM_t2CRC32B	= 2369,
-    ARM_t2CRC32CB	= 2370,
-    ARM_t2CRC32CH	= 2371,
-    ARM_t2CRC32CW	= 2372,
-    ARM_t2CRC32H	= 2373,
-    ARM_t2CRC32W	= 2374,
-    ARM_t2DBG	= 2375,
-    ARM_t2DCPS1	= 2376,
-    ARM_t2DCPS2	= 2377,
-    ARM_t2DCPS3	= 2378,
-    ARM_t2DMB	= 2379,
-    ARM_t2DSB	= 2380,
-    ARM_t2EORri	= 2381,
-    ARM_t2EORrr	= 2382,
-    ARM_t2EORrs	= 2383,
-    ARM_t2HINT	= 2384,
-    ARM_t2ISB	= 2385,
-    ARM_t2IT	= 2386,
-    ARM_t2Int_eh_sjlj_setjmp	= 2387,
-    ARM_t2Int_eh_sjlj_setjmp_nofp	= 2388,
-    ARM_t2LDA	= 2389,
-    ARM_t2LDAB	= 2390,
-    ARM_t2LDAEX	= 2391,
-    ARM_t2LDAEXB	= 2392,
-    ARM_t2LDAEXD	= 2393,
-    ARM_t2LDAEXH	= 2394,
-    ARM_t2LDAH	= 2395,
-    ARM_t2LDC2L_OFFSET	= 2396,
-    ARM_t2LDC2L_OPTION	= 2397,
-    ARM_t2LDC2L_POST	= 2398,
-    ARM_t2LDC2L_PRE	= 2399,
-    ARM_t2LDC2_OFFSET	= 2400,
-    ARM_t2LDC2_OPTION	= 2401,
-    ARM_t2LDC2_POST	= 2402,
-    ARM_t2LDC2_PRE	= 2403,
-    ARM_t2LDCL_OFFSET	= 2404,
-    ARM_t2LDCL_OPTION	= 2405,
-    ARM_t2LDCL_POST	= 2406,
-    ARM_t2LDCL_PRE	= 2407,
-    ARM_t2LDC_OFFSET	= 2408,
-    ARM_t2LDC_OPTION	= 2409,
-    ARM_t2LDC_POST	= 2410,
-    ARM_t2LDC_PRE	= 2411,
-    ARM_t2LDMDB	= 2412,
-    ARM_t2LDMDB_UPD	= 2413,
-    ARM_t2LDMIA	= 2414,
-    ARM_t2LDMIA_RET	= 2415,
-    ARM_t2LDMIA_UPD	= 2416,
-    ARM_t2LDRBT	= 2417,
-    ARM_t2LDRB_POST	= 2418,
-    ARM_t2LDRB_PRE	= 2419,
-    ARM_t2LDRBi12	= 2420,
-    ARM_t2LDRBi8	= 2421,
-    ARM_t2LDRBpci	= 2422,
-    ARM_t2LDRBpcrel	= 2423,
-    ARM_t2LDRBs	= 2424,
-    ARM_t2LDRD_POST	= 2425,
-    ARM_t2LDRD_PRE	= 2426,
-    ARM_t2LDRDi8	= 2427,
-    ARM_t2LDREX	= 2428,
-    ARM_t2LDREXB	= 2429,
-    ARM_t2LDREXD	= 2430,
-    ARM_t2LDREXH	= 2431,
-    ARM_t2LDRHT	= 2432,
-    ARM_t2LDRH_POST	= 2433,
-    ARM_t2LDRH_PRE	= 2434,
-    ARM_t2LDRHi12	= 2435,
-    ARM_t2LDRHi8	= 2436,
-    ARM_t2LDRHpci	= 2437,
-    ARM_t2LDRHpcrel	= 2438,
-    ARM_t2LDRHs	= 2439,
-    ARM_t2LDRSBT	= 2440,
-    ARM_t2LDRSB_POST	= 2441,
-    ARM_t2LDRSB_PRE	= 2442,
-    ARM_t2LDRSBi12	= 2443,
-    ARM_t2LDRSBi8	= 2444,
-    ARM_t2LDRSBpci	= 2445,
-    ARM_t2LDRSBpcrel	= 2446,
-    ARM_t2LDRSBs	= 2447,
-    ARM_t2LDRSHT	= 2448,
-    ARM_t2LDRSH_POST	= 2449,
-    ARM_t2LDRSH_PRE	= 2450,
-    ARM_t2LDRSHi12	= 2451,
-    ARM_t2LDRSHi8	= 2452,
-    ARM_t2LDRSHpci	= 2453,
-    ARM_t2LDRSHpcrel	= 2454,
-    ARM_t2LDRSHs	= 2455,
-    ARM_t2LDRT	= 2456,
-    ARM_t2LDR_POST	= 2457,
-    ARM_t2LDR_PRE	= 2458,
-    ARM_t2LDRi12	= 2459,
-    ARM_t2LDRi8	= 2460,
-    ARM_t2LDRpci	= 2461,
-    ARM_t2LDRpci_pic	= 2462,
-    ARM_t2LDRpcrel	= 2463,
-    ARM_t2LDRs	= 2464,
-    ARM_t2LEApcrel	= 2465,
-    ARM_t2LEApcrelJT	= 2466,
-    ARM_t2LSLri	= 2467,
-    ARM_t2LSLrr	= 2468,
-    ARM_t2LSRri	= 2469,
-    ARM_t2LSRrr	= 2470,
-    ARM_t2MCR	= 2471,
-    ARM_t2MCR2	= 2472,
-    ARM_t2MCRR	= 2473,
-    ARM_t2MCRR2	= 2474,
-    ARM_t2MLA	= 2475,
-    ARM_t2MLS	= 2476,
-    ARM_t2MOVCCasr	= 2477,
-    ARM_t2MOVCCi	= 2478,
-    ARM_t2MOVCCi16	= 2479,
-    ARM_t2MOVCCi32imm	= 2480,
-    ARM_t2MOVCClsl	= 2481,
-    ARM_t2MOVCClsr	= 2482,
-    ARM_t2MOVCCr	= 2483,
-    ARM_t2MOVCCror	= 2484,
-    ARM_t2MOVSsi	= 2485,
-    ARM_t2MOVSsr	= 2486,
-    ARM_t2MOVTi16	= 2487,
-    ARM_t2MOVTi16_ga_pcrel	= 2488,
-    ARM_t2MOV_ga_pcrel	= 2489,
-    ARM_t2MOVi	= 2490,
-    ARM_t2MOVi16	= 2491,
-    ARM_t2MOVi16_ga_pcrel	= 2492,
-    ARM_t2MOVi32imm	= 2493,
-    ARM_t2MOVr	= 2494,
-    ARM_t2MOVsi	= 2495,
-    ARM_t2MOVsr	= 2496,
-    ARM_t2MOVsra_flag	= 2497,
-    ARM_t2MOVsrl_flag	= 2498,
-    ARM_t2MRC	= 2499,
-    ARM_t2MRC2	= 2500,
-    ARM_t2MRRC	= 2501,
-    ARM_t2MRRC2	= 2502,
-    ARM_t2MRS_AR	= 2503,
-    ARM_t2MRS_M	= 2504,
-    ARM_t2MRSsys_AR	= 2505,
-    ARM_t2MSR_AR	= 2506,
-    ARM_t2MSR_M	= 2507,
-    ARM_t2MUL	= 2508,
-    ARM_t2MVNCCi	= 2509,
-    ARM_t2MVNi	= 2510,
-    ARM_t2MVNr	= 2511,
-    ARM_t2MVNs	= 2512,
-    ARM_t2ORNri	= 2513,
-    ARM_t2ORNrr	= 2514,
-    ARM_t2ORNrs	= 2515,
-    ARM_t2ORRri	= 2516,
-    ARM_t2ORRrr	= 2517,
-    ARM_t2ORRrs	= 2518,
-    ARM_t2PKHBT	= 2519,
-    ARM_t2PKHTB	= 2520,
-    ARM_t2PLDWi12	= 2521,
-    ARM_t2PLDWi8	= 2522,
-    ARM_t2PLDWs	= 2523,
-    ARM_t2PLDi12	= 2524,
-    ARM_t2PLDi8	= 2525,
-    ARM_t2PLDpci	= 2526,
-    ARM_t2PLDs	= 2527,
-    ARM_t2PLIi12	= 2528,
-    ARM_t2PLIi8	= 2529,
-    ARM_t2PLIpci	= 2530,
-    ARM_t2PLIs	= 2531,
-    ARM_t2QADD	= 2532,
-    ARM_t2QADD16	= 2533,
-    ARM_t2QADD8	= 2534,
-    ARM_t2QASX	= 2535,
-    ARM_t2QDADD	= 2536,
-    ARM_t2QDSUB	= 2537,
-    ARM_t2QSAX	= 2538,
-    ARM_t2QSUB	= 2539,
-    ARM_t2QSUB16	= 2540,
-    ARM_t2QSUB8	= 2541,
-    ARM_t2RBIT	= 2542,
-    ARM_t2REV	= 2543,
-    ARM_t2REV16	= 2544,
-    ARM_t2REVSH	= 2545,
-    ARM_t2RFEDB	= 2546,
-    ARM_t2RFEDBW	= 2547,
-    ARM_t2RFEIA	= 2548,
-    ARM_t2RFEIAW	= 2549,
-    ARM_t2RORri	= 2550,
-    ARM_t2RORrr	= 2551,
-    ARM_t2RRX	= 2552,
-    ARM_t2RSBSri	= 2553,
-    ARM_t2RSBSrs	= 2554,
-    ARM_t2RSBri	= 2555,
-    ARM_t2RSBrr	= 2556,
-    ARM_t2RSBrs	= 2557,
-    ARM_t2SADD16	= 2558,
-    ARM_t2SADD8	= 2559,
-    ARM_t2SASX	= 2560,
-    ARM_t2SBCri	= 2561,
-    ARM_t2SBCrr	= 2562,
-    ARM_t2SBCrs	= 2563,
-    ARM_t2SBFX	= 2564,
-    ARM_t2SDIV	= 2565,
-    ARM_t2SEL	= 2566,
-    ARM_t2SHADD16	= 2567,
-    ARM_t2SHADD8	= 2568,
-    ARM_t2SHASX	= 2569,
-    ARM_t2SHSAX	= 2570,
-    ARM_t2SHSUB16	= 2571,
-    ARM_t2SHSUB8	= 2572,
-    ARM_t2SMC	= 2573,
-    ARM_t2SMLABB	= 2574,
-    ARM_t2SMLABT	= 2575,
-    ARM_t2SMLAD	= 2576,
-    ARM_t2SMLADX	= 2577,
-    ARM_t2SMLAL	= 2578,
-    ARM_t2SMLALBB	= 2579,
-    ARM_t2SMLALBT	= 2580,
-    ARM_t2SMLALD	= 2581,
-    ARM_t2SMLALDX	= 2582,
-    ARM_t2SMLALTB	= 2583,
-    ARM_t2SMLALTT	= 2584,
-    ARM_t2SMLATB	= 2585,
-    ARM_t2SMLATT	= 2586,
-    ARM_t2SMLAWB	= 2587,
-    ARM_t2SMLAWT	= 2588,
-    ARM_t2SMLSD	= 2589,
-    ARM_t2SMLSDX	= 2590,
-    ARM_t2SMLSLD	= 2591,
-    ARM_t2SMLSLDX	= 2592,
-    ARM_t2SMMLA	= 2593,
-    ARM_t2SMMLAR	= 2594,
-    ARM_t2SMMLS	= 2595,
-    ARM_t2SMMLSR	= 2596,
-    ARM_t2SMMUL	= 2597,
-    ARM_t2SMMULR	= 2598,
-    ARM_t2SMUAD	= 2599,
-    ARM_t2SMUADX	= 2600,
-    ARM_t2SMULBB	= 2601,
-    ARM_t2SMULBT	= 2602,
-    ARM_t2SMULL	= 2603,
-    ARM_t2SMULTB	= 2604,
-    ARM_t2SMULTT	= 2605,
-    ARM_t2SMULWB	= 2606,
-    ARM_t2SMULWT	= 2607,
-    ARM_t2SMUSD	= 2608,
-    ARM_t2SMUSDX	= 2609,
-    ARM_t2SRSDB	= 2610,
-    ARM_t2SRSDB_UPD	= 2611,
-    ARM_t2SRSIA	= 2612,
-    ARM_t2SRSIA_UPD	= 2613,
-    ARM_t2SSAT	= 2614,
-    ARM_t2SSAT16	= 2615,
-    ARM_t2SSAX	= 2616,
-    ARM_t2SSUB16	= 2617,
-    ARM_t2SSUB8	= 2618,
-    ARM_t2STC2L_OFFSET	= 2619,
-    ARM_t2STC2L_OPTION	= 2620,
-    ARM_t2STC2L_POST	= 2621,
-    ARM_t2STC2L_PRE	= 2622,
-    ARM_t2STC2_OFFSET	= 2623,
-    ARM_t2STC2_OPTION	= 2624,
-    ARM_t2STC2_POST	= 2625,
-    ARM_t2STC2_PRE	= 2626,
-    ARM_t2STCL_OFFSET	= 2627,
-    ARM_t2STCL_OPTION	= 2628,
-    ARM_t2STCL_POST	= 2629,
-    ARM_t2STCL_PRE	= 2630,
-    ARM_t2STC_OFFSET	= 2631,
-    ARM_t2STC_OPTION	= 2632,
-    ARM_t2STC_POST	= 2633,
-    ARM_t2STC_PRE	= 2634,
-    ARM_t2STL	= 2635,
-    ARM_t2STLB	= 2636,
-    ARM_t2STLEX	= 2637,
-    ARM_t2STLEXB	= 2638,
-    ARM_t2STLEXD	= 2639,
-    ARM_t2STLEXH	= 2640,
-    ARM_t2STLH	= 2641,
-    ARM_t2STMDB	= 2642,
-    ARM_t2STMDB_UPD	= 2643,
-    ARM_t2STMIA	= 2644,
-    ARM_t2STMIA_UPD	= 2645,
-    ARM_t2STRBT	= 2646,
-    ARM_t2STRB_POST	= 2647,
-    ARM_t2STRB_PRE	= 2648,
-    ARM_t2STRB_preidx	= 2649,
-    ARM_t2STRBi12	= 2650,
-    ARM_t2STRBi8	= 2651,
-    ARM_t2STRBs	= 2652,
-    ARM_t2STRD_POST	= 2653,
-    ARM_t2STRD_PRE	= 2654,
-    ARM_t2STRDi8	= 2655,
-    ARM_t2STREX	= 2656,
-    ARM_t2STREXB	= 2657,
-    ARM_t2STREXD	= 2658,
-    ARM_t2STREXH	= 2659,
-    ARM_t2STRHT	= 2660,
-    ARM_t2STRH_POST	= 2661,
-    ARM_t2STRH_PRE	= 2662,
-    ARM_t2STRH_preidx	= 2663,
-    ARM_t2STRHi12	= 2664,
-    ARM_t2STRHi8	= 2665,
-    ARM_t2STRHs	= 2666,
-    ARM_t2STRT	= 2667,
-    ARM_t2STR_POST	= 2668,
-    ARM_t2STR_PRE	= 2669,
-    ARM_t2STR_preidx	= 2670,
-    ARM_t2STRi12	= 2671,
-    ARM_t2STRi8	= 2672,
-    ARM_t2STRs	= 2673,
-    ARM_t2SUBS_PC_LR	= 2674,
-    ARM_t2SUBSri	= 2675,
-    ARM_t2SUBSrr	= 2676,
-    ARM_t2SUBSrs	= 2677,
-    ARM_t2SUBri	= 2678,
-    ARM_t2SUBri12	= 2679,
-    ARM_t2SUBrr	= 2680,
-    ARM_t2SUBrs	= 2681,
-    ARM_t2SXTAB	= 2682,
-    ARM_t2SXTAB16	= 2683,
-    ARM_t2SXTAH	= 2684,
-    ARM_t2SXTB	= 2685,
-    ARM_t2SXTB16	= 2686,
-    ARM_t2SXTH	= 2687,
-    ARM_t2TBB	= 2688,
-    ARM_t2TBB_JT	= 2689,
-    ARM_t2TBH	= 2690,
-    ARM_t2TBH_JT	= 2691,
-    ARM_t2TEQri	= 2692,
-    ARM_t2TEQrr	= 2693,
-    ARM_t2TEQrs	= 2694,
-    ARM_t2TSTri	= 2695,
-    ARM_t2TSTrr	= 2696,
-    ARM_t2TSTrs	= 2697,
-    ARM_t2UADD16	= 2698,
-    ARM_t2UADD8	= 2699,
-    ARM_t2UASX	= 2700,
-    ARM_t2UBFX	= 2701,
-    ARM_t2UDIV	= 2702,
-    ARM_t2UHADD16	= 2703,
-    ARM_t2UHADD8	= 2704,
-    ARM_t2UHASX	= 2705,
-    ARM_t2UHSAX	= 2706,
-    ARM_t2UHSUB16	= 2707,
-    ARM_t2UHSUB8	= 2708,
-    ARM_t2UMAAL	= 2709,
-    ARM_t2UMLAL	= 2710,
-    ARM_t2UMULL	= 2711,
-    ARM_t2UQADD16	= 2712,
-    ARM_t2UQADD8	= 2713,
-    ARM_t2UQASX	= 2714,
-    ARM_t2UQSAX	= 2715,
-    ARM_t2UQSUB16	= 2716,
-    ARM_t2UQSUB8	= 2717,
-    ARM_t2USAD8	= 2718,
-    ARM_t2USADA8	= 2719,
-    ARM_t2USAT	= 2720,
-    ARM_t2USAT16	= 2721,
-    ARM_t2USAX	= 2722,
-    ARM_t2USUB16	= 2723,
-    ARM_t2USUB8	= 2724,
-    ARM_t2UXTAB	= 2725,
-    ARM_t2UXTAB16	= 2726,
-    ARM_t2UXTAH	= 2727,
-    ARM_t2UXTB	= 2728,
-    ARM_t2UXTB16	= 2729,
-    ARM_t2UXTH	= 2730,
-    ARM_tADC	= 2731,
-    ARM_tADDhirr	= 2732,
-    ARM_tADDi3	= 2733,
-    ARM_tADDi8	= 2734,
-    ARM_tADDrSP	= 2735,
-    ARM_tADDrSPi	= 2736,
-    ARM_tADDrr	= 2737,
-    ARM_tADDspi	= 2738,
-    ARM_tADDspr	= 2739,
-    ARM_tADJCALLSTACKDOWN	= 2740,
-    ARM_tADJCALLSTACKUP	= 2741,
-    ARM_tADR	= 2742,
-    ARM_tAND	= 2743,
-    ARM_tASRri	= 2744,
-    ARM_tASRrr	= 2745,
-    ARM_tB	= 2746,
-    ARM_tBIC	= 2747,
-    ARM_tBKPT	= 2748,
-    ARM_tBL	= 2749,
-    ARM_tBLXi	= 2750,
-    ARM_tBLXr	= 2751,
-    ARM_tBRIND	= 2752,
-    ARM_tBR_JTr	= 2753,
-    ARM_tBX	= 2754,
-    ARM_tBX_CALL	= 2755,
-    ARM_tBX_RET	= 2756,
-    ARM_tBX_RET_vararg	= 2757,
-    ARM_tBcc	= 2758,
-    ARM_tBfar	= 2759,
-    ARM_tCBNZ	= 2760,
-    ARM_tCBZ	= 2761,
-    ARM_tCMNz	= 2762,
-    ARM_tCMPhir	= 2763,
-    ARM_tCMPi8	= 2764,
-    ARM_tCMPr	= 2765,
-    ARM_tCPS	= 2766,
-    ARM_tEOR	= 2767,
-    ARM_tHINT	= 2768,
-    ARM_tHLT	= 2769,
-    ARM_tInt_eh_sjlj_longjmp	= 2770,
-    ARM_tInt_eh_sjlj_setjmp	= 2771,
-    ARM_tLDMIA	= 2772,
-    ARM_tLDMIA_UPD	= 2773,
-    ARM_tLDRBi	= 2774,
-    ARM_tLDRBr	= 2775,
-    ARM_tLDRHi	= 2776,
-    ARM_tLDRHr	= 2777,
-    ARM_tLDRLIT_ga_abs	= 2778,
-    ARM_tLDRLIT_ga_pcrel	= 2779,
-    ARM_tLDRSB	= 2780,
-    ARM_tLDRSH	= 2781,
-    ARM_tLDRi	= 2782,
-    ARM_tLDRpci	= 2783,
-    ARM_tLDRpci_pic	= 2784,
-    ARM_tLDRr	= 2785,
-    ARM_tLDRspi	= 2786,
-    ARM_tLEApcrel	= 2787,
-    ARM_tLEApcrelJT	= 2788,
-    ARM_tLSLri	= 2789,
-    ARM_tLSLrr	= 2790,
-    ARM_tLSRri	= 2791,
-    ARM_tLSRrr	= 2792,
-    ARM_tMOVCCr_pseudo	= 2793,
-    ARM_tMOVSr	= 2794,
-    ARM_tMOVi8	= 2795,
-    ARM_tMOVr	= 2796,
-    ARM_tMUL	= 2797,
-    ARM_tMVN	= 2798,
-    ARM_tORR	= 2799,
-    ARM_tPICADD	= 2800,
-    ARM_tPOP	= 2801,
-    ARM_tPOP_RET	= 2802,
-    ARM_tPUSH	= 2803,
-    ARM_tREV	= 2804,
-    ARM_tREV16	= 2805,
-    ARM_tREVSH	= 2806,
-    ARM_tROR	= 2807,
-    ARM_tRSB	= 2808,
-    ARM_tSBC	= 2809,
-    ARM_tSETEND	= 2810,
-    ARM_tSTMIA_UPD	= 2811,
-    ARM_tSTRBi	= 2812,
-    ARM_tSTRBr	= 2813,
-    ARM_tSTRHi	= 2814,
-    ARM_tSTRHr	= 2815,
-    ARM_tSTRi	= 2816,
-    ARM_tSTRr	= 2817,
-    ARM_tSTRspi	= 2818,
-    ARM_tSUBi3	= 2819,
-    ARM_tSUBi8	= 2820,
-    ARM_tSUBrr	= 2821,
-    ARM_tSUBspi	= 2822,
-    ARM_tSVC	= 2823,
-    ARM_tSXTB	= 2824,
-    ARM_tSXTH	= 2825,
-    ARM_tTAILJMPd	= 2826,
-    ARM_tTAILJMPdND	= 2827,
-    ARM_tTAILJMPr	= 2828,
-    ARM_tTPsoft	= 2829,
-    ARM_tTRAP	= 2830,
-    ARM_tTST	= 2831,
-    ARM_tUXTB	= 2832,
-    ARM_tUXTH	= 2833,
-    ARM_INSTRUCTION_LIST_END = 2834
+    ARM_LOAD_STACK_GUARD	= 19,
+    ARM_ABS	= 20,
+    ARM_ADCri	= 21,
+    ARM_ADCrr	= 22,
+    ARM_ADCrsi	= 23,
+    ARM_ADCrsr	= 24,
+    ARM_ADDSri	= 25,
+    ARM_ADDSrr	= 26,
+    ARM_ADDSrsi	= 27,
+    ARM_ADDSrsr	= 28,
+    ARM_ADDri	= 29,
+    ARM_ADDrr	= 30,
+    ARM_ADDrsi	= 31,
+    ARM_ADDrsr	= 32,
+    ARM_ADJCALLSTACKDOWN	= 33,
+    ARM_ADJCALLSTACKUP	= 34,
+    ARM_ADR	= 35,
+    ARM_AESD	= 36,
+    ARM_AESE	= 37,
+    ARM_AESIMC	= 38,
+    ARM_AESMC	= 39,
+    ARM_ANDri	= 40,
+    ARM_ANDrr	= 41,
+    ARM_ANDrsi	= 42,
+    ARM_ANDrsr	= 43,
+    ARM_ASRi	= 44,
+    ARM_ASRr	= 45,
+    ARM_B	= 46,
+    ARM_BCCZi64	= 47,
+    ARM_BCCi64	= 48,
+    ARM_BFC	= 49,
+    ARM_BFI	= 50,
+    ARM_BICri	= 51,
+    ARM_BICrr	= 52,
+    ARM_BICrsi	= 53,
+    ARM_BICrsr	= 54,
+    ARM_BKPT	= 55,
+    ARM_BL	= 56,
+    ARM_BLX	= 57,
+    ARM_BLX_pred	= 58,
+    ARM_BLXi	= 59,
+    ARM_BL_pred	= 60,
+    ARM_BMOVPCB_CALL	= 61,
+    ARM_BMOVPCRX_CALL	= 62,
+    ARM_BR_JTadd	= 63,
+    ARM_BR_JTm	= 64,
+    ARM_BR_JTr	= 65,
+    ARM_BX	= 66,
+    ARM_BXJ	= 67,
+    ARM_BX_CALL	= 68,
+    ARM_BX_RET	= 69,
+    ARM_BX_pred	= 70,
+    ARM_Bcc	= 71,
+    ARM_CDP	= 72,
+    ARM_CDP2	= 73,
+    ARM_CLREX	= 74,
+    ARM_CLZ	= 75,
+    ARM_CMNri	= 76,
+    ARM_CMNzrr	= 77,
+    ARM_CMNzrsi	= 78,
+    ARM_CMNzrsr	= 79,
+    ARM_CMPri	= 80,
+    ARM_CMPrr	= 81,
+    ARM_CMPrsi	= 82,
+    ARM_CMPrsr	= 83,
+    ARM_CONSTPOOL_ENTRY	= 84,
+    ARM_COPY_STRUCT_BYVAL_I32	= 85,
+    ARM_CPS1p	= 86,
+    ARM_CPS2p	= 87,
+    ARM_CPS3p	= 88,
+    ARM_CRC32B	= 89,
+    ARM_CRC32CB	= 90,
+    ARM_CRC32CH	= 91,
+    ARM_CRC32CW	= 92,
+    ARM_CRC32H	= 93,
+    ARM_CRC32W	= 94,
+    ARM_DBG	= 95,
+    ARM_DMB	= 96,
+    ARM_DSB	= 97,
+    ARM_EORri	= 98,
+    ARM_EORrr	= 99,
+    ARM_EORrsi	= 100,
+    ARM_EORrsr	= 101,
+    ARM_FCONSTD	= 102,
+    ARM_FCONSTS	= 103,
+    ARM_FLDMXDB_UPD	= 104,
+    ARM_FLDMXIA	= 105,
+    ARM_FLDMXIA_UPD	= 106,
+    ARM_FMSTAT	= 107,
+    ARM_FSTMXDB_UPD	= 108,
+    ARM_FSTMXIA	= 109,
+    ARM_FSTMXIA_UPD	= 110,
+    ARM_HINT	= 111,
+    ARM_HLT	= 112,
+    ARM_ISB	= 113,
+    ARM_ITasm	= 114,
+    ARM_Int_eh_sjlj_dispatchsetup	= 115,
+    ARM_Int_eh_sjlj_longjmp	= 116,
+    ARM_Int_eh_sjlj_setjmp	= 117,
+    ARM_Int_eh_sjlj_setjmp_nofp	= 118,
+    ARM_LDA	= 119,
+    ARM_LDAB	= 120,
+    ARM_LDAEX	= 121,
+    ARM_LDAEXB	= 122,
+    ARM_LDAEXD	= 123,
+    ARM_LDAEXH	= 124,
+    ARM_LDAH	= 125,
+    ARM_LDC2L_OFFSET	= 126,
+    ARM_LDC2L_OPTION	= 127,
+    ARM_LDC2L_POST	= 128,
+    ARM_LDC2L_PRE	= 129,
+    ARM_LDC2_OFFSET	= 130,
+    ARM_LDC2_OPTION	= 131,
+    ARM_LDC2_POST	= 132,
+    ARM_LDC2_PRE	= 133,
+    ARM_LDCL_OFFSET	= 134,
+    ARM_LDCL_OPTION	= 135,
+    ARM_LDCL_POST	= 136,
+    ARM_LDCL_PRE	= 137,
+    ARM_LDC_OFFSET	= 138,
+    ARM_LDC_OPTION	= 139,
+    ARM_LDC_POST	= 140,
+    ARM_LDC_PRE	= 141,
+    ARM_LDMDA	= 142,
+    ARM_LDMDA_UPD	= 143,
+    ARM_LDMDB	= 144,
+    ARM_LDMDB_UPD	= 145,
+    ARM_LDMIA	= 146,
+    ARM_LDMIA_RET	= 147,
+    ARM_LDMIA_UPD	= 148,
+    ARM_LDMIB	= 149,
+    ARM_LDMIB_UPD	= 150,
+    ARM_LDRBT_POST	= 151,
+    ARM_LDRBT_POST_IMM	= 152,
+    ARM_LDRBT_POST_REG	= 153,
+    ARM_LDRB_POST_IMM	= 154,
+    ARM_LDRB_POST_REG	= 155,
+    ARM_LDRB_PRE_IMM	= 156,
+    ARM_LDRB_PRE_REG	= 157,
+    ARM_LDRBi12	= 158,
+    ARM_LDRBrs	= 159,
+    ARM_LDRD	= 160,
+    ARM_LDRD_POST	= 161,
+    ARM_LDRD_PRE	= 162,
+    ARM_LDREX	= 163,
+    ARM_LDREXB	= 164,
+    ARM_LDREXD	= 165,
+    ARM_LDREXH	= 166,
+    ARM_LDRH	= 167,
+    ARM_LDRHTi	= 168,
+    ARM_LDRHTr	= 169,
+    ARM_LDRH_POST	= 170,
+    ARM_LDRH_PRE	= 171,
+    ARM_LDRLIT_ga_abs	= 172,
+    ARM_LDRLIT_ga_pcrel	= 173,
+    ARM_LDRLIT_ga_pcrel_ldr	= 174,
+    ARM_LDRSB	= 175,
+    ARM_LDRSBTi	= 176,
+    ARM_LDRSBTr	= 177,
+    ARM_LDRSB_POST	= 178,
+    ARM_LDRSB_PRE	= 179,
+    ARM_LDRSH	= 180,
+    ARM_LDRSHTi	= 181,
+    ARM_LDRSHTr	= 182,
+    ARM_LDRSH_POST	= 183,
+    ARM_LDRSH_PRE	= 184,
+    ARM_LDRT_POST	= 185,
+    ARM_LDRT_POST_IMM	= 186,
+    ARM_LDRT_POST_REG	= 187,
+    ARM_LDR_POST_IMM	= 188,
+    ARM_LDR_POST_REG	= 189,
+    ARM_LDR_PRE_IMM	= 190,
+    ARM_LDR_PRE_REG	= 191,
+    ARM_LDRcp	= 192,
+    ARM_LDRi12	= 193,
+    ARM_LDRrs	= 194,
+    ARM_LEApcrel	= 195,
+    ARM_LEApcrelJT	= 196,
+    ARM_LSLi	= 197,
+    ARM_LSLr	= 198,
+    ARM_LSRi	= 199,
+    ARM_LSRr	= 200,
+    ARM_MCR	= 201,
+    ARM_MCR2	= 202,
+    ARM_MCRR	= 203,
+    ARM_MCRR2	= 204,
+    ARM_MLA	= 205,
+    ARM_MLAv5	= 206,
+    ARM_MLS	= 207,
+    ARM_MOVCCi	= 208,
+    ARM_MOVCCi16	= 209,
+    ARM_MOVCCi32imm	= 210,
+    ARM_MOVCCr	= 211,
+    ARM_MOVCCsi	= 212,
+    ARM_MOVCCsr	= 213,
+    ARM_MOVPCLR	= 214,
+    ARM_MOVPCRX	= 215,
+    ARM_MOVTi16	= 216,
+    ARM_MOVTi16_ga_pcrel	= 217,
+    ARM_MOV_ga_pcrel	= 218,
+    ARM_MOV_ga_pcrel_ldr	= 219,
+    ARM_MOVi	= 220,
+    ARM_MOVi16	= 221,
+    ARM_MOVi16_ga_pcrel	= 222,
+    ARM_MOVi32imm	= 223,
+    ARM_MOVr	= 224,
+    ARM_MOVr_TC	= 225,
+    ARM_MOVsi	= 226,
+    ARM_MOVsr	= 227,
+    ARM_MOVsra_flag	= 228,
+    ARM_MOVsrl_flag	= 229,
+    ARM_MRC	= 230,
+    ARM_MRC2	= 231,
+    ARM_MRRC	= 232,
+    ARM_MRRC2	= 233,
+    ARM_MRS	= 234,
+    ARM_MRSsys	= 235,
+    ARM_MSR	= 236,
+    ARM_MSRi	= 237,
+    ARM_MUL	= 238,
+    ARM_MULv5	= 239,
+    ARM_MVNCCi	= 240,
+    ARM_MVNi	= 241,
+    ARM_MVNr	= 242,
+    ARM_MVNsi	= 243,
+    ARM_MVNsr	= 244,
+    ARM_ORRri	= 245,
+    ARM_ORRrr	= 246,
+    ARM_ORRrsi	= 247,
+    ARM_ORRrsr	= 248,
+    ARM_PICADD	= 249,
+    ARM_PICLDR	= 250,
+    ARM_PICLDRB	= 251,
+    ARM_PICLDRH	= 252,
+    ARM_PICLDRSB	= 253,
+    ARM_PICLDRSH	= 254,
+    ARM_PICSTR	= 255,
+    ARM_PICSTRB	= 256,
+    ARM_PICSTRH	= 257,
+    ARM_PKHBT	= 258,
+    ARM_PKHTB	= 259,
+    ARM_PLDWi12	= 260,
+    ARM_PLDWrs	= 261,
+    ARM_PLDi12	= 262,
+    ARM_PLDrs	= 263,
+    ARM_PLIi12	= 264,
+    ARM_PLIrs	= 265,
+    ARM_QADD	= 266,
+    ARM_QADD16	= 267,
+    ARM_QADD8	= 268,
+    ARM_QASX	= 269,
+    ARM_QDADD	= 270,
+    ARM_QDSUB	= 271,
+    ARM_QSAX	= 272,
+    ARM_QSUB	= 273,
+    ARM_QSUB16	= 274,
+    ARM_QSUB8	= 275,
+    ARM_RBIT	= 276,
+    ARM_REV	= 277,
+    ARM_REV16	= 278,
+    ARM_REVSH	= 279,
+    ARM_RFEDA	= 280,
+    ARM_RFEDA_UPD	= 281,
+    ARM_RFEDB	= 282,
+    ARM_RFEDB_UPD	= 283,
+    ARM_RFEIA	= 284,
+    ARM_RFEIA_UPD	= 285,
+    ARM_RFEIB	= 286,
+    ARM_RFEIB_UPD	= 287,
+    ARM_RORi	= 288,
+    ARM_RORr	= 289,
+    ARM_RRX	= 290,
+    ARM_RRXi	= 291,
+    ARM_RSBSri	= 292,
+    ARM_RSBSrsi	= 293,
+    ARM_RSBSrsr	= 294,
+    ARM_RSBri	= 295,
+    ARM_RSBrr	= 296,
+    ARM_RSBrsi	= 297,
+    ARM_RSBrsr	= 298,
+    ARM_RSCri	= 299,
+    ARM_RSCrr	= 300,
+    ARM_RSCrsi	= 301,
+    ARM_RSCrsr	= 302,
+    ARM_SADD16	= 303,
+    ARM_SADD8	= 304,
+    ARM_SASX	= 305,
+    ARM_SBCri	= 306,
+    ARM_SBCrr	= 307,
+    ARM_SBCrsi	= 308,
+    ARM_SBCrsr	= 309,
+    ARM_SBFX	= 310,
+    ARM_SDIV	= 311,
+    ARM_SEL	= 312,
+    ARM_SETEND	= 313,
+    ARM_SHA1C	= 314,
+    ARM_SHA1H	= 315,
+    ARM_SHA1M	= 316,
+    ARM_SHA1P	= 317,
+    ARM_SHA1SU0	= 318,
+    ARM_SHA1SU1	= 319,
+    ARM_SHA256H	= 320,
+    ARM_SHA256H2	= 321,
+    ARM_SHA256SU0	= 322,
+    ARM_SHA256SU1	= 323,
+    ARM_SHADD16	= 324,
+    ARM_SHADD8	= 325,
+    ARM_SHASX	= 326,
+    ARM_SHSAX	= 327,
+    ARM_SHSUB16	= 328,
+    ARM_SHSUB8	= 329,
+    ARM_SMC	= 330,
+    ARM_SMLABB	= 331,
+    ARM_SMLABT	= 332,
+    ARM_SMLAD	= 333,
+    ARM_SMLADX	= 334,
+    ARM_SMLAL	= 335,
+    ARM_SMLALBB	= 336,
+    ARM_SMLALBT	= 337,
+    ARM_SMLALD	= 338,
+    ARM_SMLALDX	= 339,
+    ARM_SMLALTB	= 340,
+    ARM_SMLALTT	= 341,
+    ARM_SMLALv5	= 342,
+    ARM_SMLATB	= 343,
+    ARM_SMLATT	= 344,
+    ARM_SMLAWB	= 345,
+    ARM_SMLAWT	= 346,
+    ARM_SMLSD	= 347,
+    ARM_SMLSDX	= 348,
+    ARM_SMLSLD	= 349,
+    ARM_SMLSLDX	= 350,
+    ARM_SMMLA	= 351,
+    ARM_SMMLAR	= 352,
+    ARM_SMMLS	= 353,
+    ARM_SMMLSR	= 354,
+    ARM_SMMUL	= 355,
+    ARM_SMMULR	= 356,
+    ARM_SMUAD	= 357,
+    ARM_SMUADX	= 358,
+    ARM_SMULBB	= 359,
+    ARM_SMULBT	= 360,
+    ARM_SMULL	= 361,
+    ARM_SMULLv5	= 362,
+    ARM_SMULTB	= 363,
+    ARM_SMULTT	= 364,
+    ARM_SMULWB	= 365,
+    ARM_SMULWT	= 366,
+    ARM_SMUSD	= 367,
+    ARM_SMUSDX	= 368,
+    ARM_SRSDA	= 369,
+    ARM_SRSDA_UPD	= 370,
+    ARM_SRSDB	= 371,
+    ARM_SRSDB_UPD	= 372,
+    ARM_SRSIA	= 373,
+    ARM_SRSIA_UPD	= 374,
+    ARM_SRSIB	= 375,
+    ARM_SRSIB_UPD	= 376,
+    ARM_SSAT	= 377,
+    ARM_SSAT16	= 378,
+    ARM_SSAX	= 379,
+    ARM_SSUB16	= 380,
+    ARM_SSUB8	= 381,
+    ARM_STC2L_OFFSET	= 382,
+    ARM_STC2L_OPTION	= 383,
+    ARM_STC2L_POST	= 384,
+    ARM_STC2L_PRE	= 385,
+    ARM_STC2_OFFSET	= 386,
+    ARM_STC2_OPTION	= 387,
+    ARM_STC2_POST	= 388,
+    ARM_STC2_PRE	= 389,
+    ARM_STCL_OFFSET	= 390,
+    ARM_STCL_OPTION	= 391,
+    ARM_STCL_POST	= 392,
+    ARM_STCL_PRE	= 393,
+    ARM_STC_OFFSET	= 394,
+    ARM_STC_OPTION	= 395,
+    ARM_STC_POST	= 396,
+    ARM_STC_PRE	= 397,
+    ARM_STL	= 398,
+    ARM_STLB	= 399,
+    ARM_STLEX	= 400,
+    ARM_STLEXB	= 401,
+    ARM_STLEXD	= 402,
+    ARM_STLEXH	= 403,
+    ARM_STLH	= 404,
+    ARM_STMDA	= 405,
+    ARM_STMDA_UPD	= 406,
+    ARM_STMDB	= 407,
+    ARM_STMDB_UPD	= 408,
+    ARM_STMIA	= 409,
+    ARM_STMIA_UPD	= 410,
+    ARM_STMIB	= 411,
+    ARM_STMIB_UPD	= 412,
+    ARM_STRBT_POST	= 413,
+    ARM_STRBT_POST_IMM	= 414,
+    ARM_STRBT_POST_REG	= 415,
+    ARM_STRB_POST_IMM	= 416,
+    ARM_STRB_POST_REG	= 417,
+    ARM_STRB_PRE_IMM	= 418,
+    ARM_STRB_PRE_REG	= 419,
+    ARM_STRBi12	= 420,
+    ARM_STRBi_preidx	= 421,
+    ARM_STRBr_preidx	= 422,
+    ARM_STRBrs	= 423,
+    ARM_STRD	= 424,
+    ARM_STRD_POST	= 425,
+    ARM_STRD_PRE	= 426,
+    ARM_STREX	= 427,
+    ARM_STREXB	= 428,
+    ARM_STREXD	= 429,
+    ARM_STREXH	= 430,
+    ARM_STRH	= 431,
+    ARM_STRHTi	= 432,
+    ARM_STRHTr	= 433,
+    ARM_STRH_POST	= 434,
+    ARM_STRH_PRE	= 435,
+    ARM_STRH_preidx	= 436,
+    ARM_STRT_POST	= 437,
+    ARM_STRT_POST_IMM	= 438,
+    ARM_STRT_POST_REG	= 439,
+    ARM_STR_POST_IMM	= 440,
+    ARM_STR_POST_REG	= 441,
+    ARM_STR_PRE_IMM	= 442,
+    ARM_STR_PRE_REG	= 443,
+    ARM_STRi12	= 444,
+    ARM_STRi_preidx	= 445,
+    ARM_STRr_preidx	= 446,
+    ARM_STRrs	= 447,
+    ARM_SUBS_PC_LR	= 448,
+    ARM_SUBSri	= 449,
+    ARM_SUBSrr	= 450,
+    ARM_SUBSrsi	= 451,
+    ARM_SUBSrsr	= 452,
+    ARM_SUBri	= 453,
+    ARM_SUBrr	= 454,
+    ARM_SUBrsi	= 455,
+    ARM_SUBrsr	= 456,
+    ARM_SVC	= 457,
+    ARM_SWP	= 458,
+    ARM_SWPB	= 459,
+    ARM_SXTAB	= 460,
+    ARM_SXTAB16	= 461,
+    ARM_SXTAH	= 462,
+    ARM_SXTB	= 463,
+    ARM_SXTB16	= 464,
+    ARM_SXTH	= 465,
+    ARM_TAILJMPd	= 466,
+    ARM_TAILJMPr	= 467,
+    ARM_TCRETURNdi	= 468,
+    ARM_TCRETURNri	= 469,
+    ARM_TEQri	= 470,
+    ARM_TEQrr	= 471,
+    ARM_TEQrsi	= 472,
+    ARM_TEQrsr	= 473,
+    ARM_TPsoft	= 474,
+    ARM_TRAP	= 475,
+    ARM_TRAPNaCl	= 476,
+    ARM_TSTri	= 477,
+    ARM_TSTrr	= 478,
+    ARM_TSTrsi	= 479,
+    ARM_TSTrsr	= 480,
+    ARM_UADD16	= 481,
+    ARM_UADD8	= 482,
+    ARM_UASX	= 483,
+    ARM_UBFX	= 484,
+    ARM_UDF	= 485,
+    ARM_UDIV	= 486,
+    ARM_UHADD16	= 487,
+    ARM_UHADD8	= 488,
+    ARM_UHASX	= 489,
+    ARM_UHSAX	= 490,
+    ARM_UHSUB16	= 491,
+    ARM_UHSUB8	= 492,
+    ARM_UMAAL	= 493,
+    ARM_UMLAL	= 494,
+    ARM_UMLALv5	= 495,
+    ARM_UMULL	= 496,
+    ARM_UMULLv5	= 497,
+    ARM_UQADD16	= 498,
+    ARM_UQADD8	= 499,
+    ARM_UQASX	= 500,
+    ARM_UQSAX	= 501,
+    ARM_UQSUB16	= 502,
+    ARM_UQSUB8	= 503,
+    ARM_USAD8	= 504,
+    ARM_USADA8	= 505,
+    ARM_USAT	= 506,
+    ARM_USAT16	= 507,
+    ARM_USAX	= 508,
+    ARM_USUB16	= 509,
+    ARM_USUB8	= 510,
+    ARM_UXTAB	= 511,
+    ARM_UXTAB16	= 512,
+    ARM_UXTAH	= 513,
+    ARM_UXTB	= 514,
+    ARM_UXTB16	= 515,
+    ARM_UXTH	= 516,
+    ARM_VABALsv2i64	= 517,
+    ARM_VABALsv4i32	= 518,
+    ARM_VABALsv8i16	= 519,
+    ARM_VABALuv2i64	= 520,
+    ARM_VABALuv4i32	= 521,
+    ARM_VABALuv8i16	= 522,
+    ARM_VABAsv16i8	= 523,
+    ARM_VABAsv2i32	= 524,
+    ARM_VABAsv4i16	= 525,
+    ARM_VABAsv4i32	= 526,
+    ARM_VABAsv8i16	= 527,
+    ARM_VABAsv8i8	= 528,
+    ARM_VABAuv16i8	= 529,
+    ARM_VABAuv2i32	= 530,
+    ARM_VABAuv4i16	= 531,
+    ARM_VABAuv4i32	= 532,
+    ARM_VABAuv8i16	= 533,
+    ARM_VABAuv8i8	= 534,
+    ARM_VABDLsv2i64	= 535,
+    ARM_VABDLsv4i32	= 536,
+    ARM_VABDLsv8i16	= 537,
+    ARM_VABDLuv2i64	= 538,
+    ARM_VABDLuv4i32	= 539,
+    ARM_VABDLuv8i16	= 540,
+    ARM_VABDfd	= 541,
+    ARM_VABDfq	= 542,
+    ARM_VABDsv16i8	= 543,
+    ARM_VABDsv2i32	= 544,
+    ARM_VABDsv4i16	= 545,
+    ARM_VABDsv4i32	= 546,
+    ARM_VABDsv8i16	= 547,
+    ARM_VABDsv8i8	= 548,
+    ARM_VABDuv16i8	= 549,
+    ARM_VABDuv2i32	= 550,
+    ARM_VABDuv4i16	= 551,
+    ARM_VABDuv4i32	= 552,
+    ARM_VABDuv8i16	= 553,
+    ARM_VABDuv8i8	= 554,
+    ARM_VABSD	= 555,
+    ARM_VABSS	= 556,
+    ARM_VABSfd	= 557,
+    ARM_VABSfq	= 558,
+    ARM_VABSv16i8	= 559,
+    ARM_VABSv2i32	= 560,
+    ARM_VABSv4i16	= 561,
+    ARM_VABSv4i32	= 562,
+    ARM_VABSv8i16	= 563,
+    ARM_VABSv8i8	= 564,
+    ARM_VACGEd	= 565,
+    ARM_VACGEq	= 566,
+    ARM_VACGTd	= 567,
+    ARM_VACGTq	= 568,
+    ARM_VADDD	= 569,
+    ARM_VADDHNv2i32	= 570,
+    ARM_VADDHNv4i16	= 571,
+    ARM_VADDHNv8i8	= 572,
+    ARM_VADDLsv2i64	= 573,
+    ARM_VADDLsv4i32	= 574,
+    ARM_VADDLsv8i16	= 575,
+    ARM_VADDLuv2i64	= 576,
+    ARM_VADDLuv4i32	= 577,
+    ARM_VADDLuv8i16	= 578,
+    ARM_VADDS	= 579,
+    ARM_VADDWsv2i64	= 580,
+    ARM_VADDWsv4i32	= 581,
+    ARM_VADDWsv8i16	= 582,
+    ARM_VADDWuv2i64	= 583,
+    ARM_VADDWuv4i32	= 584,
+    ARM_VADDWuv8i16	= 585,
+    ARM_VADDfd	= 586,
+    ARM_VADDfq	= 587,
+    ARM_VADDv16i8	= 588,
+    ARM_VADDv1i64	= 589,
+    ARM_VADDv2i32	= 590,
+    ARM_VADDv2i64	= 591,
+    ARM_VADDv4i16	= 592,
+    ARM_VADDv4i32	= 593,
+    ARM_VADDv8i16	= 594,
+    ARM_VADDv8i8	= 595,
+    ARM_VANDd	= 596,
+    ARM_VANDq	= 597,
+    ARM_VBICd	= 598,
+    ARM_VBICiv2i32	= 599,
+    ARM_VBICiv4i16	= 600,
+    ARM_VBICiv4i32	= 601,
+    ARM_VBICiv8i16	= 602,
+    ARM_VBICq	= 603,
+    ARM_VBIFd	= 604,
+    ARM_VBIFq	= 605,
+    ARM_VBITd	= 606,
+    ARM_VBITq	= 607,
+    ARM_VBSLd	= 608,
+    ARM_VBSLq	= 609,
+    ARM_VCEQfd	= 610,
+    ARM_VCEQfq	= 611,
+    ARM_VCEQv16i8	= 612,
+    ARM_VCEQv2i32	= 613,
+    ARM_VCEQv4i16	= 614,
+    ARM_VCEQv4i32	= 615,
+    ARM_VCEQv8i16	= 616,
+    ARM_VCEQv8i8	= 617,
+    ARM_VCEQzv16i8	= 618,
+    ARM_VCEQzv2f32	= 619,
+    ARM_VCEQzv2i32	= 620,
+    ARM_VCEQzv4f32	= 621,
+    ARM_VCEQzv4i16	= 622,
+    ARM_VCEQzv4i32	= 623,
+    ARM_VCEQzv8i16	= 624,
+    ARM_VCEQzv8i8	= 625,
+    ARM_VCGEfd	= 626,
+    ARM_VCGEfq	= 627,
+    ARM_VCGEsv16i8	= 628,
+    ARM_VCGEsv2i32	= 629,
+    ARM_VCGEsv4i16	= 630,
+    ARM_VCGEsv4i32	= 631,
+    ARM_VCGEsv8i16	= 632,
+    ARM_VCGEsv8i8	= 633,
+    ARM_VCGEuv16i8	= 634,
+    ARM_VCGEuv2i32	= 635,
+    ARM_VCGEuv4i16	= 636,
+    ARM_VCGEuv4i32	= 637,
+    ARM_VCGEuv8i16	= 638,
+    ARM_VCGEuv8i8	= 639,
+    ARM_VCGEzv16i8	= 640,
+    ARM_VCGEzv2f32	= 641,
+    ARM_VCGEzv2i32	= 642,
+    ARM_VCGEzv4f32	= 643,
+    ARM_VCGEzv4i16	= 644,
+    ARM_VCGEzv4i32	= 645,
+    ARM_VCGEzv8i16	= 646,
+    ARM_VCGEzv8i8	= 647,
+    ARM_VCGTfd	= 648,
+    ARM_VCGTfq	= 649,
+    ARM_VCGTsv16i8	= 650,
+    ARM_VCGTsv2i32	= 651,
+    ARM_VCGTsv4i16	= 652,
+    ARM_VCGTsv4i32	= 653,
+    ARM_VCGTsv8i16	= 654,
+    ARM_VCGTsv8i8	= 655,
+    ARM_VCGTuv16i8	= 656,
+    ARM_VCGTuv2i32	= 657,
+    ARM_VCGTuv4i16	= 658,
+    ARM_VCGTuv4i32	= 659,
+    ARM_VCGTuv8i16	= 660,
+    ARM_VCGTuv8i8	= 661,
+    ARM_VCGTzv16i8	= 662,
+    ARM_VCGTzv2f32	= 663,
+    ARM_VCGTzv2i32	= 664,
+    ARM_VCGTzv4f32	= 665,
+    ARM_VCGTzv4i16	= 666,
+    ARM_VCGTzv4i32	= 667,
+    ARM_VCGTzv8i16	= 668,
+    ARM_VCGTzv8i8	= 669,
+    ARM_VCLEzv16i8	= 670,
+    ARM_VCLEzv2f32	= 671,
+    ARM_VCLEzv2i32	= 672,
+    ARM_VCLEzv4f32	= 673,
+    ARM_VCLEzv4i16	= 674,
+    ARM_VCLEzv4i32	= 675,
+    ARM_VCLEzv8i16	= 676,
+    ARM_VCLEzv8i8	= 677,
+    ARM_VCLSv16i8	= 678,
+    ARM_VCLSv2i32	= 679,
+    ARM_VCLSv4i16	= 680,
+    ARM_VCLSv4i32	= 681,
+    ARM_VCLSv8i16	= 682,
+    ARM_VCLSv8i8	= 683,
+    ARM_VCLTzv16i8	= 684,
+    ARM_VCLTzv2f32	= 685,
+    ARM_VCLTzv2i32	= 686,
+    ARM_VCLTzv4f32	= 687,
+    ARM_VCLTzv4i16	= 688,
+    ARM_VCLTzv4i32	= 689,
+    ARM_VCLTzv8i16	= 690,
+    ARM_VCLTzv8i8	= 691,
+    ARM_VCLZv16i8	= 692,
+    ARM_VCLZv2i32	= 693,
+    ARM_VCLZv4i16	= 694,
+    ARM_VCLZv4i32	= 695,
+    ARM_VCLZv8i16	= 696,
+    ARM_VCLZv8i8	= 697,
+    ARM_VCMPD	= 698,
+    ARM_VCMPED	= 699,
+    ARM_VCMPES	= 700,
+    ARM_VCMPEZD	= 701,
+    ARM_VCMPEZS	= 702,
+    ARM_VCMPS	= 703,
+    ARM_VCMPZD	= 704,
+    ARM_VCMPZS	= 705,
+    ARM_VCNTd	= 706,
+    ARM_VCNTq	= 707,
+    ARM_VCVTANSD	= 708,
+    ARM_VCVTANSQ	= 709,
+    ARM_VCVTANUD	= 710,
+    ARM_VCVTANUQ	= 711,
+    ARM_VCVTASD	= 712,
+    ARM_VCVTASS	= 713,
+    ARM_VCVTAUD	= 714,
+    ARM_VCVTAUS	= 715,
+    ARM_VCVTBDH	= 716,
+    ARM_VCVTBHD	= 717,
+    ARM_VCVTBHS	= 718,
+    ARM_VCVTBSH	= 719,
+    ARM_VCVTDS	= 720,
+    ARM_VCVTMNSD	= 721,
+    ARM_VCVTMNSQ	= 722,
+    ARM_VCVTMNUD	= 723,
+    ARM_VCVTMNUQ	= 724,
+    ARM_VCVTMSD	= 725,
+    ARM_VCVTMSS	= 726,
+    ARM_VCVTMUD	= 727,
+    ARM_VCVTMUS	= 728,
+    ARM_VCVTNNSD	= 729,
+    ARM_VCVTNNSQ	= 730,
+    ARM_VCVTNNUD	= 731,
+    ARM_VCVTNNUQ	= 732,
+    ARM_VCVTNSD	= 733,
+    ARM_VCVTNSS	= 734,
+    ARM_VCVTNUD	= 735,
+    ARM_VCVTNUS	= 736,
+    ARM_VCVTPNSD	= 737,
+    ARM_VCVTPNSQ	= 738,
+    ARM_VCVTPNUD	= 739,
+    ARM_VCVTPNUQ	= 740,
+    ARM_VCVTPSD	= 741,
+    ARM_VCVTPSS	= 742,
+    ARM_VCVTPUD	= 743,
+    ARM_VCVTPUS	= 744,
+    ARM_VCVTSD	= 745,
+    ARM_VCVTTDH	= 746,
+    ARM_VCVTTHD	= 747,
+    ARM_VCVTTHS	= 748,
+    ARM_VCVTTSH	= 749,
+    ARM_VCVTf2h	= 750,
+    ARM_VCVTf2sd	= 751,
+    ARM_VCVTf2sq	= 752,
+    ARM_VCVTf2ud	= 753,
+    ARM_VCVTf2uq	= 754,
+    ARM_VCVTf2xsd	= 755,
+    ARM_VCVTf2xsq	= 756,
+    ARM_VCVTf2xud	= 757,
+    ARM_VCVTf2xuq	= 758,
+    ARM_VCVTh2f	= 759,
+    ARM_VCVTs2fd	= 760,
+    ARM_VCVTs2fq	= 761,
+    ARM_VCVTu2fd	= 762,
+    ARM_VCVTu2fq	= 763,
+    ARM_VCVTxs2fd	= 764,
+    ARM_VCVTxs2fq	= 765,
+    ARM_VCVTxu2fd	= 766,
+    ARM_VCVTxu2fq	= 767,
+    ARM_VDIVD	= 768,
+    ARM_VDIVS	= 769,
+    ARM_VDUP16d	= 770,
+    ARM_VDUP16q	= 771,
+    ARM_VDUP32d	= 772,
+    ARM_VDUP32q	= 773,
+    ARM_VDUP8d	= 774,
+    ARM_VDUP8q	= 775,
+    ARM_VDUPLN16d	= 776,
+    ARM_VDUPLN16q	= 777,
+    ARM_VDUPLN32d	= 778,
+    ARM_VDUPLN32q	= 779,
+    ARM_VDUPLN8d	= 780,
+    ARM_VDUPLN8q	= 781,
+    ARM_VEORd	= 782,
+    ARM_VEORq	= 783,
+    ARM_VEXTd16	= 784,
+    ARM_VEXTd32	= 785,
+    ARM_VEXTd8	= 786,
+    ARM_VEXTq16	= 787,
+    ARM_VEXTq32	= 788,
+    ARM_VEXTq64	= 789,
+    ARM_VEXTq8	= 790,
+    ARM_VFMAD	= 791,
+    ARM_VFMAS	= 792,
+    ARM_VFMAfd	= 793,
+    ARM_VFMAfq	= 794,
+    ARM_VFMSD	= 795,
+    ARM_VFMSS	= 796,
+    ARM_VFMSfd	= 797,
+    ARM_VFMSfq	= 798,
+    ARM_VFNMAD	= 799,
+    ARM_VFNMAS	= 800,
+    ARM_VFNMSD	= 801,
+    ARM_VFNMSS	= 802,
+    ARM_VGETLNi32	= 803,
+    ARM_VGETLNs16	= 804,
+    ARM_VGETLNs8	= 805,
+    ARM_VGETLNu16	= 806,
+    ARM_VGETLNu8	= 807,
+    ARM_VHADDsv16i8	= 808,
+    ARM_VHADDsv2i32	= 809,
+    ARM_VHADDsv4i16	= 810,
+    ARM_VHADDsv4i32	= 811,
+    ARM_VHADDsv8i16	= 812,
+    ARM_VHADDsv8i8	= 813,
+    ARM_VHADDuv16i8	= 814,
+    ARM_VHADDuv2i32	= 815,
+    ARM_VHADDuv4i16	= 816,
+    ARM_VHADDuv4i32	= 817,
+    ARM_VHADDuv8i16	= 818,
+    ARM_VHADDuv8i8	= 819,
+    ARM_VHSUBsv16i8	= 820,
+    ARM_VHSUBsv2i32	= 821,
+    ARM_VHSUBsv4i16	= 822,
+    ARM_VHSUBsv4i32	= 823,
+    ARM_VHSUBsv8i16	= 824,
+    ARM_VHSUBsv8i8	= 825,
+    ARM_VHSUBuv16i8	= 826,
+    ARM_VHSUBuv2i32	= 827,
+    ARM_VHSUBuv4i16	= 828,
+    ARM_VHSUBuv4i32	= 829,
+    ARM_VHSUBuv8i16	= 830,
+    ARM_VHSUBuv8i8	= 831,
+    ARM_VLD1DUPd16	= 832,
+    ARM_VLD1DUPd16wb_fixed	= 833,
+    ARM_VLD1DUPd16wb_register	= 834,
+    ARM_VLD1DUPd32	= 835,
+    ARM_VLD1DUPd32wb_fixed	= 836,
+    ARM_VLD1DUPd32wb_register	= 837,
+    ARM_VLD1DUPd8	= 838,
+    ARM_VLD1DUPd8wb_fixed	= 839,
+    ARM_VLD1DUPd8wb_register	= 840,
+    ARM_VLD1DUPq16	= 841,
+    ARM_VLD1DUPq16wb_fixed	= 842,
+    ARM_VLD1DUPq16wb_register	= 843,
+    ARM_VLD1DUPq32	= 844,
+    ARM_VLD1DUPq32wb_fixed	= 845,
+    ARM_VLD1DUPq32wb_register	= 846,
+    ARM_VLD1DUPq8	= 847,
+    ARM_VLD1DUPq8wb_fixed	= 848,
+    ARM_VLD1DUPq8wb_register	= 849,
+    ARM_VLD1LNd16	= 850,
+    ARM_VLD1LNd16_UPD	= 851,
+    ARM_VLD1LNd32	= 852,
+    ARM_VLD1LNd32_UPD	= 853,
+    ARM_VLD1LNd8	= 854,
+    ARM_VLD1LNd8_UPD	= 855,
+    ARM_VLD1LNdAsm_16	= 856,
+    ARM_VLD1LNdAsm_32	= 857,
+    ARM_VLD1LNdAsm_8	= 858,
+    ARM_VLD1LNdWB_fixed_Asm_16	= 859,
+    ARM_VLD1LNdWB_fixed_Asm_32	= 860,
+    ARM_VLD1LNdWB_fixed_Asm_8	= 861,
+    ARM_VLD1LNdWB_register_Asm_16	= 862,
+    ARM_VLD1LNdWB_register_Asm_32	= 863,
+    ARM_VLD1LNdWB_register_Asm_8	= 864,
+    ARM_VLD1LNq16Pseudo	= 865,
+    ARM_VLD1LNq16Pseudo_UPD	= 866,
+    ARM_VLD1LNq32Pseudo	= 867,
+    ARM_VLD1LNq32Pseudo_UPD	= 868,
+    ARM_VLD1LNq8Pseudo	= 869,
+    ARM_VLD1LNq8Pseudo_UPD	= 870,
+    ARM_VLD1d16	= 871,
+    ARM_VLD1d16Q	= 872,
+    ARM_VLD1d16Qwb_fixed	= 873,
+    ARM_VLD1d16Qwb_register	= 874,
+    ARM_VLD1d16T	= 875,
+    ARM_VLD1d16Twb_fixed	= 876,
+    ARM_VLD1d16Twb_register	= 877,
+    ARM_VLD1d16wb_fixed	= 878,
+    ARM_VLD1d16wb_register	= 879,
+    ARM_VLD1d32	= 880,
+    ARM_VLD1d32Q	= 881,
+    ARM_VLD1d32Qwb_fixed	= 882,
+    ARM_VLD1d32Qwb_register	= 883,
+    ARM_VLD1d32T	= 884,
+    ARM_VLD1d32Twb_fixed	= 885,
+    ARM_VLD1d32Twb_register	= 886,
+    ARM_VLD1d32wb_fixed	= 887,
+    ARM_VLD1d32wb_register	= 888,
+    ARM_VLD1d64	= 889,
+    ARM_VLD1d64Q	= 890,
+    ARM_VLD1d64QPseudo	= 891,
+    ARM_VLD1d64QPseudoWB_fixed	= 892,
+    ARM_VLD1d64QPseudoWB_register	= 893,
+    ARM_VLD1d64Qwb_fixed	= 894,
+    ARM_VLD1d64Qwb_register	= 895,
+    ARM_VLD1d64T	= 896,
+    ARM_VLD1d64TPseudo	= 897,
+    ARM_VLD1d64TPseudoWB_fixed	= 898,
+    ARM_VLD1d64TPseudoWB_register	= 899,
+    ARM_VLD1d64Twb_fixed	= 900,
+    ARM_VLD1d64Twb_register	= 901,
+    ARM_VLD1d64wb_fixed	= 902,
+    ARM_VLD1d64wb_register	= 903,
+    ARM_VLD1d8	= 904,
+    ARM_VLD1d8Q	= 905,
+    ARM_VLD1d8Qwb_fixed	= 906,
+    ARM_VLD1d8Qwb_register	= 907,
+    ARM_VLD1d8T	= 908,
+    ARM_VLD1d8Twb_fixed	= 909,
+    ARM_VLD1d8Twb_register	= 910,
+    ARM_VLD1d8wb_fixed	= 911,
+    ARM_VLD1d8wb_register	= 912,
+    ARM_VLD1q16	= 913,
+    ARM_VLD1q16wb_fixed	= 914,
+    ARM_VLD1q16wb_register	= 915,
+    ARM_VLD1q32	= 916,
+    ARM_VLD1q32wb_fixed	= 917,
+    ARM_VLD1q32wb_register	= 918,
+    ARM_VLD1q64	= 919,
+    ARM_VLD1q64wb_fixed	= 920,
+    ARM_VLD1q64wb_register	= 921,
+    ARM_VLD1q8	= 922,
+    ARM_VLD1q8wb_fixed	= 923,
+    ARM_VLD1q8wb_register	= 924,
+    ARM_VLD2DUPd16	= 925,
+    ARM_VLD2DUPd16wb_fixed	= 926,
+    ARM_VLD2DUPd16wb_register	= 927,
+    ARM_VLD2DUPd16x2	= 928,
+    ARM_VLD2DUPd16x2wb_fixed	= 929,
+    ARM_VLD2DUPd16x2wb_register	= 930,
+    ARM_VLD2DUPd32	= 931,
+    ARM_VLD2DUPd32wb_fixed	= 932,
+    ARM_VLD2DUPd32wb_register	= 933,
+    ARM_VLD2DUPd32x2	= 934,
+    ARM_VLD2DUPd32x2wb_fixed	= 935,
+    ARM_VLD2DUPd32x2wb_register	= 936,
+    ARM_VLD2DUPd8	= 937,
+    ARM_VLD2DUPd8wb_fixed	= 938,
+    ARM_VLD2DUPd8wb_register	= 939,
+    ARM_VLD2DUPd8x2	= 940,
+    ARM_VLD2DUPd8x2wb_fixed	= 941,
+    ARM_VLD2DUPd8x2wb_register	= 942,
+    ARM_VLD2LNd16	= 943,
+    ARM_VLD2LNd16Pseudo	= 944,
+    ARM_VLD2LNd16Pseudo_UPD	= 945,
+    ARM_VLD2LNd16_UPD	= 946,
+    ARM_VLD2LNd32	= 947,
+    ARM_VLD2LNd32Pseudo	= 948,
+    ARM_VLD2LNd32Pseudo_UPD	= 949,
+    ARM_VLD2LNd32_UPD	= 950,
+    ARM_VLD2LNd8	= 951,
+    ARM_VLD2LNd8Pseudo	= 952,
+    ARM_VLD2LNd8Pseudo_UPD	= 953,
+    ARM_VLD2LNd8_UPD	= 954,
+    ARM_VLD2LNdAsm_16	= 955,
+    ARM_VLD2LNdAsm_32	= 956,
+    ARM_VLD2LNdAsm_8	= 957,
+    ARM_VLD2LNdWB_fixed_Asm_16	= 958,
+    ARM_VLD2LNdWB_fixed_Asm_32	= 959,
+    ARM_VLD2LNdWB_fixed_Asm_8	= 960,
+    ARM_VLD2LNdWB_register_Asm_16	= 961,
+    ARM_VLD2LNdWB_register_Asm_32	= 962,
+    ARM_VLD2LNdWB_register_Asm_8	= 963,
+    ARM_VLD2LNq16	= 964,
+    ARM_VLD2LNq16Pseudo	= 965,
+    ARM_VLD2LNq16Pseudo_UPD	= 966,
+    ARM_VLD2LNq16_UPD	= 967,
+    ARM_VLD2LNq32	= 968,
+    ARM_VLD2LNq32Pseudo	= 969,
+    ARM_VLD2LNq32Pseudo_UPD	= 970,
+    ARM_VLD2LNq32_UPD	= 971,
+    ARM_VLD2LNqAsm_16	= 972,
+    ARM_VLD2LNqAsm_32	= 973,
+    ARM_VLD2LNqWB_fixed_Asm_16	= 974,
+    ARM_VLD2LNqWB_fixed_Asm_32	= 975,
+    ARM_VLD2LNqWB_register_Asm_16	= 976,
+    ARM_VLD2LNqWB_register_Asm_32	= 977,
+    ARM_VLD2b16	= 978,
+    ARM_VLD2b16wb_fixed	= 979,
+    ARM_VLD2b16wb_register	= 980,
+    ARM_VLD2b32	= 981,
+    ARM_VLD2b32wb_fixed	= 982,
+    ARM_VLD2b32wb_register	= 983,
+    ARM_VLD2b8	= 984,
+    ARM_VLD2b8wb_fixed	= 985,
+    ARM_VLD2b8wb_register	= 986,
+    ARM_VLD2d16	= 987,
+    ARM_VLD2d16wb_fixed	= 988,
+    ARM_VLD2d16wb_register	= 989,
+    ARM_VLD2d32	= 990,
+    ARM_VLD2d32wb_fixed	= 991,
+    ARM_VLD2d32wb_register	= 992,
+    ARM_VLD2d8	= 993,
+    ARM_VLD2d8wb_fixed	= 994,
+    ARM_VLD2d8wb_register	= 995,
+    ARM_VLD2q16	= 996,
+    ARM_VLD2q16Pseudo	= 997,
+    ARM_VLD2q16PseudoWB_fixed	= 998,
+    ARM_VLD2q16PseudoWB_register	= 999,
+    ARM_VLD2q16wb_fixed	= 1000,
+    ARM_VLD2q16wb_register	= 1001,
+    ARM_VLD2q32	= 1002,
+    ARM_VLD2q32Pseudo	= 1003,
+    ARM_VLD2q32PseudoWB_fixed	= 1004,
+    ARM_VLD2q32PseudoWB_register	= 1005,
+    ARM_VLD2q32wb_fixed	= 1006,
+    ARM_VLD2q32wb_register	= 1007,
+    ARM_VLD2q8	= 1008,
+    ARM_VLD2q8Pseudo	= 1009,
+    ARM_VLD2q8PseudoWB_fixed	= 1010,
+    ARM_VLD2q8PseudoWB_register	= 1011,
+    ARM_VLD2q8wb_fixed	= 1012,
+    ARM_VLD2q8wb_register	= 1013,
+    ARM_VLD3DUPd16	= 1014,
+    ARM_VLD3DUPd16Pseudo	= 1015,
+    ARM_VLD3DUPd16Pseudo_UPD	= 1016,
+    ARM_VLD3DUPd16_UPD	= 1017,
+    ARM_VLD3DUPd32	= 1018,
+    ARM_VLD3DUPd32Pseudo	= 1019,
+    ARM_VLD3DUPd32Pseudo_UPD	= 1020,
+    ARM_VLD3DUPd32_UPD	= 1021,
+    ARM_VLD3DUPd8	= 1022,
+    ARM_VLD3DUPd8Pseudo	= 1023,
+    ARM_VLD3DUPd8Pseudo_UPD	= 1024,
+    ARM_VLD3DUPd8_UPD	= 1025,
+    ARM_VLD3DUPdAsm_16	= 1026,
+    ARM_VLD3DUPdAsm_32	= 1027,
+    ARM_VLD3DUPdAsm_8	= 1028,
+    ARM_VLD3DUPdWB_fixed_Asm_16	= 1029,
+    ARM_VLD3DUPdWB_fixed_Asm_32	= 1030,
+    ARM_VLD3DUPdWB_fixed_Asm_8	= 1031,
+    ARM_VLD3DUPdWB_register_Asm_16	= 1032,
+    ARM_VLD3DUPdWB_register_Asm_32	= 1033,
+    ARM_VLD3DUPdWB_register_Asm_8	= 1034,
+    ARM_VLD3DUPq16	= 1035,
+    ARM_VLD3DUPq16_UPD	= 1036,
+    ARM_VLD3DUPq32	= 1037,
+    ARM_VLD3DUPq32_UPD	= 1038,
+    ARM_VLD3DUPq8	= 1039,
+    ARM_VLD3DUPq8_UPD	= 1040,
+    ARM_VLD3DUPqAsm_16	= 1041,
+    ARM_VLD3DUPqAsm_32	= 1042,
+    ARM_VLD3DUPqAsm_8	= 1043,
+    ARM_VLD3DUPqWB_fixed_Asm_16	= 1044,
+    ARM_VLD3DUPqWB_fixed_Asm_32	= 1045,
+    ARM_VLD3DUPqWB_fixed_Asm_8	= 1046,
+    ARM_VLD3DUPqWB_register_Asm_16	= 1047,
+    ARM_VLD3DUPqWB_register_Asm_32	= 1048,
+    ARM_VLD3DUPqWB_register_Asm_8	= 1049,
+    ARM_VLD3LNd16	= 1050,
+    ARM_VLD3LNd16Pseudo	= 1051,
+    ARM_VLD3LNd16Pseudo_UPD	= 1052,
+    ARM_VLD3LNd16_UPD	= 1053,
+    ARM_VLD3LNd32	= 1054,
+    ARM_VLD3LNd32Pseudo	= 1055,
+    ARM_VLD3LNd32Pseudo_UPD	= 1056,
+    ARM_VLD3LNd32_UPD	= 1057,
+    ARM_VLD3LNd8	= 1058,
+    ARM_VLD3LNd8Pseudo	= 1059,
+    ARM_VLD3LNd8Pseudo_UPD	= 1060,
+    ARM_VLD3LNd8_UPD	= 1061,
+    ARM_VLD3LNdAsm_16	= 1062,
+    ARM_VLD3LNdAsm_32	= 1063,
+    ARM_VLD3LNdAsm_8	= 1064,
+    ARM_VLD3LNdWB_fixed_Asm_16	= 1065,
+    ARM_VLD3LNdWB_fixed_Asm_32	= 1066,
+    ARM_VLD3LNdWB_fixed_Asm_8	= 1067,
+    ARM_VLD3LNdWB_register_Asm_16	= 1068,
+    ARM_VLD3LNdWB_register_Asm_32	= 1069,
+    ARM_VLD3LNdWB_register_Asm_8	= 1070,
+    ARM_VLD3LNq16	= 1071,
+    ARM_VLD3LNq16Pseudo	= 1072,
+    ARM_VLD3LNq16Pseudo_UPD	= 1073,
+    ARM_VLD3LNq16_UPD	= 1074,
+    ARM_VLD3LNq32	= 1075,
+    ARM_VLD3LNq32Pseudo	= 1076,
+    ARM_VLD3LNq32Pseudo_UPD	= 1077,
+    ARM_VLD3LNq32_UPD	= 1078,
+    ARM_VLD3LNqAsm_16	= 1079,
+    ARM_VLD3LNqAsm_32	= 1080,
+    ARM_VLD3LNqWB_fixed_Asm_16	= 1081,
+    ARM_VLD3LNqWB_fixed_Asm_32	= 1082,
+    ARM_VLD3LNqWB_register_Asm_16	= 1083,
+    ARM_VLD3LNqWB_register_Asm_32	= 1084,
+    ARM_VLD3d16	= 1085,
+    ARM_VLD3d16Pseudo	= 1086,
+    ARM_VLD3d16Pseudo_UPD	= 1087,
+    ARM_VLD3d16_UPD	= 1088,
+    ARM_VLD3d32	= 1089,
+    ARM_VLD3d32Pseudo	= 1090,
+    ARM_VLD3d32Pseudo_UPD	= 1091,
+    ARM_VLD3d32_UPD	= 1092,
+    ARM_VLD3d8	= 1093,
+    ARM_VLD3d8Pseudo	= 1094,
+    ARM_VLD3d8Pseudo_UPD	= 1095,
+    ARM_VLD3d8_UPD	= 1096,
+    ARM_VLD3dAsm_16	= 1097,
+    ARM_VLD3dAsm_32	= 1098,
+    ARM_VLD3dAsm_8	= 1099,
+    ARM_VLD3dWB_fixed_Asm_16	= 1100,
+    ARM_VLD3dWB_fixed_Asm_32	= 1101,
+    ARM_VLD3dWB_fixed_Asm_8	= 1102,
+    ARM_VLD3dWB_register_Asm_16	= 1103,
+    ARM_VLD3dWB_register_Asm_32	= 1104,
+    ARM_VLD3dWB_register_Asm_8	= 1105,
+    ARM_VLD3q16	= 1106,
+    ARM_VLD3q16Pseudo_UPD	= 1107,
+    ARM_VLD3q16_UPD	= 1108,
+    ARM_VLD3q16oddPseudo	= 1109,
+    ARM_VLD3q16oddPseudo_UPD	= 1110,
+    ARM_VLD3q32	= 1111,
+    ARM_VLD3q32Pseudo_UPD	= 1112,
+    ARM_VLD3q32_UPD	= 1113,
+    ARM_VLD3q32oddPseudo	= 1114,
+    ARM_VLD3q32oddPseudo_UPD	= 1115,
+    ARM_VLD3q8	= 1116,
+    ARM_VLD3q8Pseudo_UPD	= 1117,
+    ARM_VLD3q8_UPD	= 1118,
+    ARM_VLD3q8oddPseudo	= 1119,
+    ARM_VLD3q8oddPseudo_UPD	= 1120,
+    ARM_VLD3qAsm_16	= 1121,
+    ARM_VLD3qAsm_32	= 1122,
+    ARM_VLD3qAsm_8	= 1123,
+    ARM_VLD3qWB_fixed_Asm_16	= 1124,
+    ARM_VLD3qWB_fixed_Asm_32	= 1125,
+    ARM_VLD3qWB_fixed_Asm_8	= 1126,
+    ARM_VLD3qWB_register_Asm_16	= 1127,
+    ARM_VLD3qWB_register_Asm_32	= 1128,
+    ARM_VLD3qWB_register_Asm_8	= 1129,
+    ARM_VLD4DUPd16	= 1130,
+    ARM_VLD4DUPd16Pseudo	= 1131,
+    ARM_VLD4DUPd16Pseudo_UPD	= 1132,
+    ARM_VLD4DUPd16_UPD	= 1133,
+    ARM_VLD4DUPd32	= 1134,
+    ARM_VLD4DUPd32Pseudo	= 1135,
+    ARM_VLD4DUPd32Pseudo_UPD	= 1136,
+    ARM_VLD4DUPd32_UPD	= 1137,
+    ARM_VLD4DUPd8	= 1138,
+    ARM_VLD4DUPd8Pseudo	= 1139,
+    ARM_VLD4DUPd8Pseudo_UPD	= 1140,
+    ARM_VLD4DUPd8_UPD	= 1141,
+    ARM_VLD4DUPdAsm_16	= 1142,
+    ARM_VLD4DUPdAsm_32	= 1143,
+    ARM_VLD4DUPdAsm_8	= 1144,
+    ARM_VLD4DUPdWB_fixed_Asm_16	= 1145,
+    ARM_VLD4DUPdWB_fixed_Asm_32	= 1146,
+    ARM_VLD4DUPdWB_fixed_Asm_8	= 1147,
+    ARM_VLD4DUPdWB_register_Asm_16	= 1148,
+    ARM_VLD4DUPdWB_register_Asm_32	= 1149,
+    ARM_VLD4DUPdWB_register_Asm_8	= 1150,
+    ARM_VLD4DUPq16	= 1151,
+    ARM_VLD4DUPq16_UPD	= 1152,
+    ARM_VLD4DUPq32	= 1153,
+    ARM_VLD4DUPq32_UPD	= 1154,
+    ARM_VLD4DUPq8	= 1155,
+    ARM_VLD4DUPq8_UPD	= 1156,
+    ARM_VLD4DUPqAsm_16	= 1157,
+    ARM_VLD4DUPqAsm_32	= 1158,
+    ARM_VLD4DUPqAsm_8	= 1159,
+    ARM_VLD4DUPqWB_fixed_Asm_16	= 1160,
+    ARM_VLD4DUPqWB_fixed_Asm_32	= 1161,
+    ARM_VLD4DUPqWB_fixed_Asm_8	= 1162,
+    ARM_VLD4DUPqWB_register_Asm_16	= 1163,
+    ARM_VLD4DUPqWB_register_Asm_32	= 1164,
+    ARM_VLD4DUPqWB_register_Asm_8	= 1165,
+    ARM_VLD4LNd16	= 1166,
+    ARM_VLD4LNd16Pseudo	= 1167,
+    ARM_VLD4LNd16Pseudo_UPD	= 1168,
+    ARM_VLD4LNd16_UPD	= 1169,
+    ARM_VLD4LNd32	= 1170,
+    ARM_VLD4LNd32Pseudo	= 1171,
+    ARM_VLD4LNd32Pseudo_UPD	= 1172,
+    ARM_VLD4LNd32_UPD	= 1173,
+    ARM_VLD4LNd8	= 1174,
+    ARM_VLD4LNd8Pseudo	= 1175,
+    ARM_VLD4LNd8Pseudo_UPD	= 1176,
+    ARM_VLD4LNd8_UPD	= 1177,
+    ARM_VLD4LNdAsm_16	= 1178,
+    ARM_VLD4LNdAsm_32	= 1179,
+    ARM_VLD4LNdAsm_8	= 1180,
+    ARM_VLD4LNdWB_fixed_Asm_16	= 1181,
+    ARM_VLD4LNdWB_fixed_Asm_32	= 1182,
+    ARM_VLD4LNdWB_fixed_Asm_8	= 1183,
+    ARM_VLD4LNdWB_register_Asm_16	= 1184,
+    ARM_VLD4LNdWB_register_Asm_32	= 1185,
+    ARM_VLD4LNdWB_register_Asm_8	= 1186,
+    ARM_VLD4LNq16	= 1187,
+    ARM_VLD4LNq16Pseudo	= 1188,
+    ARM_VLD4LNq16Pseudo_UPD	= 1189,
+    ARM_VLD4LNq16_UPD	= 1190,
+    ARM_VLD4LNq32	= 1191,
+    ARM_VLD4LNq32Pseudo	= 1192,
+    ARM_VLD4LNq32Pseudo_UPD	= 1193,
+    ARM_VLD4LNq32_UPD	= 1194,
+    ARM_VLD4LNqAsm_16	= 1195,
+    ARM_VLD4LNqAsm_32	= 1196,
+    ARM_VLD4LNqWB_fixed_Asm_16	= 1197,
+    ARM_VLD4LNqWB_fixed_Asm_32	= 1198,
+    ARM_VLD4LNqWB_register_Asm_16	= 1199,
+    ARM_VLD4LNqWB_register_Asm_32	= 1200,
+    ARM_VLD4d16	= 1201,
+    ARM_VLD4d16Pseudo	= 1202,
+    ARM_VLD4d16Pseudo_UPD	= 1203,
+    ARM_VLD4d16_UPD	= 1204,
+    ARM_VLD4d32	= 1205,
+    ARM_VLD4d32Pseudo	= 1206,
+    ARM_VLD4d32Pseudo_UPD	= 1207,
+    ARM_VLD4d32_UPD	= 1208,
+    ARM_VLD4d8	= 1209,
+    ARM_VLD4d8Pseudo	= 1210,
+    ARM_VLD4d8Pseudo_UPD	= 1211,
+    ARM_VLD4d8_UPD	= 1212,
+    ARM_VLD4dAsm_16	= 1213,
+    ARM_VLD4dAsm_32	= 1214,
+    ARM_VLD4dAsm_8	= 1215,
+    ARM_VLD4dWB_fixed_Asm_16	= 1216,
+    ARM_VLD4dWB_fixed_Asm_32	= 1217,
+    ARM_VLD4dWB_fixed_Asm_8	= 1218,
+    ARM_VLD4dWB_register_Asm_16	= 1219,
+    ARM_VLD4dWB_register_Asm_32	= 1220,
+    ARM_VLD4dWB_register_Asm_8	= 1221,
+    ARM_VLD4q16	= 1222,
+    ARM_VLD4q16Pseudo_UPD	= 1223,
+    ARM_VLD4q16_UPD	= 1224,
+    ARM_VLD4q16oddPseudo	= 1225,
+    ARM_VLD4q16oddPseudo_UPD	= 1226,
+    ARM_VLD4q32	= 1227,
+    ARM_VLD4q32Pseudo_UPD	= 1228,
+    ARM_VLD4q32_UPD	= 1229,
+    ARM_VLD4q32oddPseudo	= 1230,
+    ARM_VLD4q32oddPseudo_UPD	= 1231,
+    ARM_VLD4q8	= 1232,
+    ARM_VLD4q8Pseudo_UPD	= 1233,
+    ARM_VLD4q8_UPD	= 1234,
+    ARM_VLD4q8oddPseudo	= 1235,
+    ARM_VLD4q8oddPseudo_UPD	= 1236,
+    ARM_VLD4qAsm_16	= 1237,
+    ARM_VLD4qAsm_32	= 1238,
+    ARM_VLD4qAsm_8	= 1239,
+    ARM_VLD4qWB_fixed_Asm_16	= 1240,
+    ARM_VLD4qWB_fixed_Asm_32	= 1241,
+    ARM_VLD4qWB_fixed_Asm_8	= 1242,
+    ARM_VLD4qWB_register_Asm_16	= 1243,
+    ARM_VLD4qWB_register_Asm_32	= 1244,
+    ARM_VLD4qWB_register_Asm_8	= 1245,
+    ARM_VLDMDDB_UPD	= 1246,
+    ARM_VLDMDIA	= 1247,
+    ARM_VLDMDIA_UPD	= 1248,
+    ARM_VLDMQIA	= 1249,
+    ARM_VLDMSDB_UPD	= 1250,
+    ARM_VLDMSIA	= 1251,
+    ARM_VLDMSIA_UPD	= 1252,
+    ARM_VLDRD	= 1253,
+    ARM_VLDRS	= 1254,
+    ARM_VMAXNMD	= 1255,
+    ARM_VMAXNMND	= 1256,
+    ARM_VMAXNMNQ	= 1257,
+    ARM_VMAXNMS	= 1258,
+    ARM_VMAXfd	= 1259,
+    ARM_VMAXfq	= 1260,
+    ARM_VMAXsv16i8	= 1261,
+    ARM_VMAXsv2i32	= 1262,
+    ARM_VMAXsv4i16	= 1263,
+    ARM_VMAXsv4i32	= 1264,
+    ARM_VMAXsv8i16	= 1265,
+    ARM_VMAXsv8i8	= 1266,
+    ARM_VMAXuv16i8	= 1267,
+    ARM_VMAXuv2i32	= 1268,
+    ARM_VMAXuv4i16	= 1269,
+    ARM_VMAXuv4i32	= 1270,
+    ARM_VMAXuv8i16	= 1271,
+    ARM_VMAXuv8i8	= 1272,
+    ARM_VMINNMD	= 1273,
+    ARM_VMINNMND	= 1274,
+    ARM_VMINNMNQ	= 1275,
+    ARM_VMINNMS	= 1276,
+    ARM_VMINfd	= 1277,
+    ARM_VMINfq	= 1278,
+    ARM_VMINsv16i8	= 1279,
+    ARM_VMINsv2i32	= 1280,
+    ARM_VMINsv4i16	= 1281,
+    ARM_VMINsv4i32	= 1282,
+    ARM_VMINsv8i16	= 1283,
+    ARM_VMINsv8i8	= 1284,
+    ARM_VMINuv16i8	= 1285,
+    ARM_VMINuv2i32	= 1286,
+    ARM_VMINuv4i16	= 1287,
+    ARM_VMINuv4i32	= 1288,
+    ARM_VMINuv8i16	= 1289,
+    ARM_VMINuv8i8	= 1290,
+    ARM_VMLAD	= 1291,
+    ARM_VMLALslsv2i32	= 1292,
+    ARM_VMLALslsv4i16	= 1293,
+    ARM_VMLALsluv2i32	= 1294,
+    ARM_VMLALsluv4i16	= 1295,
+    ARM_VMLALsv2i64	= 1296,
+    ARM_VMLALsv4i32	= 1297,
+    ARM_VMLALsv8i16	= 1298,
+    ARM_VMLALuv2i64	= 1299,
+    ARM_VMLALuv4i32	= 1300,
+    ARM_VMLALuv8i16	= 1301,
+    ARM_VMLAS	= 1302,
+    ARM_VMLAfd	= 1303,
+    ARM_VMLAfq	= 1304,
+    ARM_VMLAslfd	= 1305,
+    ARM_VMLAslfq	= 1306,
+    ARM_VMLAslv2i32	= 1307,
+    ARM_VMLAslv4i16	= 1308,
+    ARM_VMLAslv4i32	= 1309,
+    ARM_VMLAslv8i16	= 1310,
+    ARM_VMLAv16i8	= 1311,
+    ARM_VMLAv2i32	= 1312,
+    ARM_VMLAv4i16	= 1313,
+    ARM_VMLAv4i32	= 1314,
+    ARM_VMLAv8i16	= 1315,
+    ARM_VMLAv8i8	= 1316,
+    ARM_VMLSD	= 1317,
+    ARM_VMLSLslsv2i32	= 1318,
+    ARM_VMLSLslsv4i16	= 1319,
+    ARM_VMLSLsluv2i32	= 1320,
+    ARM_VMLSLsluv4i16	= 1321,
+    ARM_VMLSLsv2i64	= 1322,
+    ARM_VMLSLsv4i32	= 1323,
+    ARM_VMLSLsv8i16	= 1324,
+    ARM_VMLSLuv2i64	= 1325,
+    ARM_VMLSLuv4i32	= 1326,
+    ARM_VMLSLuv8i16	= 1327,
+    ARM_VMLSS	= 1328,
+    ARM_VMLSfd	= 1329,
+    ARM_VMLSfq	= 1330,
+    ARM_VMLSslfd	= 1331,
+    ARM_VMLSslfq	= 1332,
+    ARM_VMLSslv2i32	= 1333,
+    ARM_VMLSslv4i16	= 1334,
+    ARM_VMLSslv4i32	= 1335,
+    ARM_VMLSslv8i16	= 1336,
+    ARM_VMLSv16i8	= 1337,
+    ARM_VMLSv2i32	= 1338,
+    ARM_VMLSv4i16	= 1339,
+    ARM_VMLSv4i32	= 1340,
+    ARM_VMLSv8i16	= 1341,
+    ARM_VMLSv8i8	= 1342,
+    ARM_VMOVD	= 1343,
+    ARM_VMOVD0	= 1344,
+    ARM_VMOVDRR	= 1345,
+    ARM_VMOVDcc	= 1346,
+    ARM_VMOVLsv2i64	= 1347,
+    ARM_VMOVLsv4i32	= 1348,
+    ARM_VMOVLsv8i16	= 1349,
+    ARM_VMOVLuv2i64	= 1350,
+    ARM_VMOVLuv4i32	= 1351,
+    ARM_VMOVLuv8i16	= 1352,
+    ARM_VMOVNv2i32	= 1353,
+    ARM_VMOVNv4i16	= 1354,
+    ARM_VMOVNv8i8	= 1355,
+    ARM_VMOVQ0	= 1356,
+    ARM_VMOVRRD	= 1357,
+    ARM_VMOVRRS	= 1358,
+    ARM_VMOVRS	= 1359,
+    ARM_VMOVS	= 1360,
+    ARM_VMOVSR	= 1361,
+    ARM_VMOVSRR	= 1362,
+    ARM_VMOVScc	= 1363,
+    ARM_VMOVv16i8	= 1364,
+    ARM_VMOVv1i64	= 1365,
+    ARM_VMOVv2f32	= 1366,
+    ARM_VMOVv2i32	= 1367,
+    ARM_VMOVv2i64	= 1368,
+    ARM_VMOVv4f32	= 1369,
+    ARM_VMOVv4i16	= 1370,
+    ARM_VMOVv4i32	= 1371,
+    ARM_VMOVv8i16	= 1372,
+    ARM_VMOVv8i8	= 1373,
+    ARM_VMRS	= 1374,
+    ARM_VMRS_FPEXC	= 1375,
+    ARM_VMRS_FPINST	= 1376,
+    ARM_VMRS_FPINST2	= 1377,
+    ARM_VMRS_FPSID	= 1378,
+    ARM_VMRS_MVFR0	= 1379,
+    ARM_VMRS_MVFR1	= 1380,
+    ARM_VMRS_MVFR2	= 1381,
+    ARM_VMSR	= 1382,
+    ARM_VMSR_FPEXC	= 1383,
+    ARM_VMSR_FPINST	= 1384,
+    ARM_VMSR_FPINST2	= 1385,
+    ARM_VMSR_FPSID	= 1386,
+    ARM_VMULD	= 1387,
+    ARM_VMULLp64	= 1388,
+    ARM_VMULLp8	= 1389,
+    ARM_VMULLslsv2i32	= 1390,
+    ARM_VMULLslsv4i16	= 1391,
+    ARM_VMULLsluv2i32	= 1392,
+    ARM_VMULLsluv4i16	= 1393,
+    ARM_VMULLsv2i64	= 1394,
+    ARM_VMULLsv4i32	= 1395,
+    ARM_VMULLsv8i16	= 1396,
+    ARM_VMULLuv2i64	= 1397,
+    ARM_VMULLuv4i32	= 1398,
+    ARM_VMULLuv8i16	= 1399,
+    ARM_VMULS	= 1400,
+    ARM_VMULfd	= 1401,
+    ARM_VMULfq	= 1402,
+    ARM_VMULpd	= 1403,
+    ARM_VMULpq	= 1404,
+    ARM_VMULslfd	= 1405,
+    ARM_VMULslfq	= 1406,
+    ARM_VMULslv2i32	= 1407,
+    ARM_VMULslv4i16	= 1408,
+    ARM_VMULslv4i32	= 1409,
+    ARM_VMULslv8i16	= 1410,
+    ARM_VMULv16i8	= 1411,
+    ARM_VMULv2i32	= 1412,
+    ARM_VMULv4i16	= 1413,
+    ARM_VMULv4i32	= 1414,
+    ARM_VMULv8i16	= 1415,
+    ARM_VMULv8i8	= 1416,
+    ARM_VMVNd	= 1417,
+    ARM_VMVNq	= 1418,
+    ARM_VMVNv2i32	= 1419,
+    ARM_VMVNv4i16	= 1420,
+    ARM_VMVNv4i32	= 1421,
+    ARM_VMVNv8i16	= 1422,
+    ARM_VNEGD	= 1423,
+    ARM_VNEGS	= 1424,
+    ARM_VNEGf32q	= 1425,
+    ARM_VNEGfd	= 1426,
+    ARM_VNEGs16d	= 1427,
+    ARM_VNEGs16q	= 1428,
+    ARM_VNEGs32d	= 1429,
+    ARM_VNEGs32q	= 1430,
+    ARM_VNEGs8d	= 1431,
+    ARM_VNEGs8q	= 1432,
+    ARM_VNMLAD	= 1433,
+    ARM_VNMLAS	= 1434,
+    ARM_VNMLSD	= 1435,
+    ARM_VNMLSS	= 1436,
+    ARM_VNMULD	= 1437,
+    ARM_VNMULS	= 1438,
+    ARM_VORNd	= 1439,
+    ARM_VORNq	= 1440,
+    ARM_VORRd	= 1441,
+    ARM_VORRiv2i32	= 1442,
+    ARM_VORRiv4i16	= 1443,
+    ARM_VORRiv4i32	= 1444,
+    ARM_VORRiv8i16	= 1445,
+    ARM_VORRq	= 1446,
+    ARM_VPADALsv16i8	= 1447,
+    ARM_VPADALsv2i32	= 1448,
+    ARM_VPADALsv4i16	= 1449,
+    ARM_VPADALsv4i32	= 1450,
+    ARM_VPADALsv8i16	= 1451,
+    ARM_VPADALsv8i8	= 1452,
+    ARM_VPADALuv16i8	= 1453,
+    ARM_VPADALuv2i32	= 1454,
+    ARM_VPADALuv4i16	= 1455,
+    ARM_VPADALuv4i32	= 1456,
+    ARM_VPADALuv8i16	= 1457,
+    ARM_VPADALuv8i8	= 1458,
+    ARM_VPADDLsv16i8	= 1459,
+    ARM_VPADDLsv2i32	= 1460,
+    ARM_VPADDLsv4i16	= 1461,
+    ARM_VPADDLsv4i32	= 1462,
+    ARM_VPADDLsv8i16	= 1463,
+    ARM_VPADDLsv8i8	= 1464,
+    ARM_VPADDLuv16i8	= 1465,
+    ARM_VPADDLuv2i32	= 1466,
+    ARM_VPADDLuv4i16	= 1467,
+    ARM_VPADDLuv4i32	= 1468,
+    ARM_VPADDLuv8i16	= 1469,
+    ARM_VPADDLuv8i8	= 1470,
+    ARM_VPADDf	= 1471,
+    ARM_VPADDi16	= 1472,
+    ARM_VPADDi32	= 1473,
+    ARM_VPADDi8	= 1474,
+    ARM_VPMAXf	= 1475,
+    ARM_VPMAXs16	= 1476,
+    ARM_VPMAXs32	= 1477,
+    ARM_VPMAXs8	= 1478,
+    ARM_VPMAXu16	= 1479,
+    ARM_VPMAXu32	= 1480,
+    ARM_VPMAXu8	= 1481,
+    ARM_VPMINf	= 1482,
+    ARM_VPMINs16	= 1483,
+    ARM_VPMINs32	= 1484,
+    ARM_VPMINs8	= 1485,
+    ARM_VPMINu16	= 1486,
+    ARM_VPMINu32	= 1487,
+    ARM_VPMINu8	= 1488,
+    ARM_VQABSv16i8	= 1489,
+    ARM_VQABSv2i32	= 1490,
+    ARM_VQABSv4i16	= 1491,
+    ARM_VQABSv4i32	= 1492,
+    ARM_VQABSv8i16	= 1493,
+    ARM_VQABSv8i8	= 1494,
+    ARM_VQADDsv16i8	= 1495,
+    ARM_VQADDsv1i64	= 1496,
+    ARM_VQADDsv2i32	= 1497,
+    ARM_VQADDsv2i64	= 1498,
+    ARM_VQADDsv4i16	= 1499,
+    ARM_VQADDsv4i32	= 1500,
+    ARM_VQADDsv8i16	= 1501,
+    ARM_VQADDsv8i8	= 1502,
+    ARM_VQADDuv16i8	= 1503,
+    ARM_VQADDuv1i64	= 1504,
+    ARM_VQADDuv2i32	= 1505,
+    ARM_VQADDuv2i64	= 1506,
+    ARM_VQADDuv4i16	= 1507,
+    ARM_VQADDuv4i32	= 1508,
+    ARM_VQADDuv8i16	= 1509,
+    ARM_VQADDuv8i8	= 1510,
+    ARM_VQDMLALslv2i32	= 1511,
+    ARM_VQDMLALslv4i16	= 1512,
+    ARM_VQDMLALv2i64	= 1513,
+    ARM_VQDMLALv4i32	= 1514,
+    ARM_VQDMLSLslv2i32	= 1515,
+    ARM_VQDMLSLslv4i16	= 1516,
+    ARM_VQDMLSLv2i64	= 1517,
+    ARM_VQDMLSLv4i32	= 1518,
+    ARM_VQDMULHslv2i32	= 1519,
+    ARM_VQDMULHslv4i16	= 1520,
+    ARM_VQDMULHslv4i32	= 1521,
+    ARM_VQDMULHslv8i16	= 1522,
+    ARM_VQDMULHv2i32	= 1523,
+    ARM_VQDMULHv4i16	= 1524,
+    ARM_VQDMULHv4i32	= 1525,
+    ARM_VQDMULHv8i16	= 1526,
+    ARM_VQDMULLslv2i32	= 1527,
+    ARM_VQDMULLslv4i16	= 1528,
+    ARM_VQDMULLv2i64	= 1529,
+    ARM_VQDMULLv4i32	= 1530,
+    ARM_VQMOVNsuv2i32	= 1531,
+    ARM_VQMOVNsuv4i16	= 1532,
+    ARM_VQMOVNsuv8i8	= 1533,
+    ARM_VQMOVNsv2i32	= 1534,
+    ARM_VQMOVNsv4i16	= 1535,
+    ARM_VQMOVNsv8i8	= 1536,
+    ARM_VQMOVNuv2i32	= 1537,
+    ARM_VQMOVNuv4i16	= 1538,
+    ARM_VQMOVNuv8i8	= 1539,
+    ARM_VQNEGv16i8	= 1540,
+    ARM_VQNEGv2i32	= 1541,
+    ARM_VQNEGv4i16	= 1542,
+    ARM_VQNEGv4i32	= 1543,
+    ARM_VQNEGv8i16	= 1544,
+    ARM_VQNEGv8i8	= 1545,
+    ARM_VQRDMULHslv2i32	= 1546,
+    ARM_VQRDMULHslv4i16	= 1547,
+    ARM_VQRDMULHslv4i32	= 1548,
+    ARM_VQRDMULHslv8i16	= 1549,
+    ARM_VQRDMULHv2i32	= 1550,
+    ARM_VQRDMULHv4i16	= 1551,
+    ARM_VQRDMULHv4i32	= 1552,
+    ARM_VQRDMULHv8i16	= 1553,
+    ARM_VQRSHLsv16i8	= 1554,
+    ARM_VQRSHLsv1i64	= 1555,
+    ARM_VQRSHLsv2i32	= 1556,
+    ARM_VQRSHLsv2i64	= 1557,
+    ARM_VQRSHLsv4i16	= 1558,
+    ARM_VQRSHLsv4i32	= 1559,
+    ARM_VQRSHLsv8i16	= 1560,
+    ARM_VQRSHLsv8i8	= 1561,
+    ARM_VQRSHLuv16i8	= 1562,
+    ARM_VQRSHLuv1i64	= 1563,
+    ARM_VQRSHLuv2i32	= 1564,
+    ARM_VQRSHLuv2i64	= 1565,
+    ARM_VQRSHLuv4i16	= 1566,
+    ARM_VQRSHLuv4i32	= 1567,
+    ARM_VQRSHLuv8i16	= 1568,
+    ARM_VQRSHLuv8i8	= 1569,
+    ARM_VQRSHRNsv2i32	= 1570,
+    ARM_VQRSHRNsv4i16	= 1571,
+    ARM_VQRSHRNsv8i8	= 1572,
+    ARM_VQRSHRNuv2i32	= 1573,
+    ARM_VQRSHRNuv4i16	= 1574,
+    ARM_VQRSHRNuv8i8	= 1575,
+    ARM_VQRSHRUNv2i32	= 1576,
+    ARM_VQRSHRUNv4i16	= 1577,
+    ARM_VQRSHRUNv8i8	= 1578,
+    ARM_VQSHLsiv16i8	= 1579,
+    ARM_VQSHLsiv1i64	= 1580,
+    ARM_VQSHLsiv2i32	= 1581,
+    ARM_VQSHLsiv2i64	= 1582,
+    ARM_VQSHLsiv4i16	= 1583,
+    ARM_VQSHLsiv4i32	= 1584,
+    ARM_VQSHLsiv8i16	= 1585,
+    ARM_VQSHLsiv8i8	= 1586,
+    ARM_VQSHLsuv16i8	= 1587,
+    ARM_VQSHLsuv1i64	= 1588,
+    ARM_VQSHLsuv2i32	= 1589,
+    ARM_VQSHLsuv2i64	= 1590,
+    ARM_VQSHLsuv4i16	= 1591,
+    ARM_VQSHLsuv4i32	= 1592,
+    ARM_VQSHLsuv8i16	= 1593,
+    ARM_VQSHLsuv8i8	= 1594,
+    ARM_VQSHLsv16i8	= 1595,
+    ARM_VQSHLsv1i64	= 1596,
+    ARM_VQSHLsv2i32	= 1597,
+    ARM_VQSHLsv2i64	= 1598,
+    ARM_VQSHLsv4i16	= 1599,
+    ARM_VQSHLsv4i32	= 1600,
+    ARM_VQSHLsv8i16	= 1601,
+    ARM_VQSHLsv8i8	= 1602,
+    ARM_VQSHLuiv16i8	= 1603,
+    ARM_VQSHLuiv1i64	= 1604,
+    ARM_VQSHLuiv2i32	= 1605,
+    ARM_VQSHLuiv2i64	= 1606,
+    ARM_VQSHLuiv4i16	= 1607,
+    ARM_VQSHLuiv4i32	= 1608,
+    ARM_VQSHLuiv8i16	= 1609,
+    ARM_VQSHLuiv8i8	= 1610,
+    ARM_VQSHLuv16i8	= 1611,
+    ARM_VQSHLuv1i64	= 1612,
+    ARM_VQSHLuv2i32	= 1613,
+    ARM_VQSHLuv2i64	= 1614,
+    ARM_VQSHLuv4i16	= 1615,
+    ARM_VQSHLuv4i32	= 1616,
+    ARM_VQSHLuv8i16	= 1617,
+    ARM_VQSHLuv8i8	= 1618,
+    ARM_VQSHRNsv2i32	= 1619,
+    ARM_VQSHRNsv4i16	= 1620,
+    ARM_VQSHRNsv8i8	= 1621,
+    ARM_VQSHRNuv2i32	= 1622,
+    ARM_VQSHRNuv4i16	= 1623,
+    ARM_VQSHRNuv8i8	= 1624,
+    ARM_VQSHRUNv2i32	= 1625,
+    ARM_VQSHRUNv4i16	= 1626,
+    ARM_VQSHRUNv8i8	= 1627,
+    ARM_VQSUBsv16i8	= 1628,
+    ARM_VQSUBsv1i64	= 1629,
+    ARM_VQSUBsv2i32	= 1630,
+    ARM_VQSUBsv2i64	= 1631,
+    ARM_VQSUBsv4i16	= 1632,
+    ARM_VQSUBsv4i32	= 1633,
+    ARM_VQSUBsv8i16	= 1634,
+    ARM_VQSUBsv8i8	= 1635,
+    ARM_VQSUBuv16i8	= 1636,
+    ARM_VQSUBuv1i64	= 1637,
+    ARM_VQSUBuv2i32	= 1638,
+    ARM_VQSUBuv2i64	= 1639,
+    ARM_VQSUBuv4i16	= 1640,
+    ARM_VQSUBuv4i32	= 1641,
+    ARM_VQSUBuv8i16	= 1642,
+    ARM_VQSUBuv8i8	= 1643,
+    ARM_VRADDHNv2i32	= 1644,
+    ARM_VRADDHNv4i16	= 1645,
+    ARM_VRADDHNv8i8	= 1646,
+    ARM_VRECPEd	= 1647,
+    ARM_VRECPEfd	= 1648,
+    ARM_VRECPEfq	= 1649,
+    ARM_VRECPEq	= 1650,
+    ARM_VRECPSfd	= 1651,
+    ARM_VRECPSfq	= 1652,
+    ARM_VREV16d8	= 1653,
+    ARM_VREV16q8	= 1654,
+    ARM_VREV32d16	= 1655,
+    ARM_VREV32d8	= 1656,
+    ARM_VREV32q16	= 1657,
+    ARM_VREV32q8	= 1658,
+    ARM_VREV64d16	= 1659,
+    ARM_VREV64d32	= 1660,
+    ARM_VREV64d8	= 1661,
+    ARM_VREV64q16	= 1662,
+    ARM_VREV64q32	= 1663,
+    ARM_VREV64q8	= 1664,
+    ARM_VRHADDsv16i8	= 1665,
+    ARM_VRHADDsv2i32	= 1666,
+    ARM_VRHADDsv4i16	= 1667,
+    ARM_VRHADDsv4i32	= 1668,
+    ARM_VRHADDsv8i16	= 1669,
+    ARM_VRHADDsv8i8	= 1670,
+    ARM_VRHADDuv16i8	= 1671,
+    ARM_VRHADDuv2i32	= 1672,
+    ARM_VRHADDuv4i16	= 1673,
+    ARM_VRHADDuv4i32	= 1674,
+    ARM_VRHADDuv8i16	= 1675,
+    ARM_VRHADDuv8i8	= 1676,
+    ARM_VRINTAD	= 1677,
+    ARM_VRINTAND	= 1678,
+    ARM_VRINTANQ	= 1679,
+    ARM_VRINTAS	= 1680,
+    ARM_VRINTMD	= 1681,
+    ARM_VRINTMND	= 1682,
+    ARM_VRINTMNQ	= 1683,
+    ARM_VRINTMS	= 1684,
+    ARM_VRINTND	= 1685,
+    ARM_VRINTNND	= 1686,
+    ARM_VRINTNNQ	= 1687,
+    ARM_VRINTNS	= 1688,
+    ARM_VRINTPD	= 1689,
+    ARM_VRINTPND	= 1690,
+    ARM_VRINTPNQ	= 1691,
+    ARM_VRINTPS	= 1692,
+    ARM_VRINTRD	= 1693,
+    ARM_VRINTRS	= 1694,
+    ARM_VRINTXD	= 1695,
+    ARM_VRINTXND	= 1696,
+    ARM_VRINTXNQ	= 1697,
+    ARM_VRINTXS	= 1698,
+    ARM_VRINTZD	= 1699,
+    ARM_VRINTZND	= 1700,
+    ARM_VRINTZNQ	= 1701,
+    ARM_VRINTZS	= 1702,
+    ARM_VRSHLsv16i8	= 1703,
+    ARM_VRSHLsv1i64	= 1704,
+    ARM_VRSHLsv2i32	= 1705,
+    ARM_VRSHLsv2i64	= 1706,
+    ARM_VRSHLsv4i16	= 1707,
+    ARM_VRSHLsv4i32	= 1708,
+    ARM_VRSHLsv8i16	= 1709,
+    ARM_VRSHLsv8i8	= 1710,
+    ARM_VRSHLuv16i8	= 1711,
+    ARM_VRSHLuv1i64	= 1712,
+    ARM_VRSHLuv2i32	= 1713,
+    ARM_VRSHLuv2i64	= 1714,
+    ARM_VRSHLuv4i16	= 1715,
+    ARM_VRSHLuv4i32	= 1716,
+    ARM_VRSHLuv8i16	= 1717,
+    ARM_VRSHLuv8i8	= 1718,
+    ARM_VRSHRNv2i32	= 1719,
+    ARM_VRSHRNv4i16	= 1720,
+    ARM_VRSHRNv8i8	= 1721,
+    ARM_VRSHRsv16i8	= 1722,
+    ARM_VRSHRsv1i64	= 1723,
+    ARM_VRSHRsv2i32	= 1724,
+    ARM_VRSHRsv2i64	= 1725,
+    ARM_VRSHRsv4i16	= 1726,
+    ARM_VRSHRsv4i32	= 1727,
+    ARM_VRSHRsv8i16	= 1728,
+    ARM_VRSHRsv8i8	= 1729,
+    ARM_VRSHRuv16i8	= 1730,
+    ARM_VRSHRuv1i64	= 1731,
+    ARM_VRSHRuv2i32	= 1732,
+    ARM_VRSHRuv2i64	= 1733,
+    ARM_VRSHRuv4i16	= 1734,
+    ARM_VRSHRuv4i32	= 1735,
+    ARM_VRSHRuv8i16	= 1736,
+    ARM_VRSHRuv8i8	= 1737,
+    ARM_VRSQRTEd	= 1738,
+    ARM_VRSQRTEfd	= 1739,
+    ARM_VRSQRTEfq	= 1740,
+    ARM_VRSQRTEq	= 1741,
+    ARM_VRSQRTSfd	= 1742,
+    ARM_VRSQRTSfq	= 1743,
+    ARM_VRSRAsv16i8	= 1744,
+    ARM_VRSRAsv1i64	= 1745,
+    ARM_VRSRAsv2i32	= 1746,
+    ARM_VRSRAsv2i64	= 1747,
+    ARM_VRSRAsv4i16	= 1748,
+    ARM_VRSRAsv4i32	= 1749,
+    ARM_VRSRAsv8i16	= 1750,
+    ARM_VRSRAsv8i8	= 1751,
+    ARM_VRSRAuv16i8	= 1752,
+    ARM_VRSRAuv1i64	= 1753,
+    ARM_VRSRAuv2i32	= 1754,
+    ARM_VRSRAuv2i64	= 1755,
+    ARM_VRSRAuv4i16	= 1756,
+    ARM_VRSRAuv4i32	= 1757,
+    ARM_VRSRAuv8i16	= 1758,
+    ARM_VRSRAuv8i8	= 1759,
+    ARM_VRSUBHNv2i32	= 1760,
+    ARM_VRSUBHNv4i16	= 1761,
+    ARM_VRSUBHNv8i8	= 1762,
+    ARM_VSELEQD	= 1763,
+    ARM_VSELEQS	= 1764,
+    ARM_VSELGED	= 1765,
+    ARM_VSELGES	= 1766,
+    ARM_VSELGTD	= 1767,
+    ARM_VSELGTS	= 1768,
+    ARM_VSELVSD	= 1769,
+    ARM_VSELVSS	= 1770,
+    ARM_VSETLNi16	= 1771,
+    ARM_VSETLNi32	= 1772,
+    ARM_VSETLNi8	= 1773,
+    ARM_VSHLLi16	= 1774,
+    ARM_VSHLLi32	= 1775,
+    ARM_VSHLLi8	= 1776,
+    ARM_VSHLLsv2i64	= 1777,
+    ARM_VSHLLsv4i32	= 1778,
+    ARM_VSHLLsv8i16	= 1779,
+    ARM_VSHLLuv2i64	= 1780,
+    ARM_VSHLLuv4i32	= 1781,
+    ARM_VSHLLuv8i16	= 1782,
+    ARM_VSHLiv16i8	= 1783,
+    ARM_VSHLiv1i64	= 1784,
+    ARM_VSHLiv2i32	= 1785,
+    ARM_VSHLiv2i64	= 1786,
+    ARM_VSHLiv4i16	= 1787,
+    ARM_VSHLiv4i32	= 1788,
+    ARM_VSHLiv8i16	= 1789,
+    ARM_VSHLiv8i8	= 1790,
+    ARM_VSHLsv16i8	= 1791,
+    ARM_VSHLsv1i64	= 1792,
+    ARM_VSHLsv2i32	= 1793,
+    ARM_VSHLsv2i64	= 1794,
+    ARM_VSHLsv4i16	= 1795,
+    ARM_VSHLsv4i32	= 1796,
+    ARM_VSHLsv8i16	= 1797,
+    ARM_VSHLsv8i8	= 1798,
+    ARM_VSHLuv16i8	= 1799,
+    ARM_VSHLuv1i64	= 1800,
+    ARM_VSHLuv2i32	= 1801,
+    ARM_VSHLuv2i64	= 1802,
+    ARM_VSHLuv4i16	= 1803,
+    ARM_VSHLuv4i32	= 1804,
+    ARM_VSHLuv8i16	= 1805,
+    ARM_VSHLuv8i8	= 1806,
+    ARM_VSHRNv2i32	= 1807,
+    ARM_VSHRNv4i16	= 1808,
+    ARM_VSHRNv8i8	= 1809,
+    ARM_VSHRsv16i8	= 1810,
+    ARM_VSHRsv1i64	= 1811,
+    ARM_VSHRsv2i32	= 1812,
+    ARM_VSHRsv2i64	= 1813,
+    ARM_VSHRsv4i16	= 1814,
+    ARM_VSHRsv4i32	= 1815,
+    ARM_VSHRsv8i16	= 1816,
+    ARM_VSHRsv8i8	= 1817,
+    ARM_VSHRuv16i8	= 1818,
+    ARM_VSHRuv1i64	= 1819,
+    ARM_VSHRuv2i32	= 1820,
+    ARM_VSHRuv2i64	= 1821,
+    ARM_VSHRuv4i16	= 1822,
+    ARM_VSHRuv4i32	= 1823,
+    ARM_VSHRuv8i16	= 1824,
+    ARM_VSHRuv8i8	= 1825,
+    ARM_VSHTOD	= 1826,
+    ARM_VSHTOS	= 1827,
+    ARM_VSITOD	= 1828,
+    ARM_VSITOS	= 1829,
+    ARM_VSLIv16i8	= 1830,
+    ARM_VSLIv1i64	= 1831,
+    ARM_VSLIv2i32	= 1832,
+    ARM_VSLIv2i64	= 1833,
+    ARM_VSLIv4i16	= 1834,
+    ARM_VSLIv4i32	= 1835,
+    ARM_VSLIv8i16	= 1836,
+    ARM_VSLIv8i8	= 1837,
+    ARM_VSLTOD	= 1838,
+    ARM_VSLTOS	= 1839,
+    ARM_VSQRTD	= 1840,
+    ARM_VSQRTS	= 1841,
+    ARM_VSRAsv16i8	= 1842,
+    ARM_VSRAsv1i64	= 1843,
+    ARM_VSRAsv2i32	= 1844,
+    ARM_VSRAsv2i64	= 1845,
+    ARM_VSRAsv4i16	= 1846,
+    ARM_VSRAsv4i32	= 1847,
+    ARM_VSRAsv8i16	= 1848,
+    ARM_VSRAsv8i8	= 1849,
+    ARM_VSRAuv16i8	= 1850,
+    ARM_VSRAuv1i64	= 1851,
+    ARM_VSRAuv2i32	= 1852,
+    ARM_VSRAuv2i64	= 1853,
+    ARM_VSRAuv4i16	= 1854,
+    ARM_VSRAuv4i32	= 1855,
+    ARM_VSRAuv8i16	= 1856,
+    ARM_VSRAuv8i8	= 1857,
+    ARM_VSRIv16i8	= 1858,
+    ARM_VSRIv1i64	= 1859,
+    ARM_VSRIv2i32	= 1860,
+    ARM_VSRIv2i64	= 1861,
+    ARM_VSRIv4i16	= 1862,
+    ARM_VSRIv4i32	= 1863,
+    ARM_VSRIv8i16	= 1864,
+    ARM_VSRIv8i8	= 1865,
+    ARM_VST1LNd16	= 1866,
+    ARM_VST1LNd16_UPD	= 1867,
+    ARM_VST1LNd32	= 1868,
+    ARM_VST1LNd32_UPD	= 1869,
+    ARM_VST1LNd8	= 1870,
+    ARM_VST1LNd8_UPD	= 1871,
+    ARM_VST1LNdAsm_16	= 1872,
+    ARM_VST1LNdAsm_32	= 1873,
+    ARM_VST1LNdAsm_8	= 1874,
+    ARM_VST1LNdWB_fixed_Asm_16	= 1875,
+    ARM_VST1LNdWB_fixed_Asm_32	= 1876,
+    ARM_VST1LNdWB_fixed_Asm_8	= 1877,
+    ARM_VST1LNdWB_register_Asm_16	= 1878,
+    ARM_VST1LNdWB_register_Asm_32	= 1879,
+    ARM_VST1LNdWB_register_Asm_8	= 1880,
+    ARM_VST1LNq16Pseudo	= 1881,
+    ARM_VST1LNq16Pseudo_UPD	= 1882,
+    ARM_VST1LNq32Pseudo	= 1883,
+    ARM_VST1LNq32Pseudo_UPD	= 1884,
+    ARM_VST1LNq8Pseudo	= 1885,
+    ARM_VST1LNq8Pseudo_UPD	= 1886,
+    ARM_VST1d16	= 1887,
+    ARM_VST1d16Q	= 1888,
+    ARM_VST1d16Qwb_fixed	= 1889,
+    ARM_VST1d16Qwb_register	= 1890,
+    ARM_VST1d16T	= 1891,
+    ARM_VST1d16Twb_fixed	= 1892,
+    ARM_VST1d16Twb_register	= 1893,
+    ARM_VST1d16wb_fixed	= 1894,
+    ARM_VST1d16wb_register	= 1895,
+    ARM_VST1d32	= 1896,
+    ARM_VST1d32Q	= 1897,
+    ARM_VST1d32Qwb_fixed	= 1898,
+    ARM_VST1d32Qwb_register	= 1899,
+    ARM_VST1d32T	= 1900,
+    ARM_VST1d32Twb_fixed	= 1901,
+    ARM_VST1d32Twb_register	= 1902,
+    ARM_VST1d32wb_fixed	= 1903,
+    ARM_VST1d32wb_register	= 1904,
+    ARM_VST1d64	= 1905,
+    ARM_VST1d64Q	= 1906,
+    ARM_VST1d64QPseudo	= 1907,
+    ARM_VST1d64QPseudoWB_fixed	= 1908,
+    ARM_VST1d64QPseudoWB_register	= 1909,
+    ARM_VST1d64Qwb_fixed	= 1910,
+    ARM_VST1d64Qwb_register	= 1911,
+    ARM_VST1d64T	= 1912,
+    ARM_VST1d64TPseudo	= 1913,
+    ARM_VST1d64TPseudoWB_fixed	= 1914,
+    ARM_VST1d64TPseudoWB_register	= 1915,
+    ARM_VST1d64Twb_fixed	= 1916,
+    ARM_VST1d64Twb_register	= 1917,
+    ARM_VST1d64wb_fixed	= 1918,
+    ARM_VST1d64wb_register	= 1919,
+    ARM_VST1d8	= 1920,
+    ARM_VST1d8Q	= 1921,
+    ARM_VST1d8Qwb_fixed	= 1922,
+    ARM_VST1d8Qwb_register	= 1923,
+    ARM_VST1d8T	= 1924,
+    ARM_VST1d8Twb_fixed	= 1925,
+    ARM_VST1d8Twb_register	= 1926,
+    ARM_VST1d8wb_fixed	= 1927,
+    ARM_VST1d8wb_register	= 1928,
+    ARM_VST1q16	= 1929,
+    ARM_VST1q16wb_fixed	= 1930,
+    ARM_VST1q16wb_register	= 1931,
+    ARM_VST1q32	= 1932,
+    ARM_VST1q32wb_fixed	= 1933,
+    ARM_VST1q32wb_register	= 1934,
+    ARM_VST1q64	= 1935,
+    ARM_VST1q64wb_fixed	= 1936,
+    ARM_VST1q64wb_register	= 1937,
+    ARM_VST1q8	= 1938,
+    ARM_VST1q8wb_fixed	= 1939,
+    ARM_VST1q8wb_register	= 1940,
+    ARM_VST2LNd16	= 1941,
+    ARM_VST2LNd16Pseudo	= 1942,
+    ARM_VST2LNd16Pseudo_UPD	= 1943,
+    ARM_VST2LNd16_UPD	= 1944,
+    ARM_VST2LNd32	= 1945,
+    ARM_VST2LNd32Pseudo	= 1946,
+    ARM_VST2LNd32Pseudo_UPD	= 1947,
+    ARM_VST2LNd32_UPD	= 1948,
+    ARM_VST2LNd8	= 1949,
+    ARM_VST2LNd8Pseudo	= 1950,
+    ARM_VST2LNd8Pseudo_UPD	= 1951,
+    ARM_VST2LNd8_UPD	= 1952,
+    ARM_VST2LNdAsm_16	= 1953,
+    ARM_VST2LNdAsm_32	= 1954,
+    ARM_VST2LNdAsm_8	= 1955,
+    ARM_VST2LNdWB_fixed_Asm_16	= 1956,
+    ARM_VST2LNdWB_fixed_Asm_32	= 1957,
+    ARM_VST2LNdWB_fixed_Asm_8	= 1958,
+    ARM_VST2LNdWB_register_Asm_16	= 1959,
+    ARM_VST2LNdWB_register_Asm_32	= 1960,
+    ARM_VST2LNdWB_register_Asm_8	= 1961,
+    ARM_VST2LNq16	= 1962,
+    ARM_VST2LNq16Pseudo	= 1963,
+    ARM_VST2LNq16Pseudo_UPD	= 1964,
+    ARM_VST2LNq16_UPD	= 1965,
+    ARM_VST2LNq32	= 1966,
+    ARM_VST2LNq32Pseudo	= 1967,
+    ARM_VST2LNq32Pseudo_UPD	= 1968,
+    ARM_VST2LNq32_UPD	= 1969,
+    ARM_VST2LNqAsm_16	= 1970,
+    ARM_VST2LNqAsm_32	= 1971,
+    ARM_VST2LNqWB_fixed_Asm_16	= 1972,
+    ARM_VST2LNqWB_fixed_Asm_32	= 1973,
+    ARM_VST2LNqWB_register_Asm_16	= 1974,
+    ARM_VST2LNqWB_register_Asm_32	= 1975,
+    ARM_VST2b16	= 1976,
+    ARM_VST2b16wb_fixed	= 1977,
+    ARM_VST2b16wb_register	= 1978,
+    ARM_VST2b32	= 1979,
+    ARM_VST2b32wb_fixed	= 1980,
+    ARM_VST2b32wb_register	= 1981,
+    ARM_VST2b8	= 1982,
+    ARM_VST2b8wb_fixed	= 1983,
+    ARM_VST2b8wb_register	= 1984,
+    ARM_VST2d16	= 1985,
+    ARM_VST2d16wb_fixed	= 1986,
+    ARM_VST2d16wb_register	= 1987,
+    ARM_VST2d32	= 1988,
+    ARM_VST2d32wb_fixed	= 1989,
+    ARM_VST2d32wb_register	= 1990,
+    ARM_VST2d8	= 1991,
+    ARM_VST2d8wb_fixed	= 1992,
+    ARM_VST2d8wb_register	= 1993,
+    ARM_VST2q16	= 1994,
+    ARM_VST2q16Pseudo	= 1995,
+    ARM_VST2q16PseudoWB_fixed	= 1996,
+    ARM_VST2q16PseudoWB_register	= 1997,
+    ARM_VST2q16wb_fixed	= 1998,
+    ARM_VST2q16wb_register	= 1999,
+    ARM_VST2q32	= 2000,
+    ARM_VST2q32Pseudo	= 2001,
+    ARM_VST2q32PseudoWB_fixed	= 2002,
+    ARM_VST2q32PseudoWB_register	= 2003,
+    ARM_VST2q32wb_fixed	= 2004,
+    ARM_VST2q32wb_register	= 2005,
+    ARM_VST2q8	= 2006,
+    ARM_VST2q8Pseudo	= 2007,
+    ARM_VST2q8PseudoWB_fixed	= 2008,
+    ARM_VST2q8PseudoWB_register	= 2009,
+    ARM_VST2q8wb_fixed	= 2010,
+    ARM_VST2q8wb_register	= 2011,
+    ARM_VST3LNd16	= 2012,
+    ARM_VST3LNd16Pseudo	= 2013,
+    ARM_VST3LNd16Pseudo_UPD	= 2014,
+    ARM_VST3LNd16_UPD	= 2015,
+    ARM_VST3LNd32	= 2016,
+    ARM_VST3LNd32Pseudo	= 2017,
+    ARM_VST3LNd32Pseudo_UPD	= 2018,
+    ARM_VST3LNd32_UPD	= 2019,
+    ARM_VST3LNd8	= 2020,
+    ARM_VST3LNd8Pseudo	= 2021,
+    ARM_VST3LNd8Pseudo_UPD	= 2022,
+    ARM_VST3LNd8_UPD	= 2023,
+    ARM_VST3LNdAsm_16	= 2024,
+    ARM_VST3LNdAsm_32	= 2025,
+    ARM_VST3LNdAsm_8	= 2026,
+    ARM_VST3LNdWB_fixed_Asm_16	= 2027,
+    ARM_VST3LNdWB_fixed_Asm_32	= 2028,
+    ARM_VST3LNdWB_fixed_Asm_8	= 2029,
+    ARM_VST3LNdWB_register_Asm_16	= 2030,
+    ARM_VST3LNdWB_register_Asm_32	= 2031,
+    ARM_VST3LNdWB_register_Asm_8	= 2032,
+    ARM_VST3LNq16	= 2033,
+    ARM_VST3LNq16Pseudo	= 2034,
+    ARM_VST3LNq16Pseudo_UPD	= 2035,
+    ARM_VST3LNq16_UPD	= 2036,
+    ARM_VST3LNq32	= 2037,
+    ARM_VST3LNq32Pseudo	= 2038,
+    ARM_VST3LNq32Pseudo_UPD	= 2039,
+    ARM_VST3LNq32_UPD	= 2040,
+    ARM_VST3LNqAsm_16	= 2041,
+    ARM_VST3LNqAsm_32	= 2042,
+    ARM_VST3LNqWB_fixed_Asm_16	= 2043,
+    ARM_VST3LNqWB_fixed_Asm_32	= 2044,
+    ARM_VST3LNqWB_register_Asm_16	= 2045,
+    ARM_VST3LNqWB_register_Asm_32	= 2046,
+    ARM_VST3d16	= 2047,
+    ARM_VST3d16Pseudo	= 2048,
+    ARM_VST3d16Pseudo_UPD	= 2049,
+    ARM_VST3d16_UPD	= 2050,
+    ARM_VST3d32	= 2051,
+    ARM_VST3d32Pseudo	= 2052,
+    ARM_VST3d32Pseudo_UPD	= 2053,
+    ARM_VST3d32_UPD	= 2054,
+    ARM_VST3d8	= 2055,
+    ARM_VST3d8Pseudo	= 2056,
+    ARM_VST3d8Pseudo_UPD	= 2057,
+    ARM_VST3d8_UPD	= 2058,
+    ARM_VST3dAsm_16	= 2059,
+    ARM_VST3dAsm_32	= 2060,
+    ARM_VST3dAsm_8	= 2061,
+    ARM_VST3dWB_fixed_Asm_16	= 2062,
+    ARM_VST3dWB_fixed_Asm_32	= 2063,
+    ARM_VST3dWB_fixed_Asm_8	= 2064,
+    ARM_VST3dWB_register_Asm_16	= 2065,
+    ARM_VST3dWB_register_Asm_32	= 2066,
+    ARM_VST3dWB_register_Asm_8	= 2067,
+    ARM_VST3q16	= 2068,
+    ARM_VST3q16Pseudo_UPD	= 2069,
+    ARM_VST3q16_UPD	= 2070,
+    ARM_VST3q16oddPseudo	= 2071,
+    ARM_VST3q16oddPseudo_UPD	= 2072,
+    ARM_VST3q32	= 2073,
+    ARM_VST3q32Pseudo_UPD	= 2074,
+    ARM_VST3q32_UPD	= 2075,
+    ARM_VST3q32oddPseudo	= 2076,
+    ARM_VST3q32oddPseudo_UPD	= 2077,
+    ARM_VST3q8	= 2078,
+    ARM_VST3q8Pseudo_UPD	= 2079,
+    ARM_VST3q8_UPD	= 2080,
+    ARM_VST3q8oddPseudo	= 2081,
+    ARM_VST3q8oddPseudo_UPD	= 2082,
+    ARM_VST3qAsm_16	= 2083,
+    ARM_VST3qAsm_32	= 2084,
+    ARM_VST3qAsm_8	= 2085,
+    ARM_VST3qWB_fixed_Asm_16	= 2086,
+    ARM_VST3qWB_fixed_Asm_32	= 2087,
+    ARM_VST3qWB_fixed_Asm_8	= 2088,
+    ARM_VST3qWB_register_Asm_16	= 2089,
+    ARM_VST3qWB_register_Asm_32	= 2090,
+    ARM_VST3qWB_register_Asm_8	= 2091,
+    ARM_VST4LNd16	= 2092,
+    ARM_VST4LNd16Pseudo	= 2093,
+    ARM_VST4LNd16Pseudo_UPD	= 2094,
+    ARM_VST4LNd16_UPD	= 2095,
+    ARM_VST4LNd32	= 2096,
+    ARM_VST4LNd32Pseudo	= 2097,
+    ARM_VST4LNd32Pseudo_UPD	= 2098,
+    ARM_VST4LNd32_UPD	= 2099,
+    ARM_VST4LNd8	= 2100,
+    ARM_VST4LNd8Pseudo	= 2101,
+    ARM_VST4LNd8Pseudo_UPD	= 2102,
+    ARM_VST4LNd8_UPD	= 2103,
+    ARM_VST4LNdAsm_16	= 2104,
+    ARM_VST4LNdAsm_32	= 2105,
+    ARM_VST4LNdAsm_8	= 2106,
+    ARM_VST4LNdWB_fixed_Asm_16	= 2107,
+    ARM_VST4LNdWB_fixed_Asm_32	= 2108,
+    ARM_VST4LNdWB_fixed_Asm_8	= 2109,
+    ARM_VST4LNdWB_register_Asm_16	= 2110,
+    ARM_VST4LNdWB_register_Asm_32	= 2111,
+    ARM_VST4LNdWB_register_Asm_8	= 2112,
+    ARM_VST4LNq16	= 2113,
+    ARM_VST4LNq16Pseudo	= 2114,
+    ARM_VST4LNq16Pseudo_UPD	= 2115,
+    ARM_VST4LNq16_UPD	= 2116,
+    ARM_VST4LNq32	= 2117,
+    ARM_VST4LNq32Pseudo	= 2118,
+    ARM_VST4LNq32Pseudo_UPD	= 2119,
+    ARM_VST4LNq32_UPD	= 2120,
+    ARM_VST4LNqAsm_16	= 2121,
+    ARM_VST4LNqAsm_32	= 2122,
+    ARM_VST4LNqWB_fixed_Asm_16	= 2123,
+    ARM_VST4LNqWB_fixed_Asm_32	= 2124,
+    ARM_VST4LNqWB_register_Asm_16	= 2125,
+    ARM_VST4LNqWB_register_Asm_32	= 2126,
+    ARM_VST4d16	= 2127,
+    ARM_VST4d16Pseudo	= 2128,
+    ARM_VST4d16Pseudo_UPD	= 2129,
+    ARM_VST4d16_UPD	= 2130,
+    ARM_VST4d32	= 2131,
+    ARM_VST4d32Pseudo	= 2132,
+    ARM_VST4d32Pseudo_UPD	= 2133,
+    ARM_VST4d32_UPD	= 2134,
+    ARM_VST4d8	= 2135,
+    ARM_VST4d8Pseudo	= 2136,
+    ARM_VST4d8Pseudo_UPD	= 2137,
+    ARM_VST4d8_UPD	= 2138,
+    ARM_VST4dAsm_16	= 2139,
+    ARM_VST4dAsm_32	= 2140,
+    ARM_VST4dAsm_8	= 2141,
+    ARM_VST4dWB_fixed_Asm_16	= 2142,
+    ARM_VST4dWB_fixed_Asm_32	= 2143,
+    ARM_VST4dWB_fixed_Asm_8	= 2144,
+    ARM_VST4dWB_register_Asm_16	= 2145,
+    ARM_VST4dWB_register_Asm_32	= 2146,
+    ARM_VST4dWB_register_Asm_8	= 2147,
+    ARM_VST4q16	= 2148,
+    ARM_VST4q16Pseudo_UPD	= 2149,
+    ARM_VST4q16_UPD	= 2150,
+    ARM_VST4q16oddPseudo	= 2151,
+    ARM_VST4q16oddPseudo_UPD	= 2152,
+    ARM_VST4q32	= 2153,
+    ARM_VST4q32Pseudo_UPD	= 2154,
+    ARM_VST4q32_UPD	= 2155,
+    ARM_VST4q32oddPseudo	= 2156,
+    ARM_VST4q32oddPseudo_UPD	= 2157,
+    ARM_VST4q8	= 2158,
+    ARM_VST4q8Pseudo_UPD	= 2159,
+    ARM_VST4q8_UPD	= 2160,
+    ARM_VST4q8oddPseudo	= 2161,
+    ARM_VST4q8oddPseudo_UPD	= 2162,
+    ARM_VST4qAsm_16	= 2163,
+    ARM_VST4qAsm_32	= 2164,
+    ARM_VST4qAsm_8	= 2165,
+    ARM_VST4qWB_fixed_Asm_16	= 2166,
+    ARM_VST4qWB_fixed_Asm_32	= 2167,
+    ARM_VST4qWB_fixed_Asm_8	= 2168,
+    ARM_VST4qWB_register_Asm_16	= 2169,
+    ARM_VST4qWB_register_Asm_32	= 2170,
+    ARM_VST4qWB_register_Asm_8	= 2171,
+    ARM_VSTMDDB_UPD	= 2172,
+    ARM_VSTMDIA	= 2173,
+    ARM_VSTMDIA_UPD	= 2174,
+    ARM_VSTMQIA	= 2175,
+    ARM_VSTMSDB_UPD	= 2176,
+    ARM_VSTMSIA	= 2177,
+    ARM_VSTMSIA_UPD	= 2178,
+    ARM_VSTRD	= 2179,
+    ARM_VSTRS	= 2180,
+    ARM_VSUBD	= 2181,
+    ARM_VSUBHNv2i32	= 2182,
+    ARM_VSUBHNv4i16	= 2183,
+    ARM_VSUBHNv8i8	= 2184,
+    ARM_VSUBLsv2i64	= 2185,
+    ARM_VSUBLsv4i32	= 2186,
+    ARM_VSUBLsv8i16	= 2187,
+    ARM_VSUBLuv2i64	= 2188,
+    ARM_VSUBLuv4i32	= 2189,
+    ARM_VSUBLuv8i16	= 2190,
+    ARM_VSUBS	= 2191,
+    ARM_VSUBWsv2i64	= 2192,
+    ARM_VSUBWsv4i32	= 2193,
+    ARM_VSUBWsv8i16	= 2194,
+    ARM_VSUBWuv2i64	= 2195,
+    ARM_VSUBWuv4i32	= 2196,
+    ARM_VSUBWuv8i16	= 2197,
+    ARM_VSUBfd	= 2198,
+    ARM_VSUBfq	= 2199,
+    ARM_VSUBv16i8	= 2200,
+    ARM_VSUBv1i64	= 2201,
+    ARM_VSUBv2i32	= 2202,
+    ARM_VSUBv2i64	= 2203,
+    ARM_VSUBv4i16	= 2204,
+    ARM_VSUBv4i32	= 2205,
+    ARM_VSUBv8i16	= 2206,
+    ARM_VSUBv8i8	= 2207,
+    ARM_VSWPd	= 2208,
+    ARM_VSWPq	= 2209,
+    ARM_VTBL1	= 2210,
+    ARM_VTBL2	= 2211,
+    ARM_VTBL3	= 2212,
+    ARM_VTBL3Pseudo	= 2213,
+    ARM_VTBL4	= 2214,
+    ARM_VTBL4Pseudo	= 2215,
+    ARM_VTBX1	= 2216,
+    ARM_VTBX2	= 2217,
+    ARM_VTBX3	= 2218,
+    ARM_VTBX3Pseudo	= 2219,
+    ARM_VTBX4	= 2220,
+    ARM_VTBX4Pseudo	= 2221,
+    ARM_VTOSHD	= 2222,
+    ARM_VTOSHS	= 2223,
+    ARM_VTOSIRD	= 2224,
+    ARM_VTOSIRS	= 2225,
+    ARM_VTOSIZD	= 2226,
+    ARM_VTOSIZS	= 2227,
+    ARM_VTOSLD	= 2228,
+    ARM_VTOSLS	= 2229,
+    ARM_VTOUHD	= 2230,
+    ARM_VTOUHS	= 2231,
+    ARM_VTOUIRD	= 2232,
+    ARM_VTOUIRS	= 2233,
+    ARM_VTOUIZD	= 2234,
+    ARM_VTOUIZS	= 2235,
+    ARM_VTOULD	= 2236,
+    ARM_VTOULS	= 2237,
+    ARM_VTRNd16	= 2238,
+    ARM_VTRNd32	= 2239,
+    ARM_VTRNd8	= 2240,
+    ARM_VTRNq16	= 2241,
+    ARM_VTRNq32	= 2242,
+    ARM_VTRNq8	= 2243,
+    ARM_VTSTv16i8	= 2244,
+    ARM_VTSTv2i32	= 2245,
+    ARM_VTSTv4i16	= 2246,
+    ARM_VTSTv4i32	= 2247,
+    ARM_VTSTv8i16	= 2248,
+    ARM_VTSTv8i8	= 2249,
+    ARM_VUHTOD	= 2250,
+    ARM_VUHTOS	= 2251,
+    ARM_VUITOD	= 2252,
+    ARM_VUITOS	= 2253,
+    ARM_VULTOD	= 2254,
+    ARM_VULTOS	= 2255,
+    ARM_VUZPd16	= 2256,
+    ARM_VUZPd8	= 2257,
+    ARM_VUZPq16	= 2258,
+    ARM_VUZPq32	= 2259,
+    ARM_VUZPq8	= 2260,
+    ARM_VZIPd16	= 2261,
+    ARM_VZIPd8	= 2262,
+    ARM_VZIPq16	= 2263,
+    ARM_VZIPq32	= 2264,
+    ARM_VZIPq8	= 2265,
+    ARM_WIN__CHKSTK	= 2266,
+    ARM_sysLDMDA	= 2267,
+    ARM_sysLDMDA_UPD	= 2268,
+    ARM_sysLDMDB	= 2269,
+    ARM_sysLDMDB_UPD	= 2270,
+    ARM_sysLDMIA	= 2271,
+    ARM_sysLDMIA_UPD	= 2272,
+    ARM_sysLDMIB	= 2273,
+    ARM_sysLDMIB_UPD	= 2274,
+    ARM_sysSTMDA	= 2275,
+    ARM_sysSTMDA_UPD	= 2276,
+    ARM_sysSTMDB	= 2277,
+    ARM_sysSTMDB_UPD	= 2278,
+    ARM_sysSTMIA	= 2279,
+    ARM_sysSTMIA_UPD	= 2280,
+    ARM_sysSTMIB	= 2281,
+    ARM_sysSTMIB_UPD	= 2282,
+    ARM_t2ABS	= 2283,
+    ARM_t2ADCri	= 2284,
+    ARM_t2ADCrr	= 2285,
+    ARM_t2ADCrs	= 2286,
+    ARM_t2ADDSri	= 2287,
+    ARM_t2ADDSrr	= 2288,
+    ARM_t2ADDSrs	= 2289,
+    ARM_t2ADDri	= 2290,
+    ARM_t2ADDri12	= 2291,
+    ARM_t2ADDrr	= 2292,
+    ARM_t2ADDrs	= 2293,
+    ARM_t2ADR	= 2294,
+    ARM_t2ANDri	= 2295,
+    ARM_t2ANDrr	= 2296,
+    ARM_t2ANDrs	= 2297,
+    ARM_t2ASRri	= 2298,
+    ARM_t2ASRrr	= 2299,
+    ARM_t2B	= 2300,
+    ARM_t2BFC	= 2301,
+    ARM_t2BFI	= 2302,
+    ARM_t2BICri	= 2303,
+    ARM_t2BICrr	= 2304,
+    ARM_t2BICrs	= 2305,
+    ARM_t2BR_JT	= 2306,
+    ARM_t2BXJ	= 2307,
+    ARM_t2Bcc	= 2308,
+    ARM_t2CDP	= 2309,
+    ARM_t2CDP2	= 2310,
+    ARM_t2CLREX	= 2311,
+    ARM_t2CLZ	= 2312,
+    ARM_t2CMNri	= 2313,
+    ARM_t2CMNzrr	= 2314,
+    ARM_t2CMNzrs	= 2315,
+    ARM_t2CMPri	= 2316,
+    ARM_t2CMPrr	= 2317,
+    ARM_t2CMPrs	= 2318,
+    ARM_t2CPS1p	= 2319,
+    ARM_t2CPS2p	= 2320,
+    ARM_t2CPS3p	= 2321,
+    ARM_t2CRC32B	= 2322,
+    ARM_t2CRC32CB	= 2323,
+    ARM_t2CRC32CH	= 2324,
+    ARM_t2CRC32CW	= 2325,
+    ARM_t2CRC32H	= 2326,
+    ARM_t2CRC32W	= 2327,
+    ARM_t2DBG	= 2328,
+    ARM_t2DCPS1	= 2329,
+    ARM_t2DCPS2	= 2330,
+    ARM_t2DCPS3	= 2331,
+    ARM_t2DMB	= 2332,
+    ARM_t2DSB	= 2333,
+    ARM_t2EORri	= 2334,
+    ARM_t2EORrr	= 2335,
+    ARM_t2EORrs	= 2336,
+    ARM_t2HINT	= 2337,
+    ARM_t2ISB	= 2338,
+    ARM_t2IT	= 2339,
+    ARM_t2Int_eh_sjlj_setjmp	= 2340,
+    ARM_t2Int_eh_sjlj_setjmp_nofp	= 2341,
+    ARM_t2LDA	= 2342,
+    ARM_t2LDAB	= 2343,
+    ARM_t2LDAEX	= 2344,
+    ARM_t2LDAEXB	= 2345,
+    ARM_t2LDAEXD	= 2346,
+    ARM_t2LDAEXH	= 2347,
+    ARM_t2LDAH	= 2348,
+    ARM_t2LDC2L_OFFSET	= 2349,
+    ARM_t2LDC2L_OPTION	= 2350,
+    ARM_t2LDC2L_POST	= 2351,
+    ARM_t2LDC2L_PRE	= 2352,
+    ARM_t2LDC2_OFFSET	= 2353,
+    ARM_t2LDC2_OPTION	= 2354,
+    ARM_t2LDC2_POST	= 2355,
+    ARM_t2LDC2_PRE	= 2356,
+    ARM_t2LDCL_OFFSET	= 2357,
+    ARM_t2LDCL_OPTION	= 2358,
+    ARM_t2LDCL_POST	= 2359,
+    ARM_t2LDCL_PRE	= 2360,
+    ARM_t2LDC_OFFSET	= 2361,
+    ARM_t2LDC_OPTION	= 2362,
+    ARM_t2LDC_POST	= 2363,
+    ARM_t2LDC_PRE	= 2364,
+    ARM_t2LDMDB	= 2365,
+    ARM_t2LDMDB_UPD	= 2366,
+    ARM_t2LDMIA	= 2367,
+    ARM_t2LDMIA_RET	= 2368,
+    ARM_t2LDMIA_UPD	= 2369,
+    ARM_t2LDRBT	= 2370,
+    ARM_t2LDRB_POST	= 2371,
+    ARM_t2LDRB_PRE	= 2372,
+    ARM_t2LDRBi12	= 2373,
+    ARM_t2LDRBi8	= 2374,
+    ARM_t2LDRBpci	= 2375,
+    ARM_t2LDRBpcrel	= 2376,
+    ARM_t2LDRBs	= 2377,
+    ARM_t2LDRD_POST	= 2378,
+    ARM_t2LDRD_PRE	= 2379,
+    ARM_t2LDRDi8	= 2380,
+    ARM_t2LDREX	= 2381,
+    ARM_t2LDREXB	= 2382,
+    ARM_t2LDREXD	= 2383,
+    ARM_t2LDREXH	= 2384,
+    ARM_t2LDRHT	= 2385,
+    ARM_t2LDRH_POST	= 2386,
+    ARM_t2LDRH_PRE	= 2387,
+    ARM_t2LDRHi12	= 2388,
+    ARM_t2LDRHi8	= 2389,
+    ARM_t2LDRHpci	= 2390,
+    ARM_t2LDRHpcrel	= 2391,
+    ARM_t2LDRHs	= 2392,
+    ARM_t2LDRSBT	= 2393,
+    ARM_t2LDRSB_POST	= 2394,
+    ARM_t2LDRSB_PRE	= 2395,
+    ARM_t2LDRSBi12	= 2396,
+    ARM_t2LDRSBi8	= 2397,
+    ARM_t2LDRSBpci	= 2398,
+    ARM_t2LDRSBpcrel	= 2399,
+    ARM_t2LDRSBs	= 2400,
+    ARM_t2LDRSHT	= 2401,
+    ARM_t2LDRSH_POST	= 2402,
+    ARM_t2LDRSH_PRE	= 2403,
+    ARM_t2LDRSHi12	= 2404,
+    ARM_t2LDRSHi8	= 2405,
+    ARM_t2LDRSHpci	= 2406,
+    ARM_t2LDRSHpcrel	= 2407,
+    ARM_t2LDRSHs	= 2408,
+    ARM_t2LDRT	= 2409,
+    ARM_t2LDR_POST	= 2410,
+    ARM_t2LDR_PRE	= 2411,
+    ARM_t2LDRi12	= 2412,
+    ARM_t2LDRi8	= 2413,
+    ARM_t2LDRpci	= 2414,
+    ARM_t2LDRpci_pic	= 2415,
+    ARM_t2LDRpcrel	= 2416,
+    ARM_t2LDRs	= 2417,
+    ARM_t2LEApcrel	= 2418,
+    ARM_t2LEApcrelJT	= 2419,
+    ARM_t2LSLri	= 2420,
+    ARM_t2LSLrr	= 2421,
+    ARM_t2LSRri	= 2422,
+    ARM_t2LSRrr	= 2423,
+    ARM_t2MCR	= 2424,
+    ARM_t2MCR2	= 2425,
+    ARM_t2MCRR	= 2426,
+    ARM_t2MCRR2	= 2427,
+    ARM_t2MLA	= 2428,
+    ARM_t2MLS	= 2429,
+    ARM_t2MOVCCasr	= 2430,
+    ARM_t2MOVCCi	= 2431,
+    ARM_t2MOVCCi16	= 2432,
+    ARM_t2MOVCCi32imm	= 2433,
+    ARM_t2MOVCClsl	= 2434,
+    ARM_t2MOVCClsr	= 2435,
+    ARM_t2MOVCCr	= 2436,
+    ARM_t2MOVCCror	= 2437,
+    ARM_t2MOVSsi	= 2438,
+    ARM_t2MOVSsr	= 2439,
+    ARM_t2MOVTi16	= 2440,
+    ARM_t2MOVTi16_ga_pcrel	= 2441,
+    ARM_t2MOV_ga_pcrel	= 2442,
+    ARM_t2MOVi	= 2443,
+    ARM_t2MOVi16	= 2444,
+    ARM_t2MOVi16_ga_pcrel	= 2445,
+    ARM_t2MOVi32imm	= 2446,
+    ARM_t2MOVr	= 2447,
+    ARM_t2MOVsi	= 2448,
+    ARM_t2MOVsr	= 2449,
+    ARM_t2MOVsra_flag	= 2450,
+    ARM_t2MOVsrl_flag	= 2451,
+    ARM_t2MRC	= 2452,
+    ARM_t2MRC2	= 2453,
+    ARM_t2MRRC	= 2454,
+    ARM_t2MRRC2	= 2455,
+    ARM_t2MRS_AR	= 2456,
+    ARM_t2MRS_M	= 2457,
+    ARM_t2MRSsys_AR	= 2458,
+    ARM_t2MSR_AR	= 2459,
+    ARM_t2MSR_M	= 2460,
+    ARM_t2MUL	= 2461,
+    ARM_t2MVNCCi	= 2462,
+    ARM_t2MVNi	= 2463,
+    ARM_t2MVNr	= 2464,
+    ARM_t2MVNs	= 2465,
+    ARM_t2ORNri	= 2466,
+    ARM_t2ORNrr	= 2467,
+    ARM_t2ORNrs	= 2468,
+    ARM_t2ORRri	= 2469,
+    ARM_t2ORRrr	= 2470,
+    ARM_t2ORRrs	= 2471,
+    ARM_t2PKHBT	= 2472,
+    ARM_t2PKHTB	= 2473,
+    ARM_t2PLDWi12	= 2474,
+    ARM_t2PLDWi8	= 2475,
+    ARM_t2PLDWs	= 2476,
+    ARM_t2PLDi12	= 2477,
+    ARM_t2PLDi8	= 2478,
+    ARM_t2PLDpci	= 2479,
+    ARM_t2PLDs	= 2480,
+    ARM_t2PLIi12	= 2481,
+    ARM_t2PLIi8	= 2482,
+    ARM_t2PLIpci	= 2483,
+    ARM_t2PLIs	= 2484,
+    ARM_t2QADD	= 2485,
+    ARM_t2QADD16	= 2486,
+    ARM_t2QADD8	= 2487,
+    ARM_t2QASX	= 2488,
+    ARM_t2QDADD	= 2489,
+    ARM_t2QDSUB	= 2490,
+    ARM_t2QSAX	= 2491,
+    ARM_t2QSUB	= 2492,
+    ARM_t2QSUB16	= 2493,
+    ARM_t2QSUB8	= 2494,
+    ARM_t2RBIT	= 2495,
+    ARM_t2REV	= 2496,
+    ARM_t2REV16	= 2497,
+    ARM_t2REVSH	= 2498,
+    ARM_t2RFEDB	= 2499,
+    ARM_t2RFEDBW	= 2500,
+    ARM_t2RFEIA	= 2501,
+    ARM_t2RFEIAW	= 2502,
+    ARM_t2RORri	= 2503,
+    ARM_t2RORrr	= 2504,
+    ARM_t2RRX	= 2505,
+    ARM_t2RSBSri	= 2506,
+    ARM_t2RSBSrs	= 2507,
+    ARM_t2RSBri	= 2508,
+    ARM_t2RSBrr	= 2509,
+    ARM_t2RSBrs	= 2510,
+    ARM_t2SADD16	= 2511,
+    ARM_t2SADD8	= 2512,
+    ARM_t2SASX	= 2513,
+    ARM_t2SBCri	= 2514,
+    ARM_t2SBCrr	= 2515,
+    ARM_t2SBCrs	= 2516,
+    ARM_t2SBFX	= 2517,
+    ARM_t2SDIV	= 2518,
+    ARM_t2SEL	= 2519,
+    ARM_t2SHADD16	= 2520,
+    ARM_t2SHADD8	= 2521,
+    ARM_t2SHASX	= 2522,
+    ARM_t2SHSAX	= 2523,
+    ARM_t2SHSUB16	= 2524,
+    ARM_t2SHSUB8	= 2525,
+    ARM_t2SMC	= 2526,
+    ARM_t2SMLABB	= 2527,
+    ARM_t2SMLABT	= 2528,
+    ARM_t2SMLAD	= 2529,
+    ARM_t2SMLADX	= 2530,
+    ARM_t2SMLAL	= 2531,
+    ARM_t2SMLALBB	= 2532,
+    ARM_t2SMLALBT	= 2533,
+    ARM_t2SMLALD	= 2534,
+    ARM_t2SMLALDX	= 2535,
+    ARM_t2SMLALTB	= 2536,
+    ARM_t2SMLALTT	= 2537,
+    ARM_t2SMLATB	= 2538,
+    ARM_t2SMLATT	= 2539,
+    ARM_t2SMLAWB	= 2540,
+    ARM_t2SMLAWT	= 2541,
+    ARM_t2SMLSD	= 2542,
+    ARM_t2SMLSDX	= 2543,
+    ARM_t2SMLSLD	= 2544,
+    ARM_t2SMLSLDX	= 2545,
+    ARM_t2SMMLA	= 2546,
+    ARM_t2SMMLAR	= 2547,
+    ARM_t2SMMLS	= 2548,
+    ARM_t2SMMLSR	= 2549,
+    ARM_t2SMMUL	= 2550,
+    ARM_t2SMMULR	= 2551,
+    ARM_t2SMUAD	= 2552,
+    ARM_t2SMUADX	= 2553,
+    ARM_t2SMULBB	= 2554,
+    ARM_t2SMULBT	= 2555,
+    ARM_t2SMULL	= 2556,
+    ARM_t2SMULTB	= 2557,
+    ARM_t2SMULTT	= 2558,
+    ARM_t2SMULWB	= 2559,
+    ARM_t2SMULWT	= 2560,
+    ARM_t2SMUSD	= 2561,
+    ARM_t2SMUSDX	= 2562,
+    ARM_t2SRSDB	= 2563,
+    ARM_t2SRSDB_UPD	= 2564,
+    ARM_t2SRSIA	= 2565,
+    ARM_t2SRSIA_UPD	= 2566,
+    ARM_t2SSAT	= 2567,
+    ARM_t2SSAT16	= 2568,
+    ARM_t2SSAX	= 2569,
+    ARM_t2SSUB16	= 2570,
+    ARM_t2SSUB8	= 2571,
+    ARM_t2STC2L_OFFSET	= 2572,
+    ARM_t2STC2L_OPTION	= 2573,
+    ARM_t2STC2L_POST	= 2574,
+    ARM_t2STC2L_PRE	= 2575,
+    ARM_t2STC2_OFFSET	= 2576,
+    ARM_t2STC2_OPTION	= 2577,
+    ARM_t2STC2_POST	= 2578,
+    ARM_t2STC2_PRE	= 2579,
+    ARM_t2STCL_OFFSET	= 2580,
+    ARM_t2STCL_OPTION	= 2581,
+    ARM_t2STCL_POST	= 2582,
+    ARM_t2STCL_PRE	= 2583,
+    ARM_t2STC_OFFSET	= 2584,
+    ARM_t2STC_OPTION	= 2585,
+    ARM_t2STC_POST	= 2586,
+    ARM_t2STC_PRE	= 2587,
+    ARM_t2STL	= 2588,
+    ARM_t2STLB	= 2589,
+    ARM_t2STLEX	= 2590,
+    ARM_t2STLEXB	= 2591,
+    ARM_t2STLEXD	= 2592,
+    ARM_t2STLEXH	= 2593,
+    ARM_t2STLH	= 2594,
+    ARM_t2STMDB	= 2595,
+    ARM_t2STMDB_UPD	= 2596,
+    ARM_t2STMIA	= 2597,
+    ARM_t2STMIA_UPD	= 2598,
+    ARM_t2STRBT	= 2599,
+    ARM_t2STRB_POST	= 2600,
+    ARM_t2STRB_PRE	= 2601,
+    ARM_t2STRB_preidx	= 2602,
+    ARM_t2STRBi12	= 2603,
+    ARM_t2STRBi8	= 2604,
+    ARM_t2STRBs	= 2605,
+    ARM_t2STRD_POST	= 2606,
+    ARM_t2STRD_PRE	= 2607,
+    ARM_t2STRDi8	= 2608,
+    ARM_t2STREX	= 2609,
+    ARM_t2STREXB	= 2610,
+    ARM_t2STREXD	= 2611,
+    ARM_t2STREXH	= 2612,
+    ARM_t2STRHT	= 2613,
+    ARM_t2STRH_POST	= 2614,
+    ARM_t2STRH_PRE	= 2615,
+    ARM_t2STRH_preidx	= 2616,
+    ARM_t2STRHi12	= 2617,
+    ARM_t2STRHi8	= 2618,
+    ARM_t2STRHs	= 2619,
+    ARM_t2STRT	= 2620,
+    ARM_t2STR_POST	= 2621,
+    ARM_t2STR_PRE	= 2622,
+    ARM_t2STR_preidx	= 2623,
+    ARM_t2STRi12	= 2624,
+    ARM_t2STRi8	= 2625,
+    ARM_t2STRs	= 2626,
+    ARM_t2SUBS_PC_LR	= 2627,
+    ARM_t2SUBSri	= 2628,
+    ARM_t2SUBSrr	= 2629,
+    ARM_t2SUBSrs	= 2630,
+    ARM_t2SUBri	= 2631,
+    ARM_t2SUBri12	= 2632,
+    ARM_t2SUBrr	= 2633,
+    ARM_t2SUBrs	= 2634,
+    ARM_t2SXTAB	= 2635,
+    ARM_t2SXTAB16	= 2636,
+    ARM_t2SXTAH	= 2637,
+    ARM_t2SXTB	= 2638,
+    ARM_t2SXTB16	= 2639,
+    ARM_t2SXTH	= 2640,
+    ARM_t2TBB	= 2641,
+    ARM_t2TBB_JT	= 2642,
+    ARM_t2TBH	= 2643,
+    ARM_t2TBH_JT	= 2644,
+    ARM_t2TEQri	= 2645,
+    ARM_t2TEQrr	= 2646,
+    ARM_t2TEQrs	= 2647,
+    ARM_t2TSTri	= 2648,
+    ARM_t2TSTrr	= 2649,
+    ARM_t2TSTrs	= 2650,
+    ARM_t2UADD16	= 2651,
+    ARM_t2UADD8	= 2652,
+    ARM_t2UASX	= 2653,
+    ARM_t2UBFX	= 2654,
+    ARM_t2UDF	= 2655,
+    ARM_t2UDIV	= 2656,
+    ARM_t2UHADD16	= 2657,
+    ARM_t2UHADD8	= 2658,
+    ARM_t2UHASX	= 2659,
+    ARM_t2UHSAX	= 2660,
+    ARM_t2UHSUB16	= 2661,
+    ARM_t2UHSUB8	= 2662,
+    ARM_t2UMAAL	= 2663,
+    ARM_t2UMLAL	= 2664,
+    ARM_t2UMULL	= 2665,
+    ARM_t2UQADD16	= 2666,
+    ARM_t2UQADD8	= 2667,
+    ARM_t2UQASX	= 2668,
+    ARM_t2UQSAX	= 2669,
+    ARM_t2UQSUB16	= 2670,
+    ARM_t2UQSUB8	= 2671,
+    ARM_t2USAD8	= 2672,
+    ARM_t2USADA8	= 2673,
+    ARM_t2USAT	= 2674,
+    ARM_t2USAT16	= 2675,
+    ARM_t2USAX	= 2676,
+    ARM_t2USUB16	= 2677,
+    ARM_t2USUB8	= 2678,
+    ARM_t2UXTAB	= 2679,
+    ARM_t2UXTAB16	= 2680,
+    ARM_t2UXTAH	= 2681,
+    ARM_t2UXTB	= 2682,
+    ARM_t2UXTB16	= 2683,
+    ARM_t2UXTH	= 2684,
+    ARM_tADC	= 2685,
+    ARM_tADDhirr	= 2686,
+    ARM_tADDi3	= 2687,
+    ARM_tADDi8	= 2688,
+    ARM_tADDrSP	= 2689,
+    ARM_tADDrSPi	= 2690,
+    ARM_tADDrr	= 2691,
+    ARM_tADDspi	= 2692,
+    ARM_tADDspr	= 2693,
+    ARM_tADJCALLSTACKDOWN	= 2694,
+    ARM_tADJCALLSTACKUP	= 2695,
+    ARM_tADR	= 2696,
+    ARM_tAND	= 2697,
+    ARM_tASRri	= 2698,
+    ARM_tASRrr	= 2699,
+    ARM_tB	= 2700,
+    ARM_tBIC	= 2701,
+    ARM_tBKPT	= 2702,
+    ARM_tBL	= 2703,
+    ARM_tBLXi	= 2704,
+    ARM_tBLXr	= 2705,
+    ARM_tBRIND	= 2706,
+    ARM_tBR_JTr	= 2707,
+    ARM_tBX	= 2708,
+    ARM_tBX_CALL	= 2709,
+    ARM_tBX_RET	= 2710,
+    ARM_tBX_RET_vararg	= 2711,
+    ARM_tBcc	= 2712,
+    ARM_tBfar	= 2713,
+    ARM_tCBNZ	= 2714,
+    ARM_tCBZ	= 2715,
+    ARM_tCMNz	= 2716,
+    ARM_tCMPhir	= 2717,
+    ARM_tCMPi8	= 2718,
+    ARM_tCMPr	= 2719,
+    ARM_tCPS	= 2720,
+    ARM_tEOR	= 2721,
+    ARM_tHINT	= 2722,
+    ARM_tHLT	= 2723,
+    ARM_tInt_eh_sjlj_longjmp	= 2724,
+    ARM_tInt_eh_sjlj_setjmp	= 2725,
+    ARM_tLDMIA	= 2726,
+    ARM_tLDMIA_UPD	= 2727,
+    ARM_tLDRBi	= 2728,
+    ARM_tLDRBr	= 2729,
+    ARM_tLDRHi	= 2730,
+    ARM_tLDRHr	= 2731,
+    ARM_tLDRLIT_ga_abs	= 2732,
+    ARM_tLDRLIT_ga_pcrel	= 2733,
+    ARM_tLDRSB	= 2734,
+    ARM_tLDRSH	= 2735,
+    ARM_tLDRi	= 2736,
+    ARM_tLDRpci	= 2737,
+    ARM_tLDRpci_pic	= 2738,
+    ARM_tLDRr	= 2739,
+    ARM_tLDRspi	= 2740,
+    ARM_tLEApcrel	= 2741,
+    ARM_tLEApcrelJT	= 2742,
+    ARM_tLSLri	= 2743,
+    ARM_tLSLrr	= 2744,
+    ARM_tLSRri	= 2745,
+    ARM_tLSRrr	= 2746,
+    ARM_tMOVCCr_pseudo	= 2747,
+    ARM_tMOVSr	= 2748,
+    ARM_tMOVi8	= 2749,
+    ARM_tMOVr	= 2750,
+    ARM_tMUL	= 2751,
+    ARM_tMVN	= 2752,
+    ARM_tORR	= 2753,
+    ARM_tPICADD	= 2754,
+    ARM_tPOP	= 2755,
+    ARM_tPOP_RET	= 2756,
+    ARM_tPUSH	= 2757,
+    ARM_tREV	= 2758,
+    ARM_tREV16	= 2759,
+    ARM_tREVSH	= 2760,
+    ARM_tROR	= 2761,
+    ARM_tRSB	= 2762,
+    ARM_tSBC	= 2763,
+    ARM_tSETEND	= 2764,
+    ARM_tSTMIA_UPD	= 2765,
+    ARM_tSTRBi	= 2766,
+    ARM_tSTRBr	= 2767,
+    ARM_tSTRHi	= 2768,
+    ARM_tSTRHr	= 2769,
+    ARM_tSTRi	= 2770,
+    ARM_tSTRr	= 2771,
+    ARM_tSTRspi	= 2772,
+    ARM_tSUBi3	= 2773,
+    ARM_tSUBi8	= 2774,
+    ARM_tSUBrr	= 2775,
+    ARM_tSUBspi	= 2776,
+    ARM_tSVC	= 2777,
+    ARM_tSXTB	= 2778,
+    ARM_tSXTH	= 2779,
+    ARM_tTAILJMPd	= 2780,
+    ARM_tTAILJMPdND	= 2781,
+    ARM_tTAILJMPr	= 2782,
+    ARM_tTPsoft	= 2783,
+    ARM_tTRAP	= 2784,
+    ARM_tTST	= 2785,
+    ARM_tUDF	= 2786,
+    ARM_tUXTB	= 2787,
+    ARM_tUXTH	= 2788,
+    ARM_INSTRUCTION_LIST_END = 2789
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -2857,6 +2812,8 @@
 #ifdef GET_INSTRINFO_MC_DESC
 #undef GET_INSTRINFO_MC_DESC
 
+#define nullptr 0
+
 static uint16_t ImplicitList1[] = { ARM_CPSR, 0 };
 static uint16_t ImplicitList2[] = { ARM_SP, 0 };
 static uint16_t ImplicitList3[] = { ARM_LR, 0 };
@@ -2866,10 +2823,12 @@
 static uint16_t ImplicitList7[] = { ARM_R0, ARM_R1, ARM_R2, ARM_R3, ARM_R4, ARM_R5, ARM_R6, ARM_R7, ARM_R8, ARM_R9, ARM_R10, ARM_R11, ARM_R12, ARM_LR, ARM_CPSR, 0 };
 static uint16_t ImplicitList8[] = { ARM_R0, ARM_R12, ARM_LR, ARM_CPSR, 0 };
 static uint16_t ImplicitList9[] = { ARM_FPSCR, 0 };
-static uint16_t ImplicitList10[] = { ARM_ITSTATE, 0 };
-static uint16_t ImplicitList11[] = { ARM_R0, ARM_R1, ARM_R2, ARM_R3, ARM_R4, ARM_R5, ARM_R6, ARM_R7, ARM_R8, ARM_R9, ARM_R10, ARM_R11, ARM_R12, ARM_LR, ARM_CPSR, ARM_Q0, ARM_Q1, ARM_Q2, ARM_Q3, ARM_Q8, ARM_Q9, ARM_Q10, ARM_Q11, ARM_Q12, ARM_Q13, ARM_Q14, ARM_Q15, 0 };
-static uint16_t ImplicitList12[] = { ARM_PC, 0 };
-static uint16_t ImplicitList13[] = { ARM_R0, ARM_R1, ARM_R2, ARM_R3, ARM_R4, ARM_R5, ARM_R6, ARM_R7, ARM_R12, ARM_CPSR, 0 };
+static uint16_t ImplicitList10[] = { ARM_R4, 0 };
+static uint16_t ImplicitList11[] = { ARM_R4, ARM_SP, 0 };
+static uint16_t ImplicitList12[] = { ARM_ITSTATE, 0 };
+static uint16_t ImplicitList13[] = { ARM_R0, ARM_R1, ARM_R2, ARM_R3, ARM_R4, ARM_R5, ARM_R6, ARM_R7, ARM_R8, ARM_R9, ARM_R10, ARM_R11, ARM_R12, ARM_LR, ARM_CPSR, ARM_Q0, ARM_Q1, ARM_Q2, ARM_Q3, ARM_Q8, ARM_Q9, ARM_Q10, ARM_Q11, ARM_Q12, ARM_Q13, ARM_Q14, ARM_Q15, 0 };
+static uint16_t ImplicitList14[] = { ARM_PC, 0 };
+static uint16_t ImplicitList15[] = { ARM_R0, ARM_R1, ARM_R2, ARM_R3, ARM_R4, ARM_R5, ARM_R6, ARM_R7, ARM_R12, ARM_CPSR, 0 };
 
 static MCOperandInfo OperandInfo2[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
 static MCOperandInfo OperandInfo3[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
@@ -2879,3194 +2838,3148 @@
 static MCOperandInfo OperandInfo7[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
 static MCOperandInfo OperandInfo8[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
 static MCOperandInfo OperandInfo9[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo10[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo11[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo12[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo13[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo14[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo15[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo16[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo17[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo18[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo19[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo20[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo21[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo22[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo23[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo24[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo25[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo26[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo27[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo28[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo29[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo30[] = { { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
-static MCOperandInfo OperandInfo31[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
-static MCOperandInfo OperandInfo32[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
-static MCOperandInfo OperandInfo33[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo34[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo35[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo36[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo37[] = { { -1, 0, MCOI_OPERAND_PCREL, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo38[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo39[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo40[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo41[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo42[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo43[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo44[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo45[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo46[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo47[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo48[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo49[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo50[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo51[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo52[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo53[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo54[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo55[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo56[] = { { ARM_GPRPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo57[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo58[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo59[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo60[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo61[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo62[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo63[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo64[] = { { ARM_GPRPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo65[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo66[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo67[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo68[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo69[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo70[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo71[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo72[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo73[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo74[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo75[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo76[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo77[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo78[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo79[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo80[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo81[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo82[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo83[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo84[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo85[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo86[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo87[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo88[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo89[] = { { ARM_tcGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tcGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo90[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo91[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo92[] = { { ARM_GPRwithAPSRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo93[] = { { ARM_GPRwithAPSRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo94[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo95[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo96[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo97[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo98[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo99[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo100[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo101[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo102[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo103[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo104[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo105[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo106[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo107[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo108[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo109[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo110[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo111[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo112[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo113[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo114[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo115[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo10[] = { { 0, 0|(1<<MCOI_LookupPtrRegClass), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo11[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo12[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo13[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo14[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo15[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo16[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo17[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo18[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo19[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo20[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo21[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo22[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo23[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo24[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo25[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo26[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo27[] = { { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
+static MCOperandInfo OperandInfo28[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
+static MCOperandInfo OperandInfo29[] = { { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
+static MCOperandInfo OperandInfo30[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo31[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo32[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo33[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo34[] = { { -1, 0, MCOI_OPERAND_PCREL, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo35[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo36[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo37[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo38[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo39[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo40[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo41[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo42[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo43[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo44[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo45[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo46[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo47[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo48[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo49[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo50[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo51[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo52[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo53[] = { { ARM_GPRPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo54[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo55[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo56[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo57[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo58[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo59[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo60[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo61[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo62[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo63[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo64[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo65[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo66[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo67[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo68[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo69[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo70[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo71[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo72[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo73[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo74[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo75[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo76[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo77[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo78[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo79[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo80[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo81[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo82[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo83[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo84[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo85[] = { { ARM_tcGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tcGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo86[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo87[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo88[] = { { ARM_GPRwithAPSRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo89[] = { { ARM_GPRwithAPSRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo90[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo91[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo92[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo93[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo94[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo95[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo96[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo97[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo98[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo99[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo100[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo101[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo102[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo103[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo104[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo105[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo106[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo107[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo108[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo109[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo110[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo111[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo112[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo113[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo114[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo115[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
 static MCOperandInfo OperandInfo116[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo117[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo118[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo119[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo120[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo121[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo122[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo123[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo124[] = { { ARM_tcGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo125[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo126[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo127[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo128[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo129[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo130[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo131[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo132[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo133[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo134[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo135[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo136[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo137[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo138[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo139[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo140[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo141[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo142[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo143[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo144[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo145[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo146[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo147[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo148[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo149[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo150[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo151[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo152[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo153[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo154[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo155[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo156[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo157[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo158[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo159[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo160[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo161[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo162[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo163[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo164[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo165[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo166[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo167[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo168[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo169[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo170[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo171[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo172[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo173[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo174[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo175[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo176[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo177[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo178[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo179[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo180[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo181[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo182[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo183[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo184[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo185[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo186[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo187[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo188[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((4 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo189[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo190[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((4 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo191[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo192[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo193[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo194[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo195[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo196[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo197[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo198[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo199[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo200[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo201[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo202[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo203[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo204[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo205[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo206[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo207[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo208[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo209[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo210[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo211[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo212[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo213[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo214[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo215[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo216[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo217[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo218[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo219[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo220[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo221[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo222[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo223[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo224[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo225[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo226[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo227[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo228[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo229[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo230[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo231[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo232[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo233[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo234[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo235[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo236[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo237[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo238[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo239[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo240[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo241[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo242[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo243[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo244[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo245[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo246[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo247[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo248[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo249[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo250[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo251[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo252[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo253[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo254[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo255[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo256[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo257[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo258[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo259[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo260[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo261[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo262[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo263[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo264[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo265[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo266[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo267[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo268[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo269[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo270[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo271[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo272[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo273[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo274[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo275[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo276[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo277[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo278[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo279[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo280[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo281[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo282[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo283[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo284[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo285[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo286[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo287[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo288[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo289[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo290[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo291[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo292[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo293[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo294[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo295[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo296[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo297[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo298[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo299[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo300[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo301[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo302[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo303[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo304[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo305[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo306[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo307[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo308[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo309[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo310[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo311[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo312[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo313[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo314[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo315[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo316[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo317[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo318[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo319[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo320[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo321[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo322[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo323[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo324[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo325[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo326[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo327[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo328[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo329[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo330[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo331[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo332[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo333[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo334[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo335[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo336[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo337[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo338[] = { { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo339[] = { { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo340[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo341[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
-static MCOperandInfo OperandInfo342[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
-static MCOperandInfo OperandInfo343[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo344[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo345[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
-static MCOperandInfo OperandInfo346[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo347[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo348[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo349[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo350[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo351[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
-static MCOperandInfo OperandInfo352[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo353[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo354[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo355[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo356[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo357[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo358[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo359[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo360[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
-static MCOperandInfo OperandInfo361[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo117[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo118[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo119[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo120[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo121[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo122[] = { { ARM_tcGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo123[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo124[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo125[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo126[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo127[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo128[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo129[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo130[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo131[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo132[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo133[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo134[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo135[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo136[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo137[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo138[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo139[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo140[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo141[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo142[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo143[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo144[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo145[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo146[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo147[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo148[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo149[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo150[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo151[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo152[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo153[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo154[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo155[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo156[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo157[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo158[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo159[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo160[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo161[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo162[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo163[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo164[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo165[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo166[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo167[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo168[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo169[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo170[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo171[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo172[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo173[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo174[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo175[] = { { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo176[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo177[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo178[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo179[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo180[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo181[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo182[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo183[] = { { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo184[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo185[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((4 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo186[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo187[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((4 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((3 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo188[] = { { ARM_DPairRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo189[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo190[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo191[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo192[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo193[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo194[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo195[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo196[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo197[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo198[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo199[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo200[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo201[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo202[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo203[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo204[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo205[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo206[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo207[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo208[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo209[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo210[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo211[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo212[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo213[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo214[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo215[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_VFP2RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo216[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo217[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPR_8RegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo218[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo219[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo220[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo221[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo222[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo223[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo224[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo225[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo226[] = { { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_SPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo227[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo228[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo229[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo230[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo231[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo232[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo233[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo234[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo235[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo236[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo237[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo238[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo239[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo240[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo241[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo242[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo243[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo244[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo245[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo246[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo247[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo248[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo249[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo250[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo251[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo252[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo253[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo254[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_QQQQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo255[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo256[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo257[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo258[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo259[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo260[] = { { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo261[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo262[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo263[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo264[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo265[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_DPairRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo266[] = { { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_QQPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_DPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo267[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo268[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo269[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo270[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo271[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo272[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo273[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo274[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo275[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo276[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo277[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo278[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo279[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo280[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo281[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo282[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo283[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo284[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo285[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo286[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo287[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo288[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo289[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo290[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo291[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo292[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((2 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo293[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo294[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo295[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo296[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo297[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo298[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo299[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo300[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo301[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo302[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo303[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo304[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo305[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo306[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo307[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo308[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo309[] = { { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo310[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo311[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo312[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo313[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo314[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo315[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo316[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo317[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo318[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo319[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((1 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo320[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo321[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo322[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo323[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo324[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo325[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo326[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo327[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo328[] = { { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo329[] = { { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, (1 << MCOI_EARLY_CLOBBER) }, { ARM_GPRnopcRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo330[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_rGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo331[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo332[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo333[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo334[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo335[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo336[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo337[] = { { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo338[] = { { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRspRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo339[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo340[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
+static MCOperandInfo OperandInfo341[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, };
+static MCOperandInfo OperandInfo342[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo343[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo344[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_PCREL, 0 }, };
+static MCOperandInfo OperandInfo345[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo346[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo347[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo348[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo349[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo350[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, };
+static MCOperandInfo OperandInfo351[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo352[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo353[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0, MCOI_OPERAND_IMMEDIATE, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo354[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo355[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo356[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo357[] = { { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_CCRRegClassID, 0|(1<<MCOI_OptionalDef), MCOI_OPERAND_UNKNOWN, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo358[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo359[] = { { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
+static MCOperandInfo OperandInfo360[] = { { ARM_GPRRegClassID, 0, MCOI_OPERAND_REGISTER, 0 }, { ARM_tGPRRegClassID, 0, MCOI_OPERAND_REGISTER, ((0 << 16) | (1 << MCOI_TIED_TO)) }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0|(1<<MCOI_Predicate), MCOI_OPERAND_UNKNOWN, 0 }, { -1, 0, MCOI_OPERAND_UNKNOWN, 0 }, };
 
 static MCInstrDesc ARMInsts[] = {
-  { 0,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, 0,0,0 },  // Inst #0 = PHI
-  { 1,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic), 0x0ULL, NULL, NULL, 0,0,0 },  // Inst #1 = INLINEASM
-  { 2,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo2,0,0 },  // Inst #2 = PROLOG_LABEL
-  { 3,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo2,0,0 },  // Inst #3 = EH_LABEL
-  { 4,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo2,0,0 },  // Inst #4 = GC_LABEL
-  { 5,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic), 0x0ULL, NULL, NULL, 0,0,0 },  // Inst #5 = KILL
-  { 6,	3,	1,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo3,0,0 },  // Inst #6 = EXTRACT_SUBREG
-  { 7,	4,	1,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo4,0,0 },  // Inst #7 = INSERT_SUBREG
-  { 8,	1,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0x0ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #8 = IMPLICIT_DEF
-  { 9,	4,	1,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo6,0,0 },  // Inst #9 = SUBREG_TO_REG
-  { 10,	3,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_CheapAsAMove), 0x0ULL, NULL, NULL, OperandInfo3,0,0 },  // Inst #10 = COPY_TO_REGCLASS
-  { 11,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic), 0x0ULL, NULL, NULL, 0,0,0 },  // Inst #11 = DBG_VALUE
-  { 12,	1,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic)|(1<<MCID_CheapAsAMove), 0x0ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #12 = REG_SEQUENCE
-  { 13,	2,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_CheapAsAMove), 0x0ULL, NULL, NULL, OperandInfo7,0,0 },  // Inst #13 = COPY
-  { 14,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, 0,0,0 },  // Inst #14 = BUNDLE
-  { 15,	1,	0,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo2,0,0 },  // Inst #15 = LIFETIME_START
-  { 16,	1,	0,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo2,0,0 },  // Inst #16 = LIFETIME_END
-  { 17,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Call)|(1<<MCID_MayLoad)|(1<<MCID_UsesCustomInserter)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo8,0,0 },  // Inst #17 = STACKMAP
-  { 18,	6,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Call)|(1<<MCID_MayLoad)|(1<<MCID_UsesCustomInserter)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo9,0,0 },  // Inst #18 = PATCHPOINT
-  { 19,	2,	1,	590,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo10,0,0 },  // Inst #19 = ABS
-  { 20,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo11,0,0 },  // Inst #20 = ADCri
-  { 21,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo12,0,0 },  // Inst #21 = ADCrr
-  { 22,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x1501ULL, ImplicitList1, ImplicitList1, OperandInfo13,0,0 },  // Inst #22 = ADCrsi
-  { 23,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x281ULL, ImplicitList1, ImplicitList1, OperandInfo14,0,0 },  // Inst #23 = ADCrsr
-  { 24,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo15,0,0 },  // Inst #24 = ADDSri
-  { 25,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo16,0,0 },  // Inst #25 = ADDSrr
-  { 26,	6,	1,	3,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo17,0,0 },  // Inst #26 = ADDSrsi
-  { 27,	7,	1,	5,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo18,0,0 },  // Inst #27 = ADDSrsr
-  { 28,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #28 = ADDri
-  { 29,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #29 = ADDrr
-  { 30,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #30 = ADDrsi
-  { 31,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #31 = ADDrsr
-  { 32,	3,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo20,0,0 },  // Inst #32 = ADJCALLSTACKDOWN
-  { 33,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo21,0,0 },  // Inst #33 = ADJCALLSTACKUP
-  { 34,	4,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xd01ULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #34 = ADR
-  { 35,	3,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo23,0,0 },  // Inst #35 = AESD
-  { 36,	3,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo23,0,0 },  // Inst #36 = AESE
-  { 37,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #37 = AESIMC
-  { 38,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #38 = AESMC
-  { 39,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #39 = ANDri
-  { 40,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #40 = ANDrr
-  { 41,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #41 = ANDrsi
-  { 42,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #42 = ANDrsr
-  { 43,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #43 = ASRi
-  { 44,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo25,0,0 },  // Inst #44 = ASRr
-  { 45,	5,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo26,0,0 },  // Inst #45 = ATOMIC_CMP_SWAP_I16
-  { 46,	5,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo26,0,0 },  // Inst #46 = ATOMIC_CMP_SWAP_I32
-  { 47,	8,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo27,0,0 },  // Inst #47 = ATOMIC_CMP_SWAP_I64
-  { 48,	5,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo26,0,0 },  // Inst #48 = ATOMIC_CMP_SWAP_I8
-  { 49,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #49 = ATOMIC_LOAD_ADD_I16
-  { 50,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #50 = ATOMIC_LOAD_ADD_I32
-  { 51,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #51 = ATOMIC_LOAD_ADD_I64
-  { 52,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #52 = ATOMIC_LOAD_ADD_I8
-  { 53,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #53 = ATOMIC_LOAD_AND_I16
-  { 54,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #54 = ATOMIC_LOAD_AND_I32
-  { 55,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #55 = ATOMIC_LOAD_AND_I64
-  { 56,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #56 = ATOMIC_LOAD_AND_I8
-  { 57,	4,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #57 = ATOMIC_LOAD_I64
-  { 58,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #58 = ATOMIC_LOAD_MAX_I16
-  { 59,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #59 = ATOMIC_LOAD_MAX_I32
-  { 60,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #60 = ATOMIC_LOAD_MAX_I64
-  { 61,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #61 = ATOMIC_LOAD_MAX_I8
-  { 62,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #62 = ATOMIC_LOAD_MIN_I16
-  { 63,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #63 = ATOMIC_LOAD_MIN_I32
-  { 64,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #64 = ATOMIC_LOAD_MIN_I64
-  { 65,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #65 = ATOMIC_LOAD_MIN_I8
-  { 66,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #66 = ATOMIC_LOAD_NAND_I16
-  { 67,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #67 = ATOMIC_LOAD_NAND_I32
-  { 68,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #68 = ATOMIC_LOAD_NAND_I64
-  { 69,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #69 = ATOMIC_LOAD_NAND_I8
-  { 70,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #70 = ATOMIC_LOAD_OR_I16
-  { 71,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #71 = ATOMIC_LOAD_OR_I32
-  { 72,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #72 = ATOMIC_LOAD_OR_I64
-  { 73,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #73 = ATOMIC_LOAD_OR_I8
-  { 74,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #74 = ATOMIC_LOAD_SUB_I16
-  { 75,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #75 = ATOMIC_LOAD_SUB_I32
-  { 76,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #76 = ATOMIC_LOAD_SUB_I64
-  { 77,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #77 = ATOMIC_LOAD_SUB_I8
-  { 78,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #78 = ATOMIC_LOAD_UMAX_I16
-  { 79,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #79 = ATOMIC_LOAD_UMAX_I32
-  { 80,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #80 = ATOMIC_LOAD_UMAX_I64
-  { 81,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #81 = ATOMIC_LOAD_UMAX_I8
-  { 82,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #82 = ATOMIC_LOAD_UMIN_I16
-  { 83,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #83 = ATOMIC_LOAD_UMIN_I32
-  { 84,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #84 = ATOMIC_LOAD_UMIN_I64
-  { 85,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #85 = ATOMIC_LOAD_UMIN_I8
-  { 86,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #86 = ATOMIC_LOAD_XOR_I16
-  { 87,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #87 = ATOMIC_LOAD_XOR_I32
-  { 88,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #88 = ATOMIC_LOAD_XOR_I64
-  { 89,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #89 = ATOMIC_LOAD_XOR_I8
-  { 90,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #90 = ATOMIC_STORE_I64
-  { 91,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #91 = ATOMIC_SWAP_I16
-  { 92,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #92 = ATOMIC_SWAP_I32
-  { 93,	6,	2,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo29,0,0 },  // Inst #93 = ATOMIC_SWAP_I64
-  { 94,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo28,0,0 },  // Inst #94 = ATOMIC_SWAP_I8
-  { 95,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, NULL, NULL, OperandInfo30,0,0 },  // Inst #95 = B
-  { 96,	4,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, ImplicitList1, OperandInfo31,0,0 },  // Inst #96 = BCCZi64
-  { 97,	6,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, ImplicitList1, OperandInfo32,0,0 },  // Inst #97 = BCCi64
-  { 98,	5,	1,	278,	4,	0|(1<<MCID_Predicable), 0x201ULL, NULL, NULL, OperandInfo33,0,0 },  // Inst #98 = BFC
-  { 99,	6,	1,	278,	4,	0|(1<<MCID_Predicable), 0x201ULL, NULL, NULL, OperandInfo34,0,0 },  // Inst #99 = BFI
-  { 100,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #100 = BICri
-  { 101,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #101 = BICrr
-  { 102,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #102 = BICrsi
-  { 103,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #103 = BICrsr
-  { 104,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #104 = BKPT
-  { 105,	1,	0,	12,	4,	0|(1<<MCID_Call), 0x100ULL, ImplicitList2, ImplicitList3, OperandInfo30,0,0 },  // Inst #105 = BL
-  { 106,	1,	0,	12,	4,	0|(1<<MCID_Call), 0x180ULL, ImplicitList2, ImplicitList3, OperandInfo35,0,0 },  // Inst #106 = BLX
-  { 107,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0x180ULL, ImplicitList2, ImplicitList3, OperandInfo36,0,0 },  // Inst #107 = BLX_pred
-  { 108,	1,	0,	13,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x180ULL, NULL, NULL, OperandInfo30,0,0 },  // Inst #108 = BLXi
-  { 109,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0x100ULL, ImplicitList2, ImplicitList3, OperandInfo37,0,0 },  // Inst #109 = BL_pred
-  { 110,	1,	0,	10,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo30,0,0 },  // Inst #110 = BMOVPCB_CALL
-  { 111,	1,	0,	10,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo38,0,0 },  // Inst #111 = BMOVPCRX_CALL
-  { 112,	4,	0,	14,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo39,0,0 },  // Inst #112 = BR_JTadd
-  { 113,	5,	0,	14,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo40,0,0 },  // Inst #113 = BR_JTm
-  { 114,	3,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo41,0,0 },  // Inst #114 = BR_JTr
-  { 115,	1,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator), 0x180ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #115 = BX
-  { 116,	3,	0,	15,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #116 = BXJ
-  { 117,	1,	0,	10,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo38,0,0 },  // Inst #117 = BX_CALL
-  { 118,	2,	0,	10,	4,	0|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x180ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #118 = BX_RET
-  { 119,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x180ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #119 = BX_pred
-  { 120,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo37,0,0 },  // Inst #120 = Bcc
-  { 121,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo43,0,0 },  // Inst #121 = CDP
-  { 122,	6,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo44,0,0 },  // Inst #122 = CDP2
-  { 123,	0,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, 0,0,0 },  // Inst #123 = CLREX
-  { 124,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo45,0,0 },  // Inst #124 = CLZ
-  { 125,	4,	0,	17,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, NULL, ImplicitList1, OperandInfo22,0,0 },  // Inst #125 = CMNri
-  { 126,	4,	0,	18,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x201ULL, NULL, ImplicitList1, OperandInfo45,0,0 },  // Inst #126 = CMNzrr
-  { 127,	5,	0,	19,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, NULL, ImplicitList1, OperandInfo46,0,0 },  // Inst #127 = CMNzrsi
-  { 128,	6,	0,	20,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, NULL, ImplicitList1, OperandInfo47,0,0 },  // Inst #128 = CMNzrsr
-  { 129,	4,	0,	17,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, NULL, ImplicitList1, OperandInfo22,0,0 },  // Inst #129 = CMPri
-  { 130,	4,	0,	18,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, NULL, ImplicitList1, OperandInfo45,0,0 },  // Inst #130 = CMPrr
-  { 131,	5,	0,	19,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, NULL, ImplicitList1, OperandInfo46,0,0 },  // Inst #131 = CMPrsi
-  { 132,	6,	0,	20,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, NULL, ImplicitList1, OperandInfo47,0,0 },  // Inst #132 = CMPrsr
-  { 133,	3,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo3,0,0 },  // Inst #133 = CONSTPOOL_ENTRY
-  { 134,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo39,0,0 },  // Inst #134 = COPY_STRUCT_BYVAL_I32
-  { 135,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #135 = CPS1p
-  { 136,	2,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo7,0,0 },  // Inst #136 = CPS2p
-  { 137,	3,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo48,0,0 },  // Inst #137 = CPS3p
-  { 138,	3,	1,	0,	4,	0, 0xd00ULL, NULL, NULL, OperandInfo49,0,0 },  // Inst #138 = CRC32B
-  { 139,	3,	1,	0,	4,	0, 0xd00ULL, NULL, NULL, OperandInfo49,0,0 },  // Inst #139 = CRC32CB
-  { 140,	3,	1,	0,	4,	0, 0xd00ULL, NULL, NULL, OperandInfo49,0,0 },  // Inst #140 = CRC32CH
-  { 141,	3,	1,	0,	4,	0, 0xd00ULL, NULL, NULL, OperandInfo49,0,0 },  // Inst #141 = CRC32CW
-  { 142,	3,	1,	0,	4,	0, 0xd00ULL, NULL, NULL, OperandInfo49,0,0 },  // Inst #142 = CRC32H
-  { 143,	3,	1,	0,	4,	0, 0xd00ULL, NULL, NULL, OperandInfo49,0,0 },  // Inst #143 = CRC32W
-  { 144,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #144 = DBG
-  { 145,	1,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #145 = DMB
-  { 146,	1,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #146 = DSB
-  { 147,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #147 = EORri
-  { 148,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #148 = EORrr
-  { 149,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #149 = EORrsi
-  { 150,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #150 = EORrsr
-  { 151,	4,	1,	487,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x8c00ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #151 = FCONSTD
-  { 152,	4,	1,	488,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x8c00ULL, NULL, NULL, OperandInfo52,0,0 },  // Inst #152 = FCONSTS
-  { 153,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #153 = FLDMXDB_UPD
-  { 154,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b04ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #154 = FLDMXIA
-  { 155,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #155 = FLDMXIA_UPD
-  { 156,	2,	0,	507,	4,	0|(1<<MCID_Predicable), 0x8c00ULL, ImplicitList4, ImplicitList1, OperandInfo42,0,0 },  // Inst #156 = FMSTAT
-  { 157,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #157 = FSTMXDB_UPD
-  { 158,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b04ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #158 = FSTMXIA
-  { 159,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #159 = FSTMXIA_UPD
-  { 160,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #160 = HINT
-  { 161,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #161 = HLT
-  { 162,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #162 = ISB
-  { 163,	2,	0,	377,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo7,0,0 },  // Inst #163 = ITasm
-  { 164,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, 0,0,0 },  // Inst #164 = Int_eh_sjlj_dispatchsetup
-  { 165,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList5, OperandInfo10,0,0 },  // Inst #165 = Int_eh_sjlj_longjmp
-  { 166,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList6, OperandInfo10,0,0 },  // Inst #166 = Int_eh_sjlj_setjmp
-  { 167,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList7, OperandInfo10,0,0 },  // Inst #167 = Int_eh_sjlj_setjmp_nofp
-  { 168,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #168 = LDA
-  { 169,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #169 = LDAB
-  { 170,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #170 = LDAEX
-  { 171,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #171 = LDAEXB
-  { 172,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x580ULL, NULL, NULL, OperandInfo56,0,0 },  // Inst #172 = LDAEXD
-  { 173,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #173 = LDAEXH
-  { 174,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #174 = LDAH
-  { 175,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #175 = LDC2L_OFFSET
-  { 176,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #176 = LDC2L_OPTION
-  { 177,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #177 = LDC2L_POST
-  { 178,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #178 = LDC2L_PRE
-  { 179,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #179 = LDC2_OFFSET
-  { 180,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #180 = LDC2_OPTION
-  { 181,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #181 = LDC2_POST
-  { 182,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #182 = LDC2_PRE
-  { 183,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #183 = LDCL_OFFSET
-  { 184,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #184 = LDCL_OPTION
-  { 185,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #185 = LDCL_POST
-  { 186,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #186 = LDCL_PRE
-  { 187,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #187 = LDC_OFFSET
-  { 188,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #188 = LDC_OPTION
-  { 189,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #189 = LDC_POST
-  { 190,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #190 = LDC_PRE
-  { 191,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #191 = LDMDA
-  { 192,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #192 = LDMDA_UPD
-  { 193,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #193 = LDMDB
-  { 194,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #194 = LDMDB_UPD
-  { 195,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #195 = LDMIA
-  { 196,	5,	1,	355,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x0ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #196 = LDMIA_RET
-  { 197,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #197 = LDMIA_UPD
-  { 198,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #198 = LDMIB
-  { 199,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #199 = LDMIB_UPD
-  { 200,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #200 = LDRBT_POST
-  { 201,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #201 = LDRBT_POST_IMM
-  { 202,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #202 = LDRBT_POST_REG
-  { 203,	7,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #203 = LDRB_POST_IMM
-  { 204,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #204 = LDRB_POST_REG
-  { 205,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #205 = LDRB_PRE_IMM
-  { 206,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #206 = LDRB_PRE_REG
-  { 207,	5,	1,	325,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x310ULL, NULL, NULL, OperandInfo61,0,0 },  // Inst #207 = LDRBi12
-  { 208,	6,	1,	326,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x300ULL, NULL, NULL, OperandInfo62,0,0 },  // Inst #208 = LDRBrs
-  { 209,	7,	2,	350,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x403ULL, NULL, NULL, OperandInfo63,0,0 },  // Inst #209 = LDRD
-  { 210,	6,	1,	31,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x403ULL, NULL, NULL, OperandInfo64,0,0 },  // Inst #210 = LDRD_PAIR
-  { 211,	8,	3,	352,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x443ULL, NULL, NULL, OperandInfo65,0,0 },  // Inst #211 = LDRD_POST
-  { 212,	8,	3,	352,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x423ULL, NULL, NULL, OperandInfo65,0,0 },  // Inst #212 = LDRD_PRE
-  { 213,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #213 = LDREX
-  { 214,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #214 = LDREXB
-  { 215,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x580ULL, NULL, NULL, OperandInfo56,0,0 },  // Inst #215 = LDREXD
-  { 216,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #216 = LDREXH
-  { 217,	6,	1,	335,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x403ULL, NULL, NULL, OperandInfo66,0,0 },  // Inst #217 = LDRH
-  { 218,	6,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #218 = LDRHTi
-  { 219,	7,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo67,0,0 },  // Inst #219 = LDRHTr
-  { 220,	7,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo68,0,0 },  // Inst #220 = LDRH_POST
-  { 221,	7,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x423ULL, NULL, NULL, OperandInfo68,0,0 },  // Inst #221 = LDRH_PRE
-  { 222,	2,	1,	33,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo69,0,0 },  // Inst #222 = LDRLIT_ga_abs
-  { 223,	2,	1,	34,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo69,0,0 },  // Inst #223 = LDRLIT_ga_pcrel
-  { 224,	2,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo69,0,0 },  // Inst #224 = LDRLIT_ga_pcrel_ldr
-  { 225,	6,	1,	288,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x403ULL, NULL, NULL, OperandInfo66,0,0 },  // Inst #225 = LDRSB
-  { 226,	6,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #226 = LDRSBTi
-  { 227,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo67,0,0 },  // Inst #227 = LDRSBTr
-  { 228,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo68,0,0 },  // Inst #228 = LDRSB_POST
-  { 229,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x423ULL, NULL, NULL, OperandInfo68,0,0 },  // Inst #229 = LDRSB_PRE
-  { 230,	6,	1,	288,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x403ULL, NULL, NULL, OperandInfo66,0,0 },  // Inst #230 = LDRSH
-  { 231,	6,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #231 = LDRSHTi
-  { 232,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo67,0,0 },  // Inst #232 = LDRSHTr
-  { 233,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, NULL, NULL, OperandInfo68,0,0 },  // Inst #233 = LDRSH_POST
-  { 234,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x423ULL, NULL, NULL, OperandInfo68,0,0 },  // Inst #234 = LDRSH_PRE
-  { 235,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #235 = LDRT_POST
-  { 236,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #236 = LDRT_POST_IMM
-  { 237,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #237 = LDRT_POST_REG
-  { 238,	7,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #238 = LDR_POST_IMM
-  { 239,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #239 = LDR_POST_REG
-  { 240,	6,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #240 = LDR_PRE_IMM
-  { 241,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, NULL, NULL, OperandInfo59,0,0 },  // Inst #241 = LDR_PRE_REG
-  { 242,	5,	1,	336,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x310ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #242 = LDRcp
-  { 243,	5,	1,	328,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x310ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #243 = LDRi12
-  { 244,	6,	1,	287,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x300ULL, NULL, NULL, OperandInfo70,0,0 },  // Inst #244 = LDRrs
-  { 245,	4,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo71,0,0 },  // Inst #245 = LEApcrel
-  { 246,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo72,0,0 },  // Inst #246 = LEApcrelJT
-  { 247,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #247 = LSLi
-  { 248,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo25,0,0 },  // Inst #248 = LSLr
-  { 249,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #249 = LSRi
-  { 250,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo25,0,0 },  // Inst #250 = LSRr
-  { 251,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo73,0,0 },  // Inst #251 = MCR
-  { 252,	6,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo74,0,0 },  // Inst #252 = MCR2
-  { 253,	7,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo75,0,0 },  // Inst #253 = MCRR
-  { 254,	5,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo76,0,0 },  // Inst #254 = MCRR2
-  { 255,	7,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x80ULL, NULL, NULL, OperandInfo77,0,0 },  // Inst #255 = MLA
-  { 256,	7,	1,	279,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x0ULL, NULL, NULL, OperandInfo78,0,0 },  // Inst #256 = MLAv5
-  { 257,	6,	1,	279,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #257 = MLS
-  { 258,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo33,0,0 },  // Inst #258 = MOVCCi
-  { 259,	5,	1,	41,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo33,0,0 },  // Inst #259 = MOVCCi16
-  { 260,	5,	1,	273,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo80,0,0 },  // Inst #260 = MOVCCi32imm
-  { 261,	5,	1,	43,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Select)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x0ULL, NULL, NULL, OperandInfo81,0,0 },  // Inst #261 = MOVCCr
-  { 262,	6,	1,	268,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo82,0,0 },  // Inst #262 = MOVCCsi
-  { 263,	7,	1,	268,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo83,0,0 },  // Inst #263 = MOVCCsr
-  { 264,	2,	0,	10,	4,	0|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x180ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #264 = MOVPCLR
-  { 265,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator), 0x0ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #265 = MOVPCRX
-  { 266,	5,	1,	41,	4,	0|(1<<MCID_Predicable), 0x2201ULL, NULL, NULL, OperandInfo84,0,0 },  // Inst #266 = MOVTi16
-  { 267,	4,	1,	41,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo85,0,0 },  // Inst #267 = MOVTi16_ga_pcrel
-  { 268,	2,	1,	275,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo69,0,0 },  // Inst #268 = MOV_ga_pcrel
-  { 269,	2,	1,	276,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo69,0,0 },  // Inst #269 = MOV_ga_pcrel_ldr
-  { 270,	5,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0x2201ULL, NULL, NULL, OperandInfo86,0,0 },  // Inst #270 = MOVi
-  { 271,	4,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0x2201ULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #271 = MOVi16
-  { 272,	3,	1,	41,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo87,0,0 },  // Inst #272 = MOVi16_ga_pcrel
-  { 273,	2,	1,	274,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo69,0,0 },  // Inst #273 = MOVi32imm
-  { 274,	5,	1,	48,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2201ULL, NULL, NULL, OperandInfo88,0,0 },  // Inst #274 = MOVr
-  { 275,	5,	1,	48,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2201ULL, NULL, NULL, OperandInfo89,0,0 },  // Inst #275 = MOVr_TC
-  { 276,	6,	1,	269,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x3501ULL, NULL, NULL, OperandInfo90,0,0 },  // Inst #276 = MOVsi
-  { 277,	7,	1,	269,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2281ULL, NULL, NULL, OperandInfo91,0,0 },  // Inst #277 = MOVsr
-  { 278,	2,	1,	270,	0,	0|(1<<MCID_Pseudo), 0x2000ULL, NULL, ImplicitList1, OperandInfo10,0,0 },  // Inst #278 = MOVsra_flag
-  { 279,	2,	1,	270,	0,	0|(1<<MCID_Pseudo), 0x2000ULL, NULL, ImplicitList1, OperandInfo10,0,0 },  // Inst #279 = MOVsrl_flag
-  { 280,	8,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo92,0,0 },  // Inst #280 = MRC
-  { 281,	6,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo93,0,0 },  // Inst #281 = MRC2
-  { 282,	7,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo75,0,0 },  // Inst #282 = MRRC
-  { 283,	5,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo76,0,0 },  // Inst #283 = MRRC2
-  { 284,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo94,0,0 },  // Inst #284 = MRS
-  { 285,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo94,0,0 },  // Inst #285 = MRSsys
-  { 286,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo95,0,0 },  // Inst #286 = MSR
-  { 287,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo96,0,0 },  // Inst #287 = MSRi
-  { 288,	6,	1,	280,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x80ULL, NULL, NULL, OperandInfo25,0,0 },  // Inst #288 = MUL
-  { 289,	6,	1,	280,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x0ULL, NULL, NULL, OperandInfo97,0,0 },  // Inst #289 = MULv5
-  { 290,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo33,0,0 },  // Inst #290 = MVNCCi
-  { 291,	5,	1,	52,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0x2201ULL, NULL, NULL, OperandInfo86,0,0 },  // Inst #291 = MVNi
-  { 292,	5,	1,	272,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2201ULL, NULL, NULL, OperandInfo88,0,0 },  // Inst #292 = MVNr
-  { 293,	6,	1,	54,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x3501ULL, NULL, NULL, OperandInfo90,0,0 },  // Inst #293 = MVNsi
-  { 294,	7,	1,	271,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2281ULL, NULL, NULL, OperandInfo98,0,0 },  // Inst #294 = MVNsr
-  { 295,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #295 = ORRri
-  { 296,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #296 = ORRrr
-  { 297,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #297 = ORRrsi
-  { 298,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #298 = ORRrsr
-  { 299,	5,	1,	55,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo15,0,0 },  // Inst #299 = PICADD
-  { 300,	5,	1,	286,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #300 = PICLDR
-  { 301,	5,	1,	335,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #301 = PICLDRB
-  { 302,	5,	1,	335,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #302 = PICLDRH
-  { 303,	5,	1,	288,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #303 = PICLDRSB
-  { 304,	5,	1,	288,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #304 = PICLDRSH
-  { 305,	5,	0,	358,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #305 = PICSTR
-  { 306,	5,	0,	359,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #306 = PICSTRB
-  { 307,	5,	0,	359,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #307 = PICSTRH
-  { 308,	6,	1,	58,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo99,0,0 },  // Inst #308 = PKHBT
-  { 309,	6,	1,	59,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo99,0,0 },  // Inst #309 = PKHTB
-  { 310,	2,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd10ULL, NULL, NULL, OperandInfo100,0,0 },  // Inst #310 = PLDWi12
-  { 311,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd00ULL, NULL, NULL, OperandInfo101,0,0 },  // Inst #311 = PLDWrs
-  { 312,	2,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd10ULL, NULL, NULL, OperandInfo100,0,0 },  // Inst #312 = PLDi12
-  { 313,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd00ULL, NULL, NULL, OperandInfo101,0,0 },  // Inst #313 = PLDrs
-  { 314,	2,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd10ULL, NULL, NULL, OperandInfo100,0,0 },  // Inst #314 = PLIi12
-  { 315,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd00ULL, NULL, NULL, OperandInfo101,0,0 },  // Inst #315 = PLIrs
-  { 316,	5,	1,	299,	4,	0|(1<<MCID_Predicable), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #316 = QADD
-  { 317,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #317 = QADD16
-  { 318,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #318 = QADD8
-  { 319,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #319 = QASX
-  { 320,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #320 = QDADD
-  { 321,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #321 = QDSUB
-  { 322,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #322 = QSAX
-  { 323,	5,	1,	299,	4,	0|(1<<MCID_Predicable), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #323 = QSUB
-  { 324,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #324 = QSUB16
-  { 325,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #325 = QSUB8
-  { 326,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo45,0,0 },  // Inst #326 = RBIT
-  { 327,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo45,0,0 },  // Inst #327 = REV
-  { 328,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo45,0,0 },  // Inst #328 = REV16
-  { 329,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo45,0,0 },  // Inst #329 = REVSH
-  { 330,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #330 = RFEDA
-  { 331,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #331 = RFEDA_UPD
-  { 332,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #332 = RFEDB
-  { 333,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #333 = RFEDB_UPD
-  { 334,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #334 = RFEIA
-  { 335,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #335 = RFEIA_UPD
-  { 336,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #336 = RFEIB
-  { 337,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo35,0,0 },  // Inst #337 = RFEIB_UPD
-  { 338,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #338 = RORi
-  { 339,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo25,0,0 },  // Inst #339 = RORr
-  { 340,	2,	1,	50,	0,	0|(1<<MCID_Pseudo), 0x2000ULL, ImplicitList1, NULL, OperandInfo10,0,0 },  // Inst #340 = RRX
-  { 341,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo88,0,0 },  // Inst #341 = RRXi
-  { 342,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo15,0,0 },  // Inst #342 = RSBSri
-  { 343,	6,	1,	3,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo17,0,0 },  // Inst #343 = RSBSrsi
-  { 344,	7,	1,	5,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo18,0,0 },  // Inst #344 = RSBSrsr
-  { 345,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #345 = RSBri
-  { 346,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #346 = RSBrr
-  { 347,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #347 = RSBrsi
-  { 348,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #348 = RSBrsr
-  { 349,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo11,0,0 },  // Inst #349 = RSCri
-  { 350,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo12,0,0 },  // Inst #350 = RSCrr
-  { 351,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x1501ULL, ImplicitList1, ImplicitList1, OperandInfo13,0,0 },  // Inst #351 = RSCrsi
-  { 352,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x281ULL, ImplicitList1, ImplicitList1, OperandInfo19,0,0 },  // Inst #352 = RSCrsr
-  { 353,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #353 = SADD16
-  { 354,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #354 = SADD8
-  { 355,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #355 = SASX
-  { 356,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo11,0,0 },  // Inst #356 = SBCri
-  { 357,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo12,0,0 },  // Inst #357 = SBCrr
-  { 358,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x1501ULL, ImplicitList1, ImplicitList1, OperandInfo13,0,0 },  // Inst #358 = SBCrsi
-  { 359,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x281ULL, ImplicitList1, ImplicitList1, OperandInfo14,0,0 },  // Inst #359 = SBCrsr
-  { 360,	6,	1,	278,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, NULL, NULL, OperandInfo103,0,0 },  // Inst #360 = SBFX
-  { 361,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #361 = SDIV
-  { 362,	5,	1,	277,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #362 = SEL
-  { 363,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo5,ARM_HasV8Ops,0 },  // Inst #363 = SETEND
-  { 364,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #364 = SHA1C
-  { 365,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #365 = SHA1H
-  { 366,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #366 = SHA1M
-  { 367,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #367 = SHA1P
-  { 368,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #368 = SHA1SU0
-  { 369,	3,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo23,0,0 },  // Inst #369 = SHA1SU1
-  { 370,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #370 = SHA256H
-  { 371,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #371 = SHA256H2
-  { 372,	3,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo23,0,0 },  // Inst #372 = SHA256SU0
-  { 373,	4,	1,	0,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo104,0,0 },  // Inst #373 = SHA256SU1
-  { 374,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #374 = SHADD16
-  { 375,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #375 = SHADD8
-  { 376,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #376 = SHASX
-  { 377,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #377 = SHSAX
-  { 378,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #378 = SHSUB16
-  { 379,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #379 = SHSUB8
-  { 380,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #380 = SMC
-  { 381,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #381 = SMLABB
-  { 382,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #382 = SMLABT
-  { 383,	6,	1,	319,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #383 = SMLAD
-  { 384,	6,	1,	319,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #384 = SMLADX
-  { 385,	9,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x80ULL, NULL, NULL, OperandInfo106,0,0 },  // Inst #385 = SMLAL
-  { 386,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #386 = SMLALBB
-  { 387,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #387 = SMLALBT
-  { 388,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #388 = SMLALD
-  { 389,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #389 = SMLALDX
-  { 390,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #390 = SMLALTB
-  { 391,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #391 = SMLALTT
-  { 392,	9,	2,	281,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x0ULL, NULL, NULL, OperandInfo108,0,0 },  // Inst #392 = SMLALv5
-  { 393,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #393 = SMLATB
-  { 394,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #394 = SMLATT
-  { 395,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #395 = SMLAWB
-  { 396,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #396 = SMLAWT
-  { 397,	6,	1,	316,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #397 = SMLSD
-  { 398,	6,	1,	316,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo105,0,0 },  // Inst #398 = SMLSDX
-  { 399,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #399 = SMLSLD
-  { 400,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo107,0,0 },  // Inst #400 = SMLSLDX
-  { 401,	6,	1,	279,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #401 = SMMLA
-  { 402,	6,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #402 = SMMLAR
-  { 403,	6,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #403 = SMMLS
-  { 404,	6,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #404 = SMMLSR
-  { 405,	5,	1,	280,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #405 = SMMUL
-  { 406,	5,	1,	280,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #406 = SMMULR
-  { 407,	5,	1,	314,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #407 = SMUAD
-  { 408,	5,	1,	314,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #408 = SMUADX
-  { 409,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #409 = SMULBB
-  { 410,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #410 = SMULBT
-  { 411,	7,	2,	321,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x80ULL, NULL, NULL, OperandInfo109,0,0 },  // Inst #411 = SMULL
-  { 412,	7,	2,	282,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x0ULL, NULL, NULL, OperandInfo110,0,0 },  // Inst #412 = SMULLv5
-  { 413,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #413 = SMULTB
-  { 414,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #414 = SMULTT
-  { 415,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #415 = SMULWB
-  { 416,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #416 = SMULWT
-  { 417,	5,	1,	309,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #417 = SMUSD
-  { 418,	5,	1,	309,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #418 = SMUSDX
-  { 419,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #419 = SRSDA
-  { 420,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #420 = SRSDA_UPD
-  { 421,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #421 = SRSDB
-  { 422,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #422 = SRSDB_UPD
-  { 423,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #423 = SRSIA
-  { 424,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #424 = SRSIA_UPD
-  { 425,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #425 = SRSIB
-  { 426,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #426 = SRSIB_UPD
-  { 427,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0x680ULL, NULL, NULL, OperandInfo111,0,0 },  // Inst #427 = SSAT
-  { 428,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x680ULL, NULL, NULL, OperandInfo112,0,0 },  // Inst #428 = SSAT16
-  { 429,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #429 = SSAX
-  { 430,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #430 = SSUB16
-  { 431,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #431 = SSUB8
-  { 432,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #432 = STC2L_OFFSET
-  { 433,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #433 = STC2L_OPTION
-  { 434,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #434 = STC2L_POST
-  { 435,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #435 = STC2L_PRE
-  { 436,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #436 = STC2_OFFSET
-  { 437,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #437 = STC2_OPTION
-  { 438,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #438 = STC2_POST
-  { 439,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo57,0,0 },  // Inst #439 = STC2_PRE
-  { 440,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #440 = STCL_OFFSET
-  { 441,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #441 = STCL_OPTION
-  { 442,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #442 = STCL_POST
-  { 443,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #443 = STCL_PRE
-  { 444,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #444 = STC_OFFSET
-  { 445,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #445 = STC_OPTION
-  { 446,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #446 = STC_POST
-  { 447,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #447 = STC_PRE
-  { 448,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #448 = STL
-  { 449,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #449 = STLB
-  { 450,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo113,0,0 },  // Inst #450 = STLEX
-  { 451,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo113,0,0 },  // Inst #451 = STLEXB
-  { 452,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x580ULL, NULL, NULL, OperandInfo114,0,0 },  // Inst #452 = STLEXD
-  { 453,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo113,0,0 },  // Inst #453 = STLEXH
-  { 454,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x580ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #454 = STLH
-  { 455,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #455 = STMDA
-  { 456,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #456 = STMDA_UPD
-  { 457,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #457 = STMDB
-  { 458,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #458 = STMDB_UPD
-  { 459,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #459 = STMIA
-  { 460,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #460 = STMIA_UPD
-  { 461,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #461 = STMIB
-  { 462,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #462 = STMIB_UPD
-  { 463,	4,	0,	365,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #463 = STRBT_POST
-  { 464,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #464 = STRBT_POST_IMM
-  { 465,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #465 = STRBT_POST_REG
-  { 466,	7,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #466 = STRB_POST_IMM
-  { 467,	7,	1,	366,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #467 = STRB_POST_REG
-  { 468,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, NULL, NULL, OperandInfo116,0,0 },  // Inst #468 = STRB_PRE_IMM
-  { 469,	7,	1,	366,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #469 = STRB_PRE_REG
-  { 470,	5,	0,	359,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x390ULL, NULL, NULL, OperandInfo61,0,0 },  // Inst #470 = STRBi12
-  { 471,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo117,0,0 },  // Inst #471 = STRBi_preidx
-  { 472,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo117,0,0 },  // Inst #472 = STRBr_preidx
-  { 473,	6,	0,	360,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x380ULL, NULL, NULL, OperandInfo62,0,0 },  // Inst #473 = STRBrs
-  { 474,	7,	0,	372,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x483ULL, NULL, NULL, OperandInfo63,0,0 },  // Inst #474 = STRD
-  { 475,	6,	0,	72,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x483ULL, NULL, NULL, OperandInfo64,0,0 },  // Inst #475 = STRD_PAIR
-  { 476,	8,	1,	373,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x4c3ULL, NULL, NULL, OperandInfo118,0,0 },  // Inst #476 = STRD_POST
-  { 477,	8,	1,	373,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x4a3ULL, NULL, NULL, OperandInfo118,0,0 },  // Inst #477 = STRD_PRE
-  { 478,	5,	1,	361,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo113,0,0 },  // Inst #478 = STREX
-  { 479,	5,	1,	361,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo113,0,0 },  // Inst #479 = STREXB
-  { 480,	5,	1,	361,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x580ULL, NULL, NULL, OperandInfo114,0,0 },  // Inst #480 = STREXD
-  { 481,	5,	1,	361,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, NULL, NULL, OperandInfo113,0,0 },  // Inst #481 = STREXH
-  { 482,	6,	0,	359,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x483ULL, NULL, NULL, OperandInfo66,0,0 },  // Inst #482 = STRH
-  { 483,	6,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x4c3ULL, NULL, NULL, OperandInfo116,0,0 },  // Inst #483 = STRHTi
-  { 484,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x4c3ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #484 = STRHTr
-  { 485,	7,	1,	366,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x4c3ULL, NULL, NULL, OperandInfo119,0,0 },  // Inst #485 = STRH_POST
-  { 486,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x4a3ULL, NULL, NULL, OperandInfo119,0,0 },  // Inst #486 = STRH_PRE
-  { 487,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo120,0,0 },  // Inst #487 = STRH_preidx
-  { 488,	4,	0,	365,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo55,0,0 },  // Inst #488 = STRT_POST
-  { 489,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #489 = STRT_POST_IMM
-  { 490,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #490 = STRT_POST_REG
-  { 491,	7,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #491 = STR_POST_IMM
-  { 492,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #492 = STR_POST_REG
-  { 493,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, NULL, NULL, OperandInfo116,0,0 },  // Inst #493 = STR_PRE_IMM
-  { 494,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, NULL, NULL, OperandInfo115,0,0 },  // Inst #494 = STR_PRE_REG
-  { 495,	5,	0,	358,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x390ULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #495 = STRi12
-  { 496,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo117,0,0 },  // Inst #496 = STRi_preidx
-  { 497,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo117,0,0 },  // Inst #497 = STRr_preidx
-  { 498,	6,	0,	362,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x380ULL, NULL, NULL, OperandInfo70,0,0 },  // Inst #498 = STRrs
-  { 499,	3,	0,	76,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, NULL, NULL, OperandInfo20,0,0 },  // Inst #499 = SUBS_PC_LR
-  { 500,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo15,0,0 },  // Inst #500 = SUBSri
-  { 501,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo16,0,0 },  // Inst #501 = SUBSrr
-  { 502,	6,	1,	3,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo17,0,0 },  // Inst #502 = SUBSrsi
-  { 503,	7,	1,	5,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo18,0,0 },  // Inst #503 = SUBSrsr
-  { 504,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo11,0,0 },  // Inst #504 = SUBri
-  { 505,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x201ULL, NULL, NULL, OperandInfo12,0,0 },  // Inst #505 = SUBrr
-  { 506,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, NULL, NULL, OperandInfo13,0,0 },  // Inst #506 = SUBrsi
-  { 507,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, NULL, NULL, OperandInfo19,0,0 },  // Inst #507 = SUBrsr
-  { 508,	3,	0,	10,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, ImplicitList2, NULL, OperandInfo50,0,0 },  // Inst #508 = SVC
-  { 509,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo121,0,0 },  // Inst #509 = SWP
-  { 510,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, OperandInfo121,0,0 },  // Inst #510 = SWPB
-  { 511,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo122,0,0 },  // Inst #511 = SXTAB
-  { 512,	6,	1,	304,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x700ULL, NULL, NULL, OperandInfo122,0,0 },  // Inst #512 = SXTAB16
-  { 513,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo122,0,0 },  // Inst #513 = SXTAH
-  { 514,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo123,0,0 },  // Inst #514 = SXTB
-  { 515,	5,	1,	290,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x700ULL, NULL, NULL, OperandInfo123,0,0 },  // Inst #515 = SXTB16
-  { 516,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo123,0,0 },  // Inst #516 = SXTH
-  { 517,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, NULL, OperandInfo30,0,0 },  // Inst #517 = TAILJMPd
-  { 518,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, NULL, OperandInfo124,0,0 },  // Inst #518 = TAILJMPr
-  { 519,	1,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator), 0x0ULL, ImplicitList2, NULL, OperandInfo2,0,0 },  // Inst #519 = TCRETURNdi
-  { 520,	1,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator), 0x0ULL, ImplicitList2, NULL, OperandInfo124,0,0 },  // Inst #520 = TCRETURNri
-  { 521,	4,	0,	79,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, NULL, ImplicitList1, OperandInfo22,0,0 },  // Inst #521 = TEQri
-  { 522,	4,	0,	80,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x201ULL, NULL, ImplicitList1, OperandInfo45,0,0 },  // Inst #522 = TEQrr
-  { 523,	5,	0,	81,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, NULL, ImplicitList1, OperandInfo46,0,0 },  // Inst #523 = TEQrsi
-  { 524,	6,	0,	82,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, NULL, ImplicitList1, OperandInfo47,0,0 },  // Inst #524 = TEQrsr
-  { 525,	0,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList8, 0,0,0 },  // Inst #525 = TPsoft
-  { 526,	0,	0,	0,	4,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, 0,0,0 },  // Inst #526 = TRAP
-  { 527,	0,	0,	0,	4,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, NULL, NULL, 0,0,0 },  // Inst #527 = TRAPNaCl
-  { 528,	4,	0,	79,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, NULL, ImplicitList1, OperandInfo22,0,0 },  // Inst #528 = TSTri
-  { 529,	4,	0,	80,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x201ULL, NULL, ImplicitList1, OperandInfo45,0,0 },  // Inst #529 = TSTrr
-  { 530,	5,	0,	81,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, NULL, ImplicitList1, OperandInfo46,0,0 },  // Inst #530 = TSTrsi
-  { 531,	6,	0,	82,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, NULL, ImplicitList1, OperandInfo47,0,0 },  // Inst #531 = TSTrsr
-  { 532,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #532 = UADD16
-  { 533,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #533 = UADD8
-  { 534,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #534 = UASX
-  { 535,	6,	1,	278,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, NULL, NULL, OperandInfo125,0,0 },  // Inst #535 = UBFX
-  { 536,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0x600ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #536 = UDIV
-  { 537,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #537 = UHADD16
-  { 538,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #538 = UHADD8
-  { 539,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #539 = UHASX
-  { 540,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #540 = UHSAX
-  { 541,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #541 = UHSUB16
-  { 542,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #542 = UHSUB8
-  { 543,	6,	2,	281,	4,	0|(1<<MCID_Predicable), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #543 = UMAAL
-  { 544,	9,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x80ULL, NULL, NULL, OperandInfo106,0,0 },  // Inst #544 = UMLAL
-  { 545,	9,	2,	281,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x0ULL, NULL, NULL, OperandInfo108,0,0 },  // Inst #545 = UMLALv5
-  { 546,	7,	2,	321,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x80ULL, NULL, NULL, OperandInfo109,0,0 },  // Inst #546 = UMULL
-  { 547,	7,	2,	282,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x0ULL, NULL, NULL, OperandInfo110,0,0 },  // Inst #547 = UMULLv5
-  { 548,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #548 = UQADD16
-  { 549,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #549 = UQADD8
-  { 550,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #550 = UQASX
-  { 551,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #551 = UQSAX
-  { 552,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #552 = UQSUB16
-  { 553,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #553 = UQSUB8
-  { 554,	5,	1,	307,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #554 = USAD8
-  { 555,	6,	1,	308,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, NULL, NULL, OperandInfo79,0,0 },  // Inst #555 = USADA8
-  { 556,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0x680ULL, NULL, NULL, OperandInfo111,0,0 },  // Inst #556 = USAT
-  { 557,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x680ULL, NULL, NULL, OperandInfo112,0,0 },  // Inst #557 = USAT16
-  { 558,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #558 = USAX
-  { 559,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #559 = USUB16
-  { 560,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, NULL, NULL, OperandInfo102,0,0 },  // Inst #560 = USUB8
-  { 561,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo122,0,0 },  // Inst #561 = UXTAB
-  { 562,	6,	1,	304,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x700ULL, NULL, NULL, OperandInfo122,0,0 },  // Inst #562 = UXTAB16
-  { 563,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo122,0,0 },  // Inst #563 = UXTAH
-  { 564,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo123,0,0 },  // Inst #564 = UXTB
-  { 565,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo123,0,0 },  // Inst #565 = UXTB16
-  { 566,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, NULL, NULL, OperandInfo123,0,0 },  // Inst #566 = UXTH
-  { 567,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #567 = VABALsv2i64
-  { 568,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #568 = VABALsv4i32
-  { 569,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #569 = VABALsv8i16
-  { 570,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #570 = VABALuv2i64
-  { 571,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #571 = VABALuv4i32
-  { 572,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #572 = VABALuv8i16
-  { 573,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #573 = VABAsv16i8
-  { 574,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #574 = VABAsv2i32
-  { 575,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #575 = VABAsv4i16
-  { 576,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #576 = VABAsv4i32
-  { 577,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #577 = VABAsv8i16
-  { 578,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #578 = VABAsv8i8
-  { 579,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #579 = VABAuv16i8
-  { 580,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #580 = VABAuv2i32
-  { 581,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #581 = VABAuv4i16
-  { 582,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #582 = VABAuv4i32
-  { 583,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #583 = VABAuv8i16
-  { 584,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #584 = VABAuv8i8
-  { 585,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #585 = VABDLsv2i64
-  { 586,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #586 = VABDLsv4i32
-  { 587,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #587 = VABDLsv8i16
-  { 588,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #588 = VABDLuv2i64
-  { 589,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #589 = VABDLuv4i32
-  { 590,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #590 = VABDLuv8i16
-  { 591,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #591 = VABDfd
-  { 592,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #592 = VABDfq
-  { 593,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #593 = VABDsv16i8
-  { 594,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #594 = VABDsv2i32
-  { 595,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #595 = VABDsv4i16
-  { 596,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #596 = VABDsv4i32
-  { 597,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #597 = VABDsv8i16
-  { 598,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #598 = VABDsv8i8
-  { 599,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #599 = VABDuv16i8
-  { 600,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #600 = VABDuv2i32
-  { 601,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #601 = VABDuv4i16
-  { 602,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #602 = VABDuv4i32
-  { 603,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #603 = VABDuv8i16
-  { 604,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #604 = VABDuv8i8
-  { 605,	4,	1,	437,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #605 = VABSD
-  { 606,	4,	1,	438,	4,	0|(1<<MCID_Predicable), 0x28780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #606 = VABSS
-  { 607,	4,	1,	402,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #607 = VABSfd
-  { 608,	4,	1,	403,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #608 = VABSfq
-  { 609,	4,	1,	404,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #609 = VABSv16i8
-  { 610,	4,	1,	405,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #610 = VABSv2i32
-  { 611,	4,	1,	405,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #611 = VABSv4i16
-  { 612,	4,	1,	404,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #612 = VABSv4i32
-  { 613,	4,	1,	404,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #613 = VABSv8i16
-  { 614,	4,	1,	405,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #614 = VABSv8i8
-  { 615,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #615 = VACGEd
-  { 616,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #616 = VACGEq
-  { 617,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #617 = VACGTd
-  { 618,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #618 = VACGTq
-  { 619,	5,	1,	448,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #619 = VADDD
-  { 620,	5,	1,	421,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #620 = VADDHNv2i32
-  { 621,	5,	1,	421,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #621 = VADDHNv4i16
-  { 622,	5,	1,	421,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #622 = VADDHNv8i8
-  { 623,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #623 = VADDLsv2i64
-  { 624,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #624 = VADDLsv4i32
-  { 625,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #625 = VADDLsv8i16
-  { 626,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #626 = VADDLuv2i64
-  { 627,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #627 = VADDLuv4i32
-  { 628,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #628 = VADDLuv8i16
-  { 629,	5,	1,	445,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo136,0,0 },  // Inst #629 = VADDS
-  { 630,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #630 = VADDWsv2i64
-  { 631,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #631 = VADDWsv4i32
-  { 632,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #632 = VADDWsv8i16
-  { 633,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #633 = VADDWuv2i64
-  { 634,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #634 = VADDWuv4i32
-  { 635,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #635 = VADDWuv8i16
-  { 636,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #636 = VADDfd
-  { 637,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #637 = VADDfq
-  { 638,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #638 = VADDv16i8
-  { 639,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #639 = VADDv1i64
-  { 640,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #640 = VADDv2i32
-  { 641,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #641 = VADDv2i64
-  { 642,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #642 = VADDv4i16
-  { 643,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #643 = VADDv4i32
-  { 644,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #644 = VADDv8i16
-  { 645,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #645 = VADDv8i8
-  { 646,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #646 = VANDd
-  { 647,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #647 = VANDq
-  { 648,	5,	1,	382,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #648 = VBICd
-  { 649,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo138,0,0 },  // Inst #649 = VBICiv2i32
-  { 650,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo138,0,0 },  // Inst #650 = VBICiv4i16
-  { 651,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo139,0,0 },  // Inst #651 = VBICiv4i32
-  { 652,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo139,0,0 },  // Inst #652 = VBICiv8i16
-  { 653,	5,	1,	381,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #653 = VBICq
-  { 654,	6,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #654 = VBIFd
-  { 655,	6,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #655 = VBIFq
-  { 656,	6,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #656 = VBITd
-  { 657,	6,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #657 = VBITq
-  { 658,	6,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #658 = VBSLd
-  { 659,	6,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #659 = VBSLq
-  { 660,	5,	1,	406,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #660 = VCEQfd
-  { 661,	5,	1,	407,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #661 = VCEQfq
-  { 662,	5,	1,	408,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #662 = VCEQv16i8
-  { 663,	5,	1,	409,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #663 = VCEQv2i32
-  { 664,	5,	1,	409,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #664 = VCEQv4i16
-  { 665,	5,	1,	408,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #665 = VCEQv4i32
-  { 666,	5,	1,	408,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #666 = VCEQv8i16
-  { 667,	5,	1,	409,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #667 = VCEQv8i8
-  { 668,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #668 = VCEQzv16i8
-  { 669,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #669 = VCEQzv2f32
-  { 670,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #670 = VCEQzv2i32
-  { 671,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #671 = VCEQzv4f32
-  { 672,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #672 = VCEQzv4i16
-  { 673,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #673 = VCEQzv4i32
-  { 674,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #674 = VCEQzv8i16
-  { 675,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #675 = VCEQzv8i8
-  { 676,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #676 = VCGEfd
-  { 677,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #677 = VCGEfq
-  { 678,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #678 = VCGEsv16i8
-  { 679,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #679 = VCGEsv2i32
-  { 680,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #680 = VCGEsv4i16
-  { 681,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #681 = VCGEsv4i32
-  { 682,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #682 = VCGEsv8i16
-  { 683,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #683 = VCGEsv8i8
-  { 684,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #684 = VCGEuv16i8
-  { 685,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #685 = VCGEuv2i32
-  { 686,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #686 = VCGEuv4i16
-  { 687,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #687 = VCGEuv4i32
-  { 688,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #688 = VCGEuv8i16
-  { 689,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #689 = VCGEuv8i8
-  { 690,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #690 = VCGEzv16i8
-  { 691,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #691 = VCGEzv2f32
-  { 692,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #692 = VCGEzv2i32
-  { 693,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #693 = VCGEzv4f32
-  { 694,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #694 = VCGEzv4i16
-  { 695,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #695 = VCGEzv4i32
-  { 696,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #696 = VCGEzv8i16
-  { 697,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #697 = VCGEzv8i8
-  { 698,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #698 = VCGTfd
-  { 699,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #699 = VCGTfq
-  { 700,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #700 = VCGTsv16i8
-  { 701,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #701 = VCGTsv2i32
-  { 702,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #702 = VCGTsv4i16
-  { 703,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #703 = VCGTsv4i32
-  { 704,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #704 = VCGTsv8i16
-  { 705,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #705 = VCGTsv8i8
-  { 706,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #706 = VCGTuv16i8
-  { 707,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #707 = VCGTuv2i32
-  { 708,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #708 = VCGTuv4i16
-  { 709,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #709 = VCGTuv4i32
-  { 710,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #710 = VCGTuv8i16
-  { 711,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #711 = VCGTuv8i8
-  { 712,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #712 = VCGTzv16i8
-  { 713,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #713 = VCGTzv2f32
-  { 714,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #714 = VCGTzv2i32
-  { 715,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #715 = VCGTzv4f32
-  { 716,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #716 = VCGTzv4i16
-  { 717,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #717 = VCGTzv4i32
-  { 718,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #718 = VCGTzv8i16
-  { 719,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #719 = VCGTzv8i8
-  { 720,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #720 = VCLEzv16i8
-  { 721,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #721 = VCLEzv2f32
-  { 722,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #722 = VCLEzv2i32
-  { 723,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #723 = VCLEzv4f32
-  { 724,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #724 = VCLEzv4i16
-  { 725,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #725 = VCLEzv4i32
-  { 726,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #726 = VCLEzv8i16
-  { 727,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #727 = VCLEzv8i8
-  { 728,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #728 = VCLSv16i8
-  { 729,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #729 = VCLSv2i32
-  { 730,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #730 = VCLSv4i16
-  { 731,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #731 = VCLSv4i32
-  { 732,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #732 = VCLSv8i16
-  { 733,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #733 = VCLSv8i8
-  { 734,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #734 = VCLTzv16i8
-  { 735,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #735 = VCLTzv2f32
-  { 736,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #736 = VCLTzv2i32
-  { 737,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #737 = VCLTzv4f32
-  { 738,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #738 = VCLTzv4i16
-  { 739,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #739 = VCLTzv4i32
-  { 740,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #740 = VCLTzv8i16
-  { 741,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #741 = VCLTzv8i8
-  { 742,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #742 = VCLZv16i8
-  { 743,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #743 = VCLZv2i32
-  { 744,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #744 = VCLZv4i16
-  { 745,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #745 = VCLZv4i32
-  { 746,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #746 = VCLZv8i16
-  { 747,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #747 = VCLZv8i8
-  { 748,	4,	0,	439,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, ImplicitList4, OperandInfo132,0,0 },  // Inst #748 = VCMPD
-  { 749,	4,	0,	439,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, ImplicitList4, OperandInfo132,0,0 },  // Inst #749 = VCMPED
-  { 750,	4,	0,	440,	4,	0|(1<<MCID_Predicable), 0x28780ULL, NULL, ImplicitList4, OperandInfo133,0,0 },  // Inst #750 = VCMPES
-  { 751,	3,	0,	439,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, ImplicitList4, OperandInfo140,0,0 },  // Inst #751 = VCMPEZD
-  { 752,	3,	0,	440,	4,	0|(1<<MCID_Predicable), 0x28780ULL, NULL, ImplicitList4, OperandInfo141,0,0 },  // Inst #752 = VCMPEZS
-  { 753,	4,	0,	440,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28780ULL, NULL, ImplicitList4, OperandInfo133,0,0 },  // Inst #753 = VCMPS
-  { 754,	3,	0,	439,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, ImplicitList4, OperandInfo140,0,0 },  // Inst #754 = VCMPZD
-  { 755,	3,	0,	440,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28780ULL, NULL, ImplicitList4, OperandInfo141,0,0 },  // Inst #755 = VCMPZS
-  { 756,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #756 = VCNTd
-  { 757,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #757 = VCNTq
-  { 758,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #758 = VCVTANSD
-  { 759,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #759 = VCVTANSQ
-  { 760,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #760 = VCVTANUD
-  { 761,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #761 = VCVTANUQ
-  { 762,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #762 = VCVTASD
-  { 763,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #763 = VCVTASS
-  { 764,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #764 = VCVTAUD
-  { 765,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #765 = VCVTAUS
-  { 766,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo145,0,0 },  // Inst #766 = VCVTBDH
-  { 767,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo146,0,0 },  // Inst #767 = VCVTBHD
-  { 768,	4,	1,	475,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #768 = VCVTBHS
-  { 769,	4,	1,	476,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #769 = VCVTBSH
-  { 770,	4,	1,	477,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo146,0,0 },  // Inst #770 = VCVTDS
-  { 771,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #771 = VCVTMNSD
-  { 772,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #772 = VCVTMNSQ
-  { 773,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #773 = VCVTMNUD
-  { 774,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #774 = VCVTMNUQ
-  { 775,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #775 = VCVTMSD
-  { 776,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #776 = VCVTMSS
-  { 777,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #777 = VCVTMUD
-  { 778,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #778 = VCVTMUS
-  { 779,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #779 = VCVTNNSD
-  { 780,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #780 = VCVTNNSQ
-  { 781,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #781 = VCVTNNUD
-  { 782,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #782 = VCVTNNUQ
-  { 783,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #783 = VCVTNSD
-  { 784,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #784 = VCVTNSS
-  { 785,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #785 = VCVTNUD
-  { 786,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #786 = VCVTNUS
-  { 787,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #787 = VCVTPNSD
-  { 788,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #788 = VCVTPNSQ
-  { 789,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #789 = VCVTPNUD
-  { 790,	2,	1,	474,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #790 = VCVTPNUQ
-  { 791,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #791 = VCVTPSD
-  { 792,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #792 = VCVTPSS
-  { 793,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo143,0,0 },  // Inst #793 = VCVTPUD
-  { 794,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #794 = VCVTPUS
-  { 795,	4,	1,	478,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo145,0,0 },  // Inst #795 = VCVTSD
-  { 796,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo145,0,0 },  // Inst #796 = VCVTTDH
-  { 797,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo146,0,0 },  // Inst #797 = VCVTTHD
-  { 798,	4,	1,	475,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #798 = VCVTTHS
-  { 799,	4,	1,	476,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #799 = VCVTTSH
-  { 800,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #800 = VCVTf2h
-  { 801,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #801 = VCVTf2sd
-  { 802,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #802 = VCVTf2sq
-  { 803,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #803 = VCVTf2ud
-  { 804,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #804 = VCVTf2uq
-  { 805,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #805 = VCVTf2xsd
-  { 806,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #806 = VCVTf2xsq
-  { 807,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #807 = VCVTf2xud
-  { 808,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #808 = VCVTf2xuq
-  { 809,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #809 = VCVTh2f
-  { 810,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #810 = VCVTs2fd
-  { 811,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #811 = VCVTs2fq
-  { 812,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #812 = VCVTu2fd
-  { 813,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #813 = VCVTu2fq
-  { 814,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #814 = VCVTxs2fd
-  { 815,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #815 = VCVTxs2fq
-  { 816,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #816 = VCVTxu2fd
-  { 817,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #817 = VCVTxu2fq
-  { 818,	5,	1,	588,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #818 = VDIVD
-  { 819,	5,	1,	586,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo136,0,0 },  // Inst #819 = VDIVS
-  { 820,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, NULL, NULL, OperandInfo151,0,0 },  // Inst #820 = VDUP16d
-  { 821,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, NULL, NULL, OperandInfo152,0,0 },  // Inst #821 = VDUP16q
-  { 822,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, NULL, NULL, OperandInfo151,0,0 },  // Inst #822 = VDUP32d
-  { 823,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, NULL, NULL, OperandInfo152,0,0 },  // Inst #823 = VDUP32q
-  { 824,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, NULL, NULL, OperandInfo151,0,0 },  // Inst #824 = VDUP8d
-  { 825,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, NULL, NULL, OperandInfo152,0,0 },  // Inst #825 = VDUP8q
-  { 826,	5,	1,	494,	4,	0|(1<<MCID_Predicable), 0x11100ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #826 = VDUPLN16d
-  { 827,	5,	1,	495,	4,	0|(1<<MCID_Predicable), 0x11100ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #827 = VDUPLN16q
-  { 828,	5,	1,	494,	4,	0|(1<<MCID_Predicable), 0x11100ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #828 = VDUPLN32d
-  { 829,	5,	1,	495,	4,	0|(1<<MCID_Predicable), 0x11100ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #829 = VDUPLN32q
-  { 830,	5,	1,	494,	4,	0|(1<<MCID_Predicable), 0x11100ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #830 = VDUPLN8d
-  { 831,	5,	1,	495,	4,	0|(1<<MCID_Predicable), 0x11100ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #831 = VDUPLN8q
-  { 832,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #832 = VEORd
-  { 833,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #833 = VEORq
-  { 834,	6,	1,	396,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo154,0,0 },  // Inst #834 = VEXTd16
-  { 835,	6,	1,	396,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo154,0,0 },  // Inst #835 = VEXTd32
-  { 836,	6,	1,	396,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo154,0,0 },  // Inst #836 = VEXTd8
-  { 837,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo155,0,0 },  // Inst #837 = VEXTq16
-  { 838,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo155,0,0 },  // Inst #838 = VEXTq32
-  { 839,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo155,0,0 },  // Inst #839 = VEXTq64
-  { 840,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, NULL, NULL, OperandInfo155,0,0 },  // Inst #840 = VEXTq8
-  { 841,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #841 = VFMAD
-  { 842,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #842 = VFMAS
-  { 843,	6,	1,	472,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #843 = VFMAfd
-  { 844,	6,	1,	473,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #844 = VFMAfq
-  { 845,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #845 = VFMSD
-  { 846,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #846 = VFMSS
-  { 847,	6,	1,	472,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #847 = VFMSfd
-  { 848,	6,	1,	473,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #848 = VFMSfq
-  { 849,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #849 = VFNMAD
-  { 850,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #850 = VFNMAS
-  { 851,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #851 = VFNMSD
-  { 852,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #852 = VFNMSS
-  { 853,	5,	1,	503,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, NULL, NULL, OperandInfo157,0,0 },  // Inst #853 = VGETLNi32
-  { 854,	5,	1,	504,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, NULL, NULL, OperandInfo157,0,0 },  // Inst #854 = VGETLNs16
-  { 855,	5,	1,	504,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, NULL, NULL, OperandInfo157,0,0 },  // Inst #855 = VGETLNs8
-  { 856,	5,	1,	503,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, NULL, NULL, OperandInfo157,0,0 },  // Inst #856 = VGETLNu16
-  { 857,	5,	1,	503,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, NULL, NULL, OperandInfo157,0,0 },  // Inst #857 = VGETLNu8
-  { 858,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #858 = VHADDsv16i8
-  { 859,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #859 = VHADDsv2i32
-  { 860,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #860 = VHADDsv4i16
-  { 861,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #861 = VHADDsv4i32
-  { 862,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #862 = VHADDsv8i16
-  { 863,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #863 = VHADDsv8i8
-  { 864,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #864 = VHADDuv16i8
-  { 865,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #865 = VHADDuv2i32
-  { 866,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #866 = VHADDuv4i16
-  { 867,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #867 = VHADDuv4i32
-  { 868,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #868 = VHADDuv8i16
-  { 869,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #869 = VHADDuv8i8
-  { 870,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #870 = VHSUBsv16i8
-  { 871,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #871 = VHSUBsv2i32
-  { 872,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #872 = VHSUBsv4i16
-  { 873,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #873 = VHSUBsv4i32
-  { 874,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #874 = VHSUBsv8i16
-  { 875,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #875 = VHSUBsv8i8
-  { 876,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #876 = VHSUBuv16i8
-  { 877,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #877 = VHSUBuv2i32
-  { 878,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #878 = VHSUBuv4i16
-  { 879,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #879 = VHSUBuv4i32
-  { 880,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #880 = VHSUBuv8i16
-  { 881,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #881 = VHSUBuv8i8
-  { 882,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #882 = VLD1DUPd16
-  { 883,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #883 = VLD1DUPd16wb_fixed
-  { 884,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #884 = VLD1DUPd16wb_register
-  { 885,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #885 = VLD1DUPd32
-  { 886,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #886 = VLD1DUPd32wb_fixed
-  { 887,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #887 = VLD1DUPd32wb_register
-  { 888,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #888 = VLD1DUPd8
-  { 889,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #889 = VLD1DUPd8wb_fixed
-  { 890,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #890 = VLD1DUPd8wb_register
-  { 891,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #891 = VLD1DUPq16
-  { 892,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #892 = VLD1DUPq16wb_fixed
-  { 893,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #893 = VLD1DUPq16wb_register
-  { 894,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #894 = VLD1DUPq32
-  { 895,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #895 = VLD1DUPq32wb_fixed
-  { 896,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #896 = VLD1DUPq32wb_register
-  { 897,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #897 = VLD1DUPq8
-  { 898,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #898 = VLD1DUPq8wb_fixed
-  { 899,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #899 = VLD1DUPq8wb_register
-  { 900,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo164,0,0 },  // Inst #900 = VLD1LNd16
-  { 901,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo165,0,0 },  // Inst #901 = VLD1LNd16_UPD
-  { 902,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo164,0,0 },  // Inst #902 = VLD1LNd32
-  { 903,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo165,0,0 },  // Inst #903 = VLD1LNd32_UPD
-  { 904,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo164,0,0 },  // Inst #904 = VLD1LNd8
-  { 905,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo165,0,0 },  // Inst #905 = VLD1LNd8_UPD
-  { 906,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #906 = VLD1LNdAsm_16
-  { 907,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #907 = VLD1LNdAsm_32
-  { 908,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #908 = VLD1LNdAsm_8
-  { 909,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #909 = VLD1LNdWB_fixed_Asm_16
-  { 910,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #910 = VLD1LNdWB_fixed_Asm_32
-  { 911,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #911 = VLD1LNdWB_fixed_Asm_8
-  { 912,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #912 = VLD1LNdWB_register_Asm_16
-  { 913,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #913 = VLD1LNdWB_register_Asm_32
-  { 914,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #914 = VLD1LNdWB_register_Asm_8
-  { 915,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo168,0,0 },  // Inst #915 = VLD1LNq16Pseudo
-  { 916,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo169,0,0 },  // Inst #916 = VLD1LNq16Pseudo_UPD
-  { 917,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo168,0,0 },  // Inst #917 = VLD1LNq32Pseudo
-  { 918,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo169,0,0 },  // Inst #918 = VLD1LNq32Pseudo_UPD
-  { 919,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo168,0,0 },  // Inst #919 = VLD1LNq8Pseudo
-  { 920,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo169,0,0 },  // Inst #920 = VLD1LNq8Pseudo_UPD
-  { 921,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #921 = VLD1d16
-  { 922,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #922 = VLD1d16Q
-  { 923,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #923 = VLD1d16Qwb_fixed
-  { 924,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #924 = VLD1d16Qwb_register
-  { 925,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #925 = VLD1d16T
-  { 926,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #926 = VLD1d16Twb_fixed
-  { 927,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #927 = VLD1d16Twb_register
-  { 928,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #928 = VLD1d16wb_fixed
-  { 929,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #929 = VLD1d16wb_register
-  { 930,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #930 = VLD1d32
-  { 931,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #931 = VLD1d32Q
-  { 932,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #932 = VLD1d32Qwb_fixed
-  { 933,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #933 = VLD1d32Qwb_register
-  { 934,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #934 = VLD1d32T
-  { 935,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #935 = VLD1d32Twb_fixed
-  { 936,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #936 = VLD1d32Twb_register
-  { 937,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #937 = VLD1d32wb_fixed
-  { 938,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #938 = VLD1d32wb_register
-  { 939,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #939 = VLD1d64
-  { 940,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #940 = VLD1d64Q
-  { 941,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #941 = VLD1d64QPseudo
-  { 942,	6,	2,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo171,0,0 },  // Inst #942 = VLD1d64QPseudoWB_fixed
-  { 943,	7,	2,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo172,0,0 },  // Inst #943 = VLD1d64QPseudoWB_register
-  { 944,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #944 = VLD1d64Qwb_fixed
-  { 945,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #945 = VLD1d64Qwb_register
-  { 946,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #946 = VLD1d64T
-  { 947,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #947 = VLD1d64TPseudo
-  { 948,	6,	2,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo171,0,0 },  // Inst #948 = VLD1d64TPseudoWB_fixed
-  { 949,	7,	2,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo172,0,0 },  // Inst #949 = VLD1d64TPseudoWB_register
-  { 950,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #950 = VLD1d64Twb_fixed
-  { 951,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #951 = VLD1d64Twb_register
-  { 952,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #952 = VLD1d64wb_fixed
-  { 953,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #953 = VLD1d64wb_register
-  { 954,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #954 = VLD1d8
-  { 955,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #955 = VLD1d8Q
-  { 956,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #956 = VLD1d8Qwb_fixed
-  { 957,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #957 = VLD1d8Qwb_register
-  { 958,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #958 = VLD1d8T
-  { 959,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #959 = VLD1d8Twb_fixed
-  { 960,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #960 = VLD1d8Twb_register
-  { 961,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #961 = VLD1d8wb_fixed
-  { 962,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #962 = VLD1d8wb_register
-  { 963,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #963 = VLD1q16
-  { 964,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #964 = VLD1q16wb_fixed
-  { 965,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #965 = VLD1q16wb_register
-  { 966,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #966 = VLD1q32
-  { 967,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #967 = VLD1q32wb_fixed
-  { 968,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #968 = VLD1q32wb_register
-  { 969,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #969 = VLD1q64
-  { 970,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #970 = VLD1q64wb_fixed
-  { 971,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #971 = VLD1q64wb_register
-  { 972,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #972 = VLD1q8
-  { 973,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #973 = VLD1q8wb_fixed
-  { 974,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #974 = VLD1q8wb_register
-  { 975,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #975 = VLD2DUPd16
-  { 976,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #976 = VLD2DUPd16wb_fixed
-  { 977,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #977 = VLD2DUPd16wb_register
-  { 978,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #978 = VLD2DUPd16x2
-  { 979,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #979 = VLD2DUPd16x2wb_fixed
-  { 980,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #980 = VLD2DUPd16x2wb_register
-  { 981,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #981 = VLD2DUPd32
-  { 982,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #982 = VLD2DUPd32wb_fixed
-  { 983,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #983 = VLD2DUPd32wb_register
-  { 984,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #984 = VLD2DUPd32x2
-  { 985,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #985 = VLD2DUPd32x2wb_fixed
-  { 986,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #986 = VLD2DUPd32x2wb_register
-  { 987,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #987 = VLD2DUPd8
-  { 988,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #988 = VLD2DUPd8wb_fixed
-  { 989,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #989 = VLD2DUPd8wb_register
-  { 990,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #990 = VLD2DUPd8x2
-  { 991,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #991 = VLD2DUPd8x2wb_fixed
-  { 992,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #992 = VLD2DUPd8x2wb_register
-  { 993,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo173,0,0 },  // Inst #993 = VLD2LNd16
-  { 994,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo168,0,0 },  // Inst #994 = VLD2LNd16Pseudo
-  { 995,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo169,0,0 },  // Inst #995 = VLD2LNd16Pseudo_UPD
-  { 996,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo174,0,0 },  // Inst #996 = VLD2LNd16_UPD
-  { 997,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo173,0,0 },  // Inst #997 = VLD2LNd32
-  { 998,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo168,0,0 },  // Inst #998 = VLD2LNd32Pseudo
-  { 999,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo169,0,0 },  // Inst #999 = VLD2LNd32Pseudo_UPD
-  { 1000,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo174,0,0 },  // Inst #1000 = VLD2LNd32_UPD
-  { 1001,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo173,0,0 },  // Inst #1001 = VLD2LNd8
-  { 1002,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo168,0,0 },  // Inst #1002 = VLD2LNd8Pseudo
-  { 1003,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo169,0,0 },  // Inst #1003 = VLD2LNd8Pseudo_UPD
-  { 1004,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo174,0,0 },  // Inst #1004 = VLD2LNd8_UPD
-  { 1005,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1005 = VLD2LNdAsm_16
-  { 1006,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1006 = VLD2LNdAsm_32
-  { 1007,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1007 = VLD2LNdAsm_8
-  { 1008,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1008 = VLD2LNdWB_fixed_Asm_16
-  { 1009,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1009 = VLD2LNdWB_fixed_Asm_32
-  { 1010,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1010 = VLD2LNdWB_fixed_Asm_8
-  { 1011,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1011 = VLD2LNdWB_register_Asm_16
-  { 1012,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1012 = VLD2LNdWB_register_Asm_32
-  { 1013,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1013 = VLD2LNdWB_register_Asm_8
-  { 1014,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo173,0,0 },  // Inst #1014 = VLD2LNq16
-  { 1015,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1015 = VLD2LNq16Pseudo
-  { 1016,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1016 = VLD2LNq16Pseudo_UPD
-  { 1017,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo174,0,0 },  // Inst #1017 = VLD2LNq16_UPD
-  { 1018,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo173,0,0 },  // Inst #1018 = VLD2LNq32
-  { 1019,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1019 = VLD2LNq32Pseudo
-  { 1020,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1020 = VLD2LNq32Pseudo_UPD
-  { 1021,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo174,0,0 },  // Inst #1021 = VLD2LNq32_UPD
-  { 1022,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1022 = VLD2LNqAsm_16
-  { 1023,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1023 = VLD2LNqAsm_32
-  { 1024,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1024 = VLD2LNqWB_fixed_Asm_16
-  { 1025,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1025 = VLD2LNqWB_fixed_Asm_32
-  { 1026,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1026 = VLD2LNqWB_register_Asm_16
-  { 1027,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1027 = VLD2LNqWB_register_Asm_32
-  { 1028,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #1028 = VLD2b16
-  { 1029,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #1029 = VLD2b16wb_fixed
-  { 1030,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #1030 = VLD2b16wb_register
-  { 1031,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #1031 = VLD2b32
-  { 1032,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #1032 = VLD2b32wb_fixed
-  { 1033,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #1033 = VLD2b32wb_register
-  { 1034,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #1034 = VLD2b8
-  { 1035,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #1035 = VLD2b8wb_fixed
-  { 1036,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #1036 = VLD2b8wb_register
-  { 1037,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #1037 = VLD2d16
-  { 1038,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #1038 = VLD2d16wb_fixed
-  { 1039,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #1039 = VLD2d16wb_register
-  { 1040,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #1040 = VLD2d32
-  { 1041,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #1041 = VLD2d32wb_fixed
-  { 1042,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #1042 = VLD2d32wb_register
-  { 1043,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo161,0,0 },  // Inst #1043 = VLD2d8
-  { 1044,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo162,0,0 },  // Inst #1044 = VLD2d8wb_fixed
-  { 1045,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo163,0,0 },  // Inst #1045 = VLD2d8wb_register
-  { 1046,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1046 = VLD2q16
-  { 1047,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1047 = VLD2q16Pseudo
-  { 1048,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo171,0,0 },  // Inst #1048 = VLD2q16PseudoWB_fixed
-  { 1049,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo172,0,0 },  // Inst #1049 = VLD2q16PseudoWB_register
-  { 1050,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #1050 = VLD2q16wb_fixed
-  { 1051,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #1051 = VLD2q16wb_register
-  { 1052,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1052 = VLD2q32
-  { 1053,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1053 = VLD2q32Pseudo
-  { 1054,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo171,0,0 },  // Inst #1054 = VLD2q32PseudoWB_fixed
-  { 1055,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo172,0,0 },  // Inst #1055 = VLD2q32PseudoWB_register
-  { 1056,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #1056 = VLD2q32wb_fixed
-  { 1057,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #1057 = VLD2q32wb_register
-  { 1058,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1058 = VLD2q8
-  { 1059,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1059 = VLD2q8Pseudo
-  { 1060,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo171,0,0 },  // Inst #1060 = VLD2q8PseudoWB_fixed
-  { 1061,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo172,0,0 },  // Inst #1061 = VLD2q8PseudoWB_register
-  { 1062,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo159,0,0 },  // Inst #1062 = VLD2q8wb_fixed
-  { 1063,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo160,0,0 },  // Inst #1063 = VLD2q8wb_register
-  { 1064,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1064 = VLD3DUPd16
-  { 1065,	5,	1,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1065 = VLD3DUPd16Pseudo
-  { 1066,	7,	2,	551,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1066 = VLD3DUPd16Pseudo_UPD
-  { 1067,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1067 = VLD3DUPd16_UPD
-  { 1068,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1068 = VLD3DUPd32
-  { 1069,	5,	1,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1069 = VLD3DUPd32Pseudo
-  { 1070,	7,	2,	551,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1070 = VLD3DUPd32Pseudo_UPD
-  { 1071,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1071 = VLD3DUPd32_UPD
-  { 1072,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1072 = VLD3DUPd8
-  { 1073,	5,	1,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1073 = VLD3DUPd8Pseudo
-  { 1074,	7,	2,	551,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1074 = VLD3DUPd8Pseudo_UPD
-  { 1075,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1075 = VLD3DUPd8_UPD
-  { 1076,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1076 = VLD3DUPdAsm_16
-  { 1077,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1077 = VLD3DUPdAsm_32
-  { 1078,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1078 = VLD3DUPdAsm_8
-  { 1079,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1079 = VLD3DUPdWB_fixed_Asm_16
-  { 1080,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1080 = VLD3DUPdWB_fixed_Asm_32
-  { 1081,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1081 = VLD3DUPdWB_fixed_Asm_8
-  { 1082,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1082 = VLD3DUPdWB_register_Asm_16
-  { 1083,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1083 = VLD3DUPdWB_register_Asm_32
-  { 1084,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1084 = VLD3DUPdWB_register_Asm_8
-  { 1085,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1085 = VLD3DUPq16
-  { 1086,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1086 = VLD3DUPq16_UPD
-  { 1087,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1087 = VLD3DUPq32
-  { 1088,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1088 = VLD3DUPq32_UPD
-  { 1089,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1089 = VLD3DUPq8
-  { 1090,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1090 = VLD3DUPq8_UPD
-  { 1091,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1091 = VLD3DUPqAsm_16
-  { 1092,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1092 = VLD3DUPqAsm_32
-  { 1093,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1093 = VLD3DUPqAsm_8
-  { 1094,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1094 = VLD3DUPqWB_fixed_Asm_16
-  { 1095,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1095 = VLD3DUPqWB_fixed_Asm_32
-  { 1096,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1096 = VLD3DUPqWB_fixed_Asm_8
-  { 1097,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1097 = VLD3DUPqWB_register_Asm_16
-  { 1098,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1098 = VLD3DUPqWB_register_Asm_32
-  { 1099,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1099 = VLD3DUPqWB_register_Asm_8
-  { 1100,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo181,0,0 },  // Inst #1100 = VLD3LNd16
-  { 1101,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1101 = VLD3LNd16Pseudo
-  { 1102,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1102 = VLD3LNd16Pseudo_UPD
-  { 1103,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo182,0,0 },  // Inst #1103 = VLD3LNd16_UPD
-  { 1104,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo181,0,0 },  // Inst #1104 = VLD3LNd32
-  { 1105,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1105 = VLD3LNd32Pseudo
-  { 1106,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1106 = VLD3LNd32Pseudo_UPD
-  { 1107,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo182,0,0 },  // Inst #1107 = VLD3LNd32_UPD
-  { 1108,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo181,0,0 },  // Inst #1108 = VLD3LNd8
-  { 1109,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1109 = VLD3LNd8Pseudo
-  { 1110,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1110 = VLD3LNd8Pseudo_UPD
-  { 1111,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo182,0,0 },  // Inst #1111 = VLD3LNd8_UPD
-  { 1112,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1112 = VLD3LNdAsm_16
-  { 1113,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1113 = VLD3LNdAsm_32
-  { 1114,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1114 = VLD3LNdAsm_8
-  { 1115,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1115 = VLD3LNdWB_fixed_Asm_16
-  { 1116,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1116 = VLD3LNdWB_fixed_Asm_32
-  { 1117,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1117 = VLD3LNdWB_fixed_Asm_8
-  { 1118,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1118 = VLD3LNdWB_register_Asm_16
-  { 1119,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1119 = VLD3LNdWB_register_Asm_32
-  { 1120,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1120 = VLD3LNdWB_register_Asm_8
-  { 1121,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo181,0,0 },  // Inst #1121 = VLD3LNq16
-  { 1122,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo183,0,0 },  // Inst #1122 = VLD3LNq16Pseudo
-  { 1123,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo184,0,0 },  // Inst #1123 = VLD3LNq16Pseudo_UPD
-  { 1124,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo182,0,0 },  // Inst #1124 = VLD3LNq16_UPD
-  { 1125,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo181,0,0 },  // Inst #1125 = VLD3LNq32
-  { 1126,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo183,0,0 },  // Inst #1126 = VLD3LNq32Pseudo
-  { 1127,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo184,0,0 },  // Inst #1127 = VLD3LNq32Pseudo_UPD
-  { 1128,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo182,0,0 },  // Inst #1128 = VLD3LNq32_UPD
-  { 1129,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1129 = VLD3LNqAsm_16
-  { 1130,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1130 = VLD3LNqAsm_32
-  { 1131,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1131 = VLD3LNqWB_fixed_Asm_16
-  { 1132,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1132 = VLD3LNqWB_fixed_Asm_32
-  { 1133,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1133 = VLD3LNqWB_register_Asm_16
-  { 1134,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1134 = VLD3LNqWB_register_Asm_32
-  { 1135,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1135 = VLD3d16
-  { 1136,	5,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1136 = VLD3d16Pseudo
-  { 1137,	7,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1137 = VLD3d16Pseudo_UPD
-  { 1138,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1138 = VLD3d16_UPD
-  { 1139,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1139 = VLD3d32
-  { 1140,	5,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1140 = VLD3d32Pseudo
-  { 1141,	7,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1141 = VLD3d32Pseudo_UPD
-  { 1142,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1142 = VLD3d32_UPD
-  { 1143,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1143 = VLD3d8
-  { 1144,	5,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1144 = VLD3d8Pseudo
-  { 1145,	7,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1145 = VLD3d8Pseudo_UPD
-  { 1146,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1146 = VLD3d8_UPD
-  { 1147,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1147 = VLD3dAsm_16
-  { 1148,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1148 = VLD3dAsm_32
-  { 1149,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1149 = VLD3dAsm_8
-  { 1150,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1150 = VLD3dWB_fixed_Asm_16
-  { 1151,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1151 = VLD3dWB_fixed_Asm_32
-  { 1152,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1152 = VLD3dWB_fixed_Asm_8
-  { 1153,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1153 = VLD3dWB_register_Asm_16
-  { 1154,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1154 = VLD3dWB_register_Asm_32
-  { 1155,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1155 = VLD3dWB_register_Asm_8
-  { 1156,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1156 = VLD3q16
-  { 1157,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1157 = VLD3q16Pseudo_UPD
-  { 1158,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1158 = VLD3q16_UPD
-  { 1159,	6,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo186,0,0 },  // Inst #1159 = VLD3q16oddPseudo
-  { 1160,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1160 = VLD3q16oddPseudo_UPD
-  { 1161,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1161 = VLD3q32
-  { 1162,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1162 = VLD3q32Pseudo_UPD
-  { 1163,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1163 = VLD3q32_UPD
-  { 1164,	6,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo186,0,0 },  // Inst #1164 = VLD3q32oddPseudo
-  { 1165,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1165 = VLD3q32oddPseudo_UPD
-  { 1166,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo177,0,0 },  // Inst #1166 = VLD3q8
-  { 1167,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1167 = VLD3q8Pseudo_UPD
-  { 1168,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo179,0,0 },  // Inst #1168 = VLD3q8_UPD
-  { 1169,	6,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo186,0,0 },  // Inst #1169 = VLD3q8oddPseudo
-  { 1170,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1170 = VLD3q8oddPseudo_UPD
-  { 1171,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1171 = VLD3qAsm_16
-  { 1172,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1172 = VLD3qAsm_32
-  { 1173,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1173 = VLD3qAsm_8
-  { 1174,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1174 = VLD3qWB_fixed_Asm_16
-  { 1175,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1175 = VLD3qWB_fixed_Asm_32
-  { 1176,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1176 = VLD3qWB_fixed_Asm_8
-  { 1177,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1177 = VLD3qWB_register_Asm_16
-  { 1178,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1178 = VLD3qWB_register_Asm_32
-  { 1179,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1179 = VLD3qWB_register_Asm_8
-  { 1180,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1180 = VLD4DUPd16
-  { 1181,	5,	1,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1181 = VLD4DUPd16Pseudo
-  { 1182,	7,	2,	557,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1182 = VLD4DUPd16Pseudo_UPD
-  { 1183,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1183 = VLD4DUPd16_UPD
-  { 1184,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1184 = VLD4DUPd32
-  { 1185,	5,	1,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1185 = VLD4DUPd32Pseudo
-  { 1186,	7,	2,	557,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1186 = VLD4DUPd32Pseudo_UPD
-  { 1187,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1187 = VLD4DUPd32_UPD
-  { 1188,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1188 = VLD4DUPd8
-  { 1189,	5,	1,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1189 = VLD4DUPd8Pseudo
-  { 1190,	7,	2,	557,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1190 = VLD4DUPd8Pseudo_UPD
-  { 1191,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1191 = VLD4DUPd8_UPD
-  { 1192,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1192 = VLD4DUPdAsm_16
-  { 1193,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1193 = VLD4DUPdAsm_32
-  { 1194,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1194 = VLD4DUPdAsm_8
-  { 1195,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1195 = VLD4DUPdWB_fixed_Asm_16
-  { 1196,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1196 = VLD4DUPdWB_fixed_Asm_32
-  { 1197,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1197 = VLD4DUPdWB_fixed_Asm_8
-  { 1198,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1198 = VLD4DUPdWB_register_Asm_16
-  { 1199,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1199 = VLD4DUPdWB_register_Asm_32
-  { 1200,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1200 = VLD4DUPdWB_register_Asm_8
-  { 1201,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1201 = VLD4DUPq16
-  { 1202,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1202 = VLD4DUPq16_UPD
-  { 1203,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1203 = VLD4DUPq32
-  { 1204,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1204 = VLD4DUPq32_UPD
-  { 1205,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1205 = VLD4DUPq8
-  { 1206,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1206 = VLD4DUPq8_UPD
-  { 1207,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1207 = VLD4DUPqAsm_16
-  { 1208,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1208 = VLD4DUPqAsm_32
-  { 1209,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1209 = VLD4DUPqAsm_8
-  { 1210,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1210 = VLD4DUPqWB_fixed_Asm_16
-  { 1211,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1211 = VLD4DUPqWB_fixed_Asm_32
-  { 1212,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1212 = VLD4DUPqWB_fixed_Asm_8
-  { 1213,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1213 = VLD4DUPqWB_register_Asm_16
-  { 1214,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1214 = VLD4DUPqWB_register_Asm_32
-  { 1215,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1215 = VLD4DUPqWB_register_Asm_8
-  { 1216,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo189,0,0 },  // Inst #1216 = VLD4LNd16
-  { 1217,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1217 = VLD4LNd16Pseudo
-  { 1218,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1218 = VLD4LNd16Pseudo_UPD
-  { 1219,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo190,0,0 },  // Inst #1219 = VLD4LNd16_UPD
-  { 1220,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo189,0,0 },  // Inst #1220 = VLD4LNd32
-  { 1221,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1221 = VLD4LNd32Pseudo
-  { 1222,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1222 = VLD4LNd32Pseudo_UPD
-  { 1223,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo190,0,0 },  // Inst #1223 = VLD4LNd32_UPD
-  { 1224,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo189,0,0 },  // Inst #1224 = VLD4LNd8
-  { 1225,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo175,0,0 },  // Inst #1225 = VLD4LNd8Pseudo
-  { 1226,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo176,0,0 },  // Inst #1226 = VLD4LNd8Pseudo_UPD
-  { 1227,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo190,0,0 },  // Inst #1227 = VLD4LNd8_UPD
-  { 1228,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1228 = VLD4LNdAsm_16
-  { 1229,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1229 = VLD4LNdAsm_32
-  { 1230,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1230 = VLD4LNdAsm_8
-  { 1231,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1231 = VLD4LNdWB_fixed_Asm_16
-  { 1232,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1232 = VLD4LNdWB_fixed_Asm_32
-  { 1233,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1233 = VLD4LNdWB_fixed_Asm_8
-  { 1234,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1234 = VLD4LNdWB_register_Asm_16
-  { 1235,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1235 = VLD4LNdWB_register_Asm_32
-  { 1236,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1236 = VLD4LNdWB_register_Asm_8
-  { 1237,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo189,0,0 },  // Inst #1237 = VLD4LNq16
-  { 1238,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo183,0,0 },  // Inst #1238 = VLD4LNq16Pseudo
-  { 1239,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo184,0,0 },  // Inst #1239 = VLD4LNq16Pseudo_UPD
-  { 1240,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo190,0,0 },  // Inst #1240 = VLD4LNq16_UPD
-  { 1241,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo189,0,0 },  // Inst #1241 = VLD4LNq32
-  { 1242,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo183,0,0 },  // Inst #1242 = VLD4LNq32Pseudo
-  { 1243,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo184,0,0 },  // Inst #1243 = VLD4LNq32Pseudo_UPD
-  { 1244,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo190,0,0 },  // Inst #1244 = VLD4LNq32_UPD
-  { 1245,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1245 = VLD4LNqAsm_16
-  { 1246,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1246 = VLD4LNqAsm_32
-  { 1247,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1247 = VLD4LNqWB_fixed_Asm_16
-  { 1248,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1248 = VLD4LNqWB_fixed_Asm_32
-  { 1249,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1249 = VLD4LNqWB_register_Asm_16
-  { 1250,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1250 = VLD4LNqWB_register_Asm_32
-  { 1251,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1251 = VLD4d16
-  { 1252,	5,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1252 = VLD4d16Pseudo
-  { 1253,	7,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1253 = VLD4d16Pseudo_UPD
-  { 1254,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1254 = VLD4d16_UPD
-  { 1255,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1255 = VLD4d32
-  { 1256,	5,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1256 = VLD4d32Pseudo
-  { 1257,	7,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1257 = VLD4d32Pseudo_UPD
-  { 1258,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1258 = VLD4d32_UPD
-  { 1259,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1259 = VLD4d8
-  { 1260,	5,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo170,0,0 },  // Inst #1260 = VLD4d8Pseudo
-  { 1261,	7,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo178,0,0 },  // Inst #1261 = VLD4d8Pseudo_UPD
-  { 1262,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1262 = VLD4d8_UPD
-  { 1263,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1263 = VLD4dAsm_16
-  { 1264,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1264 = VLD4dAsm_32
-  { 1265,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1265 = VLD4dAsm_8
-  { 1266,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1266 = VLD4dWB_fixed_Asm_16
-  { 1267,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1267 = VLD4dWB_fixed_Asm_32
-  { 1268,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1268 = VLD4dWB_fixed_Asm_8
-  { 1269,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1269 = VLD4dWB_register_Asm_16
-  { 1270,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1270 = VLD4dWB_register_Asm_32
-  { 1271,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1271 = VLD4dWB_register_Asm_8
-  { 1272,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1272 = VLD4q16
-  { 1273,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1273 = VLD4q16Pseudo_UPD
-  { 1274,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1274 = VLD4q16_UPD
-  { 1275,	6,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo186,0,0 },  // Inst #1275 = VLD4q16oddPseudo
-  { 1276,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1276 = VLD4q16oddPseudo_UPD
-  { 1277,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1277 = VLD4q32
-  { 1278,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1278 = VLD4q32Pseudo_UPD
-  { 1279,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1279 = VLD4q32_UPD
-  { 1280,	6,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo186,0,0 },  // Inst #1280 = VLD4q32oddPseudo
-  { 1281,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1281 = VLD4q32oddPseudo_UPD
-  { 1282,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo187,0,0 },  // Inst #1282 = VLD4q8
-  { 1283,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1283 = VLD4q8Pseudo_UPD
-  { 1284,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo188,0,0 },  // Inst #1284 = VLD4q8_UPD
-  { 1285,	6,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo186,0,0 },  // Inst #1285 = VLD4q8oddPseudo
-  { 1286,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo185,0,0 },  // Inst #1286 = VLD4q8oddPseudo_UPD
-  { 1287,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1287 = VLD4qAsm_16
-  { 1288,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1288 = VLD4qAsm_32
-  { 1289,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1289 = VLD4qAsm_8
-  { 1290,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1290 = VLD4qWB_fixed_Asm_16
-  { 1291,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1291 = VLD4qWB_fixed_Asm_32
-  { 1292,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #1292 = VLD4qWB_fixed_Asm_8
-  { 1293,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1293 = VLD4qWB_register_Asm_16
-  { 1294,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1294 = VLD4qWB_register_Asm_32
-  { 1295,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #1295 = VLD4qWB_register_Asm_8
-  { 1296,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x8be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #1296 = VLDMDDB_UPD
-  { 1297,	4,	0,	514,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x8b84ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #1297 = VLDMDIA
-  { 1298,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x8be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #1298 = VLDMDIA_UPD
-  { 1299,	4,	1,	512,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x18004ULL, NULL, NULL, OperandInfo191,0,0 },  // Inst #1299 = VLDMQIA
-  { 1300,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x18be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #1300 = VLDMSDB_UPD
-  { 1301,	4,	0,	514,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x18b84ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #1301 = VLDMSIA
-  { 1302,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x18be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #1302 = VLDMSIA_UPD
-  { 1303,	5,	1,	508,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x18b05ULL, NULL, NULL, OperandInfo192,0,0 },  // Inst #1303 = VLDRD
-  { 1304,	5,	1,	509,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x18b05ULL, NULL, NULL, OperandInfo193,0,0 },  // Inst #1304 = VLDRS
-  { 1305,	3,	1,	446,	4,	0, 0x8800ULL, NULL, NULL, OperandInfo194,0,0 },  // Inst #1305 = VMAXNMD
-  { 1306,	3,	1,	446,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo194,0,0 },  // Inst #1306 = VMAXNMND
-  { 1307,	3,	1,	446,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo195,0,0 },  // Inst #1307 = VMAXNMNQ
-  { 1308,	3,	1,	446,	4,	0, 0x8800ULL, NULL, NULL, OperandInfo196,0,0 },  // Inst #1308 = VMAXNMS
-  { 1309,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1309 = VMAXfd
-  { 1310,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1310 = VMAXfq
-  { 1311,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1311 = VMAXsv16i8
-  { 1312,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1312 = VMAXsv2i32
-  { 1313,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1313 = VMAXsv4i16
-  { 1314,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1314 = VMAXsv4i32
-  { 1315,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1315 = VMAXsv8i16
-  { 1316,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1316 = VMAXsv8i8
-  { 1317,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1317 = VMAXuv16i8
-  { 1318,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1318 = VMAXuv2i32
-  { 1319,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1319 = VMAXuv4i16
-  { 1320,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1320 = VMAXuv4i32
-  { 1321,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1321 = VMAXuv8i16
-  { 1322,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1322 = VMAXuv8i8
-  { 1323,	3,	1,	446,	4,	0, 0x8800ULL, NULL, NULL, OperandInfo194,0,0 },  // Inst #1323 = VMINNMD
-  { 1324,	3,	1,	446,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo194,0,0 },  // Inst #1324 = VMINNMND
-  { 1325,	3,	1,	446,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo195,0,0 },  // Inst #1325 = VMINNMNQ
-  { 1326,	3,	1,	446,	4,	0, 0x8800ULL, NULL, NULL, OperandInfo196,0,0 },  // Inst #1326 = VMINNMS
-  { 1327,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1327 = VMINfd
-  { 1328,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1328 = VMINfq
-  { 1329,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1329 = VMINsv16i8
-  { 1330,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1330 = VMINsv2i32
-  { 1331,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1331 = VMINsv4i16
-  { 1332,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1332 = VMINsv4i32
-  { 1333,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1333 = VMINsv8i16
-  { 1334,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1334 = VMINsv8i8
-  { 1335,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1335 = VMINuv16i8
-  { 1336,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1336 = VMINuv2i32
-  { 1337,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1337 = VMINuv4i16
-  { 1338,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1338 = VMINuv4i32
-  { 1339,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1339 = VMINuv8i16
-  { 1340,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1340 = VMINuv8i8
-  { 1341,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1341 = VMLAD
-  { 1342,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo197,0,0 },  // Inst #1342 = VMLALslsv2i32
-  { 1343,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo198,0,0 },  // Inst #1343 = VMLALslsv4i16
-  { 1344,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo197,0,0 },  // Inst #1344 = VMLALsluv2i32
-  { 1345,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo198,0,0 },  // Inst #1345 = VMLALsluv4i16
-  { 1346,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1346 = VMLALsv2i64
-  { 1347,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1347 = VMLALsv4i32
-  { 1348,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1348 = VMLALsv8i16
-  { 1349,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1349 = VMLALuv2i64
-  { 1350,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1350 = VMLALuv4i32
-  { 1351,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1351 = VMLALuv8i16
-  { 1352,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #1352 = VMLAS
-  { 1353,	6,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1353 = VMLAfd
-  { 1354,	6,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1354 = VMLAfq
-  { 1355,	7,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo199,0,0 },  // Inst #1355 = VMLAslfd
-  { 1356,	7,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo200,0,0 },  // Inst #1356 = VMLAslfq
-  { 1357,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo199,0,0 },  // Inst #1357 = VMLAslv2i32
-  { 1358,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo201,0,0 },  // Inst #1358 = VMLAslv4i16
-  { 1359,	7,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo200,0,0 },  // Inst #1359 = VMLAslv4i32
-  { 1360,	7,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo202,0,0 },  // Inst #1360 = VMLAslv8i16
-  { 1361,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1361 = VMLAv16i8
-  { 1362,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1362 = VMLAv2i32
-  { 1363,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1363 = VMLAv4i16
-  { 1364,	6,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1364 = VMLAv4i32
-  { 1365,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1365 = VMLAv8i16
-  { 1366,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1366 = VMLAv8i8
-  { 1367,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1367 = VMLSD
-  { 1368,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo197,0,0 },  // Inst #1368 = VMLSLslsv2i32
-  { 1369,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo198,0,0 },  // Inst #1369 = VMLSLslsv4i16
-  { 1370,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo197,0,0 },  // Inst #1370 = VMLSLsluv2i32
-  { 1371,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo198,0,0 },  // Inst #1371 = VMLSLsluv4i16
-  { 1372,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1372 = VMLSLsv2i64
-  { 1373,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1373 = VMLSLsv4i32
-  { 1374,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1374 = VMLSLsv8i16
-  { 1375,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1375 = VMLSLuv2i64
-  { 1376,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1376 = VMLSLuv4i32
-  { 1377,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1377 = VMLSLuv8i16
-  { 1378,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #1378 = VMLSS
-  { 1379,	6,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1379 = VMLSfd
-  { 1380,	6,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1380 = VMLSfq
-  { 1381,	7,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo199,0,0 },  // Inst #1381 = VMLSslfd
-  { 1382,	7,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo200,0,0 },  // Inst #1382 = VMLSslfq
-  { 1383,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo199,0,0 },  // Inst #1383 = VMLSslv2i32
-  { 1384,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo201,0,0 },  // Inst #1384 = VMLSslv4i16
-  { 1385,	7,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo200,0,0 },  // Inst #1385 = VMLSslv4i32
-  { 1386,	7,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo202,0,0 },  // Inst #1386 = VMLSslv8i16
-  { 1387,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1387 = VMLSv16i8
-  { 1388,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1388 = VMLSv2i32
-  { 1389,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1389 = VMLSv4i16
-  { 1390,	6,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1390 = VMLSv4i32
-  { 1391,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo127,0,0 },  // Inst #1391 = VMLSv8i16
-  { 1392,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1392 = VMLSv8i8
-  { 1393,	4,	1,	487,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1393 = VMOVD
-  { 1394,	5,	1,	501,	4,	0|(1<<MCID_Predicable), 0x18a80ULL, NULL, NULL, OperandInfo203,0,0 },  // Inst #1394 = VMOVDRR
-  { 1395,	5,	1,	487,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1395 = VMOVDcc
-  { 1396,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #1396 = VMOVLsv2i64
-  { 1397,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #1397 = VMOVLsv4i32
-  { 1398,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #1398 = VMOVLsv8i16
-  { 1399,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #1399 = VMOVLuv2i64
-  { 1400,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #1400 = VMOVLuv4i32
-  { 1401,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo150,0,0 },  // Inst #1401 = VMOVLuv8i16
-  { 1402,	4,	1,	492,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1402 = VMOVNv2i32
-  { 1403,	4,	1,	492,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1403 = VMOVNv4i16
-  { 1404,	4,	1,	492,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1404 = VMOVNv8i8
-  { 1405,	5,	2,	500,	4,	0|(1<<MCID_Predicable), 0x18980ULL, NULL, NULL, OperandInfo205,0,0 },  // Inst #1405 = VMOVRRD
-  { 1406,	6,	2,	500,	4,	0|(1<<MCID_Predicable), 0x18980ULL, NULL, NULL, OperandInfo206,0,0 },  // Inst #1406 = VMOVRRS
-  { 1407,	4,	1,	497,	4,	0|(1<<MCID_Bitcast)|(1<<MCID_Predicable), 0x18900ULL, NULL, NULL, OperandInfo207,0,0 },  // Inst #1407 = VMOVRS
-  { 1408,	4,	1,	488,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1408 = VMOVS
-  { 1409,	4,	1,	498,	4,	0|(1<<MCID_Bitcast)|(1<<MCID_Predicable), 0x18a00ULL, NULL, NULL, OperandInfo208,0,0 },  // Inst #1409 = VMOVSR
-  { 1410,	6,	2,	502,	4,	0|(1<<MCID_Predicable), 0x18a80ULL, NULL, NULL, OperandInfo209,0,0 },  // Inst #1410 = VMOVSRR
-  { 1411,	5,	1,	488,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo210,0,0 },  // Inst #1411 = VMOVScc
-  { 1412,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1412 = VMOVv16i8
-  { 1413,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1413 = VMOVv1i64
-  { 1414,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1414 = VMOVv2f32
-  { 1415,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1415 = VMOVv2i32
-  { 1416,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1416 = VMOVv2i64
-  { 1417,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1417 = VMOVv4f32
-  { 1418,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1418 = VMOVv4i16
-  { 1419,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1419 = VMOVv4i32
-  { 1420,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1420 = VMOVv8i16
-  { 1421,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1421 = VMOVv8i8
-  { 1422,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1422 = VMRS
-  { 1423,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1423 = VMRS_FPEXC
-  { 1424,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1424 = VMRS_FPINST
-  { 1425,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1425 = VMRS_FPINST2
-  { 1426,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1426 = VMRS_FPSID
-  { 1427,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1427 = VMRS_MVFR0
-  { 1428,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1428 = VMRS_MVFR1
-  { 1429,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, NULL, OperandInfo36,0,0 },  // Inst #1429 = VMRS_MVFR2
-  { 1430,	3,	0,	506,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, NULL, ImplicitList9, OperandInfo36,0,0 },  // Inst #1430 = VMSR
-  { 1431,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, NULL, ImplicitList9, OperandInfo36,0,0 },  // Inst #1431 = VMSR_FPEXC
-  { 1432,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, NULL, ImplicitList9, OperandInfo36,0,0 },  // Inst #1432 = VMSR_FPINST
-  { 1433,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, NULL, ImplicitList9, OperandInfo36,0,0 },  // Inst #1433 = VMSR_FPINST2
-  { 1434,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, NULL, ImplicitList9, OperandInfo36,0,0 },  // Inst #1434 = VMSR_FPSID
-  { 1435,	5,	1,	461,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1435 = VMULD
-  { 1436,	3,	1,	451,	4,	0, 0x11280ULL, NULL, NULL, OperandInfo212,0,0 },  // Inst #1436 = VMULLp64
-  { 1437,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1437 = VMULLp8
-  { 1438,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo213,0,0 },  // Inst #1438 = VMULLslsv2i32
-  { 1439,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo214,0,0 },  // Inst #1439 = VMULLslsv4i16
-  { 1440,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo213,0,0 },  // Inst #1440 = VMULLsluv2i32
-  { 1441,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo214,0,0 },  // Inst #1441 = VMULLsluv4i16
-  { 1442,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1442 = VMULLsv2i64
-  { 1443,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1443 = VMULLsv4i32
-  { 1444,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1444 = VMULLsv8i16
-  { 1445,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1445 = VMULLuv2i64
-  { 1446,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1446 = VMULLuv4i32
-  { 1447,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1447 = VMULLuv8i16
-  { 1448,	5,	1,	454,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo136,0,0 },  // Inst #1448 = VMULS
-  { 1449,	5,	1,	455,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1449 = VMULfd
-  { 1450,	5,	1,	456,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1450 = VMULfq
-  { 1451,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1451 = VMULpd
-  { 1452,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1452 = VMULpq
-  { 1453,	6,	1,	458,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo215,0,0 },  // Inst #1453 = VMULslfd
-  { 1454,	6,	1,	459,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo216,0,0 },  // Inst #1454 = VMULslfq
-  { 1455,	6,	1,	453,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo215,0,0 },  // Inst #1455 = VMULslv2i32
-  { 1456,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo217,0,0 },  // Inst #1456 = VMULslv4i16
-  { 1457,	6,	1,	460,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo216,0,0 },  // Inst #1457 = VMULslv4i32
-  { 1458,	6,	1,	457,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo218,0,0 },  // Inst #1458 = VMULslv8i16
-  { 1459,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1459 = VMULv16i8
-  { 1460,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1460 = VMULv2i32
-  { 1461,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1461 = VMULv4i16
-  { 1462,	5,	1,	460,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1462 = VMULv4i32
-  { 1463,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1463 = VMULv8i16
-  { 1464,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1464 = VMULv8i8
-  { 1465,	4,	1,	490,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1465 = VMVNd
-  { 1466,	4,	1,	490,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1466 = VMVNq
-  { 1467,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1467 = VMVNv2i32
-  { 1468,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo51,0,0 },  // Inst #1468 = VMVNv4i16
-  { 1469,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1469 = VMVNv4i32
-  { 1470,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, NULL, NULL, OperandInfo211,0,0 },  // Inst #1470 = VMVNv8i16
-  { 1471,	4,	1,	437,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1471 = VNEGD
-  { 1472,	4,	1,	438,	4,	0|(1<<MCID_Predicable), 0x28780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1472 = VNEGS
-  { 1473,	4,	1,	390,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1473 = VNEGf32q
-  { 1474,	4,	1,	391,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1474 = VNEGfd
-  { 1475,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1475 = VNEGs16d
-  { 1476,	4,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1476 = VNEGs16q
-  { 1477,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1477 = VNEGs32d
-  { 1478,	4,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1478 = VNEGs32q
-  { 1479,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1479 = VNEGs8d
-  { 1480,	4,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1480 = VNEGs8q
-  { 1481,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1481 = VNMLAD
-  { 1482,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #1482 = VNMLAS
-  { 1483,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo128,0,0 },  // Inst #1483 = VNMLSD
-  { 1484,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo156,0,0 },  // Inst #1484 = VNMLSS
-  { 1485,	5,	1,	461,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1485 = VNMULD
-  { 1486,	5,	1,	454,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo136,0,0 },  // Inst #1486 = VNMULS
-  { 1487,	5,	1,	382,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1487 = VORNd
-  { 1488,	5,	1,	381,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1488 = VORNq
-  { 1489,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1489 = VORRd
-  { 1490,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo138,0,0 },  // Inst #1490 = VORRiv2i32
-  { 1491,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo138,0,0 },  // Inst #1491 = VORRiv4i16
-  { 1492,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo139,0,0 },  // Inst #1492 = VORRiv4i32
-  { 1493,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, NULL, NULL, OperandInfo139,0,0 },  // Inst #1493 = VORRiv8i16
-  { 1494,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1494 = VORRq
-  { 1495,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo219,0,0 },  // Inst #1495 = VPADALsv16i8
-  { 1496,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1496 = VPADALsv2i32
-  { 1497,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1497 = VPADALsv4i16
-  { 1498,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo219,0,0 },  // Inst #1498 = VPADALsv4i32
-  { 1499,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo219,0,0 },  // Inst #1499 = VPADALsv8i16
-  { 1500,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1500 = VPADALsv8i8
-  { 1501,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo219,0,0 },  // Inst #1501 = VPADALuv16i8
-  { 1502,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1502 = VPADALuv2i32
-  { 1503,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1503 = VPADALuv4i16
-  { 1504,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo219,0,0 },  // Inst #1504 = VPADALuv4i32
-  { 1505,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo219,0,0 },  // Inst #1505 = VPADALuv8i16
-  { 1506,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo204,0,0 },  // Inst #1506 = VPADALuv8i8
-  { 1507,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1507 = VPADDLsv16i8
-  { 1508,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1508 = VPADDLsv2i32
-  { 1509,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1509 = VPADDLsv4i16
-  { 1510,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1510 = VPADDLsv4i32
-  { 1511,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1511 = VPADDLsv8i16
-  { 1512,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1512 = VPADDLsv8i8
-  { 1513,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1513 = VPADDLuv16i8
-  { 1514,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1514 = VPADDLuv2i32
-  { 1515,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1515 = VPADDLuv4i16
-  { 1516,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1516 = VPADDLuv4i32
-  { 1517,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1517 = VPADDLuv8i16
-  { 1518,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1518 = VPADDLuv8i8
-  { 1519,	5,	1,	447,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1519 = VPADDf
-  { 1520,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1520 = VPADDi16
-  { 1521,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1521 = VPADDi32
-  { 1522,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1522 = VPADDi8
-  { 1523,	5,	1,	447,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1523 = VPMAXf
-  { 1524,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1524 = VPMAXs16
-  { 1525,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1525 = VPMAXs32
-  { 1526,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1526 = VPMAXs8
-  { 1527,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1527 = VPMAXu16
-  { 1528,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1528 = VPMAXu32
-  { 1529,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1529 = VPMAXu8
-  { 1530,	5,	1,	447,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1530 = VPMINf
-  { 1531,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1531 = VPMINs16
-  { 1532,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1532 = VPMINs32
-  { 1533,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1533 = VPMINs8
-  { 1534,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1534 = VPMINu16
-  { 1535,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1535 = VPMINu32
-  { 1536,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1536 = VPMINu8
-  { 1537,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1537 = VQABSv16i8
-  { 1538,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1538 = VQABSv2i32
-  { 1539,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1539 = VQABSv4i16
-  { 1540,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1540 = VQABSv4i32
-  { 1541,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1541 = VQABSv8i16
-  { 1542,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1542 = VQABSv8i8
-  { 1543,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1543 = VQADDsv16i8
-  { 1544,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1544 = VQADDsv1i64
-  { 1545,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1545 = VQADDsv2i32
-  { 1546,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1546 = VQADDsv2i64
-  { 1547,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1547 = VQADDsv4i16
-  { 1548,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1548 = VQADDsv4i32
-  { 1549,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1549 = VQADDsv8i16
-  { 1550,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1550 = VQADDsv8i8
-  { 1551,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1551 = VQADDuv16i8
-  { 1552,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1552 = VQADDuv1i64
-  { 1553,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1553 = VQADDuv2i32
-  { 1554,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1554 = VQADDuv2i64
-  { 1555,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1555 = VQADDuv4i16
-  { 1556,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1556 = VQADDuv4i32
-  { 1557,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1557 = VQADDuv8i16
-  { 1558,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1558 = VQADDuv8i8
-  { 1559,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo197,0,0 },  // Inst #1559 = VQDMLALslv2i32
-  { 1560,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo198,0,0 },  // Inst #1560 = VQDMLALslv4i16
-  { 1561,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1561 = VQDMLALv2i64
-  { 1562,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1562 = VQDMLALv4i32
-  { 1563,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo197,0,0 },  // Inst #1563 = VQDMLSLslv2i32
-  { 1564,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo198,0,0 },  // Inst #1564 = VQDMLSLslv4i16
-  { 1565,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1565 = VQDMLSLv2i64
-  { 1566,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo126,0,0 },  // Inst #1566 = VQDMLSLv4i32
-  { 1567,	6,	1,	453,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo215,0,0 },  // Inst #1567 = VQDMULHslv2i32
-  { 1568,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo217,0,0 },  // Inst #1568 = VQDMULHslv4i16
-  { 1569,	6,	1,	460,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo216,0,0 },  // Inst #1569 = VQDMULHslv4i32
-  { 1570,	6,	1,	457,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo218,0,0 },  // Inst #1570 = VQDMULHslv8i16
-  { 1571,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1571 = VQDMULHv2i32
-  { 1572,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1572 = VQDMULHv4i16
-  { 1573,	5,	1,	460,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1573 = VQDMULHv4i32
-  { 1574,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1574 = VQDMULHv8i16
-  { 1575,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo213,0,0 },  // Inst #1575 = VQDMULLslv2i32
-  { 1576,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo214,0,0 },  // Inst #1576 = VQDMULLslv4i16
-  { 1577,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1577 = VQDMULLv2i64
-  { 1578,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #1578 = VQDMULLv4i32
-  { 1579,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1579 = VQMOVNsuv2i32
-  { 1580,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1580 = VQMOVNsuv4i16
-  { 1581,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1581 = VQMOVNsuv8i8
-  { 1582,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1582 = VQMOVNsv2i32
-  { 1583,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1583 = VQMOVNsv4i16
-  { 1584,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1584 = VQMOVNsv8i8
-  { 1585,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1585 = VQMOVNuv2i32
-  { 1586,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1586 = VQMOVNuv4i16
-  { 1587,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo147,0,0 },  // Inst #1587 = VQMOVNuv8i8
-  { 1588,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1588 = VQNEGv16i8
-  { 1589,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1589 = VQNEGv2i32
-  { 1590,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1590 = VQNEGv4i16
-  { 1591,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1591 = VQNEGv4i32
-  { 1592,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1592 = VQNEGv8i16
-  { 1593,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1593 = VQNEGv8i8
-  { 1594,	6,	1,	453,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo215,0,0 },  // Inst #1594 = VQRDMULHslv2i32
-  { 1595,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo217,0,0 },  // Inst #1595 = VQRDMULHslv4i16
-  { 1596,	6,	1,	460,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo216,0,0 },  // Inst #1596 = VQRDMULHslv4i32
-  { 1597,	6,	1,	457,	4,	0|(1<<MCID_Predicable), 0x11400ULL, NULL, NULL, OperandInfo218,0,0 },  // Inst #1597 = VQRDMULHslv8i16
-  { 1598,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1598 = VQRDMULHv2i32
-  { 1599,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1599 = VQRDMULHv4i16
-  { 1600,	5,	1,	460,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1600 = VQRDMULHv4i32
-  { 1601,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1601 = VQRDMULHv8i16
-  { 1602,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1602 = VQRSHLsv16i8
-  { 1603,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1603 = VQRSHLsv1i64
-  { 1604,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1604 = VQRSHLsv2i32
-  { 1605,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1605 = VQRSHLsv2i64
-  { 1606,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1606 = VQRSHLsv4i16
-  { 1607,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1607 = VQRSHLsv4i32
-  { 1608,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1608 = VQRSHLsv8i16
-  { 1609,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1609 = VQRSHLsv8i8
-  { 1610,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1610 = VQRSHLuv16i8
-  { 1611,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1611 = VQRSHLuv1i64
-  { 1612,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1612 = VQRSHLuv2i32
-  { 1613,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1613 = VQRSHLuv2i64
-  { 1614,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1614 = VQRSHLuv4i16
-  { 1615,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1615 = VQRSHLuv4i32
-  { 1616,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1616 = VQRSHLuv8i16
-  { 1617,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1617 = VQRSHLuv8i8
-  { 1618,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1618 = VQRSHRNsv2i32
-  { 1619,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1619 = VQRSHRNsv4i16
-  { 1620,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1620 = VQRSHRNsv8i8
-  { 1621,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1621 = VQRSHRNuv2i32
-  { 1622,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1622 = VQRSHRNuv4i16
-  { 1623,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1623 = VQRSHRNuv8i8
-  { 1624,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1624 = VQRSHRUNv2i32
-  { 1625,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1625 = VQRSHRUNv4i16
-  { 1626,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1626 = VQRSHRUNv8i8
-  { 1627,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1627 = VQSHLsiv16i8
-  { 1628,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1628 = VQSHLsiv1i64
-  { 1629,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1629 = VQSHLsiv2i32
-  { 1630,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1630 = VQSHLsiv2i64
-  { 1631,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1631 = VQSHLsiv4i16
-  { 1632,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1632 = VQSHLsiv4i32
-  { 1633,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1633 = VQSHLsiv8i16
-  { 1634,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1634 = VQSHLsiv8i8
-  { 1635,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1635 = VQSHLsuv16i8
-  { 1636,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1636 = VQSHLsuv1i64
-  { 1637,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1637 = VQSHLsuv2i32
-  { 1638,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1638 = VQSHLsuv2i64
-  { 1639,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1639 = VQSHLsuv4i16
-  { 1640,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1640 = VQSHLsuv4i32
-  { 1641,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1641 = VQSHLsuv8i16
-  { 1642,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1642 = VQSHLsuv8i8
-  { 1643,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1643 = VQSHLsv16i8
-  { 1644,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1644 = VQSHLsv1i64
-  { 1645,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1645 = VQSHLsv2i32
-  { 1646,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1646 = VQSHLsv2i64
-  { 1647,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1647 = VQSHLsv4i16
-  { 1648,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1648 = VQSHLsv4i32
-  { 1649,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1649 = VQSHLsv8i16
-  { 1650,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1650 = VQSHLsv8i8
-  { 1651,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1651 = VQSHLuiv16i8
-  { 1652,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1652 = VQSHLuiv1i64
-  { 1653,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1653 = VQSHLuiv2i32
-  { 1654,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1654 = VQSHLuiv2i64
-  { 1655,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1655 = VQSHLuiv4i16
-  { 1656,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1656 = VQSHLuiv4i32
-  { 1657,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1657 = VQSHLuiv8i16
-  { 1658,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1658 = VQSHLuiv8i8
-  { 1659,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1659 = VQSHLuv16i8
-  { 1660,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1660 = VQSHLuv1i64
-  { 1661,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1661 = VQSHLuv2i32
-  { 1662,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1662 = VQSHLuv2i64
-  { 1663,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1663 = VQSHLuv4i16
-  { 1664,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1664 = VQSHLuv4i32
-  { 1665,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1665 = VQSHLuv8i16
-  { 1666,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1666 = VQSHLuv8i8
-  { 1667,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1667 = VQSHRNsv2i32
-  { 1668,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1668 = VQSHRNsv4i16
-  { 1669,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1669 = VQSHRNsv8i8
-  { 1670,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1670 = VQSHRNuv2i32
-  { 1671,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1671 = VQSHRNuv4i16
-  { 1672,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1672 = VQSHRNuv8i8
-  { 1673,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1673 = VQSHRUNv2i32
-  { 1674,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1674 = VQSHRUNv4i16
-  { 1675,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1675 = VQSHRUNv8i8
-  { 1676,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1676 = VQSUBsv16i8
-  { 1677,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1677 = VQSUBsv1i64
-  { 1678,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1678 = VQSUBsv2i32
-  { 1679,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1679 = VQSUBsv2i64
-  { 1680,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1680 = VQSUBsv4i16
-  { 1681,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1681 = VQSUBsv4i32
-  { 1682,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1682 = VQSUBsv8i16
-  { 1683,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1683 = VQSUBsv8i8
-  { 1684,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1684 = VQSUBuv16i8
-  { 1685,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1685 = VQSUBuv1i64
-  { 1686,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1686 = VQSUBuv2i32
-  { 1687,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1687 = VQSUBuv2i64
-  { 1688,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1688 = VQSUBuv4i16
-  { 1689,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1689 = VQSUBuv4i32
-  { 1690,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1690 = VQSUBuv8i16
-  { 1691,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1691 = VQSUBuv8i8
-  { 1692,	5,	1,	424,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #1692 = VRADDHNv2i32
-  { 1693,	5,	1,	424,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #1693 = VRADDHNv4i16
-  { 1694,	5,	1,	424,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #1694 = VRADDHNv8i8
-  { 1695,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1695 = VRECPEd
-  { 1696,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1696 = VRECPEfd
-  { 1697,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1697 = VRECPEfq
-  { 1698,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1698 = VRECPEq
-  { 1699,	5,	1,	449,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1699 = VRECPSfd
-  { 1700,	5,	1,	450,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1700 = VRECPSfq
-  { 1701,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1701 = VREV16d8
-  { 1702,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1702 = VREV16q8
-  { 1703,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1703 = VREV32d16
-  { 1704,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1704 = VREV32d8
-  { 1705,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1705 = VREV32q16
-  { 1706,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1706 = VREV32q8
-  { 1707,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1707 = VREV64d16
-  { 1708,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1708 = VREV64d32
-  { 1709,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1709 = VREV64d8
-  { 1710,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1710 = VREV64q16
-  { 1711,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1711 = VREV64q32
-  { 1712,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1712 = VREV64q8
-  { 1713,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1713 = VRHADDsv16i8
-  { 1714,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1714 = VRHADDsv2i32
-  { 1715,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1715 = VRHADDsv4i16
-  { 1716,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1716 = VRHADDsv4i32
-  { 1717,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1717 = VRHADDsv8i16
-  { 1718,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1718 = VRHADDsv8i8
-  { 1719,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1719 = VRHADDuv16i8
-  { 1720,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1720 = VRHADDuv2i32
-  { 1721,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1721 = VRHADDuv4i16
-  { 1722,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1722 = VRHADDuv4i32
-  { 1723,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1723 = VRHADDuv8i16
-  { 1724,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1724 = VRHADDuv8i8
-  { 1725,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1725 = VRINTAD
-  { 1726,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1726 = VRINTAND
-  { 1727,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #1727 = VRINTANQ
-  { 1728,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #1728 = VRINTAS
-  { 1729,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1729 = VRINTMD
-  { 1730,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1730 = VRINTMND
-  { 1731,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #1731 = VRINTMNQ
-  { 1732,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #1732 = VRINTMS
-  { 1733,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1733 = VRINTND
-  { 1734,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1734 = VRINTNND
-  { 1735,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #1735 = VRINTNNQ
-  { 1736,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #1736 = VRINTNS
-  { 1737,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1737 = VRINTPD
-  { 1738,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1738 = VRINTPND
-  { 1739,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #1739 = VRINTPNQ
-  { 1740,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo144,0,0 },  // Inst #1740 = VRINTPS
-  { 1741,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1741 = VRINTRD
-  { 1742,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1742 = VRINTRS
-  { 1743,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1743 = VRINTXD
-  { 1744,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1744 = VRINTXND
-  { 1745,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #1745 = VRINTXNQ
-  { 1746,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1746 = VRINTXS
-  { 1747,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1747 = VRINTZD
-  { 1748,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo142,0,0 },  // Inst #1748 = VRINTZND
-  { 1749,	2,	1,	0,	4,	0, 0x11000ULL, NULL, NULL, OperandInfo24,0,0 },  // Inst #1749 = VRINTZNQ
-  { 1750,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1750 = VRINTZS
-  { 1751,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1751 = VRSHLsv16i8
-  { 1752,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1752 = VRSHLsv1i64
-  { 1753,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1753 = VRSHLsv2i32
-  { 1754,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1754 = VRSHLsv2i64
-  { 1755,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1755 = VRSHLsv4i16
-  { 1756,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1756 = VRSHLsv4i32
-  { 1757,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1757 = VRSHLsv8i16
-  { 1758,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1758 = VRSHLsv8i8
-  { 1759,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1759 = VRSHLuv16i8
-  { 1760,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1760 = VRSHLuv1i64
-  { 1761,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1761 = VRSHLuv2i32
-  { 1762,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1762 = VRSHLuv2i64
-  { 1763,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1763 = VRSHLuv4i16
-  { 1764,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1764 = VRSHLuv4i32
-  { 1765,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1765 = VRSHLuv8i16
-  { 1766,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1766 = VRSHLuv8i8
-  { 1767,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1767 = VRSHRNv2i32
-  { 1768,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1768 = VRSHRNv4i16
-  { 1769,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1769 = VRSHRNv8i8
-  { 1770,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1770 = VRSHRsv16i8
-  { 1771,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1771 = VRSHRsv1i64
-  { 1772,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1772 = VRSHRsv2i32
-  { 1773,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1773 = VRSHRsv2i64
-  { 1774,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1774 = VRSHRsv4i16
-  { 1775,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1775 = VRSHRsv4i32
-  { 1776,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1776 = VRSHRsv8i16
-  { 1777,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1777 = VRSHRsv8i8
-  { 1778,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1778 = VRSHRuv16i8
-  { 1779,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1779 = VRSHRuv1i64
-  { 1780,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1780 = VRSHRuv2i32
-  { 1781,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1781 = VRSHRuv2i64
-  { 1782,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1782 = VRSHRuv4i16
-  { 1783,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1783 = VRSHRuv4i32
-  { 1784,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1784 = VRSHRuv8i16
-  { 1785,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1785 = VRSHRuv8i8
-  { 1786,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1786 = VRSQRTEd
-  { 1787,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1787 = VRSQRTEfd
-  { 1788,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1788 = VRSQRTEfq
-  { 1789,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, NULL, NULL, OperandInfo134,0,0 },  // Inst #1789 = VRSQRTEq
-  { 1790,	5,	1,	449,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1790 = VRSQRTSfd
-  { 1791,	5,	1,	450,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1791 = VRSQRTSfq
-  { 1792,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1792 = VRSRAsv16i8
-  { 1793,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1793 = VRSRAsv1i64
-  { 1794,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1794 = VRSRAsv2i32
-  { 1795,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1795 = VRSRAsv2i64
-  { 1796,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1796 = VRSRAsv4i16
-  { 1797,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1797 = VRSRAsv4i32
-  { 1798,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1798 = VRSRAsv8i16
-  { 1799,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1799 = VRSRAsv8i8
-  { 1800,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1800 = VRSRAuv16i8
-  { 1801,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1801 = VRSRAuv1i64
-  { 1802,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1802 = VRSRAuv2i32
-  { 1803,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1803 = VRSRAuv2i64
-  { 1804,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1804 = VRSRAuv4i16
-  { 1805,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1805 = VRSRAuv4i32
-  { 1806,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1806 = VRSRAuv8i16
-  { 1807,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1807 = VRSRAuv8i8
-  { 1808,	5,	1,	424,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #1808 = VRSUBHNv2i32
-  { 1809,	5,	1,	424,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #1809 = VRSUBHNv4i16
-  { 1810,	5,	1,	424,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #1810 = VRSUBHNv8i8
-  { 1811,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo194,0,0 },  // Inst #1811 = VSELEQD
-  { 1812,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo196,0,0 },  // Inst #1812 = VSELEQS
-  { 1813,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo194,0,0 },  // Inst #1813 = VSELGED
-  { 1814,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo196,0,0 },  // Inst #1814 = VSELGES
-  { 1815,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo194,0,0 },  // Inst #1815 = VSELGTD
-  { 1816,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo196,0,0 },  // Inst #1816 = VSELGTS
-  { 1817,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo194,0,0 },  // Inst #1817 = VSELVSD
-  { 1818,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, NULL, OperandInfo196,0,0 },  // Inst #1818 = VSELVSS
-  { 1819,	6,	1,	499,	4,	0|(1<<MCID_Predicable), 0x10e00ULL, NULL, NULL, OperandInfo225,0,0 },  // Inst #1819 = VSETLNi16
-  { 1820,	6,	1,	499,	4,	0|(1<<MCID_Predicable), 0x10e00ULL, NULL, NULL, OperandInfo225,0,0 },  // Inst #1820 = VSETLNi32
-  { 1821,	6,	1,	499,	4,	0|(1<<MCID_Predicable), 0x10e00ULL, NULL, NULL, OperandInfo225,0,0 },  // Inst #1821 = VSETLNi8
-  { 1822,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1822 = VSHLLi16
-  { 1823,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1823 = VSHLLi32
-  { 1824,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1824 = VSHLLi8
-  { 1825,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1825 = VSHLLsv2i64
-  { 1826,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1826 = VSHLLsv4i32
-  { 1827,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1827 = VSHLLsv8i16
-  { 1828,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1828 = VSHLLuv2i64
-  { 1829,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1829 = VSHLLuv4i32
-  { 1830,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo153,0,0 },  // Inst #1830 = VSHLLuv8i16
-  { 1831,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1831 = VSHLiv16i8
-  { 1832,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1832 = VSHLiv1i64
-  { 1833,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1833 = VSHLiv2i32
-  { 1834,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1834 = VSHLiv2i64
-  { 1835,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1835 = VSHLiv4i16
-  { 1836,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1836 = VSHLiv4i32
-  { 1837,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo221,0,0 },  // Inst #1837 = VSHLiv8i16
-  { 1838,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo222,0,0 },  // Inst #1838 = VSHLiv8i8
-  { 1839,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1839 = VSHLsv16i8
-  { 1840,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1840 = VSHLsv1i64
-  { 1841,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1841 = VSHLsv2i32
-  { 1842,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1842 = VSHLsv2i64
-  { 1843,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1843 = VSHLsv4i16
-  { 1844,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1844 = VSHLsv4i32
-  { 1845,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1845 = VSHLsv8i16
-  { 1846,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1846 = VSHLsv8i8
-  { 1847,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1847 = VSHLuv16i8
-  { 1848,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1848 = VSHLuv1i64
-  { 1849,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1849 = VSHLuv2i32
-  { 1850,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1850 = VSHLuv2i64
-  { 1851,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1851 = VSHLuv4i16
-  { 1852,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1852 = VSHLuv4i32
-  { 1853,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #1853 = VSHLuv8i16
-  { 1854,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #1854 = VSHLuv8i8
-  { 1855,	5,	1,	422,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1855 = VSHRNv2i32
-  { 1856,	5,	1,	422,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1856 = VSHRNv4i16
-  { 1857,	5,	1,	422,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo220,0,0 },  // Inst #1857 = VSHRNv8i8
-  { 1858,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1858 = VSHRsv16i8
-  { 1859,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1859 = VSHRsv1i64
-  { 1860,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1860 = VSHRsv2i32
-  { 1861,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1861 = VSHRsv2i64
-  { 1862,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1862 = VSHRsv4i16
-  { 1863,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1863 = VSHRsv4i32
-  { 1864,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1864 = VSHRsv8i16
-  { 1865,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1865 = VSHRsv8i8
-  { 1866,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1866 = VSHRuv16i8
-  { 1867,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1867 = VSHRuv1i64
-  { 1868,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1868 = VSHRuv2i32
-  { 1869,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1869 = VSHRuv2i64
-  { 1870,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1870 = VSHRuv4i16
-  { 1871,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1871 = VSHRuv4i32
-  { 1872,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo149,0,0 },  // Inst #1872 = VSHRuv8i16
-  { 1873,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo148,0,0 },  // Inst #1873 = VSHRuv8i8
-  { 1874,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #1874 = VSHTOD
-  { 1875,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #1875 = VSHTOS
-  { 1876,	4,	1,	481,	4,	0|(1<<MCID_Predicable), 0x8880ULL, NULL, NULL, OperandInfo146,0,0 },  // Inst #1876 = VSITOD
-  { 1877,	4,	1,	482,	4,	0|(1<<MCID_Predicable), 0x28880ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1877 = VSITOS
-  { 1878,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo228,0,0 },  // Inst #1878 = VSLIv16i8
-  { 1879,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo229,0,0 },  // Inst #1879 = VSLIv1i64
-  { 1880,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo229,0,0 },  // Inst #1880 = VSLIv2i32
-  { 1881,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo228,0,0 },  // Inst #1881 = VSLIv2i64
-  { 1882,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo229,0,0 },  // Inst #1882 = VSLIv4i16
-  { 1883,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo228,0,0 },  // Inst #1883 = VSLIv4i32
-  { 1884,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo228,0,0 },  // Inst #1884 = VSLIv8i16
-  { 1885,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, NULL, NULL, OperandInfo229,0,0 },  // Inst #1885 = VSLIv8i8
-  { 1886,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #1886 = VSLTOD
-  { 1887,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #1887 = VSLTOS
-  { 1888,	4,	1,	589,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo132,0,0 },  // Inst #1888 = VSQRTD
-  { 1889,	4,	1,	587,	4,	0|(1<<MCID_Predicable), 0x8780ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #1889 = VSQRTS
-  { 1890,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1890 = VSRAsv16i8
-  { 1891,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1891 = VSRAsv1i64
-  { 1892,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1892 = VSRAsv2i32
-  { 1893,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1893 = VSRAsv2i64
-  { 1894,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1894 = VSRAsv4i16
-  { 1895,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1895 = VSRAsv4i32
-  { 1896,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1896 = VSRAsv8i16
-  { 1897,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1897 = VSRAsv8i8
-  { 1898,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1898 = VSRAuv16i8
-  { 1899,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1899 = VSRAuv1i64
-  { 1900,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1900 = VSRAuv2i32
-  { 1901,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1901 = VSRAuv2i64
-  { 1902,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1902 = VSRAuv4i16
-  { 1903,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1903 = VSRAuv4i32
-  { 1904,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1904 = VSRAuv8i16
-  { 1905,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1905 = VSRAuv8i8
-  { 1906,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1906 = VSRIv16i8
-  { 1907,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1907 = VSRIv1i64
-  { 1908,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1908 = VSRIv2i32
-  { 1909,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1909 = VSRIv2i64
-  { 1910,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1910 = VSRIv4i16
-  { 1911,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1911 = VSRIv4i32
-  { 1912,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo223,0,0 },  // Inst #1912 = VSRIv8i16
-  { 1913,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, NULL, NULL, OperandInfo224,0,0 },  // Inst #1913 = VSRIv8i8
-  { 1914,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo230,0,0 },  // Inst #1914 = VST1LNd16
-  { 1915,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo231,0,0 },  // Inst #1915 = VST1LNd16_UPD
-  { 1916,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo230,0,0 },  // Inst #1916 = VST1LNd32
-  { 1917,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo231,0,0 },  // Inst #1917 = VST1LNd32_UPD
-  { 1918,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo230,0,0 },  // Inst #1918 = VST1LNd8
-  { 1919,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, NULL, NULL, OperandInfo231,0,0 },  // Inst #1919 = VST1LNd8_UPD
-  { 1920,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1920 = VST1LNdAsm_16
-  { 1921,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1921 = VST1LNdAsm_32
-  { 1922,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1922 = VST1LNdAsm_8
-  { 1923,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1923 = VST1LNdWB_fixed_Asm_16
-  { 1924,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1924 = VST1LNdWB_fixed_Asm_32
-  { 1925,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #1925 = VST1LNdWB_fixed_Asm_8
-  { 1926,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1926 = VST1LNdWB_register_Asm_16
-  { 1927,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1927 = VST1LNdWB_register_Asm_32
-  { 1928,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #1928 = VST1LNdWB_register_Asm_8
-  { 1929,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo232,0,0 },  // Inst #1929 = VST1LNq16Pseudo
-  { 1930,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo233,0,0 },  // Inst #1930 = VST1LNq16Pseudo_UPD
-  { 1931,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo232,0,0 },  // Inst #1931 = VST1LNq32Pseudo
-  { 1932,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo233,0,0 },  // Inst #1932 = VST1LNq32Pseudo_UPD
-  { 1933,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo232,0,0 },  // Inst #1933 = VST1LNq8Pseudo
-  { 1934,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, NULL, NULL, OperandInfo233,0,0 },  // Inst #1934 = VST1LNq8Pseudo_UPD
-  { 1935,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1935 = VST1d16
-  { 1936,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1936 = VST1d16Q
-  { 1937,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1937 = VST1d16Qwb_fixed
-  { 1938,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1938 = VST1d16Qwb_register
-  { 1939,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1939 = VST1d16T
-  { 1940,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1940 = VST1d16Twb_fixed
-  { 1941,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1941 = VST1d16Twb_register
-  { 1942,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1942 = VST1d16wb_fixed
-  { 1943,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1943 = VST1d16wb_register
-  { 1944,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1944 = VST1d32
-  { 1945,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1945 = VST1d32Q
-  { 1946,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1946 = VST1d32Qwb_fixed
-  { 1947,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1947 = VST1d32Qwb_register
-  { 1948,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1948 = VST1d32T
-  { 1949,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1949 = VST1d32Twb_fixed
-  { 1950,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1950 = VST1d32Twb_register
-  { 1951,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1951 = VST1d32wb_fixed
-  { 1952,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1952 = VST1d32wb_register
-  { 1953,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1953 = VST1d64
-  { 1954,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1954 = VST1d64Q
-  { 1955,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #1955 = VST1d64QPseudo
-  { 1956,	6,	1,	568,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo238,0,0 },  // Inst #1956 = VST1d64QPseudoWB_fixed
-  { 1957,	7,	1,	568,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #1957 = VST1d64QPseudoWB_register
-  { 1958,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1958 = VST1d64Qwb_fixed
-  { 1959,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1959 = VST1d64Qwb_register
-  { 1960,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1960 = VST1d64T
-  { 1961,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #1961 = VST1d64TPseudo
-  { 1962,	6,	1,	565,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo238,0,0 },  // Inst #1962 = VST1d64TPseudoWB_fixed
-  { 1963,	7,	1,	565,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #1963 = VST1d64TPseudoWB_register
-  { 1964,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1964 = VST1d64Twb_fixed
-  { 1965,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1965 = VST1d64Twb_register
-  { 1966,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1966 = VST1d64wb_fixed
-  { 1967,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1967 = VST1d64wb_register
-  { 1968,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1968 = VST1d8
-  { 1969,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1969 = VST1d8Q
-  { 1970,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1970 = VST1d8Qwb_fixed
-  { 1971,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1971 = VST1d8Qwb_register
-  { 1972,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #1972 = VST1d8T
-  { 1973,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1973 = VST1d8Twb_fixed
-  { 1974,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1974 = VST1d8Twb_register
-  { 1975,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #1975 = VST1d8wb_fixed
-  { 1976,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #1976 = VST1d8wb_register
-  { 1977,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #1977 = VST1q16
-  { 1978,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #1978 = VST1q16wb_fixed
-  { 1979,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #1979 = VST1q16wb_register
-  { 1980,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #1980 = VST1q32
-  { 1981,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #1981 = VST1q32wb_fixed
-  { 1982,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #1982 = VST1q32wb_register
-  { 1983,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #1983 = VST1q64
-  { 1984,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #1984 = VST1q64wb_fixed
-  { 1985,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #1985 = VST1q64wb_register
-  { 1986,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #1986 = VST1q8
-  { 1987,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #1987 = VST1q8wb_fixed
-  { 1988,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #1988 = VST1q8wb_register
-  { 1989,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo243,0,0 },  // Inst #1989 = VST2LNd16
-  { 1990,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo232,0,0 },  // Inst #1990 = VST2LNd16Pseudo
-  { 1991,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo233,0,0 },  // Inst #1991 = VST2LNd16Pseudo_UPD
-  { 1992,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo244,0,0 },  // Inst #1992 = VST2LNd16_UPD
-  { 1993,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo243,0,0 },  // Inst #1993 = VST2LNd32
-  { 1994,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo232,0,0 },  // Inst #1994 = VST2LNd32Pseudo
-  { 1995,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo233,0,0 },  // Inst #1995 = VST2LNd32Pseudo_UPD
-  { 1996,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo244,0,0 },  // Inst #1996 = VST2LNd32_UPD
-  { 1997,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo243,0,0 },  // Inst #1997 = VST2LNd8
-  { 1998,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo232,0,0 },  // Inst #1998 = VST2LNd8Pseudo
-  { 1999,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo233,0,0 },  // Inst #1999 = VST2LNd8Pseudo_UPD
-  { 2000,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo244,0,0 },  // Inst #2000 = VST2LNd8_UPD
-  { 2001,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2001 = VST2LNdAsm_16
-  { 2002,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2002 = VST2LNdAsm_32
-  { 2003,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2003 = VST2LNdAsm_8
-  { 2004,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2004 = VST2LNdWB_fixed_Asm_16
-  { 2005,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2005 = VST2LNdWB_fixed_Asm_32
-  { 2006,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2006 = VST2LNdWB_fixed_Asm_8
-  { 2007,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2007 = VST2LNdWB_register_Asm_16
-  { 2008,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2008 = VST2LNdWB_register_Asm_32
-  { 2009,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2009 = VST2LNdWB_register_Asm_8
-  { 2010,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo243,0,0 },  // Inst #2010 = VST2LNq16
-  { 2011,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2011 = VST2LNq16Pseudo
-  { 2012,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2012 = VST2LNq16Pseudo_UPD
-  { 2013,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo244,0,0 },  // Inst #2013 = VST2LNq16_UPD
-  { 2014,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo243,0,0 },  // Inst #2014 = VST2LNq32
-  { 2015,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2015 = VST2LNq32Pseudo
-  { 2016,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2016 = VST2LNq32Pseudo_UPD
-  { 2017,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo244,0,0 },  // Inst #2017 = VST2LNq32_UPD
-  { 2018,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2018 = VST2LNqAsm_16
-  { 2019,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2019 = VST2LNqAsm_32
-  { 2020,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2020 = VST2LNqWB_fixed_Asm_16
-  { 2021,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2021 = VST2LNqWB_fixed_Asm_32
-  { 2022,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2022 = VST2LNqWB_register_Asm_16
-  { 2023,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2023 = VST2LNqWB_register_Asm_32
-  { 2024,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #2024 = VST2b16
-  { 2025,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #2025 = VST2b16wb_fixed
-  { 2026,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #2026 = VST2b16wb_register
-  { 2027,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #2027 = VST2b32
-  { 2028,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #2028 = VST2b32wb_fixed
-  { 2029,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #2029 = VST2b32wb_register
-  { 2030,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #2030 = VST2b8
-  { 2031,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #2031 = VST2b8wb_fixed
-  { 2032,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #2032 = VST2b8wb_register
-  { 2033,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #2033 = VST2d16
-  { 2034,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #2034 = VST2d16wb_fixed
-  { 2035,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #2035 = VST2d16wb_register
-  { 2036,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #2036 = VST2d32
-  { 2037,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #2037 = VST2d32wb_fixed
-  { 2038,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #2038 = VST2d32wb_register
-  { 2039,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo240,0,0 },  // Inst #2039 = VST2d8
-  { 2040,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo241,0,0 },  // Inst #2040 = VST2d8wb_fixed
-  { 2041,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo242,0,0 },  // Inst #2041 = VST2d8wb_register
-  { 2042,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #2042 = VST2q16
-  { 2043,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2043 = VST2q16Pseudo
-  { 2044,	6,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo238,0,0 },  // Inst #2044 = VST2q16PseudoWB_fixed
-  { 2045,	7,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo247,0,0 },  // Inst #2045 = VST2q16PseudoWB_register
-  { 2046,	6,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #2046 = VST2q16wb_fixed
-  { 2047,	7,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #2047 = VST2q16wb_register
-  { 2048,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #2048 = VST2q32
-  { 2049,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2049 = VST2q32Pseudo
-  { 2050,	6,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo238,0,0 },  // Inst #2050 = VST2q32PseudoWB_fixed
-  { 2051,	7,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo247,0,0 },  // Inst #2051 = VST2q32PseudoWB_register
-  { 2052,	6,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #2052 = VST2q32wb_fixed
-  { 2053,	7,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #2053 = VST2q32wb_register
-  { 2054,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo234,0,0 },  // Inst #2054 = VST2q8
-  { 2055,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2055 = VST2q8Pseudo
-  { 2056,	6,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo238,0,0 },  // Inst #2056 = VST2q8PseudoWB_fixed
-  { 2057,	7,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo247,0,0 },  // Inst #2057 = VST2q8PseudoWB_register
-  { 2058,	6,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo235,0,0 },  // Inst #2058 = VST2q8wb_fixed
-  { 2059,	7,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo236,0,0 },  // Inst #2059 = VST2q8wb_register
-  { 2060,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo248,0,0 },  // Inst #2060 = VST3LNd16
-  { 2061,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2061 = VST3LNd16Pseudo
-  { 2062,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2062 = VST3LNd16Pseudo_UPD
-  { 2063,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo249,0,0 },  // Inst #2063 = VST3LNd16_UPD
-  { 2064,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo248,0,0 },  // Inst #2064 = VST3LNd32
-  { 2065,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2065 = VST3LNd32Pseudo
-  { 2066,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2066 = VST3LNd32Pseudo_UPD
-  { 2067,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo249,0,0 },  // Inst #2067 = VST3LNd32_UPD
-  { 2068,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo248,0,0 },  // Inst #2068 = VST3LNd8
-  { 2069,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2069 = VST3LNd8Pseudo
-  { 2070,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2070 = VST3LNd8Pseudo_UPD
-  { 2071,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo249,0,0 },  // Inst #2071 = VST3LNd8_UPD
-  { 2072,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2072 = VST3LNdAsm_16
-  { 2073,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2073 = VST3LNdAsm_32
-  { 2074,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2074 = VST3LNdAsm_8
-  { 2075,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2075 = VST3LNdWB_fixed_Asm_16
-  { 2076,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2076 = VST3LNdWB_fixed_Asm_32
-  { 2077,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2077 = VST3LNdWB_fixed_Asm_8
-  { 2078,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2078 = VST3LNdWB_register_Asm_16
-  { 2079,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2079 = VST3LNdWB_register_Asm_32
-  { 2080,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2080 = VST3LNdWB_register_Asm_8
-  { 2081,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo248,0,0 },  // Inst #2081 = VST3LNq16
-  { 2082,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo250,0,0 },  // Inst #2082 = VST3LNq16Pseudo
-  { 2083,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo251,0,0 },  // Inst #2083 = VST3LNq16Pseudo_UPD
-  { 2084,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo249,0,0 },  // Inst #2084 = VST3LNq16_UPD
-  { 2085,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo248,0,0 },  // Inst #2085 = VST3LNq32
-  { 2086,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo250,0,0 },  // Inst #2086 = VST3LNq32Pseudo
-  { 2087,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo251,0,0 },  // Inst #2087 = VST3LNq32Pseudo_UPD
-  { 2088,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo249,0,0 },  // Inst #2088 = VST3LNq32_UPD
-  { 2089,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2089 = VST3LNqAsm_16
-  { 2090,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2090 = VST3LNqAsm_32
-  { 2091,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2091 = VST3LNqWB_fixed_Asm_16
-  { 2092,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2092 = VST3LNqWB_fixed_Asm_32
-  { 2093,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2093 = VST3LNqWB_register_Asm_16
-  { 2094,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2094 = VST3LNqWB_register_Asm_32
-  { 2095,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo252,0,0 },  // Inst #2095 = VST3d16
-  { 2096,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2096 = VST3d16Pseudo
-  { 2097,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #2097 = VST3d16Pseudo_UPD
-  { 2098,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo253,0,0 },  // Inst #2098 = VST3d16_UPD
-  { 2099,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo252,0,0 },  // Inst #2099 = VST3d32
-  { 2100,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2100 = VST3d32Pseudo
-  { 2101,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #2101 = VST3d32Pseudo_UPD
-  { 2102,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo253,0,0 },  // Inst #2102 = VST3d32_UPD
-  { 2103,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo252,0,0 },  // Inst #2103 = VST3d8
-  { 2104,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2104 = VST3d8Pseudo
-  { 2105,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #2105 = VST3d8Pseudo_UPD
-  { 2106,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo253,0,0 },  // Inst #2106 = VST3d8_UPD
-  { 2107,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2107 = VST3dAsm_16
-  { 2108,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2108 = VST3dAsm_32
-  { 2109,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2109 = VST3dAsm_8
-  { 2110,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2110 = VST3dWB_fixed_Asm_16
-  { 2111,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2111 = VST3dWB_fixed_Asm_32
-  { 2112,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2112 = VST3dWB_fixed_Asm_8
-  { 2113,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2113 = VST3dWB_register_Asm_16
-  { 2114,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2114 = VST3dWB_register_Asm_32
-  { 2115,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2115 = VST3dWB_register_Asm_8
-  { 2116,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo252,0,0 },  // Inst #2116 = VST3q16
-  { 2117,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2117 = VST3q16Pseudo_UPD
-  { 2118,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo253,0,0 },  // Inst #2118 = VST3q16_UPD
-  { 2119,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo255,0,0 },  // Inst #2119 = VST3q16oddPseudo
-  { 2120,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2120 = VST3q16oddPseudo_UPD
-  { 2121,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo252,0,0 },  // Inst #2121 = VST3q32
-  { 2122,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2122 = VST3q32Pseudo_UPD
-  { 2123,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo253,0,0 },  // Inst #2123 = VST3q32_UPD
-  { 2124,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo255,0,0 },  // Inst #2124 = VST3q32oddPseudo
-  { 2125,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2125 = VST3q32oddPseudo_UPD
-  { 2126,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo252,0,0 },  // Inst #2126 = VST3q8
-  { 2127,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2127 = VST3q8Pseudo_UPD
-  { 2128,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo253,0,0 },  // Inst #2128 = VST3q8_UPD
-  { 2129,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo255,0,0 },  // Inst #2129 = VST3q8oddPseudo
-  { 2130,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2130 = VST3q8oddPseudo_UPD
-  { 2131,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2131 = VST3qAsm_16
-  { 2132,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2132 = VST3qAsm_32
-  { 2133,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2133 = VST3qAsm_8
-  { 2134,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2134 = VST3qWB_fixed_Asm_16
-  { 2135,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2135 = VST3qWB_fixed_Asm_32
-  { 2136,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2136 = VST3qWB_fixed_Asm_8
-  { 2137,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2137 = VST3qWB_register_Asm_16
-  { 2138,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2138 = VST3qWB_register_Asm_32
-  { 2139,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2139 = VST3qWB_register_Asm_8
-  { 2140,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo256,0,0 },  // Inst #2140 = VST4LNd16
-  { 2141,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2141 = VST4LNd16Pseudo
-  { 2142,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2142 = VST4LNd16Pseudo_UPD
-  { 2143,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo257,0,0 },  // Inst #2143 = VST4LNd16_UPD
-  { 2144,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo256,0,0 },  // Inst #2144 = VST4LNd32
-  { 2145,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2145 = VST4LNd32Pseudo
-  { 2146,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2146 = VST4LNd32Pseudo_UPD
-  { 2147,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo257,0,0 },  // Inst #2147 = VST4LNd32_UPD
-  { 2148,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo256,0,0 },  // Inst #2148 = VST4LNd8
-  { 2149,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo245,0,0 },  // Inst #2149 = VST4LNd8Pseudo
-  { 2150,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo246,0,0 },  // Inst #2150 = VST4LNd8Pseudo_UPD
-  { 2151,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo257,0,0 },  // Inst #2151 = VST4LNd8_UPD
-  { 2152,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2152 = VST4LNdAsm_16
-  { 2153,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2153 = VST4LNdAsm_32
-  { 2154,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2154 = VST4LNdAsm_8
-  { 2155,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2155 = VST4LNdWB_fixed_Asm_16
-  { 2156,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2156 = VST4LNdWB_fixed_Asm_32
-  { 2157,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2157 = VST4LNdWB_fixed_Asm_8
-  { 2158,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2158 = VST4LNdWB_register_Asm_16
-  { 2159,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2159 = VST4LNdWB_register_Asm_32
-  { 2160,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2160 = VST4LNdWB_register_Asm_8
-  { 2161,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo256,0,0 },  // Inst #2161 = VST4LNq16
-  { 2162,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo250,0,0 },  // Inst #2162 = VST4LNq16Pseudo
-  { 2163,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo251,0,0 },  // Inst #2163 = VST4LNq16Pseudo_UPD
-  { 2164,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo257,0,0 },  // Inst #2164 = VST4LNq16_UPD
-  { 2165,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo256,0,0 },  // Inst #2165 = VST4LNq32
-  { 2166,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo250,0,0 },  // Inst #2166 = VST4LNq32Pseudo
-  { 2167,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo251,0,0 },  // Inst #2167 = VST4LNq32Pseudo_UPD
-  { 2168,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo257,0,0 },  // Inst #2168 = VST4LNq32_UPD
-  { 2169,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2169 = VST4LNqAsm_16
-  { 2170,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2170 = VST4LNqAsm_32
-  { 2171,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2171 = VST4LNqWB_fixed_Asm_16
-  { 2172,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo166,0,0 },  // Inst #2172 = VST4LNqWB_fixed_Asm_32
-  { 2173,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2173 = VST4LNqWB_register_Asm_16
-  { 2174,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo167,0,0 },  // Inst #2174 = VST4LNqWB_register_Asm_32
-  { 2175,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo258,0,0 },  // Inst #2175 = VST4d16
-  { 2176,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2176 = VST4d16Pseudo
-  { 2177,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #2177 = VST4d16Pseudo_UPD
-  { 2178,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo259,0,0 },  // Inst #2178 = VST4d16_UPD
-  { 2179,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo258,0,0 },  // Inst #2179 = VST4d32
-  { 2180,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2180 = VST4d32Pseudo
-  { 2181,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #2181 = VST4d32Pseudo_UPD
-  { 2182,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo259,0,0 },  // Inst #2182 = VST4d32_UPD
-  { 2183,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo258,0,0 },  // Inst #2183 = VST4d8
-  { 2184,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo237,0,0 },  // Inst #2184 = VST4d8Pseudo
-  { 2185,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo239,0,0 },  // Inst #2185 = VST4d8Pseudo_UPD
-  { 2186,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo259,0,0 },  // Inst #2186 = VST4d8_UPD
-  { 2187,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2187 = VST4dAsm_16
-  { 2188,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2188 = VST4dAsm_32
-  { 2189,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2189 = VST4dAsm_8
-  { 2190,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2190 = VST4dWB_fixed_Asm_16
-  { 2191,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2191 = VST4dWB_fixed_Asm_32
-  { 2192,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2192 = VST4dWB_fixed_Asm_8
-  { 2193,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2193 = VST4dWB_register_Asm_16
-  { 2194,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2194 = VST4dWB_register_Asm_32
-  { 2195,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2195 = VST4dWB_register_Asm_8
-  { 2196,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo258,0,0 },  // Inst #2196 = VST4q16
-  { 2197,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2197 = VST4q16Pseudo_UPD
-  { 2198,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo259,0,0 },  // Inst #2198 = VST4q16_UPD
-  { 2199,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo255,0,0 },  // Inst #2199 = VST4q16oddPseudo
-  { 2200,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2200 = VST4q16oddPseudo_UPD
-  { 2201,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo258,0,0 },  // Inst #2201 = VST4q32
-  { 2202,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2202 = VST4q32Pseudo_UPD
-  { 2203,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo259,0,0 },  // Inst #2203 = VST4q32_UPD
-  { 2204,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo255,0,0 },  // Inst #2204 = VST4q32oddPseudo
-  { 2205,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2205 = VST4q32oddPseudo_UPD
-  { 2206,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo258,0,0 },  // Inst #2206 = VST4q8
-  { 2207,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2207 = VST4q8Pseudo_UPD
-  { 2208,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, NULL, NULL, OperandInfo259,0,0 },  // Inst #2208 = VST4q8_UPD
-  { 2209,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo255,0,0 },  // Inst #2209 = VST4q8oddPseudo
-  { 2210,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, NULL, NULL, OperandInfo254,0,0 },  // Inst #2210 = VST4q8oddPseudo_UPD
-  { 2211,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2211 = VST4qAsm_16
-  { 2212,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2212 = VST4qAsm_32
-  { 2213,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2213 = VST4qAsm_8
-  { 2214,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2214 = VST4qWB_fixed_Asm_16
-  { 2215,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2215 = VST4qWB_fixed_Asm_32
-  { 2216,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo158,0,0 },  // Inst #2216 = VST4qWB_fixed_Asm_8
-  { 2217,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2217 = VST4qWB_register_Asm_16
-  { 2218,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2218 = VST4qWB_register_Asm_32
-  { 2219,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo180,0,0 },  // Inst #2219 = VST4qWB_register_Asm_8
-  { 2220,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x8be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2220 = VSTMDDB_UPD
-  { 2221,	4,	0,	516,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x8b84ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2221 = VSTMDIA
-  { 2222,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x8be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2222 = VSTMDIA_UPD
-  { 2223,	4,	0,	513,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x18004ULL, NULL, NULL, OperandInfo191,0,0 },  // Inst #2223 = VSTMQIA
-  { 2224,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x18be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2224 = VSTMSDB_UPD
-  { 2225,	4,	0,	516,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x18b84ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2225 = VSTMSIA
-  { 2226,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x18be4ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2226 = VSTMSIA_UPD
-  { 2227,	5,	0,	510,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x18b05ULL, NULL, NULL, OperandInfo192,0,0 },  // Inst #2227 = VSTRD
-  { 2228,	5,	0,	511,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x18b05ULL, NULL, NULL, OperandInfo193,0,0 },  // Inst #2228 = VSTRS
-  { 2229,	5,	1,	448,	4,	0|(1<<MCID_Predicable), 0x8800ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2229 = VSUBD
-  { 2230,	5,	1,	421,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #2230 = VSUBHNv2i32
-  { 2231,	5,	1,	421,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #2231 = VSUBHNv4i16
-  { 2232,	5,	1,	421,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo135,0,0 },  // Inst #2232 = VSUBHNv8i8
-  { 2233,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #2233 = VSUBLsv2i64
-  { 2234,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #2234 = VSUBLsv4i32
-  { 2235,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #2235 = VSUBLsv8i16
-  { 2236,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #2236 = VSUBLuv2i64
-  { 2237,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #2237 = VSUBLuv4i32
-  { 2238,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo129,0,0 },  // Inst #2238 = VSUBLuv8i16
-  { 2239,	5,	1,	445,	4,	0|(1<<MCID_Predicable), 0x28800ULL, NULL, NULL, OperandInfo136,0,0 },  // Inst #2239 = VSUBS
-  { 2240,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #2240 = VSUBWsv2i64
-  { 2241,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #2241 = VSUBWsv4i32
-  { 2242,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #2242 = VSUBWsv8i16
-  { 2243,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #2243 = VSUBWuv2i64
-  { 2244,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #2244 = VSUBWuv4i32
-  { 2245,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo137,0,0 },  // Inst #2245 = VSUBWuv8i16
-  { 2246,	5,	1,	442,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2246 = VSUBfd
-  { 2247,	5,	1,	443,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2247 = VSUBfq
-  { 2248,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2248 = VSUBv16i8
-  { 2249,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2249 = VSUBv1i64
-  { 2250,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2250 = VSUBv2i32
-  { 2251,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2251 = VSUBv2i64
-  { 2252,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2252 = VSUBv4i16
-  { 2253,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2253 = VSUBv4i32
-  { 2254,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2254 = VSUBv8i16
-  { 2255,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2255 = VSUBv8i8
-  { 2256,	6,	2,	433,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2256 = VSWPd
-  { 2257,	6,	2,	433,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2257 = VSWPq
-  { 2258,	5,	1,	425,	4,	0|(1<<MCID_Predicable), 0x11480ULL, NULL, NULL, OperandInfo262,0,0 },  // Inst #2258 = VTBL1
-  { 2259,	5,	1,	427,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, NULL, NULL, OperandInfo263,0,0 },  // Inst #2259 = VTBL2
-  { 2260,	5,	1,	429,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, NULL, NULL, OperandInfo262,0,0 },  // Inst #2260 = VTBL3
-  { 2261,	5,	1,	429,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, NULL, NULL, OperandInfo264,0,0 },  // Inst #2261 = VTBL3Pseudo
-  { 2262,	5,	1,	431,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, NULL, NULL, OperandInfo262,0,0 },  // Inst #2262 = VTBL4
-  { 2263,	5,	1,	431,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, NULL, NULL, OperandInfo264,0,0 },  // Inst #2263 = VTBL4Pseudo
-  { 2264,	6,	1,	426,	4,	0|(1<<MCID_Predicable), 0x11480ULL, NULL, NULL, OperandInfo265,0,0 },  // Inst #2264 = VTBX1
-  { 2265,	6,	1,	428,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, NULL, NULL, OperandInfo266,0,0 },  // Inst #2265 = VTBX2
-  { 2266,	6,	1,	430,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, NULL, NULL, OperandInfo265,0,0 },  // Inst #2266 = VTBX3
-  { 2267,	6,	1,	430,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, NULL, NULL, OperandInfo267,0,0 },  // Inst #2267 = VTBX3Pseudo
-  { 2268,	6,	1,	432,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, NULL, NULL, OperandInfo265,0,0 },  // Inst #2268 = VTBX4
-  { 2269,	6,	1,	432,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, NULL, NULL, OperandInfo267,0,0 },  // Inst #2269 = VTBX4Pseudo
-  { 2270,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #2270 = VTOSHD
-  { 2271,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #2271 = VTOSHS
-  { 2272,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, NULL, OperandInfo145,0,0 },  // Inst #2272 = VTOSIRD
-  { 2273,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, NULL, OperandInfo133,0,0 },  // Inst #2273 = VTOSIRS
-  { 2274,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, NULL, NULL, OperandInfo145,0,0 },  // Inst #2274 = VTOSIZD
-  { 2275,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x28880ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #2275 = VTOSIZS
-  { 2276,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #2276 = VTOSLD
-  { 2277,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #2277 = VTOSLS
-  { 2278,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #2278 = VTOUHD
-  { 2279,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #2279 = VTOUHS
-  { 2280,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, NULL, OperandInfo145,0,0 },  // Inst #2280 = VTOUIRD
-  { 2281,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, NULL, OperandInfo133,0,0 },  // Inst #2281 = VTOUIRS
-  { 2282,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, NULL, NULL, OperandInfo145,0,0 },  // Inst #2282 = VTOUIZD
-  { 2283,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x28880ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #2283 = VTOUIZS
-  { 2284,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #2284 = VTOULD
-  { 2285,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #2285 = VTOULS
-  { 2286,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2286 = VTRNd16
-  { 2287,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2287 = VTRNd32
-  { 2288,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2288 = VTRNd8
-  { 2289,	6,	2,	435,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2289 = VTRNq16
-  { 2290,	6,	2,	435,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2290 = VTRNq32
-  { 2291,	6,	2,	435,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2291 = VTRNq8
-  { 2292,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2292 = VTSTv16i8
-  { 2293,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2293 = VTSTv2i32
-  { 2294,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2294 = VTSTv4i16
-  { 2295,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2295 = VTSTv4i32
-  { 2296,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo131,0,0 },  // Inst #2296 = VTSTv8i16
-  { 2297,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, NULL, NULL, OperandInfo130,0,0 },  // Inst #2297 = VTSTv8i8
-  { 2298,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #2298 = VUHTOD
-  { 2299,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #2299 = VUHTOS
-  { 2300,	4,	1,	481,	4,	0|(1<<MCID_Predicable), 0x8880ULL, NULL, NULL, OperandInfo146,0,0 },  // Inst #2300 = VUITOD
-  { 2301,	4,	1,	482,	4,	0|(1<<MCID_Predicable), 0x28880ULL, NULL, NULL, OperandInfo133,0,0 },  // Inst #2301 = VUITOS
-  { 2302,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, NULL, NULL, OperandInfo226,0,0 },  // Inst #2302 = VULTOD
-  { 2303,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, NULL, NULL, OperandInfo227,0,0 },  // Inst #2303 = VULTOS
-  { 2304,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2304 = VUZPd16
-  { 2305,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2305 = VUZPd8
-  { 2306,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2306 = VUZPq16
-  { 2307,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2307 = VUZPq32
-  { 2308,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2308 = VUZPq8
-  { 2309,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2309 = VZIPd16
-  { 2310,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo260,0,0 },  // Inst #2310 = VZIPd8
-  { 2311,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2311 = VZIPq16
-  { 2312,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2312 = VZIPq32
-  { 2313,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, NULL, NULL, OperandInfo261,0,0 },  // Inst #2313 = VZIPq8
-  { 2314,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2314 = sysLDMDA
-  { 2315,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2315 = sysLDMDA_UPD
-  { 2316,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2316 = sysLDMDB
-  { 2317,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2317 = sysLDMDB_UPD
-  { 2318,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2318 = sysLDMIA
-  { 2319,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2319 = sysLDMIA_UPD
-  { 2320,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2320 = sysLDMIB
-  { 2321,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2321 = sysLDMIB_UPD
-  { 2322,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2322 = sysSTMDA
-  { 2323,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2323 = sysSTMDA_UPD
-  { 2324,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2324 = sysSTMDB
-  { 2325,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2325 = sysSTMDB_UPD
-  { 2326,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2326 = sysSTMIA
-  { 2327,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2327 = sysSTMIA_UPD
-  { 2328,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2328 = sysSTMIB
-  { 2329,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2329 = sysSTMIB_UPD
-  { 2330,	2,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList1, OperandInfo268,0,0 },  // Inst #2330 = t2ABS
-  { 2331,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo269,0,0 },  // Inst #2331 = t2ADCri
-  { 2332,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo270,0,0 },  // Inst #2332 = t2ADCrr
-  { 2333,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo271,0,0 },  // Inst #2333 = t2ADCrs
-  { 2334,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo272,0,0 },  // Inst #2334 = t2ADDSri
-  { 2335,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo273,0,0 },  // Inst #2335 = t2ADDSrr
-  { 2336,	6,	1,	238,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo274,0,0 },  // Inst #2336 = t2ADDSrs
-  { 2337,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo275,0,0 },  // Inst #2337 = t2ADDri
-  { 2338,	5,	1,	1,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo276,0,0 },  // Inst #2338 = t2ADDri12
-  { 2339,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo277,0,0 },  // Inst #2339 = t2ADDrr
-  { 2340,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo278,0,0 },  // Inst #2340 = t2ADDrs
-  { 2341,	4,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo279,0,0 },  // Inst #2341 = t2ADR
-  { 2342,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2342 = t2ANDri
-  { 2343,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2343 = t2ANDrr
-  { 2344,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo271,0,0 },  // Inst #2344 = t2ANDrs
-  { 2345,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2345 = t2ASRri
-  { 2346,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2346 = t2ASRrr
-  { 2347,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0xc80ULL, NULL, NULL, OperandInfo37,0,0 },  // Inst #2347 = t2B
-  { 2348,	5,	1,	297,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo280,0,0 },  // Inst #2348 = t2BFC
-  { 2349,	6,	1,	298,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo281,0,0 },  // Inst #2349 = t2BFI
-  { 2350,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2350 = t2BICri
-  { 2351,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2351 = t2BICrr
-  { 2352,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo271,0,0 },  // Inst #2352 = t2BICrs
-  { 2353,	4,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, NULL, NULL, OperandInfo39,0,0 },  // Inst #2353 = t2BR_JT
-  { 2354,	3,	0,	15,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo282,0,0 },  // Inst #2354 = t2BXJ
-  { 2355,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo37,0,0 },  // Inst #2355 = t2Bcc
-  { 2356,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo43,0,0 },  // Inst #2356 = t2CDP
-  { 2357,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo43,0,0 },  // Inst #2357 = t2CDP2
-  { 2358,	2,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #2358 = t2CLREX
-  { 2359,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo283,0,0 },  // Inst #2359 = t2CLZ
-  { 2360,	4,	0,	17,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo284,0,0 },  // Inst #2360 = t2CMNri
-  { 2361,	4,	0,	18,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo285,0,0 },  // Inst #2361 = t2CMNzrr
-  { 2362,	5,	0,	240,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo286,0,0 },  // Inst #2362 = t2CMNzrs
-  { 2363,	4,	0,	241,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo284,0,0 },  // Inst #2363 = t2CMPri
-  { 2364,	4,	0,	242,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo285,0,0 },  // Inst #2364 = t2CMPrr
-  { 2365,	5,	0,	243,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo286,0,0 },  // Inst #2365 = t2CMPrs
-  { 2366,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #2366 = t2CPS1p
-  { 2367,	2,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo7,0,0 },  // Inst #2367 = t2CPS2p
-  { 2368,	3,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo3,0,0 },  // Inst #2368 = t2CPS3p
-  { 2369,	3,	1,	0,	4,	0, 0xc80ULL, NULL, NULL, OperandInfo287,0,0 },  // Inst #2369 = t2CRC32B
-  { 2370,	3,	1,	0,	4,	0, 0xc80ULL, NULL, NULL, OperandInfo287,0,0 },  // Inst #2370 = t2CRC32CB
-  { 2371,	3,	1,	0,	4,	0, 0xc80ULL, NULL, NULL, OperandInfo287,0,0 },  // Inst #2371 = t2CRC32CH
-  { 2372,	3,	1,	0,	4,	0, 0xc80ULL, NULL, NULL, OperandInfo287,0,0 },  // Inst #2372 = t2CRC32CW
-  { 2373,	3,	1,	0,	4,	0, 0xc80ULL, NULL, NULL, OperandInfo287,0,0 },  // Inst #2373 = t2CRC32H
-  { 2374,	3,	1,	0,	4,	0, 0xc80ULL, NULL, NULL, OperandInfo287,0,0 },  // Inst #2374 = t2CRC32W
-  { 2375,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2375 = t2DBG
-  { 2376,	2,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #2376 = t2DCPS1
-  { 2377,	2,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #2377 = t2DCPS2
-  { 2378,	2,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #2378 = t2DCPS3
-  { 2379,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2379 = t2DMB
-  { 2380,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2380 = t2DSB
-  { 2381,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2381 = t2EORri
-  { 2382,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2382 = t2EORrr
-  { 2383,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo271,0,0 },  // Inst #2383 = t2EORrs
-  { 2384,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2384 = t2HINT
-  { 2385,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2385 = t2ISB
-  { 2386,	2,	0,	378,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, ImplicitList10, OperandInfo7,0,0 },  // Inst #2386 = t2IT
-  { 2387,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, ImplicitList11, OperandInfo288,0,0 },  // Inst #2387 = t2Int_eh_sjlj_setjmp
-  { 2388,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, ImplicitList7, OperandInfo288,0,0 },  // Inst #2388 = t2Int_eh_sjlj_setjmp_nofp
-  { 2389,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2389 = t2LDA
-  { 2390,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2390 = t2LDAB
-  { 2391,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2391 = t2LDAEX
-  { 2392,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2392 = t2LDAEXB
-  { 2393,	5,	2,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo290,0,0 },  // Inst #2393 = t2LDAEXD
-  { 2394,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2394 = t2LDAEXH
-  { 2395,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2395 = t2LDAH
-  { 2396,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2396 = t2LDC2L_OFFSET
-  { 2397,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2397 = t2LDC2L_OPTION
-  { 2398,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2398 = t2LDC2L_POST
-  { 2399,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2399 = t2LDC2L_PRE
-  { 2400,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2400 = t2LDC2_OFFSET
-  { 2401,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2401 = t2LDC2_OPTION
-  { 2402,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2402 = t2LDC2_POST
-  { 2403,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2403 = t2LDC2_PRE
-  { 2404,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2404 = t2LDCL_OFFSET
-  { 2405,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2405 = t2LDCL_OPTION
-  { 2406,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2406 = t2LDCL_POST
-  { 2407,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2407 = t2LDCL_PRE
-  { 2408,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2408 = t2LDC_OFFSET
-  { 2409,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2409 = t2LDC_OPTION
-  { 2410,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2410 = t2LDC_POST
-  { 2411,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2411 = t2LDC_PRE
-  { 2412,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2412 = t2LDMDB
-  { 2413,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2413 = t2LDMDB_UPD
-  { 2414,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2414 = t2LDMIA
-  { 2415,	5,	1,	355,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x0ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2415 = t2LDMIA_RET
-  { 2416,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2416 = t2LDMIA_UPD
-  { 2417,	5,	1,	346,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2417 = t2LDRBT
-  { 2418,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2418 = t2LDRB_POST
-  { 2419,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2419 = t2LDRB_PRE
-  { 2420,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2420 = t2LDRBi12
-  { 2421,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2421 = t2LDRBi8
-  { 2422,	4,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #2422 = t2LDRBpci
-  { 2423,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo284,0,0 },  // Inst #2423 = t2LDRBpcrel
-  { 2424,	6,	1,	326,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo292,0,0 },  // Inst #2424 = t2LDRBs
-  { 2425,	7,	3,	352,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, NULL, NULL, OperandInfo293,0,0 },  // Inst #2425 = t2LDRD_POST
-  { 2426,	7,	3,	352,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, NULL, NULL, OperandInfo293,0,0 },  // Inst #2426 = t2LDRD_PRE
-  { 2427,	6,	2,	351,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0xc8fULL, NULL, NULL, OperandInfo294,0,0 },  // Inst #2427 = t2LDRDi8
-  { 2428,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo295,0,0 },  // Inst #2428 = t2LDREX
-  { 2429,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2429 = t2LDREXB
-  { 2430,	5,	2,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo290,0,0 },  // Inst #2430 = t2LDREXD
-  { 2431,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2431 = t2LDREXH
-  { 2432,	5,	1,	346,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2432 = t2LDRHT
-  { 2433,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2433 = t2LDRH_POST
-  { 2434,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2434 = t2LDRH_PRE
-  { 2435,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2435 = t2LDRHi12
-  { 2436,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2436 = t2LDRHi8
-  { 2437,	4,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #2437 = t2LDRHpci
-  { 2438,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo284,0,0 },  // Inst #2438 = t2LDRHpcrel
-  { 2439,	6,	1,	326,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo292,0,0 },  // Inst #2439 = t2LDRHs
-  { 2440,	5,	1,	348,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2440 = t2LDRSBT
-  { 2441,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2441 = t2LDRSB_POST
-  { 2442,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2442 = t2LDRSB_PRE
-  { 2443,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2443 = t2LDRSBi12
-  { 2444,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2444 = t2LDRSBi8
-  { 2445,	4,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #2445 = t2LDRSBpci
-  { 2446,	4,	0,	338,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo284,0,0 },  // Inst #2446 = t2LDRSBpcrel
-  { 2447,	6,	1,	339,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo292,0,0 },  // Inst #2447 = t2LDRSBs
-  { 2448,	5,	1,	348,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2448 = t2LDRSHT
-  { 2449,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2449 = t2LDRSH_POST
-  { 2450,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2450 = t2LDRSH_PRE
-  { 2451,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2451 = t2LDRSHi12
-  { 2452,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2452 = t2LDRSHi8
-  { 2453,	4,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #2453 = t2LDRSHpci
-  { 2454,	4,	0,	338,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo284,0,0 },  // Inst #2454 = t2LDRSHpcrel
-  { 2455,	6,	1,	339,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo292,0,0 },  // Inst #2455 = t2LDRSHs
-  { 2456,	5,	1,	347,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2456 = t2LDRT
-  { 2457,	6,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2457 = t2LDR_POST
-  { 2458,	6,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo60,0,0 },  // Inst #2458 = t2LDR_PRE
-  { 2459,	5,	1,	330,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8bULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2459 = t2LDRi12
-  { 2460,	5,	1,	330,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8cULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2460 = t2LDRi8
-  { 2461,	4,	1,	330,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #2461 = t2LDRpci
-  { 2462,	3,	1,	331,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo296,0,0 },  // Inst #2462 = t2LDRpci_pic
-  { 2463,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo22,0,0 },  // Inst #2463 = t2LDRpcrel
-  { 2464,	6,	1,	332,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8dULL, NULL, NULL, OperandInfo292,0,0 },  // Inst #2464 = t2LDRs
-  { 2465,	4,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo297,0,0 },  // Inst #2465 = t2LEApcrel
-  { 2466,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo298,0,0 },  // Inst #2466 = t2LEApcrelJT
-  { 2467,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2467 = t2LSLri
-  { 2468,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2468 = t2LSLrr
-  { 2469,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2469 = t2LSRri
-  { 2470,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2470 = t2LSRrr
-  { 2471,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo73,0,0 },  // Inst #2471 = t2MCR
-  { 2472,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo73,0,0 },  // Inst #2472 = t2MCR2
-  { 2473,	7,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo299,0,0 },  // Inst #2473 = t2MCRR
-  { 2474,	7,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo299,0,0 },  // Inst #2474 = t2MCRR2
-  { 2475,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2475 = t2MLA
-  { 2476,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2476 = t2MLS
-  { 2477,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo301,0,0 },  // Inst #2477 = t2MOVCCasr
-  { 2478,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo280,0,0 },  // Inst #2478 = t2MOVCCi
-  { 2479,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo280,0,0 },  // Inst #2479 = t2MOVCCi16
-  { 2480,	5,	1,	292,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo302,0,0 },  // Inst #2480 = t2MOVCCi32imm
-  { 2481,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo301,0,0 },  // Inst #2481 = t2MOVCClsl
-  { 2482,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo301,0,0 },  // Inst #2482 = t2MOVCClsr
-  { 2483,	5,	1,	43,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Select)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x0ULL, NULL, NULL, OperandInfo303,0,0 },  // Inst #2483 = t2MOVCCr
-  { 2484,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo301,0,0 },  // Inst #2484 = t2MOVCCror
-  { 2485,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo304,0,0 },  // Inst #2485 = t2MOVSsi
-  { 2486,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo305,0,0 },  // Inst #2486 = t2MOVSsr
-  { 2487,	5,	1,	41,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo280,0,0 },  // Inst #2487 = t2MOVTi16
-  { 2488,	4,	1,	41,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo306,0,0 },  // Inst #2488 = t2MOVTi16_ga_pcrel
-  { 2489,	2,	1,	294,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo307,0,0 },  // Inst #2489 = t2MOV_ga_pcrel
-  { 2490,	5,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0xc80ULL, NULL, NULL, OperandInfo308,0,0 },  // Inst #2490 = t2MOVi
-  { 2491,	4,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0xc80ULL, NULL, NULL, OperandInfo279,0,0 },  // Inst #2491 = t2MOVi16
-  { 2492,	3,	1,	295,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo296,0,0 },  // Inst #2492 = t2MOVi16_ga_pcrel
-  { 2493,	2,	1,	293,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo307,0,0 },  // Inst #2493 = t2MOVi32imm
-  { 2494,	5,	1,	48,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo309,0,0 },  // Inst #2494 = t2MOVr
-  { 2495,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo304,0,0 },  // Inst #2495 = t2MOVsi
-  { 2496,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo305,0,0 },  // Inst #2496 = t2MOVsr
-  { 2497,	4,	1,	50,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo283,0,0 },  // Inst #2497 = t2MOVsra_flag
-  { 2498,	4,	1,	50,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo283,0,0 },  // Inst #2498 = t2MOVsrl_flag
-  { 2499,	8,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo92,0,0 },  // Inst #2499 = t2MRC
-  { 2500,	8,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo92,0,0 },  // Inst #2500 = t2MRC2
-  { 2501,	7,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo299,0,0 },  // Inst #2501 = t2MRRC
-  { 2502,	7,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo299,0,0 },  // Inst #2502 = t2MRRC2
-  { 2503,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2503 = t2MRS_AR
-  { 2504,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo279,0,0 },  // Inst #2504 = t2MRS_M
-  { 2505,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2505 = t2MRSsys_AR
-  { 2506,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo310,0,0 },  // Inst #2506 = t2MSR_AR
-  { 2507,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo310,0,0 },  // Inst #2507 = t2MSR_M
-  { 2508,	5,	1,	310,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2508 = t2MUL
-  { 2509,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, NULL, NULL, OperandInfo280,0,0 },  // Inst #2509 = t2MVNCCi
-  { 2510,	5,	1,	52,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0xc80ULL, NULL, NULL, OperandInfo308,0,0 },  // Inst #2510 = t2MVNi
-  { 2511,	5,	1,	53,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo312,0,0 },  // Inst #2511 = t2MVNr
-  { 2512,	6,	1,	249,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo313,0,0 },  // Inst #2512 = t2MVNs
-  { 2513,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2513 = t2ORNri
-  { 2514,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2514 = t2ORNrr
-  { 2515,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo271,0,0 },  // Inst #2515 = t2ORNrs
-  { 2516,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2516 = t2ORRri
-  { 2517,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2517 = t2ORRrr
-  { 2518,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo271,0,0 },  // Inst #2518 = t2ORRrs
-  { 2519,	6,	1,	59,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2519 = t2PKHBT
-  { 2520,	6,	1,	59,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2520 = t2PKHTB
-  { 2521,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo315,0,0 },  // Inst #2521 = t2PLDWi12
-  { 2522,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo315,0,0 },  // Inst #2522 = t2PLDWi8
-  { 2523,	5,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo316,0,0 },  // Inst #2523 = t2PLDWs
-  { 2524,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo315,0,0 },  // Inst #2524 = t2PLDi12
-  { 2525,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo315,0,0 },  // Inst #2525 = t2PLDi8
-  { 2526,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2526 = t2PLDpci
-  { 2527,	5,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo316,0,0 },  // Inst #2527 = t2PLDs
-  { 2528,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo315,0,0 },  // Inst #2528 = t2PLIi12
-  { 2529,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo315,0,0 },  // Inst #2529 = t2PLIi8
-  { 2530,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2530 = t2PLIpci
-  { 2531,	5,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo316,0,0 },  // Inst #2531 = t2PLIs
-  { 2532,	5,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2532 = t2QADD
-  { 2533,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2533 = t2QADD16
-  { 2534,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2534 = t2QADD8
-  { 2535,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2535 = t2QASX
-  { 2536,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2536 = t2QDADD
-  { 2537,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2537 = t2QDSUB
-  { 2538,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2538 = t2QSAX
-  { 2539,	5,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2539 = t2QSUB
-  { 2540,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2540 = t2QSUB16
-  { 2541,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2541 = t2QSUB8
-  { 2542,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo283,0,0 },  // Inst #2542 = t2RBIT
-  { 2543,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo283,0,0 },  // Inst #2543 = t2REV
-  { 2544,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo283,0,0 },  // Inst #2544 = t2REV16
-  { 2545,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo283,0,0 },  // Inst #2545 = t2REVSH
-  { 2546,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2546 = t2RFEDB
-  { 2547,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2547 = t2RFEDBW
-  { 2548,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2548 = t2RFEIA
-  { 2549,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2549 = t2RFEIAW
-  { 2550,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2550 = t2RORri
-  { 2551,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2551 = t2RORrr
-  { 2552,	5,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, ImplicitList1, NULL, OperandInfo312,0,0 },  // Inst #2552 = t2RRX
-  { 2553,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo317,0,0 },  // Inst #2553 = t2RSBSri
-  { 2554,	6,	1,	58,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo318,0,0 },  // Inst #2554 = t2RSBSrs
-  { 2555,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo269,0,0 },  // Inst #2555 = t2RSBri
-  { 2556,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo270,0,0 },  // Inst #2556 = t2RSBrr
-  { 2557,	7,	1,	250,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo271,0,0 },  // Inst #2557 = t2RSBrs
-  { 2558,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2558 = t2SADD16
-  { 2559,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2559 = t2SADD8
-  { 2560,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2560 = t2SASX
-  { 2561,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo269,0,0 },  // Inst #2561 = t2SBCri
-  { 2562,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo270,0,0 },  // Inst #2562 = t2SBCrr
-  { 2563,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo271,0,0 },  // Inst #2563 = t2SBCrs
-  { 2564,	6,	1,	297,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo319,0,0 },  // Inst #2564 = t2SBFX
-  { 2565,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2565 = t2SDIV
-  { 2566,	5,	1,	296,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo16,0,0 },  // Inst #2566 = t2SEL
-  { 2567,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2567 = t2SHADD16
-  { 2568,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2568 = t2SHADD8
-  { 2569,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2569 = t2SHASX
-  { 2570,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2570 = t2SHSAX
-  { 2571,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2571 = t2SHSUB16
-  { 2572,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2572 = t2SHSUB8
-  { 2573,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2573 = t2SMC
-  { 2574,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2574 = t2SMLABB
-  { 2575,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2575 = t2SMLABT
-  { 2576,	6,	1,	320,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2576 = t2SMLAD
-  { 2577,	6,	1,	320,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2577 = t2SMLADX
-  { 2578,	8,	2,	323,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo320,0,0 },  // Inst #2578 = t2SMLAL
-  { 2579,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2579 = t2SMLALBB
-  { 2580,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2580 = t2SMLALBT
-  { 2581,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2581 = t2SMLALD
-  { 2582,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2582 = t2SMLALDX
-  { 2583,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2583 = t2SMLALTB
-  { 2584,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2584 = t2SMLALTT
-  { 2585,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2585 = t2SMLATB
-  { 2586,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2586 = t2SMLATT
-  { 2587,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2587 = t2SMLAWB
-  { 2588,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2588 = t2SMLAWT
-  { 2589,	6,	1,	318,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2589 = t2SMLSD
-  { 2590,	6,	1,	318,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2590 = t2SMLSDX
-  { 2591,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2591 = t2SMLSLD
-  { 2592,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2592 = t2SMLSLDX
-  { 2593,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2593 = t2SMMLA
-  { 2594,	6,	1,	313,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2594 = t2SMMLAR
-  { 2595,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2595 = t2SMMLS
-  { 2596,	6,	1,	313,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2596 = t2SMMLSR
-  { 2597,	5,	1,	310,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2597 = t2SMMUL
-  { 2598,	5,	1,	310,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2598 = t2SMMULR
-  { 2599,	5,	1,	315,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2599 = t2SMUAD
-  { 2600,	5,	1,	315,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2600 = t2SMUADX
-  { 2601,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2601 = t2SMULBB
-  { 2602,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2602 = t2SMULBT
-  { 2603,	6,	2,	322,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2603 = t2SMULL
-  { 2604,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2604 = t2SMULTB
-  { 2605,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2605 = t2SMULTT
-  { 2606,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2606 = t2SMULWB
-  { 2607,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2607 = t2SMULWT
-  { 2608,	5,	1,	312,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2608 = t2SMUSD
-  { 2609,	5,	1,	312,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2609 = t2SMUSDX
-  { 2610,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2610 = t2SRSDB
-  { 2611,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2611 = t2SRSDB_UPD
-  { 2612,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2612 = t2SRSIA
-  { 2613,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2613 = t2SRSIA_UPD
-  { 2614,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo321,0,0 },  // Inst #2614 = t2SSAT
-  { 2615,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo322,0,0 },  // Inst #2615 = t2SSAT16
-  { 2616,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2616 = t2SSAX
-  { 2617,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2617 = t2SSUB16
-  { 2618,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2618 = t2SSUB8
-  { 2619,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2619 = t2STC2L_OFFSET
-  { 2620,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2620 = t2STC2L_OPTION
-  { 2621,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2621 = t2STC2L_POST
-  { 2622,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2622 = t2STC2L_PRE
-  { 2623,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2623 = t2STC2_OFFSET
-  { 2624,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2624 = t2STC2_OPTION
-  { 2625,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2625 = t2STC2_POST
-  { 2626,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2626 = t2STC2_PRE
-  { 2627,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2627 = t2STCL_OFFSET
-  { 2628,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2628 = t2STCL_OPTION
-  { 2629,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2629 = t2STCL_POST
-  { 2630,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2630 = t2STCL_PRE
-  { 2631,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2631 = t2STC_OFFSET
-  { 2632,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2632 = t2STC_OPTION
-  { 2633,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2633 = t2STC_POST
-  { 2634,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo58,0,0 },  // Inst #2634 = t2STC_PRE
-  { 2635,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2635 = t2STL
-  { 2636,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2636 = t2STLB
-  { 2637,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo323,0,0 },  // Inst #2637 = t2STLEX
-  { 2638,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo323,0,0 },  // Inst #2638 = t2STLEXB
-  { 2639,	6,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo324,0,0 },  // Inst #2639 = t2STLEXD
-  { 2640,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo323,0,0 },  // Inst #2640 = t2STLEXH
-  { 2641,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo289,0,0 },  // Inst #2641 = t2STLH
-  { 2642,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2642 = t2STMDB
-  { 2643,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2643 = t2STMDB_UPD
-  { 2644,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo54,0,0 },  // Inst #2644 = t2STMIA
-  { 2645,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2645 = t2STMIA_UPD
-  { 2646,	5,	1,	370,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2646 = t2STRBT
-  { 2647,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo325,0,0 },  // Inst #2647 = t2STRB_POST
-  { 2648,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo325,0,0 },  // Inst #2648 = t2STRB_PRE
-  { 2649,	6,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo326,0,0 },  // Inst #2649 = t2STRB_preidx
-  { 2650,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2650 = t2STRBi12
-  { 2651,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2651 = t2STRBi8
-  { 2652,	6,	0,	360,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo327,0,0 },  // Inst #2652 = t2STRBs
-  { 2653,	7,	1,	373,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, NULL, NULL, OperandInfo328,0,0 },  // Inst #2653 = t2STRD_POST
-  { 2654,	7,	1,	373,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, NULL, NULL, OperandInfo328,0,0 },  // Inst #2654 = t2STRD_PRE
-  { 2655,	6,	0,	372,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0xc8fULL, NULL, NULL, OperandInfo17,0,0 },  // Inst #2655 = t2STRDi8
-  { 2656,	6,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo329,0,0 },  // Inst #2656 = t2STREX
-  { 2657,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo323,0,0 },  // Inst #2657 = t2STREXB
-  { 2658,	6,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo324,0,0 },  // Inst #2658 = t2STREXD
-  { 2659,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo323,0,0 },  // Inst #2659 = t2STREXH
-  { 2660,	5,	1,	370,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2660 = t2STRHT
-  { 2661,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo325,0,0 },  // Inst #2661 = t2STRH_POST
-  { 2662,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo325,0,0 },  // Inst #2662 = t2STRH_PRE
-  { 2663,	6,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo326,0,0 },  // Inst #2663 = t2STRH_preidx
-  { 2664,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2664 = t2STRHi12
-  { 2665,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2665 = t2STRHi8
-  { 2666,	6,	0,	360,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo327,0,0 },  // Inst #2666 = t2STRHs
-  { 2667,	5,	1,	371,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, NULL, NULL, OperandInfo291,0,0 },  // Inst #2667 = t2STRT
-  { 2668,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcccULL, NULL, NULL, OperandInfo330,0,0 },  // Inst #2668 = t2STR_POST
-  { 2669,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcacULL, NULL, NULL, OperandInfo330,0,0 },  // Inst #2669 = t2STR_PRE
-  { 2670,	6,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo326,0,0 },  // Inst #2670 = t2STR_preidx
-  { 2671,	5,	0,	364,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2671 = t2STRi12
-  { 2672,	5,	0,	364,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, NULL, NULL, OperandInfo46,0,0 },  // Inst #2672 = t2STRi8
-  { 2673,	6,	0,	362,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, NULL, NULL, OperandInfo292,0,0 },  // Inst #2673 = t2STRs
-  { 2674,	3,	0,	0,	4,	0|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0xc80ULL, NULL, ImplicitList12, OperandInfo50,0,0 },  // Inst #2674 = t2SUBS_PC_LR
-  { 2675,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo272,0,0 },  // Inst #2675 = t2SUBSri
-  { 2676,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo273,0,0 },  // Inst #2676 = t2SUBSrr
-  { 2677,	6,	1,	238,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, NULL, ImplicitList1, OperandInfo274,0,0 },  // Inst #2677 = t2SUBSrs
-  { 2678,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo275,0,0 },  // Inst #2678 = t2SUBri
-  { 2679,	5,	1,	1,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo276,0,0 },  // Inst #2679 = t2SUBri12
-  { 2680,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo277,0,0 },  // Inst #2680 = t2SUBrr
-  { 2681,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, NULL, NULL, OperandInfo278,0,0 },  // Inst #2681 = t2SUBrs
-  { 2682,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2682 = t2SXTAB
-  { 2683,	6,	1,	306,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2683 = t2SXTAB16
-  { 2684,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2684 = t2SXTAH
-  { 2685,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo317,0,0 },  // Inst #2685 = t2SXTB
-  { 2686,	5,	1,	291,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo317,0,0 },  // Inst #2686 = t2SXTB16
-  { 2687,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo317,0,0 },  // Inst #2687 = t2SXTH
-  { 2688,	4,	0,	14,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo331,0,0 },  // Inst #2688 = t2TBB
-  { 2689,	3,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo41,0,0 },  // Inst #2689 = t2TBB_JT
-  { 2690,	4,	0,	14,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo331,0,0 },  // Inst #2690 = t2TBH
-  { 2691,	3,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo41,0,0 },  // Inst #2691 = t2TBH_JT
-  { 2692,	4,	0,	255,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo284,0,0 },  // Inst #2692 = t2TEQri
-  { 2693,	4,	0,	256,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo285,0,0 },  // Inst #2693 = t2TEQrr
-  { 2694,	5,	0,	257,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo286,0,0 },  // Inst #2694 = t2TEQrs
-  { 2695,	4,	0,	255,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo284,0,0 },  // Inst #2695 = t2TSTri
-  { 2696,	4,	0,	256,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo285,0,0 },  // Inst #2696 = t2TSTrr
-  { 2697,	5,	0,	257,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo286,0,0 },  // Inst #2697 = t2TSTrs
-  { 2698,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2698 = t2UADD16
-  { 2699,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2699 = t2UADD8
-  { 2700,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2700 = t2UASX
-  { 2701,	6,	1,	297,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo319,0,0 },  // Inst #2701 = t2UBFX
-  { 2702,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2702 = t2UDIV
-  { 2703,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2703 = t2UHADD16
-  { 2704,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2704 = t2UHADD8
-  { 2705,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2705 = t2UHASX
-  { 2706,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2706 = t2UHSAX
-  { 2707,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2707 = t2UHSUB16
-  { 2708,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2708 = t2UHSUB8
-  { 2709,	6,	2,	323,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2709 = t2UMAAL
-  { 2710,	8,	2,	323,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo320,0,0 },  // Inst #2710 = t2UMLAL
-  { 2711,	6,	2,	322,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2711 = t2UMULL
-  { 2712,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2712 = t2UQADD16
-  { 2713,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2713 = t2UQADD8
-  { 2714,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2714 = t2UQASX
-  { 2715,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2715 = t2UQSAX
-  { 2716,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2716 = t2UQSUB16
-  { 2717,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2717 = t2UQSUB8
-  { 2718,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2718 = t2USAD8
-  { 2719,	6,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo300,0,0 },  // Inst #2719 = t2USADA8
-  { 2720,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo321,0,0 },  // Inst #2720 = t2USAT
-  { 2721,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo322,0,0 },  // Inst #2721 = t2USAT16
-  { 2722,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2722 = t2USAX
-  { 2723,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2723 = t2USUB16
-  { 2724,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo311,0,0 },  // Inst #2724 = t2USUB8
-  { 2725,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2725 = t2UXTAB
-  { 2726,	6,	1,	306,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2726 = t2UXTAB16
-  { 2727,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo314,0,0 },  // Inst #2727 = t2UXTAH
-  { 2728,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo317,0,0 },  // Inst #2728 = t2UXTB
-  { 2729,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo317,0,0 },  // Inst #2729 = t2UXTB16
-  { 2730,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo317,0,0 },  // Inst #2730 = t2UXTH
-  { 2731,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, ImplicitList1, NULL, OperandInfo332,0,0 },  // Inst #2731 = tADC
-  { 2732,	5,	1,	258,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo81,0,0 },  // Inst #2732 = tADDhirr
-  { 2733,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo333,0,0 },  // Inst #2733 = tADDi3
-  { 2734,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo334,0,0 },  // Inst #2734 = tADDi8
-  { 2735,	5,	1,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo335,0,0 },  // Inst #2735 = tADDrSP
-  { 2736,	5,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo336,0,0 },  // Inst #2736 = tADDrSPi
-  { 2737,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo337,0,0 },  // Inst #2737 = tADDrr
-  { 2738,	5,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo338,0,0 },  // Inst #2738 = tADDspi
-  { 2739,	5,	1,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo339,0,0 },  // Inst #2739 = tADDspr
-  { 2740,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo2,0,0 },  // Inst #2740 = tADJCALLSTACKDOWN
-  { 2741,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo8,0,0 },  // Inst #2741 = tADJCALLSTACKUP
-  { 2742,	4,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo340,0,0 },  // Inst #2742 = tADR
-  { 2743,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2743 = tAND
-  { 2744,	6,	2,	50,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo333,0,0 },  // Inst #2744 = tASRri
-  { 2745,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2745 = tASRrr
-  { 2746,	3,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0xc80ULL, NULL, NULL, OperandInfo37,0,0 },  // Inst #2746 = tB
-  { 2747,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2747 = tBIC
-  { 2748,	1,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #2748 = tBKPT
-  { 2749,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0xc80ULL, ImplicitList2, ImplicitList3, OperandInfo341,0,0 },  // Inst #2749 = tBL
-  { 2750,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0xc80ULL, ImplicitList2, ImplicitList3, OperandInfo341,0,0 },  // Inst #2750 = tBLXi
-  { 2751,	3,	0,	12,	2,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0xc80ULL, ImplicitList2, ImplicitList3, OperandInfo342,0,0 },  // Inst #2751 = tBLXr
-  { 2752,	3,	0,	10,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2752 = tBRIND
-  { 2753,	3,	0,	14,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Terminator), 0x0ULL, NULL, NULL, OperandInfo343,0,0 },  // Inst #2753 = tBR_JTr
-  { 2754,	3,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo36,0,0 },  // Inst #2754 = tBX
-  { 2755,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo38,0,0 },  // Inst #2755 = tBX_CALL
-  { 2756,	2,	0,	10,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, NULL, NULL, OperandInfo42,0,0 },  // Inst #2756 = tBX_RET
-  { 2757,	3,	0,	10,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo344,0,0 },  // Inst #2757 = tBX_RET_vararg
-  { 2758,	3,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo37,0,0 },  // Inst #2758 = tBcc
-  { 2759,	3,	0,	14,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList3, OperandInfo37,0,0 },  // Inst #2759 = tBfar
-  { 2760,	2,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo345,0,0 },  // Inst #2760 = tCBNZ
-  { 2761,	2,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo345,0,0 },  // Inst #2761 = tCBZ
-  { 2762,	4,	0,	242,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo346,0,0 },  // Inst #2762 = tCMNz
-  { 2763,	4,	0,	242,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, ImplicitList1, OperandInfo45,0,0 },  // Inst #2763 = tCMPhir
-  { 2764,	4,	0,	241,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo347,0,0 },  // Inst #2764 = tCMPi8
-  { 2765,	4,	0,	242,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, NULL, ImplicitList1, OperandInfo346,0,0 },  // Inst #2765 = tCMPr
-  { 2766,	2,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo7,0,0 },  // Inst #2766 = tCPS
-  { 2767,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2767 = tEOR
-  { 2768,	3,	0,	0,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo50,0,0 },  // Inst #2768 = tHINT
-  { 2769,	1,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo5,0,0 },  // Inst #2769 = tHLT
-  { 2770,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, ImplicitList5, OperandInfo10,0,0 },  // Inst #2770 = tInt_eh_sjlj_longjmp
-  { 2771,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, ImplicitList13, OperandInfo288,0,0 },  // Inst #2771 = tInt_eh_sjlj_setjmp
-  { 2772,	4,	0,	353,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo348,0,0 },  // Inst #2772 = tLDMIA
-  { 2773,	5,	1,	354,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Variadic), 0x0ULL, NULL, NULL, OperandInfo53,0,0 },  // Inst #2773 = tLDMIA_UPD
-  { 2774,	5,	1,	329,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc87ULL, NULL, NULL, OperandInfo349,0,0 },  // Inst #2774 = tLDRBi
-  { 2775,	5,	1,	333,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc87ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2775 = tLDRBr
-  { 2776,	5,	1,	329,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc88ULL, NULL, NULL, OperandInfo349,0,0 },  // Inst #2776 = tLDRHi
-  { 2777,	5,	1,	333,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc88ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2777 = tLDRHr
-  { 2778,	2,	1,	33,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo351,0,0 },  // Inst #2778 = tLDRLIT_ga_abs
-  { 2779,	2,	1,	34,	0,	0|(1<<MCID_Pseudo), 0x0ULL, NULL, NULL, OperandInfo351,0,0 },  // Inst #2779 = tLDRLIT_ga_pcrel
-  { 2780,	5,	1,	340,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc87ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2780 = tLDRSB
-  { 2781,	5,	1,	340,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc88ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2781 = tLDRSH
-  { 2782,	5,	1,	330,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc89ULL, NULL, NULL, OperandInfo349,0,0 },  // Inst #2782 = tLDRi
-  { 2783,	4,	1,	330,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8aULL, NULL, NULL, OperandInfo340,0,0 },  // Inst #2783 = tLDRpci
-  { 2784,	3,	1,	327,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo87,0,0 },  // Inst #2784 = tLDRpci_pic
-  { 2785,	5,	1,	334,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc89ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2785 = tLDRr
-  { 2786,	5,	1,	330,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8aULL, NULL, NULL, OperandInfo352,0,0 },  // Inst #2786 = tLDRspi
-  { 2787,	4,	1,	259,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x0ULL, NULL, NULL, OperandInfo353,0,0 },  // Inst #2787 = tLEApcrel
-  { 2788,	5,	1,	259,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, NULL, NULL, OperandInfo354,0,0 },  // Inst #2788 = tLEApcrelJT
-  { 2789,	6,	2,	50,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo333,0,0 },  // Inst #2789 = tLSLri
-  { 2790,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2790 = tLSLrr
-  { 2791,	6,	2,	50,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo333,0,0 },  // Inst #2791 = tLSRri
-  { 2792,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2792 = tLSRrr
-  { 2793,	5,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, NULL, NULL, OperandInfo355,0,0 },  // Inst #2793 = tMOVCCr_pseudo
-  { 2794,	2,	1,	48,	2,	0, 0xc80ULL, NULL, ImplicitList1, OperandInfo288,0,0 },  // Inst #2794 = tMOVSr
-  { 2795,	5,	2,	41,	2,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo356,0,0 },  // Inst #2795 = tMOVi8
-  { 2796,	4,	1,	48,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo45,0,0 },  // Inst #2796 = tMOVr
-  { 2797,	6,	2,	51,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo357,0,0 },  // Inst #2797 = tMUL
-  { 2798,	5,	2,	53,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo358,0,0 },  // Inst #2798 = tMVN
-  { 2799,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2799 = tORR
-  { 2800,	3,	1,	258,	2,	0|(1<<MCID_NotDuplicable), 0xc80ULL, NULL, NULL, OperandInfo359,0,0 },  // Inst #2800 = tPICADD
-  { 2801,	3,	0,	356,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, ImplicitList2, ImplicitList2, OperandInfo360,0,0 },  // Inst #2801 = tPOP
-  { 2802,	3,	0,	357,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x0ULL, NULL, NULL, OperandInfo360,0,0 },  // Inst #2802 = tPOP_RET
-  { 2803,	3,	0,	376,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, ImplicitList2, ImplicitList2, OperandInfo360,0,0 },  // Inst #2803 = tPUSH
-  { 2804,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2804 = tREV
-  { 2805,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2805 = tREV16
-  { 2806,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2806 = tREVSH
-  { 2807,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo332,0,0 },  // Inst #2807 = tROR
-  { 2808,	5,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo358,0,0 },  // Inst #2808 = tRSB
-  { 2809,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, ImplicitList1, NULL, OperandInfo332,0,0 },  // Inst #2809 = tSBC
-  { 2810,	1,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo5,ARM_HasV8Ops,0 },  // Inst #2810 = tSETEND
-  { 2811,	5,	1,	375,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, NULL, NULL, OperandInfo361,0,0 },  // Inst #2811 = tSTMIA_UPD
-  { 2812,	5,	0,	363,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc87ULL, NULL, NULL, OperandInfo349,0,0 },  // Inst #2812 = tSTRBi
-  { 2813,	5,	0,	359,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc87ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2813 = tSTRBr
-  { 2814,	5,	0,	363,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc88ULL, NULL, NULL, OperandInfo349,0,0 },  // Inst #2814 = tSTRHi
-  { 2815,	5,	0,	359,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc88ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2815 = tSTRHr
-  { 2816,	5,	0,	364,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc89ULL, NULL, NULL, OperandInfo349,0,0 },  // Inst #2816 = tSTRi
-  { 2817,	5,	0,	358,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc89ULL, NULL, NULL, OperandInfo350,0,0 },  // Inst #2817 = tSTRr
-  { 2818,	5,	0,	364,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8aULL, NULL, NULL, OperandInfo352,0,0 },  // Inst #2818 = tSTRspi
-  { 2819,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo333,0,0 },  // Inst #2819 = tSUBi3
-  { 2820,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo334,0,0 },  // Inst #2820 = tSUBi8
-  { 2821,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, NULL, NULL, OperandInfo337,0,0 },  // Inst #2821 = tSUBrr
-  { 2822,	5,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, OperandInfo338,0,0 },  // Inst #2822 = tSUBspi
-  { 2823,	3,	0,	10,	2,	0|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, ImplicitList2, NULL, OperandInfo50,0,0 },  // Inst #2823 = tSVC
-  { 2824,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2824 = tSXTB
-  { 2825,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2825 = tSXTH
-  { 2826,	3,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, NULL, OperandInfo37,0,0 },  // Inst #2826 = tTAILJMPd
-  { 2827,	3,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, NULL, OperandInfo37,0,0 },  // Inst #2827 = tTAILJMPdND
-  { 2828,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, NULL, OperandInfo124,0,0 },  // Inst #2828 = tTAILJMPr
-  { 2829,	0,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList8, 0,0,0 },  // Inst #2829 = tTPsoft
-  { 2830,	0,	0,	10,	2,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, NULL, NULL, 0,0,0 },  // Inst #2830 = tTRAP
-  { 2831,	4,	0,	263,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, NULL, ImplicitList1, OperandInfo346,0,0 },  // Inst #2831 = tTST
-  { 2832,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2832 = tUXTB
-  { 2833,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, NULL, NULL, OperandInfo346,0,0 },  // Inst #2833 = tUXTH
+  { 0,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #0 = PHI
+  { 1,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic), 0x0ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #1 = INLINEASM
+  { 2,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo2,0,nullptr },  // Inst #2 = CFI_INSTRUCTION
+  { 3,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo2,0,nullptr },  // Inst #3 = EH_LABEL
+  { 4,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo2,0,nullptr },  // Inst #4 = GC_LABEL
+  { 5,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic), 0x0ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #5 = KILL
+  { 6,	3,	1,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo3,0,nullptr },  // Inst #6 = EXTRACT_SUBREG
+  { 7,	4,	1,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo4,0,nullptr },  // Inst #7 = INSERT_SUBREG
+  { 8,	1,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0x0ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #8 = IMPLICIT_DEF
+  { 9,	4,	1,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo6,0,nullptr },  // Inst #9 = SUBREG_TO_REG
+  { 10,	3,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_CheapAsAMove), 0x0ULL, nullptr, nullptr, OperandInfo3,0,nullptr },  // Inst #10 = COPY_TO_REGCLASS
+  { 11,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic), 0x0ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #11 = DBG_VALUE
+  { 12,	1,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic)|(1<<MCID_CheapAsAMove), 0x0ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #12 = REG_SEQUENCE
+  { 13,	2,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_CheapAsAMove), 0x0ULL, nullptr, nullptr, OperandInfo7,0,nullptr },  // Inst #13 = COPY
+  { 14,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #14 = BUNDLE
+  { 15,	1,	0,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo2,0,nullptr },  // Inst #15 = LIFETIME_START
+  { 16,	1,	0,	0,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo2,0,nullptr },  // Inst #16 = LIFETIME_END
+  { 17,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Call)|(1<<MCID_MayLoad)|(1<<MCID_UsesCustomInserter)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo8,0,nullptr },  // Inst #17 = STACKMAP
+  { 18,	6,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Call)|(1<<MCID_MayLoad)|(1<<MCID_UsesCustomInserter)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo9,0,nullptr },  // Inst #18 = PATCHPOINT
+  { 19,	1,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo10,0,nullptr },  // Inst #19 = LOAD_STACK_GUARD
+  { 20,	2,	1,	590,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList1, OperandInfo11,0,nullptr },  // Inst #20 = ABS
+  { 21,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo12,0,nullptr },  // Inst #21 = ADCri
+  { 22,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo13,0,nullptr },  // Inst #22 = ADCrr
+  { 23,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x1501ULL, ImplicitList1, ImplicitList1, OperandInfo14,0,nullptr },  // Inst #23 = ADCrsi
+  { 24,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x281ULL, ImplicitList1, ImplicitList1, OperandInfo15,0,nullptr },  // Inst #24 = ADCrsr
+  { 25,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo16,0,nullptr },  // Inst #25 = ADDSri
+  { 26,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo17,0,nullptr },  // Inst #26 = ADDSrr
+  { 27,	6,	1,	3,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo18,0,nullptr },  // Inst #27 = ADDSrsi
+  { 28,	7,	1,	5,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo19,0,nullptr },  // Inst #28 = ADDSrsr
+  { 29,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #29 = ADDri
+  { 30,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #30 = ADDrr
+  { 31,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #31 = ADDrsi
+  { 32,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #32 = ADDrsr
+  { 33,	3,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo21,0,nullptr },  // Inst #33 = ADJCALLSTACKDOWN
+  { 34,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo22,0,nullptr },  // Inst #34 = ADJCALLSTACKUP
+  { 35,	4,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xd01ULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #35 = ADR
+  { 36,	3,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo24,0,nullptr },  // Inst #36 = AESD
+  { 37,	3,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo24,0,nullptr },  // Inst #37 = AESE
+  { 38,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #38 = AESIMC
+  { 39,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #39 = AESMC
+  { 40,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #40 = ANDri
+  { 41,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #41 = ANDrr
+  { 42,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #42 = ANDrsi
+  { 43,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #43 = ANDrsr
+  { 44,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #44 = ASRi
+  { 45,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo26,0,nullptr },  // Inst #45 = ASRr
+  { 46,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, nullptr, nullptr, OperandInfo27,0,nullptr },  // Inst #46 = B
+  { 47,	4,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, ImplicitList1, OperandInfo28,0,nullptr },  // Inst #47 = BCCZi64
+  { 48,	6,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, ImplicitList1, OperandInfo29,0,nullptr },  // Inst #48 = BCCi64
+  { 49,	5,	1,	278,	4,	0|(1<<MCID_Predicable), 0x201ULL, nullptr, nullptr, OperandInfo30,0,nullptr },  // Inst #49 = BFC
+  { 50,	6,	1,	278,	4,	0|(1<<MCID_Predicable), 0x201ULL, nullptr, nullptr, OperandInfo31,0,nullptr },  // Inst #50 = BFI
+  { 51,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #51 = BICri
+  { 52,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #52 = BICrr
+  { 53,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #53 = BICrsi
+  { 54,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #54 = BICrsr
+  { 55,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #55 = BKPT
+  { 56,	1,	0,	12,	4,	0|(1<<MCID_Call), 0x100ULL, ImplicitList2, ImplicitList3, OperandInfo27,0,nullptr },  // Inst #56 = BL
+  { 57,	1,	0,	12,	4,	0|(1<<MCID_Call), 0x180ULL, ImplicitList2, ImplicitList3, OperandInfo32,0,nullptr },  // Inst #57 = BLX
+  { 58,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0x180ULL, ImplicitList2, ImplicitList3, OperandInfo33,0,nullptr },  // Inst #58 = BLX_pred
+  { 59,	1,	0,	13,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x180ULL, nullptr, nullptr, OperandInfo27,0,nullptr },  // Inst #59 = BLXi
+  { 60,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0x100ULL, ImplicitList2, ImplicitList3, OperandInfo34,0,nullptr },  // Inst #60 = BL_pred
+  { 61,	1,	0,	10,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo27,0,nullptr },  // Inst #61 = BMOVPCB_CALL
+  { 62,	1,	0,	10,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo35,0,nullptr },  // Inst #62 = BMOVPCRX_CALL
+  { 63,	4,	0,	14,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo36,0,nullptr },  // Inst #63 = BR_JTadd
+  { 64,	5,	0,	14,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo37,0,nullptr },  // Inst #64 = BR_JTm
+  { 65,	3,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo38,0,nullptr },  // Inst #65 = BR_JTr
+  { 66,	1,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator), 0x180ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #66 = BX
+  { 67,	3,	0,	15,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #67 = BXJ
+  { 68,	1,	0,	10,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo35,0,nullptr },  // Inst #68 = BX_CALL
+  { 69,	2,	0,	10,	4,	0|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x180ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #69 = BX_RET
+  { 70,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x180ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #70 = BX_pred
+  { 71,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo34,0,nullptr },  // Inst #71 = Bcc
+  { 72,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo40,0,nullptr },  // Inst #72 = CDP
+  { 73,	6,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo41,0,nullptr },  // Inst #73 = CDP2
+  { 74,	0,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #74 = CLREX
+  { 75,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo42,0,nullptr },  // Inst #75 = CLZ
+  { 76,	4,	0,	17,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, nullptr, ImplicitList1, OperandInfo23,0,nullptr },  // Inst #76 = CMNri
+  { 77,	4,	0,	18,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x201ULL, nullptr, ImplicitList1, OperandInfo42,0,nullptr },  // Inst #77 = CMNzrr
+  { 78,	5,	0,	19,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, nullptr, ImplicitList1, OperandInfo43,0,nullptr },  // Inst #78 = CMNzrsi
+  { 79,	6,	0,	20,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, nullptr, ImplicitList1, OperandInfo44,0,nullptr },  // Inst #79 = CMNzrsr
+  { 80,	4,	0,	17,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, nullptr, ImplicitList1, OperandInfo23,0,nullptr },  // Inst #80 = CMPri
+  { 81,	4,	0,	18,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, nullptr, ImplicitList1, OperandInfo42,0,nullptr },  // Inst #81 = CMPrr
+  { 82,	5,	0,	19,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, nullptr, ImplicitList1, OperandInfo43,0,nullptr },  // Inst #82 = CMPrsi
+  { 83,	6,	0,	20,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, nullptr, ImplicitList1, OperandInfo44,0,nullptr },  // Inst #83 = CMPrsr
+  { 84,	3,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo3,0,nullptr },  // Inst #84 = CONSTPOOL_ENTRY
+  { 85,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo36,0,nullptr },  // Inst #85 = COPY_STRUCT_BYVAL_I32
+  { 86,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #86 = CPS1p
+  { 87,	2,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo7,0,nullptr },  // Inst #87 = CPS2p
+  { 88,	3,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo45,0,nullptr },  // Inst #88 = CPS3p
+  { 89,	3,	1,	0,	4,	0, 0xd00ULL, nullptr, nullptr, OperandInfo46,0,nullptr },  // Inst #89 = CRC32B
+  { 90,	3,	1,	0,	4,	0, 0xd00ULL, nullptr, nullptr, OperandInfo46,0,nullptr },  // Inst #90 = CRC32CB
+  { 91,	3,	1,	0,	4,	0, 0xd00ULL, nullptr, nullptr, OperandInfo46,0,nullptr },  // Inst #91 = CRC32CH
+  { 92,	3,	1,	0,	4,	0, 0xd00ULL, nullptr, nullptr, OperandInfo46,0,nullptr },  // Inst #92 = CRC32CW
+  { 93,	3,	1,	0,	4,	0, 0xd00ULL, nullptr, nullptr, OperandInfo46,0,nullptr },  // Inst #93 = CRC32H
+  { 94,	3,	1,	0,	4,	0, 0xd00ULL, nullptr, nullptr, OperandInfo46,0,nullptr },  // Inst #94 = CRC32W
+  { 95,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #95 = DBG
+  { 96,	1,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #96 = DMB
+  { 97,	1,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #97 = DSB
+  { 98,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #98 = EORri
+  { 99,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #99 = EORrr
+  { 100,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #100 = EORrsi
+  { 101,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #101 = EORrsr
+  { 102,	4,	1,	487,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x8c00ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #102 = FCONSTD
+  { 103,	4,	1,	488,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x8c00ULL, nullptr, nullptr, OperandInfo49,0,nullptr },  // Inst #103 = FCONSTS
+  { 104,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #104 = FLDMXDB_UPD
+  { 105,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b04ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #105 = FLDMXIA
+  { 106,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #106 = FLDMXIA_UPD
+  { 107,	2,	0,	507,	4,	0|(1<<MCID_Predicable), 0x8c00ULL, ImplicitList4, ImplicitList1, OperandInfo39,0,nullptr },  // Inst #107 = FMSTAT
+  { 108,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #108 = FSTMXDB_UPD
+  { 109,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b04ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #109 = FSTMXIA
+  { 110,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects), 0x8b64ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #110 = FSTMXIA_UPD
+  { 111,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #111 = HINT
+  { 112,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #112 = HLT
+  { 113,	1,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #113 = ISB
+  { 114,	2,	0,	377,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo7,0,0 },  // Inst #114 = ITasm
+  { 115,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #115 = Int_eh_sjlj_dispatchsetup
+  { 116,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList5, OperandInfo11,0,nullptr },  // Inst #116 = Int_eh_sjlj_longjmp
+  { 117,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList6, OperandInfo11,0,nullptr },  // Inst #117 = Int_eh_sjlj_setjmp
+  { 118,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList7, OperandInfo11,0,nullptr },  // Inst #118 = Int_eh_sjlj_setjmp_nofp
+  { 119,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #119 = LDA
+  { 120,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #120 = LDAB
+  { 121,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #121 = LDAEX
+  { 122,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #122 = LDAEXB
+  { 123,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x580ULL, nullptr, nullptr, OperandInfo53,0,nullptr },  // Inst #123 = LDAEXD
+  { 124,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #124 = LDAEXH
+  { 125,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #125 = LDAH
+  { 126,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #126 = LDC2L_OFFSET
+  { 127,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #127 = LDC2L_OPTION
+  { 128,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #128 = LDC2L_POST
+  { 129,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #129 = LDC2L_PRE
+  { 130,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #130 = LDC2_OFFSET
+  { 131,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #131 = LDC2_OPTION
+  { 132,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #132 = LDC2_POST
+  { 133,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #133 = LDC2_PRE
+  { 134,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #134 = LDCL_OFFSET
+  { 135,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #135 = LDCL_OPTION
+  { 136,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #136 = LDCL_POST
+  { 137,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #137 = LDCL_PRE
+  { 138,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #138 = LDC_OFFSET
+  { 139,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #139 = LDC_OPTION
+  { 140,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #140 = LDC_POST
+  { 141,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #141 = LDC_PRE
+  { 142,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #142 = LDMDA
+  { 143,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #143 = LDMDA_UPD
+  { 144,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #144 = LDMDB
+  { 145,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #145 = LDMDB_UPD
+  { 146,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #146 = LDMIA
+  { 147,	5,	1,	355,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x0ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #147 = LDMIA_RET
+  { 148,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #148 = LDMIA_UPD
+  { 149,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #149 = LDMIB
+  { 150,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #150 = LDMIB_UPD
+  { 151,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #151 = LDRBT_POST
+  { 152,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #152 = LDRBT_POST_IMM
+  { 153,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #153 = LDRBT_POST_REG
+  { 154,	7,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #154 = LDRB_POST_IMM
+  { 155,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #155 = LDRB_POST_REG
+  { 156,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #156 = LDRB_PRE_IMM
+  { 157,	7,	2,	341,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #157 = LDRB_PRE_REG
+  { 158,	5,	1,	325,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x310ULL, nullptr, nullptr, OperandInfo58,0,nullptr },  // Inst #158 = LDRBi12
+  { 159,	6,	1,	326,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x300ULL, nullptr, nullptr, OperandInfo59,0,nullptr },  // Inst #159 = LDRBrs
+  { 160,	7,	2,	350,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x403ULL, nullptr, nullptr, OperandInfo60,0,nullptr },  // Inst #160 = LDRD
+  { 161,	8,	3,	352,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x443ULL, nullptr, nullptr, OperandInfo61,0,nullptr },  // Inst #161 = LDRD_POST
+  { 162,	8,	3,	352,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x423ULL, nullptr, nullptr, OperandInfo61,0,nullptr },  // Inst #162 = LDRD_PRE
+  { 163,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #163 = LDREX
+  { 164,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #164 = LDREXB
+  { 165,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x580ULL, nullptr, nullptr, OperandInfo53,0,nullptr },  // Inst #165 = LDREXD
+  { 166,	4,	1,	327,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #166 = LDREXH
+  { 167,	6,	1,	335,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x403ULL, nullptr, nullptr, OperandInfo62,0,nullptr },  // Inst #167 = LDRH
+  { 168,	6,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #168 = LDRHTi
+  { 169,	7,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo63,0,nullptr },  // Inst #169 = LDRHTr
+  { 170,	7,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo64,0,nullptr },  // Inst #170 = LDRH_POST
+  { 171,	7,	2,	343,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x423ULL, nullptr, nullptr, OperandInfo64,0,nullptr },  // Inst #171 = LDRH_PRE
+  { 172,	2,	1,	33,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo65,0,nullptr },  // Inst #172 = LDRLIT_ga_abs
+  { 173,	2,	1,	34,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo65,0,nullptr },  // Inst #173 = LDRLIT_ga_pcrel
+  { 174,	2,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo65,0,nullptr },  // Inst #174 = LDRLIT_ga_pcrel_ldr
+  { 175,	6,	1,	288,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x403ULL, nullptr, nullptr, OperandInfo62,0,nullptr },  // Inst #175 = LDRSB
+  { 176,	6,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #176 = LDRSBTi
+  { 177,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo63,0,nullptr },  // Inst #177 = LDRSBTr
+  { 178,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo64,0,nullptr },  // Inst #178 = LDRSB_POST
+  { 179,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x423ULL, nullptr, nullptr, OperandInfo64,0,nullptr },  // Inst #179 = LDRSB_PRE
+  { 180,	6,	1,	288,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x403ULL, nullptr, nullptr, OperandInfo62,0,nullptr },  // Inst #180 = LDRSH
+  { 181,	6,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #181 = LDRSHTi
+  { 182,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo63,0,nullptr },  // Inst #182 = LDRSHTr
+  { 183,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x443ULL, nullptr, nullptr, OperandInfo64,0,nullptr },  // Inst #183 = LDRSH_POST
+  { 184,	7,	2,	289,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x423ULL, nullptr, nullptr, OperandInfo64,0,nullptr },  // Inst #184 = LDRSH_PRE
+  { 185,	4,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #185 = LDRT_POST
+  { 186,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #186 = LDRT_POST_IMM
+  { 187,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #187 = LDRT_POST_REG
+  { 188,	7,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #188 = LDR_POST_IMM
+  { 189,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x342ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #189 = LDR_POST_REG
+  { 190,	6,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #190 = LDR_PRE_IMM
+  { 191,	7,	2,	344,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x322ULL, nullptr, nullptr, OperandInfo56,0,nullptr },  // Inst #191 = LDR_PRE_REG
+  { 192,	5,	1,	336,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x310ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #192 = LDRcp
+  { 193,	5,	1,	328,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x310ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #193 = LDRi12
+  { 194,	6,	1,	287,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x300ULL, nullptr, nullptr, OperandInfo66,0,nullptr },  // Inst #194 = LDRrs
+  { 195,	4,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo67,0,nullptr },  // Inst #195 = LEApcrel
+  { 196,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo68,0,nullptr },  // Inst #196 = LEApcrelJT
+  { 197,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #197 = LSLi
+  { 198,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo26,0,nullptr },  // Inst #198 = LSLr
+  { 199,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #199 = LSRi
+  { 200,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo26,0,nullptr },  // Inst #200 = LSRr
+  { 201,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo69,0,0 },  // Inst #201 = MCR
+  { 202,	6,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo70,0,nullptr },  // Inst #202 = MCR2
+  { 203,	7,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo71,0,nullptr },  // Inst #203 = MCRR
+  { 204,	5,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo72,0,nullptr },  // Inst #204 = MCRR2
+  { 205,	7,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x80ULL, nullptr, nullptr, OperandInfo73,0,nullptr },  // Inst #205 = MLA
+  { 206,	7,	1,	279,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x0ULL, nullptr, nullptr, OperandInfo74,0,nullptr },  // Inst #206 = MLAv5
+  { 207,	6,	1,	279,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #207 = MLS
+  { 208,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo30,0,nullptr },  // Inst #208 = MOVCCi
+  { 209,	5,	1,	41,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo30,0,nullptr },  // Inst #209 = MOVCCi16
+  { 210,	5,	1,	273,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo76,0,nullptr },  // Inst #210 = MOVCCi32imm
+  { 211,	5,	1,	43,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Select)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x0ULL, nullptr, nullptr, OperandInfo77,0,nullptr },  // Inst #211 = MOVCCr
+  { 212,	6,	1,	268,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo78,0,nullptr },  // Inst #212 = MOVCCsi
+  { 213,	7,	1,	268,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo79,0,nullptr },  // Inst #213 = MOVCCsr
+  { 214,	2,	0,	10,	4,	0|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x180ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #214 = MOVPCLR
+  { 215,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator), 0x0ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #215 = MOVPCRX
+  { 216,	5,	1,	41,	4,	0|(1<<MCID_Predicable), 0x2201ULL, nullptr, nullptr, OperandInfo80,0,nullptr },  // Inst #216 = MOVTi16
+  { 217,	4,	1,	41,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo81,0,nullptr },  // Inst #217 = MOVTi16_ga_pcrel
+  { 218,	2,	1,	275,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo65,0,nullptr },  // Inst #218 = MOV_ga_pcrel
+  { 219,	2,	1,	276,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo65,0,nullptr },  // Inst #219 = MOV_ga_pcrel_ldr
+  { 220,	5,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0x2201ULL, nullptr, nullptr, OperandInfo82,0,nullptr },  // Inst #220 = MOVi
+  { 221,	4,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0x2201ULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #221 = MOVi16
+  { 222,	3,	1,	41,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo83,0,nullptr },  // Inst #222 = MOVi16_ga_pcrel
+  { 223,	2,	1,	274,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo65,0,nullptr },  // Inst #223 = MOVi32imm
+  { 224,	5,	1,	48,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2201ULL, nullptr, nullptr, OperandInfo84,0,nullptr },  // Inst #224 = MOVr
+  { 225,	5,	1,	48,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2201ULL, nullptr, nullptr, OperandInfo85,0,nullptr },  // Inst #225 = MOVr_TC
+  { 226,	6,	1,	269,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x3501ULL, nullptr, nullptr, OperandInfo86,0,nullptr },  // Inst #226 = MOVsi
+  { 227,	7,	1,	269,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2281ULL, nullptr, nullptr, OperandInfo87,0,nullptr },  // Inst #227 = MOVsr
+  { 228,	2,	1,	270,	0,	0|(1<<MCID_Pseudo), 0x2000ULL, nullptr, ImplicitList1, OperandInfo11,0,nullptr },  // Inst #228 = MOVsra_flag
+  { 229,	2,	1,	270,	0,	0|(1<<MCID_Pseudo), 0x2000ULL, nullptr, ImplicitList1, OperandInfo11,0,nullptr },  // Inst #229 = MOVsrl_flag
+  { 230,	8,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo88,0,nullptr },  // Inst #230 = MRC
+  { 231,	6,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo89,0,nullptr },  // Inst #231 = MRC2
+  { 232,	7,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo71,0,nullptr },  // Inst #232 = MRRC
+  { 233,	5,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo72,0,nullptr },  // Inst #233 = MRRC2
+  { 234,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo90,0,nullptr },  // Inst #234 = MRS
+  { 235,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo90,0,nullptr },  // Inst #235 = MRSsys
+  { 236,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo91,0,nullptr },  // Inst #236 = MSR
+  { 237,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo92,0,nullptr },  // Inst #237 = MSRi
+  { 238,	6,	1,	280,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x80ULL, nullptr, nullptr, OperandInfo26,0,nullptr },  // Inst #238 = MUL
+  { 239,	6,	1,	280,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x0ULL, nullptr, nullptr, OperandInfo93,0,nullptr },  // Inst #239 = MULv5
+  { 240,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo30,0,nullptr },  // Inst #240 = MVNCCi
+  { 241,	5,	1,	52,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0x2201ULL, nullptr, nullptr, OperandInfo82,0,nullptr },  // Inst #241 = MVNi
+  { 242,	5,	1,	272,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2201ULL, nullptr, nullptr, OperandInfo84,0,nullptr },  // Inst #242 = MVNr
+  { 243,	6,	1,	54,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x3501ULL, nullptr, nullptr, OperandInfo86,0,nullptr },  // Inst #243 = MVNsi
+  { 244,	7,	1,	271,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x2281ULL, nullptr, nullptr, OperandInfo94,0,nullptr },  // Inst #244 = MVNsr
+  { 245,	6,	1,	264,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #245 = ORRri
+  { 246,	6,	1,	265,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #246 = ORRrr
+  { 247,	7,	1,	266,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #247 = ORRrsi
+  { 248,	8,	1,	267,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #248 = ORRrsr
+  { 249,	5,	1,	55,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo16,0,nullptr },  // Inst #249 = PICADD
+  { 250,	5,	1,	286,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #250 = PICLDR
+  { 251,	5,	1,	335,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #251 = PICLDRB
+  { 252,	5,	1,	335,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #252 = PICLDRH
+  { 253,	5,	1,	288,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #253 = PICLDRSB
+  { 254,	5,	1,	288,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #254 = PICLDRSH
+  { 255,	5,	0,	358,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #255 = PICSTR
+  { 256,	5,	0,	359,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #256 = PICSTRB
+  { 257,	5,	0,	359,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #257 = PICSTRH
+  { 258,	6,	1,	58,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo95,0,nullptr },  // Inst #258 = PKHBT
+  { 259,	6,	1,	59,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo95,0,nullptr },  // Inst #259 = PKHTB
+  { 260,	2,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd10ULL, nullptr, nullptr, OperandInfo96,0,nullptr },  // Inst #260 = PLDWi12
+  { 261,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd00ULL, nullptr, nullptr, OperandInfo97,0,nullptr },  // Inst #261 = PLDWrs
+  { 262,	2,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd10ULL, nullptr, nullptr, OperandInfo96,0,nullptr },  // Inst #262 = PLDi12
+  { 263,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd00ULL, nullptr, nullptr, OperandInfo97,0,nullptr },  // Inst #263 = PLDrs
+  { 264,	2,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd10ULL, nullptr, nullptr, OperandInfo96,0,nullptr },  // Inst #264 = PLIi12
+  { 265,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore), 0xd00ULL, nullptr, nullptr, OperandInfo97,0,nullptr },  // Inst #265 = PLIrs
+  { 266,	5,	1,	299,	4,	0|(1<<MCID_Predicable), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #266 = QADD
+  { 267,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #267 = QADD16
+  { 268,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #268 = QADD8
+  { 269,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #269 = QASX
+  { 270,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #270 = QDADD
+  { 271,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #271 = QDSUB
+  { 272,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #272 = QSAX
+  { 273,	5,	1,	299,	4,	0|(1<<MCID_Predicable), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #273 = QSUB
+  { 274,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #274 = QSUB16
+  { 275,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #275 = QSUB8
+  { 276,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo42,0,nullptr },  // Inst #276 = RBIT
+  { 277,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo42,0,nullptr },  // Inst #277 = REV
+  { 278,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo42,0,nullptr },  // Inst #278 = REV16
+  { 279,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo42,0,nullptr },  // Inst #279 = REVSH
+  { 280,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #280 = RFEDA
+  { 281,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #281 = RFEDA_UPD
+  { 282,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #282 = RFEDB
+  { 283,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #283 = RFEDB_UPD
+  { 284,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #284 = RFEIA
+  { 285,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #285 = RFEIA_UPD
+  { 286,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #286 = RFEIB
+  { 287,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo32,0,nullptr },  // Inst #287 = RFEIB_UPD
+  { 288,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #288 = RORi
+  { 289,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo26,0,nullptr },  // Inst #289 = RORr
+  { 290,	2,	1,	50,	0,	0|(1<<MCID_Pseudo), 0x2000ULL, ImplicitList1, nullptr, OperandInfo11,0,nullptr },  // Inst #290 = RRX
+  { 291,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo84,0,nullptr },  // Inst #291 = RRXi
+  { 292,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo16,0,nullptr },  // Inst #292 = RSBSri
+  { 293,	6,	1,	3,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo18,0,nullptr },  // Inst #293 = RSBSrsi
+  { 294,	7,	1,	5,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo19,0,nullptr },  // Inst #294 = RSBSrsr
+  { 295,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #295 = RSBri
+  { 296,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #296 = RSBrr
+  { 297,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #297 = RSBrsi
+  { 298,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #298 = RSBrsr
+  { 299,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo12,0,nullptr },  // Inst #299 = RSCri
+  { 300,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo13,0,nullptr },  // Inst #300 = RSCrr
+  { 301,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x1501ULL, ImplicitList1, ImplicitList1, OperandInfo14,0,nullptr },  // Inst #301 = RSCrsi
+  { 302,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x281ULL, ImplicitList1, ImplicitList1, OperandInfo20,0,nullptr },  // Inst #302 = RSCrsr
+  { 303,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #303 = SADD16
+  { 304,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #304 = SADD8
+  { 305,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #305 = SASX
+  { 306,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo12,0,nullptr },  // Inst #306 = SBCri
+  { 307,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x201ULL, ImplicitList1, ImplicitList1, OperandInfo13,0,nullptr },  // Inst #307 = SBCrr
+  { 308,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x1501ULL, ImplicitList1, ImplicitList1, OperandInfo14,0,nullptr },  // Inst #308 = SBCrsi
+  { 309,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0x281ULL, ImplicitList1, ImplicitList1, OperandInfo15,0,nullptr },  // Inst #309 = SBCrsr
+  { 310,	6,	1,	278,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, nullptr, nullptr, OperandInfo99,0,nullptr },  // Inst #310 = SBFX
+  { 311,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #311 = SDIV
+  { 312,	5,	1,	277,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #312 = SEL
+  { 313,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,ARM_HasV8Ops,nullptr },  // Inst #313 = SETEND
+  { 314,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #314 = SHA1C
+  { 315,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #315 = SHA1H
+  { 316,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #316 = SHA1M
+  { 317,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #317 = SHA1P
+  { 318,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #318 = SHA1SU0
+  { 319,	3,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo24,0,nullptr },  // Inst #319 = SHA1SU1
+  { 320,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #320 = SHA256H
+  { 321,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #321 = SHA256H2
+  { 322,	3,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo24,0,nullptr },  // Inst #322 = SHA256SU0
+  { 323,	4,	1,	0,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo100,0,nullptr },  // Inst #323 = SHA256SU1
+  { 324,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #324 = SHADD16
+  { 325,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #325 = SHADD8
+  { 326,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #326 = SHASX
+  { 327,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #327 = SHSAX
+  { 328,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #328 = SHSUB16
+  { 329,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #329 = SHSUB8
+  { 330,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #330 = SMC
+  { 331,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #331 = SMLABB
+  { 332,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #332 = SMLABT
+  { 333,	6,	1,	319,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #333 = SMLAD
+  { 334,	6,	1,	319,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #334 = SMLADX
+  { 335,	9,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x80ULL, nullptr, nullptr, OperandInfo102,0,nullptr },  // Inst #335 = SMLAL
+  { 336,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #336 = SMLALBB
+  { 337,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #337 = SMLALBT
+  { 338,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #338 = SMLALD
+  { 339,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #339 = SMLALDX
+  { 340,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #340 = SMLALTB
+  { 341,	6,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #341 = SMLALTT
+  { 342,	9,	2,	281,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x0ULL, nullptr, nullptr, OperandInfo104,0,nullptr },  // Inst #342 = SMLALv5
+  { 343,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #343 = SMLATB
+  { 344,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #344 = SMLATT
+  { 345,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #345 = SMLAWB
+  { 346,	6,	1,	285,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #346 = SMLAWT
+  { 347,	6,	1,	316,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #347 = SMLSD
+  { 348,	6,	1,	316,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo101,0,nullptr },  // Inst #348 = SMLSDX
+  { 349,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #349 = SMLSLD
+  { 350,	6,	2,	283,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo103,0,nullptr },  // Inst #350 = SMLSLDX
+  { 351,	6,	1,	279,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #351 = SMMLA
+  { 352,	6,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #352 = SMMLAR
+  { 353,	6,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #353 = SMMLS
+  { 354,	6,	1,	279,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #354 = SMMLSR
+  { 355,	5,	1,	280,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #355 = SMMUL
+  { 356,	5,	1,	280,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #356 = SMMULR
+  { 357,	5,	1,	314,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #357 = SMUAD
+  { 358,	5,	1,	314,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #358 = SMUADX
+  { 359,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #359 = SMULBB
+  { 360,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #360 = SMULBT
+  { 361,	7,	2,	321,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x80ULL, nullptr, nullptr, OperandInfo105,0,nullptr },  // Inst #361 = SMULL
+  { 362,	7,	2,	282,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x0ULL, nullptr, nullptr, OperandInfo106,0,nullptr },  // Inst #362 = SMULLv5
+  { 363,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #363 = SMULTB
+  { 364,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #364 = SMULTT
+  { 365,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #365 = SMULWB
+  { 366,	5,	1,	284,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #366 = SMULWT
+  { 367,	5,	1,	309,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #367 = SMUSD
+  { 368,	5,	1,	309,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #368 = SMUSDX
+  { 369,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #369 = SRSDA
+  { 370,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #370 = SRSDA_UPD
+  { 371,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #371 = SRSDB
+  { 372,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #372 = SRSDB_UPD
+  { 373,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #373 = SRSIA
+  { 374,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #374 = SRSIA_UPD
+  { 375,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #375 = SRSIB
+  { 376,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #376 = SRSIB_UPD
+  { 377,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0x680ULL, nullptr, nullptr, OperandInfo107,0,nullptr },  // Inst #377 = SSAT
+  { 378,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x680ULL, nullptr, nullptr, OperandInfo108,0,nullptr },  // Inst #378 = SSAT16
+  { 379,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #379 = SSAX
+  { 380,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #380 = SSUB16
+  { 381,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #381 = SSUB8
+  { 382,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #382 = STC2L_OFFSET
+  { 383,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #383 = STC2L_OPTION
+  { 384,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #384 = STC2L_POST
+  { 385,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #385 = STC2L_PRE
+  { 386,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #386 = STC2_OFFSET
+  { 387,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #387 = STC2_OPTION
+  { 388,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #388 = STC2_POST
+  { 389,	4,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo54,0,nullptr },  // Inst #389 = STC2_PRE
+  { 390,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #390 = STCL_OFFSET
+  { 391,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #391 = STCL_OPTION
+  { 392,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #392 = STCL_POST
+  { 393,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #393 = STCL_PRE
+  { 394,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #394 = STC_OFFSET
+  { 395,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #395 = STC_OPTION
+  { 396,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x140ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #396 = STC_POST
+  { 397,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x120ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #397 = STC_PRE
+  { 398,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #398 = STL
+  { 399,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #399 = STLB
+  { 400,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo109,0,nullptr },  // Inst #400 = STLEX
+  { 401,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo109,0,nullptr },  // Inst #401 = STLEXB
+  { 402,	5,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x580ULL, nullptr, nullptr, OperandInfo110,0,nullptr },  // Inst #402 = STLEXD
+  { 403,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo109,0,nullptr },  // Inst #403 = STLEXH
+  { 404,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x580ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #404 = STLH
+  { 405,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #405 = STMDA
+  { 406,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #406 = STMDA_UPD
+  { 407,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #407 = STMDB
+  { 408,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #408 = STMDB_UPD
+  { 409,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #409 = STMIA
+  { 410,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #410 = STMIA_UPD
+  { 411,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #411 = STMIB
+  { 412,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #412 = STMIB_UPD
+  { 413,	4,	0,	365,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #413 = STRBT_POST
+  { 414,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x3c2ULL, nullptr, nullptr, OperandInfo111,0,nullptr },  // Inst #414 = STRBT_POST_IMM
+  { 415,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x3c2ULL, nullptr, nullptr, OperandInfo111,0,nullptr },  // Inst #415 = STRBT_POST_REG
+  { 416,	7,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, nullptr, nullptr, OperandInfo112,0,nullptr },  // Inst #416 = STRB_POST_IMM
+  { 417,	7,	1,	366,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, nullptr, nullptr, OperandInfo112,0,nullptr },  // Inst #417 = STRB_POST_REG
+  { 418,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, nullptr, nullptr, OperandInfo113,0,nullptr },  // Inst #418 = STRB_PRE_IMM
+  { 419,	7,	1,	366,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, nullptr, nullptr, OperandInfo112,0,nullptr },  // Inst #419 = STRB_PRE_REG
+  { 420,	5,	0,	359,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x390ULL, nullptr, nullptr, OperandInfo58,0,nullptr },  // Inst #420 = STRBi12
+  { 421,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo114,0,nullptr },  // Inst #421 = STRBi_preidx
+  { 422,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo114,0,nullptr },  // Inst #422 = STRBr_preidx
+  { 423,	6,	0,	360,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x380ULL, nullptr, nullptr, OperandInfo59,0,nullptr },  // Inst #423 = STRBrs
+  { 424,	7,	0,	372,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x483ULL, nullptr, nullptr, OperandInfo60,0,nullptr },  // Inst #424 = STRD
+  { 425,	8,	1,	373,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x4c3ULL, nullptr, nullptr, OperandInfo115,0,nullptr },  // Inst #425 = STRD_POST
+  { 426,	8,	1,	373,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x4a3ULL, nullptr, nullptr, OperandInfo115,0,nullptr },  // Inst #426 = STRD_PRE
+  { 427,	5,	1,	361,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo109,0,nullptr },  // Inst #427 = STREX
+  { 428,	5,	1,	361,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo109,0,nullptr },  // Inst #428 = STREXB
+  { 429,	5,	1,	361,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x580ULL, nullptr, nullptr, OperandInfo110,0,nullptr },  // Inst #429 = STREXD
+  { 430,	5,	1,	361,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x580ULL, nullptr, nullptr, OperandInfo109,0,nullptr },  // Inst #430 = STREXH
+  { 431,	6,	0,	359,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x483ULL, nullptr, nullptr, OperandInfo62,0,nullptr },  // Inst #431 = STRH
+  { 432,	6,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x4c3ULL, nullptr, nullptr, OperandInfo116,0,nullptr },  // Inst #432 = STRHTi
+  { 433,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x4c3ULL, nullptr, nullptr, OperandInfo111,0,nullptr },  // Inst #433 = STRHTr
+  { 434,	7,	1,	366,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x4c3ULL, nullptr, nullptr, OperandInfo117,0,nullptr },  // Inst #434 = STRH_POST
+  { 435,	7,	1,	366,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x4a3ULL, nullptr, nullptr, OperandInfo117,0,nullptr },  // Inst #435 = STRH_PRE
+  { 436,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo118,0,nullptr },  // Inst #436 = STRH_preidx
+  { 437,	4,	0,	365,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo52,0,nullptr },  // Inst #437 = STRT_POST
+  { 438,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, nullptr, nullptr, OperandInfo111,0,nullptr },  // Inst #438 = STRT_POST_IMM
+  { 439,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, nullptr, nullptr, OperandInfo111,0,nullptr },  // Inst #439 = STRT_POST_REG
+  { 440,	7,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, nullptr, nullptr, OperandInfo112,0,nullptr },  // Inst #440 = STR_POST_IMM
+  { 441,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3c2ULL, nullptr, nullptr, OperandInfo112,0,nullptr },  // Inst #441 = STR_POST_REG
+  { 442,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, nullptr, nullptr, OperandInfo113,0,nullptr },  // Inst #442 = STR_PRE_IMM
+  { 443,	7,	1,	368,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x3a2ULL, nullptr, nullptr, OperandInfo112,0,nullptr },  // Inst #443 = STR_PRE_REG
+  { 444,	5,	0,	358,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x390ULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #444 = STRi12
+  { 445,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo114,0,nullptr },  // Inst #445 = STRi_preidx
+  { 446,	7,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo114,0,nullptr },  // Inst #446 = STRr_preidx
+  { 447,	6,	0,	362,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x380ULL, nullptr, nullptr, OperandInfo66,0,nullptr },  // Inst #447 = STRrs
+  { 448,	3,	0,	76,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, nullptr, nullptr, OperandInfo21,0,nullptr },  // Inst #448 = SUBS_PC_LR
+  { 449,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo16,0,nullptr },  // Inst #449 = SUBSri
+  { 450,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo17,0,nullptr },  // Inst #450 = SUBSrr
+  { 451,	6,	1,	3,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo18,0,nullptr },  // Inst #451 = SUBSrsi
+  { 452,	7,	1,	5,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo19,0,nullptr },  // Inst #452 = SUBSrsr
+  { 453,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo12,0,nullptr },  // Inst #453 = SUBri
+  { 454,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x201ULL, nullptr, nullptr, OperandInfo13,0,nullptr },  // Inst #454 = SUBrr
+  { 455,	7,	1,	3,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x1501ULL, nullptr, nullptr, OperandInfo14,0,nullptr },  // Inst #455 = SUBrsi
+  { 456,	8,	1,	4,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x281ULL, nullptr, nullptr, OperandInfo20,0,nullptr },  // Inst #456 = SUBrsr
+  { 457,	3,	0,	10,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x100ULL, ImplicitList2, nullptr, OperandInfo47,0,nullptr },  // Inst #457 = SVC
+  { 458,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo119,0,nullptr },  // Inst #458 = SWP
+  { 459,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo119,0,nullptr },  // Inst #459 = SWPB
+  { 460,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo120,0,nullptr },  // Inst #460 = SXTAB
+  { 461,	6,	1,	304,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x700ULL, nullptr, nullptr, OperandInfo120,0,nullptr },  // Inst #461 = SXTAB16
+  { 462,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo120,0,nullptr },  // Inst #462 = SXTAH
+  { 463,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo121,0,nullptr },  // Inst #463 = SXTB
+  { 464,	5,	1,	290,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x700ULL, nullptr, nullptr, OperandInfo121,0,nullptr },  // Inst #464 = SXTB16
+  { 465,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo121,0,nullptr },  // Inst #465 = SXTH
+  { 466,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, nullptr, OperandInfo27,0,nullptr },  // Inst #466 = TAILJMPd
+  { 467,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, nullptr, OperandInfo122,0,nullptr },  // Inst #467 = TAILJMPr
+  { 468,	1,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator), 0x0ULL, ImplicitList2, nullptr, OperandInfo2,0,nullptr },  // Inst #468 = TCRETURNdi
+  { 469,	1,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator), 0x0ULL, ImplicitList2, nullptr, OperandInfo122,0,nullptr },  // Inst #469 = TCRETURNri
+  { 470,	4,	0,	79,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, nullptr, ImplicitList1, OperandInfo23,0,nullptr },  // Inst #470 = TEQri
+  { 471,	4,	0,	80,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x201ULL, nullptr, ImplicitList1, OperandInfo42,0,nullptr },  // Inst #471 = TEQrr
+  { 472,	5,	0,	81,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, nullptr, ImplicitList1, OperandInfo43,0,nullptr },  // Inst #472 = TEQrsi
+  { 473,	6,	0,	82,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, nullptr, ImplicitList1, OperandInfo44,0,nullptr },  // Inst #473 = TEQrsr
+  { 474,	0,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList8, nullptr,0,nullptr },  // Inst #474 = TPsoft
+  { 475,	0,	0,	0,	4,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #475 = TRAP
+  { 476,	0,	0,	0,	4,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #476 = TRAPNaCl
+  { 477,	4,	0,	79,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x201ULL, nullptr, ImplicitList1, OperandInfo23,0,nullptr },  // Inst #477 = TSTri
+  { 478,	4,	0,	80,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x201ULL, nullptr, ImplicitList1, OperandInfo42,0,nullptr },  // Inst #478 = TSTrr
+  { 479,	5,	0,	81,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x1501ULL, nullptr, ImplicitList1, OperandInfo43,0,nullptr },  // Inst #479 = TSTrsi
+  { 480,	6,	0,	82,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0x281ULL, nullptr, ImplicitList1, OperandInfo44,0,nullptr },  // Inst #480 = TSTrsr
+  { 481,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #481 = UADD16
+  { 482,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #482 = UADD8
+  { 483,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #483 = UASX
+  { 484,	6,	1,	278,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x201ULL, nullptr, nullptr, OperandInfo99,0,nullptr },  // Inst #484 = UBFX
+  { 485,	1,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xd00ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #485 = UDF
+  { 486,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0x600ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #486 = UDIV
+  { 487,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #487 = UHADD16
+  { 488,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #488 = UHADD8
+  { 489,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #489 = UHASX
+  { 490,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #490 = UHSAX
+  { 491,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #491 = UHSUB16
+  { 492,	5,	1,	303,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #492 = UHSUB8
+  { 493,	6,	2,	281,	4,	0|(1<<MCID_Predicable), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #493 = UMAAL
+  { 494,	9,	2,	281,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x80ULL, nullptr, nullptr, OperandInfo102,0,nullptr },  // Inst #494 = UMLAL
+  { 495,	9,	2,	281,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x0ULL, nullptr, nullptr, OperandInfo104,0,nullptr },  // Inst #495 = UMLALv5
+  { 496,	7,	2,	321,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x80ULL, nullptr, nullptr, OperandInfo105,0,nullptr },  // Inst #496 = UMULL
+  { 497,	7,	2,	282,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x0ULL, nullptr, nullptr, OperandInfo106,0,nullptr },  // Inst #497 = UMULLv5
+  { 498,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #498 = UQADD16
+  { 499,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #499 = UQADD8
+  { 500,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #500 = UQASX
+  { 501,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #501 = UQSAX
+  { 502,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #502 = UQSUB16
+  { 503,	5,	1,	299,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #503 = UQSUB8
+  { 504,	5,	1,	307,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #504 = USAD8
+  { 505,	6,	1,	308,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x80ULL, nullptr, nullptr, OperandInfo75,0,nullptr },  // Inst #505 = USADA8
+  { 506,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0x680ULL, nullptr, nullptr, OperandInfo107,0,nullptr },  // Inst #506 = USAT
+  { 507,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x680ULL, nullptr, nullptr, OperandInfo108,0,nullptr },  // Inst #507 = USAT16
+  { 508,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #508 = USAX
+  { 509,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #509 = USUB16
+  { 510,	5,	1,	301,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x200ULL, nullptr, nullptr, OperandInfo98,0,nullptr },  // Inst #510 = USUB8
+  { 511,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo120,0,nullptr },  // Inst #511 = UXTAB
+  { 512,	6,	1,	304,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x700ULL, nullptr, nullptr, OperandInfo120,0,nullptr },  // Inst #512 = UXTAB16
+  { 513,	6,	1,	304,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo120,0,nullptr },  // Inst #513 = UXTAH
+  { 514,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo121,0,nullptr },  // Inst #514 = UXTB
+  { 515,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo121,0,nullptr },  // Inst #515 = UXTB16
+  { 516,	5,	1,	290,	4,	0|(1<<MCID_Predicable), 0x700ULL, nullptr, nullptr, OperandInfo121,0,nullptr },  // Inst #516 = UXTH
+  { 517,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #517 = VABALsv2i64
+  { 518,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #518 = VABALsv4i32
+  { 519,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #519 = VABALsv8i16
+  { 520,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #520 = VABALuv2i64
+  { 521,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #521 = VABALuv4i32
+  { 522,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #522 = VABALuv8i16
+  { 523,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #523 = VABAsv16i8
+  { 524,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #524 = VABAsv2i32
+  { 525,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #525 = VABAsv4i16
+  { 526,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #526 = VABAsv4i32
+  { 527,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #527 = VABAsv8i16
+  { 528,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #528 = VABAsv8i8
+  { 529,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #529 = VABAuv16i8
+  { 530,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #530 = VABAuv2i32
+  { 531,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #531 = VABAuv4i16
+  { 532,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #532 = VABAuv4i32
+  { 533,	6,	1,	401,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #533 = VABAuv8i16
+  { 534,	6,	1,	400,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #534 = VABAuv8i8
+  { 535,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #535 = VABDLsv2i64
+  { 536,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #536 = VABDLsv4i32
+  { 537,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #537 = VABDLsv8i16
+  { 538,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #538 = VABDLuv2i64
+  { 539,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #539 = VABDLuv4i32
+  { 540,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #540 = VABDLuv8i16
+  { 541,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #541 = VABDfd
+  { 542,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #542 = VABDfq
+  { 543,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #543 = VABDsv16i8
+  { 544,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #544 = VABDsv2i32
+  { 545,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #545 = VABDsv4i16
+  { 546,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #546 = VABDsv4i32
+  { 547,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #547 = VABDsv8i16
+  { 548,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #548 = VABDsv8i8
+  { 549,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #549 = VABDuv16i8
+  { 550,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #550 = VABDuv2i32
+  { 551,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #551 = VABDuv4i16
+  { 552,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #552 = VABDuv4i32
+  { 553,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #553 = VABDuv8i16
+  { 554,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #554 = VABDuv8i8
+  { 555,	4,	1,	437,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #555 = VABSD
+  { 556,	4,	1,	438,	4,	0|(1<<MCID_Predicable), 0x28780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #556 = VABSS
+  { 557,	4,	1,	402,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #557 = VABSfd
+  { 558,	4,	1,	403,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #558 = VABSfq
+  { 559,	4,	1,	404,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #559 = VABSv16i8
+  { 560,	4,	1,	405,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #560 = VABSv2i32
+  { 561,	4,	1,	405,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #561 = VABSv4i16
+  { 562,	4,	1,	404,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #562 = VABSv4i32
+  { 563,	4,	1,	404,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #563 = VABSv8i16
+  { 564,	4,	1,	405,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #564 = VABSv8i8
+  { 565,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #565 = VACGEd
+  { 566,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #566 = VACGEq
+  { 567,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #567 = VACGTd
+  { 568,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #568 = VACGTq
+  { 569,	5,	1,	448,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #569 = VADDD
+  { 570,	5,	1,	421,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #570 = VADDHNv2i32
+  { 571,	5,	1,	421,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #571 = VADDHNv4i16
+  { 572,	5,	1,	421,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #572 = VADDHNv8i8
+  { 573,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #573 = VADDLsv2i64
+  { 574,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #574 = VADDLsv4i32
+  { 575,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #575 = VADDLsv8i16
+  { 576,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #576 = VADDLuv2i64
+  { 577,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #577 = VADDLuv4i32
+  { 578,	5,	1,	379,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #578 = VADDLuv8i16
+  { 579,	5,	1,	445,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo133,0,nullptr },  // Inst #579 = VADDS
+  { 580,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #580 = VADDWsv2i64
+  { 581,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #581 = VADDWsv4i32
+  { 582,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #582 = VADDWsv8i16
+  { 583,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #583 = VADDWuv2i64
+  { 584,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #584 = VADDWuv4i32
+  { 585,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #585 = VADDWuv8i16
+  { 586,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #586 = VADDfd
+  { 587,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #587 = VADDfq
+  { 588,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #588 = VADDv16i8
+  { 589,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #589 = VADDv1i64
+  { 590,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #590 = VADDv2i32
+  { 591,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #591 = VADDv2i64
+  { 592,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #592 = VADDv4i16
+  { 593,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #593 = VADDv4i32
+  { 594,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #594 = VADDv8i16
+  { 595,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #595 = VADDv8i8
+  { 596,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #596 = VANDd
+  { 597,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #597 = VANDq
+  { 598,	5,	1,	382,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #598 = VBICd
+  { 599,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo135,0,nullptr },  // Inst #599 = VBICiv2i32
+  { 600,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo135,0,nullptr },  // Inst #600 = VBICiv4i16
+  { 601,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo136,0,nullptr },  // Inst #601 = VBICiv4i32
+  { 602,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo136,0,nullptr },  // Inst #602 = VBICiv8i16
+  { 603,	5,	1,	381,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #603 = VBICq
+  { 604,	6,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #604 = VBIFd
+  { 605,	6,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #605 = VBIFq
+  { 606,	6,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #606 = VBITd
+  { 607,	6,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #607 = VBITq
+  { 608,	6,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #608 = VBSLd
+  { 609,	6,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #609 = VBSLq
+  { 610,	5,	1,	406,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #610 = VCEQfd
+  { 611,	5,	1,	407,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #611 = VCEQfq
+  { 612,	5,	1,	408,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #612 = VCEQv16i8
+  { 613,	5,	1,	409,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #613 = VCEQv2i32
+  { 614,	5,	1,	409,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #614 = VCEQv4i16
+  { 615,	5,	1,	408,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #615 = VCEQv4i32
+  { 616,	5,	1,	408,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #616 = VCEQv8i16
+  { 617,	5,	1,	409,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #617 = VCEQv8i8
+  { 618,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #618 = VCEQzv16i8
+  { 619,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #619 = VCEQzv2f32
+  { 620,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #620 = VCEQzv2i32
+  { 621,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #621 = VCEQzv4f32
+  { 622,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #622 = VCEQzv4i16
+  { 623,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #623 = VCEQzv4i32
+  { 624,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #624 = VCEQzv8i16
+  { 625,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #625 = VCEQzv8i8
+  { 626,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #626 = VCGEfd
+  { 627,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #627 = VCGEfq
+  { 628,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #628 = VCGEsv16i8
+  { 629,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #629 = VCGEsv2i32
+  { 630,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #630 = VCGEsv4i16
+  { 631,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #631 = VCGEsv4i32
+  { 632,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #632 = VCGEsv8i16
+  { 633,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #633 = VCGEsv8i8
+  { 634,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #634 = VCGEuv16i8
+  { 635,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #635 = VCGEuv2i32
+  { 636,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #636 = VCGEuv4i16
+  { 637,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #637 = VCGEuv4i32
+  { 638,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #638 = VCGEuv8i16
+  { 639,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #639 = VCGEuv8i8
+  { 640,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #640 = VCGEzv16i8
+  { 641,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #641 = VCGEzv2f32
+  { 642,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #642 = VCGEzv2i32
+  { 643,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #643 = VCGEzv4f32
+  { 644,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #644 = VCGEzv4i16
+  { 645,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #645 = VCGEzv4i32
+  { 646,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #646 = VCGEzv8i16
+  { 647,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #647 = VCGEzv8i8
+  { 648,	5,	1,	406,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #648 = VCGTfd
+  { 649,	5,	1,	407,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #649 = VCGTfq
+  { 650,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #650 = VCGTsv16i8
+  { 651,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #651 = VCGTsv2i32
+  { 652,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #652 = VCGTsv4i16
+  { 653,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #653 = VCGTsv4i32
+  { 654,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #654 = VCGTsv8i16
+  { 655,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #655 = VCGTsv8i8
+  { 656,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #656 = VCGTuv16i8
+  { 657,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #657 = VCGTuv2i32
+  { 658,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #658 = VCGTuv4i16
+  { 659,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #659 = VCGTuv4i32
+  { 660,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #660 = VCGTuv8i16
+  { 661,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #661 = VCGTuv8i8
+  { 662,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #662 = VCGTzv16i8
+  { 663,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #663 = VCGTzv2f32
+  { 664,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #664 = VCGTzv2i32
+  { 665,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #665 = VCGTzv4f32
+  { 666,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #666 = VCGTzv4i16
+  { 667,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #667 = VCGTzv4i32
+  { 668,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #668 = VCGTzv8i16
+  { 669,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #669 = VCGTzv8i8
+  { 670,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #670 = VCLEzv16i8
+  { 671,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #671 = VCLEzv2f32
+  { 672,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #672 = VCLEzv2i32
+  { 673,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #673 = VCLEzv4f32
+  { 674,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #674 = VCLEzv4i16
+  { 675,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #675 = VCLEzv4i32
+  { 676,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #676 = VCLEzv8i16
+  { 677,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #677 = VCLEzv8i8
+  { 678,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #678 = VCLSv16i8
+  { 679,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #679 = VCLSv2i32
+  { 680,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #680 = VCLSv4i16
+  { 681,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #681 = VCLSv4i32
+  { 682,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #682 = VCLSv8i16
+  { 683,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #683 = VCLSv8i8
+  { 684,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #684 = VCLTzv16i8
+  { 685,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #685 = VCLTzv2f32
+  { 686,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #686 = VCLTzv2i32
+  { 687,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #687 = VCLTzv4f32
+  { 688,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #688 = VCLTzv4i16
+  { 689,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #689 = VCLTzv4i32
+  { 690,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #690 = VCLTzv8i16
+  { 691,	4,	1,	410,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #691 = VCLTzv8i8
+  { 692,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #692 = VCLZv16i8
+  { 693,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #693 = VCLZv2i32
+  { 694,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #694 = VCLZv4i16
+  { 695,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #695 = VCLZv4i32
+  { 696,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #696 = VCLZv8i16
+  { 697,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #697 = VCLZv8i8
+  { 698,	4,	0,	439,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, ImplicitList4, OperandInfo129,0,nullptr },  // Inst #698 = VCMPD
+  { 699,	4,	0,	439,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, ImplicitList4, OperandInfo129,0,nullptr },  // Inst #699 = VCMPED
+  { 700,	4,	0,	440,	4,	0|(1<<MCID_Predicable), 0x28780ULL, nullptr, ImplicitList4, OperandInfo130,0,nullptr },  // Inst #700 = VCMPES
+  { 701,	3,	0,	439,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, ImplicitList4, OperandInfo137,0,nullptr },  // Inst #701 = VCMPEZD
+  { 702,	3,	0,	440,	4,	0|(1<<MCID_Predicable), 0x28780ULL, nullptr, ImplicitList4, OperandInfo138,0,nullptr },  // Inst #702 = VCMPEZS
+  { 703,	4,	0,	440,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28780ULL, nullptr, ImplicitList4, OperandInfo130,0,nullptr },  // Inst #703 = VCMPS
+  { 704,	3,	0,	439,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, ImplicitList4, OperandInfo137,0,nullptr },  // Inst #704 = VCMPZD
+  { 705,	3,	0,	440,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28780ULL, nullptr, ImplicitList4, OperandInfo138,0,nullptr },  // Inst #705 = VCMPZS
+  { 706,	4,	1,	384,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #706 = VCNTd
+  { 707,	4,	1,	385,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #707 = VCNTq
+  { 708,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #708 = VCVTANSD
+  { 709,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #709 = VCVTANSQ
+  { 710,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #710 = VCVTANUD
+  { 711,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #711 = VCVTANUQ
+  { 712,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #712 = VCVTASD
+  { 713,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #713 = VCVTASS
+  { 714,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #714 = VCVTAUD
+  { 715,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #715 = VCVTAUS
+  { 716,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo142,0,nullptr },  // Inst #716 = VCVTBDH
+  { 717,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo143,0,nullptr },  // Inst #717 = VCVTBHD
+  { 718,	4,	1,	475,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #718 = VCVTBHS
+  { 719,	4,	1,	476,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #719 = VCVTBSH
+  { 720,	4,	1,	477,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo143,0,nullptr },  // Inst #720 = VCVTDS
+  { 721,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #721 = VCVTMNSD
+  { 722,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #722 = VCVTMNSQ
+  { 723,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #723 = VCVTMNUD
+  { 724,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #724 = VCVTMNUQ
+  { 725,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #725 = VCVTMSD
+  { 726,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #726 = VCVTMSS
+  { 727,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #727 = VCVTMUD
+  { 728,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #728 = VCVTMUS
+  { 729,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #729 = VCVTNNSD
+  { 730,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #730 = VCVTNNSQ
+  { 731,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #731 = VCVTNNUD
+  { 732,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #732 = VCVTNNUQ
+  { 733,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #733 = VCVTNSD
+  { 734,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #734 = VCVTNSS
+  { 735,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #735 = VCVTNUD
+  { 736,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #736 = VCVTNUS
+  { 737,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #737 = VCVTPNSD
+  { 738,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #738 = VCVTPNSQ
+  { 739,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #739 = VCVTPNUD
+  { 740,	2,	1,	474,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #740 = VCVTPNUQ
+  { 741,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #741 = VCVTPSD
+  { 742,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #742 = VCVTPSS
+  { 743,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo140,0,nullptr },  // Inst #743 = VCVTPUD
+  { 744,	2,	1,	474,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #744 = VCVTPUS
+  { 745,	4,	1,	478,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo142,0,nullptr },  // Inst #745 = VCVTSD
+  { 746,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo142,0,nullptr },  // Inst #746 = VCVTTDH
+  { 747,	4,	1,	474,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo143,0,nullptr },  // Inst #747 = VCVTTHD
+  { 748,	4,	1,	475,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #748 = VCVTTHS
+  { 749,	4,	1,	476,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #749 = VCVTTSH
+  { 750,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #750 = VCVTf2h
+  { 751,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #751 = VCVTf2sd
+  { 752,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #752 = VCVTf2sq
+  { 753,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #753 = VCVTf2ud
+  { 754,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #754 = VCVTf2uq
+  { 755,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #755 = VCVTf2xsd
+  { 756,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #756 = VCVTf2xsq
+  { 757,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #757 = VCVTf2xud
+  { 758,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #758 = VCVTf2xuq
+  { 759,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #759 = VCVTh2f
+  { 760,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #760 = VCVTs2fd
+  { 761,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #761 = VCVTs2fq
+  { 762,	4,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #762 = VCVTu2fd
+  { 763,	4,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #763 = VCVTu2fq
+  { 764,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #764 = VCVTxs2fd
+  { 765,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #765 = VCVTxs2fq
+  { 766,	5,	1,	480,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #766 = VCVTxu2fd
+  { 767,	5,	1,	479,	4,	0|(1<<MCID_Predicable), 0x11080ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #767 = VCVTxu2fq
+  { 768,	5,	1,	588,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #768 = VDIVD
+  { 769,	5,	1,	586,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo133,0,nullptr },  // Inst #769 = VDIVS
+  { 770,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, nullptr, nullptr, OperandInfo148,0,nullptr },  // Inst #770 = VDUP16d
+  { 771,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, nullptr, nullptr, OperandInfo149,0,nullptr },  // Inst #771 = VDUP16q
+  { 772,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, nullptr, nullptr, OperandInfo148,0,nullptr },  // Inst #772 = VDUP32d
+  { 773,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, nullptr, nullptr, OperandInfo149,0,nullptr },  // Inst #773 = VDUP32q
+  { 774,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, nullptr, nullptr, OperandInfo148,0,nullptr },  // Inst #774 = VDUP8d
+  { 775,	4,	1,	496,	4,	0|(1<<MCID_Predicable), 0x10e80ULL, nullptr, nullptr, OperandInfo149,0,nullptr },  // Inst #775 = VDUP8q
+  { 776,	5,	1,	494,	4,	0|(1<<MCID_Predicable), 0x11100ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #776 = VDUPLN16d
+  { 777,	5,	1,	495,	4,	0|(1<<MCID_Predicable), 0x11100ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #777 = VDUPLN16q
+  { 778,	5,	1,	494,	4,	0|(1<<MCID_Predicable), 0x11100ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #778 = VDUPLN32d
+  { 779,	5,	1,	495,	4,	0|(1<<MCID_Predicable), 0x11100ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #779 = VDUPLN32q
+  { 780,	5,	1,	494,	4,	0|(1<<MCID_Predicable), 0x11100ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #780 = VDUPLN8d
+  { 781,	5,	1,	495,	4,	0|(1<<MCID_Predicable), 0x11100ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #781 = VDUPLN8q
+  { 782,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #782 = VEORd
+  { 783,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #783 = VEORq
+  { 784,	6,	1,	396,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo151,0,nullptr },  // Inst #784 = VEXTd16
+  { 785,	6,	1,	396,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo151,0,nullptr },  // Inst #785 = VEXTd32
+  { 786,	6,	1,	396,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo151,0,nullptr },  // Inst #786 = VEXTd8
+  { 787,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo152,0,nullptr },  // Inst #787 = VEXTq16
+  { 788,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo152,0,nullptr },  // Inst #788 = VEXTq32
+  { 789,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo152,0,nullptr },  // Inst #789 = VEXTq64
+  { 790,	6,	1,	397,	4,	0|(1<<MCID_Predicable), 0x11380ULL, nullptr, nullptr, OperandInfo152,0,nullptr },  // Inst #790 = VEXTq8
+  { 791,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #791 = VFMAD
+  { 792,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #792 = VFMAS
+  { 793,	6,	1,	472,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #793 = VFMAfd
+  { 794,	6,	1,	473,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #794 = VFMAfq
+  { 795,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #795 = VFMSD
+  { 796,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #796 = VFMSS
+  { 797,	6,	1,	472,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #797 = VFMSfd
+  { 798,	6,	1,	473,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #798 = VFMSfq
+  { 799,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #799 = VFNMAD
+  { 800,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #800 = VFNMAS
+  { 801,	6,	1,	462,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #801 = VFNMSD
+  { 802,	6,	1,	463,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #802 = VFNMSS
+  { 803,	5,	1,	503,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, nullptr, nullptr, OperandInfo154,0,nullptr },  // Inst #803 = VGETLNi32
+  { 804,	5,	1,	504,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, nullptr, nullptr, OperandInfo154,0,nullptr },  // Inst #804 = VGETLNs16
+  { 805,	5,	1,	504,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, nullptr, nullptr, OperandInfo154,0,nullptr },  // Inst #805 = VGETLNs8
+  { 806,	5,	1,	503,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, nullptr, nullptr, OperandInfo154,0,nullptr },  // Inst #806 = VGETLNu16
+  { 807,	5,	1,	503,	4,	0|(1<<MCID_Predicable), 0x10d80ULL, nullptr, nullptr, OperandInfo154,0,nullptr },  // Inst #807 = VGETLNu8
+  { 808,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #808 = VHADDsv16i8
+  { 809,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #809 = VHADDsv2i32
+  { 810,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #810 = VHADDsv4i16
+  { 811,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #811 = VHADDsv4i32
+  { 812,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #812 = VHADDsv8i16
+  { 813,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #813 = VHADDsv8i8
+  { 814,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #814 = VHADDuv16i8
+  { 815,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #815 = VHADDuv2i32
+  { 816,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #816 = VHADDuv4i16
+  { 817,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #817 = VHADDuv4i32
+  { 818,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #818 = VHADDuv8i16
+  { 819,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #819 = VHADDuv8i8
+  { 820,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #820 = VHSUBsv16i8
+  { 821,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #821 = VHSUBsv2i32
+  { 822,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #822 = VHSUBsv4i16
+  { 823,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #823 = VHSUBsv4i32
+  { 824,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #824 = VHSUBsv8i16
+  { 825,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #825 = VHSUBsv8i8
+  { 826,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #826 = VHSUBuv16i8
+  { 827,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #827 = VHSUBuv2i32
+  { 828,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #828 = VHSUBuv4i16
+  { 829,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #829 = VHSUBuv4i32
+  { 830,	5,	1,	388,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #830 = VHSUBuv8i16
+  { 831,	5,	1,	389,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #831 = VHSUBuv8i8
+  { 832,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #832 = VLD1DUPd16
+  { 833,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #833 = VLD1DUPd16wb_fixed
+  { 834,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #834 = VLD1DUPd16wb_register
+  { 835,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #835 = VLD1DUPd32
+  { 836,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #836 = VLD1DUPd32wb_fixed
+  { 837,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #837 = VLD1DUPd32wb_register
+  { 838,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #838 = VLD1DUPd8
+  { 839,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #839 = VLD1DUPd8wb_fixed
+  { 840,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #840 = VLD1DUPd8wb_register
+  { 841,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #841 = VLD1DUPq16
+  { 842,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #842 = VLD1DUPq16wb_fixed
+  { 843,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #843 = VLD1DUPq16wb_register
+  { 844,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #844 = VLD1DUPq32
+  { 845,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #845 = VLD1DUPq32wb_fixed
+  { 846,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #846 = VLD1DUPq32wb_register
+  { 847,	5,	1,	538,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #847 = VLD1DUPq8
+  { 848,	6,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #848 = VLD1DUPq8wb_fixed
+  { 849,	7,	2,	540,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #849 = VLD1DUPq8wb_register
+  { 850,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo161,0,nullptr },  // Inst #850 = VLD1LNd16
+  { 851,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo162,0,nullptr },  // Inst #851 = VLD1LNd16_UPD
+  { 852,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo161,0,nullptr },  // Inst #852 = VLD1LNd32
+  { 853,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo162,0,nullptr },  // Inst #853 = VLD1LNd32_UPD
+  { 854,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo161,0,nullptr },  // Inst #854 = VLD1LNd8
+  { 855,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo162,0,nullptr },  // Inst #855 = VLD1LNd8_UPD
+  { 856,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #856 = VLD1LNdAsm_16
+  { 857,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #857 = VLD1LNdAsm_32
+  { 858,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #858 = VLD1LNdAsm_8
+  { 859,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #859 = VLD1LNdWB_fixed_Asm_16
+  { 860,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #860 = VLD1LNdWB_fixed_Asm_32
+  { 861,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #861 = VLD1LNdWB_fixed_Asm_8
+  { 862,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #862 = VLD1LNdWB_register_Asm_16
+  { 863,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #863 = VLD1LNdWB_register_Asm_32
+  { 864,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #864 = VLD1LNdWB_register_Asm_8
+  { 865,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo165,0,nullptr },  // Inst #865 = VLD1LNq16Pseudo
+  { 866,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo166,0,nullptr },  // Inst #866 = VLD1LNq16Pseudo_UPD
+  { 867,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo165,0,nullptr },  // Inst #867 = VLD1LNq32Pseudo
+  { 868,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo166,0,nullptr },  // Inst #868 = VLD1LNq32Pseudo_UPD
+  { 869,	7,	1,	539,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo165,0,nullptr },  // Inst #869 = VLD1LNq8Pseudo
+  { 870,	9,	2,	541,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo166,0,nullptr },  // Inst #870 = VLD1LNq8Pseudo_UPD
+  { 871,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #871 = VLD1d16
+  { 872,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #872 = VLD1d16Q
+  { 873,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #873 = VLD1d16Qwb_fixed
+  { 874,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #874 = VLD1d16Qwb_register
+  { 875,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #875 = VLD1d16T
+  { 876,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #876 = VLD1d16Twb_fixed
+  { 877,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #877 = VLD1d16Twb_register
+  { 878,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #878 = VLD1d16wb_fixed
+  { 879,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #879 = VLD1d16wb_register
+  { 880,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #880 = VLD1d32
+  { 881,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #881 = VLD1d32Q
+  { 882,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #882 = VLD1d32Qwb_fixed
+  { 883,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #883 = VLD1d32Qwb_register
+  { 884,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #884 = VLD1d32T
+  { 885,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #885 = VLD1d32Twb_fixed
+  { 886,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #886 = VLD1d32Twb_register
+  { 887,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #887 = VLD1d32wb_fixed
+  { 888,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #888 = VLD1d32wb_register
+  { 889,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #889 = VLD1d64
+  { 890,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #890 = VLD1d64Q
+  { 891,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #891 = VLD1d64QPseudo
+  { 892,	6,	2,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo168,0,nullptr },  // Inst #892 = VLD1d64QPseudoWB_fixed
+  { 893,	7,	2,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo169,0,nullptr },  // Inst #893 = VLD1d64QPseudoWB_register
+  { 894,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #894 = VLD1d64Qwb_fixed
+  { 895,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #895 = VLD1d64Qwb_register
+  { 896,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #896 = VLD1d64T
+  { 897,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #897 = VLD1d64TPseudo
+  { 898,	6,	2,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo168,0,nullptr },  // Inst #898 = VLD1d64TPseudoWB_fixed
+  { 899,	7,	2,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo169,0,nullptr },  // Inst #899 = VLD1d64TPseudoWB_register
+  { 900,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #900 = VLD1d64Twb_fixed
+  { 901,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #901 = VLD1d64Twb_register
+  { 902,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #902 = VLD1d64wb_fixed
+  { 903,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #903 = VLD1d64wb_register
+  { 904,	5,	1,	518,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #904 = VLD1d8
+  { 905,	5,	1,	524,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #905 = VLD1d8Q
+  { 906,	6,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #906 = VLD1d8Qwb_fixed
+  { 907,	7,	2,	525,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #907 = VLD1d8Qwb_register
+  { 908,	5,	1,	522,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #908 = VLD1d8T
+  { 909,	6,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #909 = VLD1d8Twb_fixed
+  { 910,	7,	2,	523,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #910 = VLD1d8Twb_register
+  { 911,	6,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #911 = VLD1d8wb_fixed
+  { 912,	7,	2,	520,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #912 = VLD1d8wb_register
+  { 913,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #913 = VLD1q16
+  { 914,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #914 = VLD1q16wb_fixed
+  { 915,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #915 = VLD1q16wb_register
+  { 916,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #916 = VLD1q32
+  { 917,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #917 = VLD1q32wb_fixed
+  { 918,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #918 = VLD1q32wb_register
+  { 919,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #919 = VLD1q64
+  { 920,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #920 = VLD1q64wb_fixed
+  { 921,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #921 = VLD1q64wb_register
+  { 922,	5,	1,	519,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #922 = VLD1q8
+  { 923,	6,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #923 = VLD1q8wb_fixed
+  { 924,	7,	2,	521,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #924 = VLD1q8wb_register
+  { 925,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #925 = VLD2DUPd16
+  { 926,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #926 = VLD2DUPd16wb_fixed
+  { 927,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #927 = VLD2DUPd16wb_register
+  { 928,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #928 = VLD2DUPd16x2
+  { 929,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #929 = VLD2DUPd16x2wb_fixed
+  { 930,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #930 = VLD2DUPd16x2wb_register
+  { 931,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #931 = VLD2DUPd32
+  { 932,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #932 = VLD2DUPd32wb_fixed
+  { 933,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #933 = VLD2DUPd32wb_register
+  { 934,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #934 = VLD2DUPd32x2
+  { 935,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #935 = VLD2DUPd32x2wb_fixed
+  { 936,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #936 = VLD2DUPd32x2wb_register
+  { 937,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #937 = VLD2DUPd8
+  { 938,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #938 = VLD2DUPd8wb_fixed
+  { 939,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #939 = VLD2DUPd8wb_register
+  { 940,	5,	1,	542,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #940 = VLD2DUPd8x2
+  { 941,	6,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #941 = VLD2DUPd8x2wb_fixed
+  { 942,	7,	2,	545,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #942 = VLD2DUPd8x2wb_register
+  { 943,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo170,0,nullptr },  // Inst #943 = VLD2LNd16
+  { 944,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo165,0,nullptr },  // Inst #944 = VLD2LNd16Pseudo
+  { 945,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo166,0,nullptr },  // Inst #945 = VLD2LNd16Pseudo_UPD
+  { 946,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo171,0,nullptr },  // Inst #946 = VLD2LNd16_UPD
+  { 947,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo170,0,nullptr },  // Inst #947 = VLD2LNd32
+  { 948,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo165,0,nullptr },  // Inst #948 = VLD2LNd32Pseudo
+  { 949,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo166,0,nullptr },  // Inst #949 = VLD2LNd32Pseudo_UPD
+  { 950,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo171,0,nullptr },  // Inst #950 = VLD2LNd32_UPD
+  { 951,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo170,0,nullptr },  // Inst #951 = VLD2LNd8
+  { 952,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo165,0,nullptr },  // Inst #952 = VLD2LNd8Pseudo
+  { 953,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo166,0,nullptr },  // Inst #953 = VLD2LNd8Pseudo_UPD
+  { 954,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo171,0,nullptr },  // Inst #954 = VLD2LNd8_UPD
+  { 955,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #955 = VLD2LNdAsm_16
+  { 956,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #956 = VLD2LNdAsm_32
+  { 957,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #957 = VLD2LNdAsm_8
+  { 958,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #958 = VLD2LNdWB_fixed_Asm_16
+  { 959,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #959 = VLD2LNdWB_fixed_Asm_32
+  { 960,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #960 = VLD2LNdWB_fixed_Asm_8
+  { 961,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #961 = VLD2LNdWB_register_Asm_16
+  { 962,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #962 = VLD2LNdWB_register_Asm_32
+  { 963,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #963 = VLD2LNdWB_register_Asm_8
+  { 964,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo170,0,nullptr },  // Inst #964 = VLD2LNq16
+  { 965,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #965 = VLD2LNq16Pseudo
+  { 966,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #966 = VLD2LNq16Pseudo_UPD
+  { 967,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo171,0,nullptr },  // Inst #967 = VLD2LNq16_UPD
+  { 968,	9,	2,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo170,0,nullptr },  // Inst #968 = VLD2LNq32
+  { 969,	7,	1,	543,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #969 = VLD2LNq32Pseudo
+  { 970,	9,	2,	546,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #970 = VLD2LNq32Pseudo_UPD
+  { 971,	11,	3,	544,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo171,0,nullptr },  // Inst #971 = VLD2LNq32_UPD
+  { 972,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #972 = VLD2LNqAsm_16
+  { 973,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #973 = VLD2LNqAsm_32
+  { 974,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #974 = VLD2LNqWB_fixed_Asm_16
+  { 975,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #975 = VLD2LNqWB_fixed_Asm_32
+  { 976,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #976 = VLD2LNqWB_register_Asm_16
+  { 977,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #977 = VLD2LNqWB_register_Asm_32
+  { 978,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #978 = VLD2b16
+  { 979,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #979 = VLD2b16wb_fixed
+  { 980,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #980 = VLD2b16wb_register
+  { 981,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #981 = VLD2b32
+  { 982,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #982 = VLD2b32wb_fixed
+  { 983,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #983 = VLD2b32wb_register
+  { 984,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #984 = VLD2b8
+  { 985,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #985 = VLD2b8wb_fixed
+  { 986,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #986 = VLD2b8wb_register
+  { 987,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #987 = VLD2d16
+  { 988,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #988 = VLD2d16wb_fixed
+  { 989,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #989 = VLD2d16wb_register
+  { 990,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #990 = VLD2d32
+  { 991,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #991 = VLD2d32wb_fixed
+  { 992,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #992 = VLD2d32wb_register
+  { 993,	5,	1,	526,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo158,0,nullptr },  // Inst #993 = VLD2d8
+  { 994,	6,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo159,0,nullptr },  // Inst #994 = VLD2d8wb_fixed
+  { 995,	7,	2,	528,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo160,0,nullptr },  // Inst #995 = VLD2d8wb_register
+  { 996,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #996 = VLD2q16
+  { 997,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #997 = VLD2q16Pseudo
+  { 998,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo168,0,nullptr },  // Inst #998 = VLD2q16PseudoWB_fixed
+  { 999,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo169,0,nullptr },  // Inst #999 = VLD2q16PseudoWB_register
+  { 1000,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #1000 = VLD2q16wb_fixed
+  { 1001,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #1001 = VLD2q16wb_register
+  { 1002,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1002 = VLD2q32
+  { 1003,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1003 = VLD2q32Pseudo
+  { 1004,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo168,0,nullptr },  // Inst #1004 = VLD2q32PseudoWB_fixed
+  { 1005,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo169,0,nullptr },  // Inst #1005 = VLD2q32PseudoWB_register
+  { 1006,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #1006 = VLD2q32wb_fixed
+  { 1007,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #1007 = VLD2q32wb_register
+  { 1008,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1008 = VLD2q8
+  { 1009,	5,	1,	527,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1009 = VLD2q8Pseudo
+  { 1010,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo168,0,nullptr },  // Inst #1010 = VLD2q8PseudoWB_fixed
+  { 1011,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo169,0,nullptr },  // Inst #1011 = VLD2q8PseudoWB_register
+  { 1012,	6,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo156,0,nullptr },  // Inst #1012 = VLD2q8wb_fixed
+  { 1013,	7,	2,	529,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo157,0,nullptr },  // Inst #1013 = VLD2q8wb_register
+  { 1014,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1014 = VLD3DUPd16
+  { 1015,	5,	1,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1015 = VLD3DUPd16Pseudo
+  { 1016,	7,	2,	551,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1016 = VLD3DUPd16Pseudo_UPD
+  { 1017,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1017 = VLD3DUPd16_UPD
+  { 1018,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1018 = VLD3DUPd32
+  { 1019,	5,	1,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1019 = VLD3DUPd32Pseudo
+  { 1020,	7,	2,	551,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1020 = VLD3DUPd32Pseudo_UPD
+  { 1021,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1021 = VLD3DUPd32_UPD
+  { 1022,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1022 = VLD3DUPd8
+  { 1023,	5,	1,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1023 = VLD3DUPd8Pseudo
+  { 1024,	7,	2,	551,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1024 = VLD3DUPd8Pseudo_UPD
+  { 1025,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1025 = VLD3DUPd8_UPD
+  { 1026,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1026 = VLD3DUPdAsm_16
+  { 1027,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1027 = VLD3DUPdAsm_32
+  { 1028,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1028 = VLD3DUPdAsm_8
+  { 1029,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1029 = VLD3DUPdWB_fixed_Asm_16
+  { 1030,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1030 = VLD3DUPdWB_fixed_Asm_32
+  { 1031,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1031 = VLD3DUPdWB_fixed_Asm_8
+  { 1032,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1032 = VLD3DUPdWB_register_Asm_16
+  { 1033,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1033 = VLD3DUPdWB_register_Asm_32
+  { 1034,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1034 = VLD3DUPdWB_register_Asm_8
+  { 1035,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1035 = VLD3DUPq16
+  { 1036,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1036 = VLD3DUPq16_UPD
+  { 1037,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1037 = VLD3DUPq32
+  { 1038,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1038 = VLD3DUPq32_UPD
+  { 1039,	7,	3,	547,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1039 = VLD3DUPq8
+  { 1040,	9,	4,	549,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1040 = VLD3DUPq8_UPD
+  { 1041,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1041 = VLD3DUPqAsm_16
+  { 1042,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1042 = VLD3DUPqAsm_32
+  { 1043,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1043 = VLD3DUPqAsm_8
+  { 1044,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1044 = VLD3DUPqWB_fixed_Asm_16
+  { 1045,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1045 = VLD3DUPqWB_fixed_Asm_32
+  { 1046,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1046 = VLD3DUPqWB_fixed_Asm_8
+  { 1047,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1047 = VLD3DUPqWB_register_Asm_16
+  { 1048,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1048 = VLD3DUPqWB_register_Asm_32
+  { 1049,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1049 = VLD3DUPqWB_register_Asm_8
+  { 1050,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo178,0,nullptr },  // Inst #1050 = VLD3LNd16
+  { 1051,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #1051 = VLD3LNd16Pseudo
+  { 1052,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #1052 = VLD3LNd16Pseudo_UPD
+  { 1053,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo179,0,nullptr },  // Inst #1053 = VLD3LNd16_UPD
+  { 1054,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo178,0,nullptr },  // Inst #1054 = VLD3LNd32
+  { 1055,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #1055 = VLD3LNd32Pseudo
+  { 1056,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #1056 = VLD3LNd32Pseudo_UPD
+  { 1057,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo179,0,nullptr },  // Inst #1057 = VLD3LNd32_UPD
+  { 1058,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo178,0,nullptr },  // Inst #1058 = VLD3LNd8
+  { 1059,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #1059 = VLD3LNd8Pseudo
+  { 1060,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #1060 = VLD3LNd8Pseudo_UPD
+  { 1061,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo179,0,nullptr },  // Inst #1061 = VLD3LNd8_UPD
+  { 1062,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1062 = VLD3LNdAsm_16
+  { 1063,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1063 = VLD3LNdAsm_32
+  { 1064,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1064 = VLD3LNdAsm_8
+  { 1065,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1065 = VLD3LNdWB_fixed_Asm_16
+  { 1066,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1066 = VLD3LNdWB_fixed_Asm_32
+  { 1067,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1067 = VLD3LNdWB_fixed_Asm_8
+  { 1068,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1068 = VLD3LNdWB_register_Asm_16
+  { 1069,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1069 = VLD3LNdWB_register_Asm_32
+  { 1070,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1070 = VLD3LNdWB_register_Asm_8
+  { 1071,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo178,0,nullptr },  // Inst #1071 = VLD3LNq16
+  { 1072,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo180,0,nullptr },  // Inst #1072 = VLD3LNq16Pseudo
+  { 1073,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo181,0,nullptr },  // Inst #1073 = VLD3LNq16Pseudo_UPD
+  { 1074,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo179,0,nullptr },  // Inst #1074 = VLD3LNq16_UPD
+  { 1075,	11,	3,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo178,0,nullptr },  // Inst #1075 = VLD3LNq32
+  { 1076,	7,	1,	548,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo180,0,nullptr },  // Inst #1076 = VLD3LNq32Pseudo
+  { 1077,	9,	2,	552,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo181,0,nullptr },  // Inst #1077 = VLD3LNq32Pseudo_UPD
+  { 1078,	13,	4,	550,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo179,0,nullptr },  // Inst #1078 = VLD3LNq32_UPD
+  { 1079,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1079 = VLD3LNqAsm_16
+  { 1080,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1080 = VLD3LNqAsm_32
+  { 1081,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1081 = VLD3LNqWB_fixed_Asm_16
+  { 1082,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1082 = VLD3LNqWB_fixed_Asm_32
+  { 1083,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1083 = VLD3LNqWB_register_Asm_16
+  { 1084,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1084 = VLD3LNqWB_register_Asm_32
+  { 1085,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1085 = VLD3d16
+  { 1086,	5,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1086 = VLD3d16Pseudo
+  { 1087,	7,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1087 = VLD3d16Pseudo_UPD
+  { 1088,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1088 = VLD3d16_UPD
+  { 1089,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1089 = VLD3d32
+  { 1090,	5,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1090 = VLD3d32Pseudo
+  { 1091,	7,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1091 = VLD3d32Pseudo_UPD
+  { 1092,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1092 = VLD3d32_UPD
+  { 1093,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1093 = VLD3d8
+  { 1094,	5,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1094 = VLD3d8Pseudo
+  { 1095,	7,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1095 = VLD3d8Pseudo_UPD
+  { 1096,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1096 = VLD3d8_UPD
+  { 1097,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1097 = VLD3dAsm_16
+  { 1098,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1098 = VLD3dAsm_32
+  { 1099,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1099 = VLD3dAsm_8
+  { 1100,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1100 = VLD3dWB_fixed_Asm_16
+  { 1101,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1101 = VLD3dWB_fixed_Asm_32
+  { 1102,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1102 = VLD3dWB_fixed_Asm_8
+  { 1103,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1103 = VLD3dWB_register_Asm_16
+  { 1104,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1104 = VLD3dWB_register_Asm_32
+  { 1105,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1105 = VLD3dWB_register_Asm_8
+  { 1106,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1106 = VLD3q16
+  { 1107,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1107 = VLD3q16Pseudo_UPD
+  { 1108,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1108 = VLD3q16_UPD
+  { 1109,	6,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo183,0,nullptr },  // Inst #1109 = VLD3q16oddPseudo
+  { 1110,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1110 = VLD3q16oddPseudo_UPD
+  { 1111,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1111 = VLD3q32
+  { 1112,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1112 = VLD3q32Pseudo_UPD
+  { 1113,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1113 = VLD3q32_UPD
+  { 1114,	6,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo183,0,nullptr },  // Inst #1114 = VLD3q32oddPseudo
+  { 1115,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1115 = VLD3q32oddPseudo_UPD
+  { 1116,	7,	3,	530,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo174,0,nullptr },  // Inst #1116 = VLD3q8
+  { 1117,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1117 = VLD3q8Pseudo_UPD
+  { 1118,	9,	4,	532,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo176,0,nullptr },  // Inst #1118 = VLD3q8_UPD
+  { 1119,	6,	1,	531,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo183,0,nullptr },  // Inst #1119 = VLD3q8oddPseudo
+  { 1120,	8,	2,	533,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1120 = VLD3q8oddPseudo_UPD
+  { 1121,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1121 = VLD3qAsm_16
+  { 1122,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1122 = VLD3qAsm_32
+  { 1123,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1123 = VLD3qAsm_8
+  { 1124,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1124 = VLD3qWB_fixed_Asm_16
+  { 1125,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1125 = VLD3qWB_fixed_Asm_32
+  { 1126,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1126 = VLD3qWB_fixed_Asm_8
+  { 1127,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1127 = VLD3qWB_register_Asm_16
+  { 1128,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1128 = VLD3qWB_register_Asm_32
+  { 1129,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1129 = VLD3qWB_register_Asm_8
+  { 1130,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1130 = VLD4DUPd16
+  { 1131,	5,	1,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1131 = VLD4DUPd16Pseudo
+  { 1132,	7,	2,	557,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1132 = VLD4DUPd16Pseudo_UPD
+  { 1133,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1133 = VLD4DUPd16_UPD
+  { 1134,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1134 = VLD4DUPd32
+  { 1135,	5,	1,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1135 = VLD4DUPd32Pseudo
+  { 1136,	7,	2,	557,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1136 = VLD4DUPd32Pseudo_UPD
+  { 1137,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1137 = VLD4DUPd32_UPD
+  { 1138,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1138 = VLD4DUPd8
+  { 1139,	5,	1,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1139 = VLD4DUPd8Pseudo
+  { 1140,	7,	2,	557,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1140 = VLD4DUPd8Pseudo_UPD
+  { 1141,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1141 = VLD4DUPd8_UPD
+  { 1142,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1142 = VLD4DUPdAsm_16
+  { 1143,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1143 = VLD4DUPdAsm_32
+  { 1144,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1144 = VLD4DUPdAsm_8
+  { 1145,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1145 = VLD4DUPdWB_fixed_Asm_16
+  { 1146,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1146 = VLD4DUPdWB_fixed_Asm_32
+  { 1147,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1147 = VLD4DUPdWB_fixed_Asm_8
+  { 1148,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1148 = VLD4DUPdWB_register_Asm_16
+  { 1149,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1149 = VLD4DUPdWB_register_Asm_32
+  { 1150,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1150 = VLD4DUPdWB_register_Asm_8
+  { 1151,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1151 = VLD4DUPq16
+  { 1152,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1152 = VLD4DUPq16_UPD
+  { 1153,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1153 = VLD4DUPq32
+  { 1154,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1154 = VLD4DUPq32_UPD
+  { 1155,	8,	4,	553,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1155 = VLD4DUPq8
+  { 1156,	10,	5,	555,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1156 = VLD4DUPq8_UPD
+  { 1157,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1157 = VLD4DUPqAsm_16
+  { 1158,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1158 = VLD4DUPqAsm_32
+  { 1159,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1159 = VLD4DUPqAsm_8
+  { 1160,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1160 = VLD4DUPqWB_fixed_Asm_16
+  { 1161,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1161 = VLD4DUPqWB_fixed_Asm_32
+  { 1162,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1162 = VLD4DUPqWB_fixed_Asm_8
+  { 1163,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1163 = VLD4DUPqWB_register_Asm_16
+  { 1164,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1164 = VLD4DUPqWB_register_Asm_32
+  { 1165,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1165 = VLD4DUPqWB_register_Asm_8
+  { 1166,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo186,0,nullptr },  // Inst #1166 = VLD4LNd16
+  { 1167,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #1167 = VLD4LNd16Pseudo
+  { 1168,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #1168 = VLD4LNd16Pseudo_UPD
+  { 1169,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo187,0,nullptr },  // Inst #1169 = VLD4LNd16_UPD
+  { 1170,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo186,0,nullptr },  // Inst #1170 = VLD4LNd32
+  { 1171,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #1171 = VLD4LNd32Pseudo
+  { 1172,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #1172 = VLD4LNd32Pseudo_UPD
+  { 1173,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo187,0,nullptr },  // Inst #1173 = VLD4LNd32_UPD
+  { 1174,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo186,0,nullptr },  // Inst #1174 = VLD4LNd8
+  { 1175,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo172,0,nullptr },  // Inst #1175 = VLD4LNd8Pseudo
+  { 1176,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo173,0,nullptr },  // Inst #1176 = VLD4LNd8Pseudo_UPD
+  { 1177,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo187,0,nullptr },  // Inst #1177 = VLD4LNd8_UPD
+  { 1178,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1178 = VLD4LNdAsm_16
+  { 1179,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1179 = VLD4LNdAsm_32
+  { 1180,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1180 = VLD4LNdAsm_8
+  { 1181,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1181 = VLD4LNdWB_fixed_Asm_16
+  { 1182,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1182 = VLD4LNdWB_fixed_Asm_32
+  { 1183,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1183 = VLD4LNdWB_fixed_Asm_8
+  { 1184,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1184 = VLD4LNdWB_register_Asm_16
+  { 1185,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1185 = VLD4LNdWB_register_Asm_32
+  { 1186,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1186 = VLD4LNdWB_register_Asm_8
+  { 1187,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo186,0,nullptr },  // Inst #1187 = VLD4LNq16
+  { 1188,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo180,0,nullptr },  // Inst #1188 = VLD4LNq16Pseudo
+  { 1189,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo181,0,nullptr },  // Inst #1189 = VLD4LNq16Pseudo_UPD
+  { 1190,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo187,0,nullptr },  // Inst #1190 = VLD4LNq16_UPD
+  { 1191,	13,	4,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo186,0,nullptr },  // Inst #1191 = VLD4LNq32
+  { 1192,	7,	1,	554,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo180,0,nullptr },  // Inst #1192 = VLD4LNq32Pseudo
+  { 1193,	9,	2,	558,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo181,0,nullptr },  // Inst #1193 = VLD4LNq32Pseudo_UPD
+  { 1194,	15,	5,	556,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo187,0,nullptr },  // Inst #1194 = VLD4LNq32_UPD
+  { 1195,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1195 = VLD4LNqAsm_16
+  { 1196,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1196 = VLD4LNqAsm_32
+  { 1197,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1197 = VLD4LNqWB_fixed_Asm_16
+  { 1198,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1198 = VLD4LNqWB_fixed_Asm_32
+  { 1199,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1199 = VLD4LNqWB_register_Asm_16
+  { 1200,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1200 = VLD4LNqWB_register_Asm_32
+  { 1201,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1201 = VLD4d16
+  { 1202,	5,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1202 = VLD4d16Pseudo
+  { 1203,	7,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1203 = VLD4d16Pseudo_UPD
+  { 1204,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1204 = VLD4d16_UPD
+  { 1205,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1205 = VLD4d32
+  { 1206,	5,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1206 = VLD4d32Pseudo
+  { 1207,	7,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1207 = VLD4d32Pseudo_UPD
+  { 1208,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1208 = VLD4d32_UPD
+  { 1209,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1209 = VLD4d8
+  { 1210,	5,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo167,0,nullptr },  // Inst #1210 = VLD4d8Pseudo
+  { 1211,	7,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo175,0,nullptr },  // Inst #1211 = VLD4d8Pseudo_UPD
+  { 1212,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1212 = VLD4d8_UPD
+  { 1213,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1213 = VLD4dAsm_16
+  { 1214,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1214 = VLD4dAsm_32
+  { 1215,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1215 = VLD4dAsm_8
+  { 1216,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1216 = VLD4dWB_fixed_Asm_16
+  { 1217,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1217 = VLD4dWB_fixed_Asm_32
+  { 1218,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1218 = VLD4dWB_fixed_Asm_8
+  { 1219,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1219 = VLD4dWB_register_Asm_16
+  { 1220,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1220 = VLD4dWB_register_Asm_32
+  { 1221,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1221 = VLD4dWB_register_Asm_8
+  { 1222,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1222 = VLD4q16
+  { 1223,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1223 = VLD4q16Pseudo_UPD
+  { 1224,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1224 = VLD4q16_UPD
+  { 1225,	6,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo183,0,nullptr },  // Inst #1225 = VLD4q16oddPseudo
+  { 1226,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1226 = VLD4q16oddPseudo_UPD
+  { 1227,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1227 = VLD4q32
+  { 1228,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1228 = VLD4q32Pseudo_UPD
+  { 1229,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1229 = VLD4q32_UPD
+  { 1230,	6,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo183,0,nullptr },  // Inst #1230 = VLD4q32oddPseudo
+  { 1231,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1231 = VLD4q32oddPseudo_UPD
+  { 1232,	8,	4,	534,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo184,0,nullptr },  // Inst #1232 = VLD4q8
+  { 1233,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1233 = VLD4q8Pseudo_UPD
+  { 1234,	10,	5,	536,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo185,0,nullptr },  // Inst #1234 = VLD4q8_UPD
+  { 1235,	6,	1,	535,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo183,0,nullptr },  // Inst #1235 = VLD4q8oddPseudo
+  { 1236,	8,	2,	537,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo182,0,nullptr },  // Inst #1236 = VLD4q8oddPseudo_UPD
+  { 1237,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1237 = VLD4qAsm_16
+  { 1238,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1238 = VLD4qAsm_32
+  { 1239,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1239 = VLD4qAsm_8
+  { 1240,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1240 = VLD4qWB_fixed_Asm_16
+  { 1241,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1241 = VLD4qWB_fixed_Asm_32
+  { 1242,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #1242 = VLD4qWB_fixed_Asm_8
+  { 1243,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1243 = VLD4qWB_register_Asm_16
+  { 1244,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1244 = VLD4qWB_register_Asm_32
+  { 1245,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #1245 = VLD4qWB_register_Asm_8
+  { 1246,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x8be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #1246 = VLDMDDB_UPD
+  { 1247,	4,	0,	514,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x8b84ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #1247 = VLDMDIA
+  { 1248,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x8be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #1248 = VLDMDIA_UPD
+  { 1249,	4,	1,	512,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0x18004ULL, nullptr, nullptr, OperandInfo188,0,nullptr },  // Inst #1249 = VLDMQIA
+  { 1250,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x18be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #1250 = VLDMSDB_UPD
+  { 1251,	4,	0,	514,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x18b84ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #1251 = VLDMSIA
+  { 1252,	5,	1,	515,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0x18be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #1252 = VLDMSIA_UPD
+  { 1253,	5,	1,	508,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x18b05ULL, nullptr, nullptr, OperandInfo189,0,nullptr },  // Inst #1253 = VLDRD
+  { 1254,	5,	1,	509,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x18b05ULL, nullptr, nullptr, OperandInfo190,0,nullptr },  // Inst #1254 = VLDRS
+  { 1255,	3,	1,	446,	4,	0, 0x8800ULL, nullptr, nullptr, OperandInfo191,0,nullptr },  // Inst #1255 = VMAXNMD
+  { 1256,	3,	1,	446,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo191,0,nullptr },  // Inst #1256 = VMAXNMND
+  { 1257,	3,	1,	446,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo192,0,nullptr },  // Inst #1257 = VMAXNMNQ
+  { 1258,	3,	1,	446,	4,	0, 0x8800ULL, nullptr, nullptr, OperandInfo193,0,nullptr },  // Inst #1258 = VMAXNMS
+  { 1259,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1259 = VMAXfd
+  { 1260,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1260 = VMAXfq
+  { 1261,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1261 = VMAXsv16i8
+  { 1262,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1262 = VMAXsv2i32
+  { 1263,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1263 = VMAXsv4i16
+  { 1264,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1264 = VMAXsv4i32
+  { 1265,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1265 = VMAXsv8i16
+  { 1266,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1266 = VMAXsv8i8
+  { 1267,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1267 = VMAXuv16i8
+  { 1268,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1268 = VMAXuv2i32
+  { 1269,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1269 = VMAXuv4i16
+  { 1270,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1270 = VMAXuv4i32
+  { 1271,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1271 = VMAXuv8i16
+  { 1272,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1272 = VMAXuv8i8
+  { 1273,	3,	1,	446,	4,	0, 0x8800ULL, nullptr, nullptr, OperandInfo191,0,nullptr },  // Inst #1273 = VMINNMD
+  { 1274,	3,	1,	446,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo191,0,nullptr },  // Inst #1274 = VMINNMND
+  { 1275,	3,	1,	446,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo192,0,nullptr },  // Inst #1275 = VMINNMNQ
+  { 1276,	3,	1,	446,	4,	0, 0x8800ULL, nullptr, nullptr, OperandInfo193,0,nullptr },  // Inst #1276 = VMINNMS
+  { 1277,	5,	1,	442,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1277 = VMINfd
+  { 1278,	5,	1,	443,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1278 = VMINfq
+  { 1279,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1279 = VMINsv16i8
+  { 1280,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1280 = VMINsv2i32
+  { 1281,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1281 = VMINsv4i16
+  { 1282,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1282 = VMINsv4i32
+  { 1283,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1283 = VMINsv8i16
+  { 1284,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1284 = VMINsv8i8
+  { 1285,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1285 = VMINuv16i8
+  { 1286,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1286 = VMINuv2i32
+  { 1287,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1287 = VMINuv4i16
+  { 1288,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1288 = VMINuv4i32
+  { 1289,	5,	1,	441,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1289 = VMINuv8i16
+  { 1290,	5,	1,	444,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1290 = VMINuv8i8
+  { 1291,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1291 = VMLAD
+  { 1292,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo194,0,nullptr },  // Inst #1292 = VMLALslsv2i32
+  { 1293,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo195,0,nullptr },  // Inst #1293 = VMLALslsv4i16
+  { 1294,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo194,0,nullptr },  // Inst #1294 = VMLALsluv2i32
+  { 1295,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo195,0,nullptr },  // Inst #1295 = VMLALsluv4i16
+  { 1296,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1296 = VMLALsv2i64
+  { 1297,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1297 = VMLALsv4i32
+  { 1298,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1298 = VMLALsv8i16
+  { 1299,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1299 = VMLALuv2i64
+  { 1300,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1300 = VMLALuv4i32
+  { 1301,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1301 = VMLALuv8i16
+  { 1302,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #1302 = VMLAS
+  { 1303,	6,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1303 = VMLAfd
+  { 1304,	6,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1304 = VMLAfq
+  { 1305,	7,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo196,0,nullptr },  // Inst #1305 = VMLAslfd
+  { 1306,	7,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo197,0,nullptr },  // Inst #1306 = VMLAslfq
+  { 1307,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo196,0,nullptr },  // Inst #1307 = VMLAslv2i32
+  { 1308,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo198,0,nullptr },  // Inst #1308 = VMLAslv4i16
+  { 1309,	7,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo197,0,nullptr },  // Inst #1309 = VMLAslv4i32
+  { 1310,	7,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo199,0,nullptr },  // Inst #1310 = VMLAslv8i16
+  { 1311,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1311 = VMLAv16i8
+  { 1312,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1312 = VMLAv2i32
+  { 1313,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1313 = VMLAv4i16
+  { 1314,	6,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1314 = VMLAv4i32
+  { 1315,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1315 = VMLAv8i16
+  { 1316,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1316 = VMLAv8i8
+  { 1317,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1317 = VMLSD
+  { 1318,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo194,0,nullptr },  // Inst #1318 = VMLSLslsv2i32
+  { 1319,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo195,0,nullptr },  // Inst #1319 = VMLSLslsv4i16
+  { 1320,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo194,0,nullptr },  // Inst #1320 = VMLSLsluv2i32
+  { 1321,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo195,0,nullptr },  // Inst #1321 = VMLSLsluv4i16
+  { 1322,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1322 = VMLSLsv2i64
+  { 1323,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1323 = VMLSLsv4i32
+  { 1324,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1324 = VMLSLsv8i16
+  { 1325,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1325 = VMLSLuv2i64
+  { 1326,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1326 = VMLSLuv4i32
+  { 1327,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1327 = VMLSLuv8i16
+  { 1328,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #1328 = VMLSS
+  { 1329,	6,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1329 = VMLSfd
+  { 1330,	6,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1330 = VMLSfq
+  { 1331,	7,	1,	468,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo196,0,nullptr },  // Inst #1331 = VMLSslfd
+  { 1332,	7,	1,	469,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo197,0,nullptr },  // Inst #1332 = VMLSslfq
+  { 1333,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo196,0,nullptr },  // Inst #1333 = VMLSslv2i32
+  { 1334,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo198,0,nullptr },  // Inst #1334 = VMLSslv4i16
+  { 1335,	7,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo197,0,nullptr },  // Inst #1335 = VMLSslv4i32
+  { 1336,	7,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo199,0,nullptr },  // Inst #1336 = VMLSslv8i16
+  { 1337,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1337 = VMLSv16i8
+  { 1338,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1338 = VMLSv2i32
+  { 1339,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1339 = VMLSv4i16
+  { 1340,	6,	1,	470,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1340 = VMLSv4i32
+  { 1341,	6,	1,	471,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo124,0,nullptr },  // Inst #1341 = VMLSv8i16
+  { 1342,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1342 = VMLSv8i8
+  { 1343,	4,	1,	487,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1343 = VMOVD
+  { 1344,	1,	1,	101,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0x0ULL, nullptr, nullptr, OperandInfo200,0,nullptr },  // Inst #1344 = VMOVD0
+  { 1345,	5,	1,	501,	4,	0|(1<<MCID_Predicable)|(1<<MCID_RegSequence), 0x18a80ULL, nullptr, nullptr, OperandInfo201,0,nullptr },  // Inst #1345 = VMOVDRR
+  { 1346,	5,	1,	487,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1346 = VMOVDcc
+  { 1347,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #1347 = VMOVLsv2i64
+  { 1348,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #1348 = VMOVLsv4i32
+  { 1349,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #1349 = VMOVLsv8i16
+  { 1350,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #1350 = VMOVLuv2i64
+  { 1351,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #1351 = VMOVLuv4i32
+  { 1352,	4,	1,	491,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo147,0,nullptr },  // Inst #1352 = VMOVLuv8i16
+  { 1353,	4,	1,	492,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1353 = VMOVNv2i32
+  { 1354,	4,	1,	492,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1354 = VMOVNv4i16
+  { 1355,	4,	1,	492,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1355 = VMOVNv8i8
+  { 1356,	1,	1,	101,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0x0ULL, nullptr, nullptr, OperandInfo203,0,nullptr },  // Inst #1356 = VMOVQ0
+  { 1357,	5,	2,	500,	4,	0|(1<<MCID_Predicable), 0x18980ULL, nullptr, nullptr, OperandInfo204,0,nullptr },  // Inst #1357 = VMOVRRD
+  { 1358,	6,	2,	500,	4,	0|(1<<MCID_Predicable), 0x18980ULL, nullptr, nullptr, OperandInfo205,0,nullptr },  // Inst #1358 = VMOVRRS
+  { 1359,	4,	1,	497,	4,	0|(1<<MCID_Bitcast)|(1<<MCID_Predicable), 0x18900ULL, nullptr, nullptr, OperandInfo206,0,nullptr },  // Inst #1359 = VMOVRS
+  { 1360,	4,	1,	488,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1360 = VMOVS
+  { 1361,	4,	1,	498,	4,	0|(1<<MCID_Bitcast)|(1<<MCID_Predicable), 0x18a00ULL, nullptr, nullptr, OperandInfo207,0,nullptr },  // Inst #1361 = VMOVSR
+  { 1362,	6,	2,	502,	4,	0|(1<<MCID_Predicable), 0x18a80ULL, nullptr, nullptr, OperandInfo208,0,nullptr },  // Inst #1362 = VMOVSRR
+  { 1363,	5,	1,	488,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo209,0,nullptr },  // Inst #1363 = VMOVScc
+  { 1364,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1364 = VMOVv16i8
+  { 1365,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1365 = VMOVv1i64
+  { 1366,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1366 = VMOVv2f32
+  { 1367,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1367 = VMOVv2i32
+  { 1368,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1368 = VMOVv2i64
+  { 1369,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1369 = VMOVv4f32
+  { 1370,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1370 = VMOVv4i16
+  { 1371,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1371 = VMOVv4i32
+  { 1372,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1372 = VMOVv8i16
+  { 1373,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1373 = VMOVv8i8
+  { 1374,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1374 = VMRS
+  { 1375,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1375 = VMRS_FPEXC
+  { 1376,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1376 = VMRS_FPINST
+  { 1377,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1377 = VMRS_FPINST2
+  { 1378,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1378 = VMRS_FPSID
+  { 1379,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1379 = VMRS_MVFR0
+  { 1380,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1380 = VMRS_MVFR1
+  { 1381,	3,	1,	505,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, ImplicitList9, nullptr, OperandInfo33,0,nullptr },  // Inst #1381 = VMRS_MVFR2
+  { 1382,	3,	0,	506,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, nullptr, ImplicitList9, OperandInfo33,0,nullptr },  // Inst #1382 = VMSR
+  { 1383,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, nullptr, ImplicitList9, OperandInfo33,0,nullptr },  // Inst #1383 = VMSR_FPEXC
+  { 1384,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, nullptr, ImplicitList9, OperandInfo33,0,nullptr },  // Inst #1384 = VMSR_FPINST
+  { 1385,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, nullptr, ImplicitList9, OperandInfo33,0,nullptr },  // Inst #1385 = VMSR_FPINST2
+  { 1386,	3,	0,	506,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8c00ULL, nullptr, ImplicitList9, OperandInfo33,0,nullptr },  // Inst #1386 = VMSR_FPSID
+  { 1387,	5,	1,	461,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1387 = VMULD
+  { 1388,	3,	1,	451,	4,	0, 0x11280ULL, nullptr, nullptr, OperandInfo211,0,nullptr },  // Inst #1388 = VMULLp64
+  { 1389,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1389 = VMULLp8
+  { 1390,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo212,0,nullptr },  // Inst #1390 = VMULLslsv2i32
+  { 1391,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo213,0,nullptr },  // Inst #1391 = VMULLslsv4i16
+  { 1392,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo212,0,nullptr },  // Inst #1392 = VMULLsluv2i32
+  { 1393,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo213,0,nullptr },  // Inst #1393 = VMULLsluv4i16
+  { 1394,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1394 = VMULLsv2i64
+  { 1395,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1395 = VMULLsv4i32
+  { 1396,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1396 = VMULLsv8i16
+  { 1397,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1397 = VMULLuv2i64
+  { 1398,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1398 = VMULLuv4i32
+  { 1399,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1399 = VMULLuv8i16
+  { 1400,	5,	1,	454,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo133,0,nullptr },  // Inst #1400 = VMULS
+  { 1401,	5,	1,	455,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1401 = VMULfd
+  { 1402,	5,	1,	456,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1402 = VMULfq
+  { 1403,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1403 = VMULpd
+  { 1404,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1404 = VMULpq
+  { 1405,	6,	1,	458,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo214,0,nullptr },  // Inst #1405 = VMULslfd
+  { 1406,	6,	1,	459,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo215,0,nullptr },  // Inst #1406 = VMULslfq
+  { 1407,	6,	1,	453,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo214,0,nullptr },  // Inst #1407 = VMULslv2i32
+  { 1408,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo216,0,nullptr },  // Inst #1408 = VMULslv4i16
+  { 1409,	6,	1,	460,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo215,0,nullptr },  // Inst #1409 = VMULslv4i32
+  { 1410,	6,	1,	457,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo217,0,nullptr },  // Inst #1410 = VMULslv8i16
+  { 1411,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1411 = VMULv16i8
+  { 1412,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1412 = VMULv2i32
+  { 1413,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1413 = VMULv4i16
+  { 1414,	5,	1,	460,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1414 = VMULv4i32
+  { 1415,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1415 = VMULv8i16
+  { 1416,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1416 = VMULv8i8
+  { 1417,	4,	1,	490,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1417 = VMVNd
+  { 1418,	4,	1,	490,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1418 = VMVNq
+  { 1419,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1419 = VMVNv2i32
+  { 1420,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo48,0,nullptr },  // Inst #1420 = VMVNv4i16
+  { 1421,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1421 = VMVNv4i32
+  { 1422,	4,	1,	489,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x10f80ULL, nullptr, nullptr, OperandInfo210,0,nullptr },  // Inst #1422 = VMVNv8i16
+  { 1423,	4,	1,	437,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1423 = VNEGD
+  { 1424,	4,	1,	438,	4,	0|(1<<MCID_Predicable), 0x28780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1424 = VNEGS
+  { 1425,	4,	1,	390,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1425 = VNEGf32q
+  { 1426,	4,	1,	391,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1426 = VNEGfd
+  { 1427,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1427 = VNEGs16d
+  { 1428,	4,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1428 = VNEGs16q
+  { 1429,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1429 = VNEGs32d
+  { 1430,	4,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1430 = VNEGs32q
+  { 1431,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1431 = VNEGs8d
+  { 1432,	4,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1432 = VNEGs8q
+  { 1433,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1433 = VNMLAD
+  { 1434,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #1434 = VNMLAS
+  { 1435,	6,	1,	464,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo125,0,nullptr },  // Inst #1435 = VNMLSD
+  { 1436,	6,	1,	467,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo153,0,nullptr },  // Inst #1436 = VNMLSS
+  { 1437,	5,	1,	461,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1437 = VNMULD
+  { 1438,	5,	1,	454,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo133,0,nullptr },  // Inst #1438 = VNMULS
+  { 1439,	5,	1,	382,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1439 = VORNd
+  { 1440,	5,	1,	381,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1440 = VORNq
+  { 1441,	5,	1,	382,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1441 = VORRd
+  { 1442,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo135,0,nullptr },  // Inst #1442 = VORRiv2i32
+  { 1443,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo135,0,nullptr },  // Inst #1443 = VORRiv4i16
+  { 1444,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo136,0,nullptr },  // Inst #1444 = VORRiv4i32
+  { 1445,	5,	1,	383,	4,	0|(1<<MCID_Predicable), 0x10f80ULL, nullptr, nullptr, OperandInfo136,0,nullptr },  // Inst #1445 = VORRiv8i16
+  { 1446,	5,	1,	381,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1446 = VORRq
+  { 1447,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo218,0,nullptr },  // Inst #1447 = VPADALsv16i8
+  { 1448,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1448 = VPADALsv2i32
+  { 1449,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1449 = VPADALsv4i16
+  { 1450,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo218,0,nullptr },  // Inst #1450 = VPADALsv4i32
+  { 1451,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo218,0,nullptr },  // Inst #1451 = VPADALsv8i16
+  { 1452,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1452 = VPADALsv8i8
+  { 1453,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo218,0,nullptr },  // Inst #1453 = VPADALuv16i8
+  { 1454,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1454 = VPADALuv2i32
+  { 1455,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1455 = VPADALuv4i16
+  { 1456,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo218,0,nullptr },  // Inst #1456 = VPADALuv4i32
+  { 1457,	5,	1,	411,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo218,0,nullptr },  // Inst #1457 = VPADALuv8i16
+  { 1458,	5,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo202,0,nullptr },  // Inst #1458 = VPADALuv8i8
+  { 1459,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1459 = VPADDLsv16i8
+  { 1460,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1460 = VPADDLsv2i32
+  { 1461,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1461 = VPADDLsv4i16
+  { 1462,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1462 = VPADDLsv4i32
+  { 1463,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1463 = VPADDLsv8i16
+  { 1464,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1464 = VPADDLsv8i8
+  { 1465,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1465 = VPADDLuv16i8
+  { 1466,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1466 = VPADDLuv2i32
+  { 1467,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1467 = VPADDLuv4i16
+  { 1468,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1468 = VPADDLuv4i32
+  { 1469,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1469 = VPADDLuv8i16
+  { 1470,	4,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1470 = VPADDLuv8i8
+  { 1471,	5,	1,	447,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1471 = VPADDf
+  { 1472,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1472 = VPADDi16
+  { 1473,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1473 = VPADDi32
+  { 1474,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1474 = VPADDi8
+  { 1475,	5,	1,	447,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1475 = VPMAXf
+  { 1476,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1476 = VPMAXs16
+  { 1477,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1477 = VPMAXs32
+  { 1478,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1478 = VPMAXs8
+  { 1479,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1479 = VPMAXu16
+  { 1480,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1480 = VPMAXu32
+  { 1481,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1481 = VPMAXu8
+  { 1482,	5,	1,	447,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1482 = VPMINf
+  { 1483,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1483 = VPMINs16
+  { 1484,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1484 = VPMINs32
+  { 1485,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1485 = VPMINs8
+  { 1486,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1486 = VPMINu16
+  { 1487,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1487 = VPMINu32
+  { 1488,	5,	1,	444,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1488 = VPMINu8
+  { 1489,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1489 = VQABSv16i8
+  { 1490,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1490 = VQABSv2i32
+  { 1491,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1491 = VQABSv4i16
+  { 1492,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1492 = VQABSv4i32
+  { 1493,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1493 = VQABSv8i16
+  { 1494,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1494 = VQABSv8i8
+  { 1495,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1495 = VQADDsv16i8
+  { 1496,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1496 = VQADDsv1i64
+  { 1497,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1497 = VQADDsv2i32
+  { 1498,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1498 = VQADDsv2i64
+  { 1499,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1499 = VQADDsv4i16
+  { 1500,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1500 = VQADDsv4i32
+  { 1501,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1501 = VQADDsv8i16
+  { 1502,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1502 = VQADDsv8i8
+  { 1503,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1503 = VQADDuv16i8
+  { 1504,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1504 = VQADDuv1i64
+  { 1505,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1505 = VQADDuv2i32
+  { 1506,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1506 = VQADDuv2i64
+  { 1507,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1507 = VQADDuv4i16
+  { 1508,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1508 = VQADDuv4i32
+  { 1509,	5,	1,	415,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1509 = VQADDuv8i16
+  { 1510,	5,	1,	416,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1510 = VQADDuv8i8
+  { 1511,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo194,0,nullptr },  // Inst #1511 = VQDMLALslv2i32
+  { 1512,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo195,0,nullptr },  // Inst #1512 = VQDMLALslv4i16
+  { 1513,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1513 = VQDMLALv2i64
+  { 1514,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1514 = VQDMLALv4i32
+  { 1515,	7,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo194,0,nullptr },  // Inst #1515 = VQDMLSLslv2i32
+  { 1516,	7,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo195,0,nullptr },  // Inst #1516 = VQDMLSLslv4i16
+  { 1517,	6,	1,	465,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1517 = VQDMLSLv2i64
+  { 1518,	6,	1,	466,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo123,0,nullptr },  // Inst #1518 = VQDMLSLv4i32
+  { 1519,	6,	1,	453,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo214,0,nullptr },  // Inst #1519 = VQDMULHslv2i32
+  { 1520,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo216,0,nullptr },  // Inst #1520 = VQDMULHslv4i16
+  { 1521,	6,	1,	460,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo215,0,nullptr },  // Inst #1521 = VQDMULHslv4i32
+  { 1522,	6,	1,	457,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo217,0,nullptr },  // Inst #1522 = VQDMULHslv8i16
+  { 1523,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1523 = VQDMULHv2i32
+  { 1524,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1524 = VQDMULHv4i16
+  { 1525,	5,	1,	460,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1525 = VQDMULHv4i32
+  { 1526,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1526 = VQDMULHv8i16
+  { 1527,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo212,0,nullptr },  // Inst #1527 = VQDMULLslv2i32
+  { 1528,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo213,0,nullptr },  // Inst #1528 = VQDMULLslv4i16
+  { 1529,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1529 = VQDMULLv2i64
+  { 1530,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #1530 = VQDMULLv4i32
+  { 1531,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1531 = VQMOVNsuv2i32
+  { 1532,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1532 = VQMOVNsuv4i16
+  { 1533,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1533 = VQMOVNsuv8i8
+  { 1534,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1534 = VQMOVNsv2i32
+  { 1535,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1535 = VQMOVNsv4i16
+  { 1536,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1536 = VQMOVNsv8i8
+  { 1537,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1537 = VQMOVNuv2i32
+  { 1538,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1538 = VQMOVNuv4i16
+  { 1539,	4,	1,	493,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo144,0,nullptr },  // Inst #1539 = VQMOVNuv8i8
+  { 1540,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1540 = VQNEGv16i8
+  { 1541,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1541 = VQNEGv2i32
+  { 1542,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1542 = VQNEGv4i16
+  { 1543,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1543 = VQNEGv4i32
+  { 1544,	4,	1,	413,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1544 = VQNEGv8i16
+  { 1545,	4,	1,	414,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1545 = VQNEGv8i8
+  { 1546,	6,	1,	453,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo214,0,nullptr },  // Inst #1546 = VQRDMULHslv2i32
+  { 1547,	6,	1,	452,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo216,0,nullptr },  // Inst #1547 = VQRDMULHslv4i16
+  { 1548,	6,	1,	460,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo215,0,nullptr },  // Inst #1548 = VQRDMULHslv4i32
+  { 1549,	6,	1,	457,	4,	0|(1<<MCID_Predicable), 0x11400ULL, nullptr, nullptr, OperandInfo217,0,nullptr },  // Inst #1549 = VQRDMULHslv8i16
+  { 1550,	5,	1,	453,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1550 = VQRDMULHv2i32
+  { 1551,	5,	1,	452,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1551 = VQRDMULHv4i16
+  { 1552,	5,	1,	460,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1552 = VQRDMULHv4i32
+  { 1553,	5,	1,	457,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1553 = VQRDMULHv8i16
+  { 1554,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1554 = VQRSHLsv16i8
+  { 1555,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1555 = VQRSHLsv1i64
+  { 1556,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1556 = VQRSHLsv2i32
+  { 1557,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1557 = VQRSHLsv2i64
+  { 1558,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1558 = VQRSHLsv4i16
+  { 1559,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1559 = VQRSHLsv4i32
+  { 1560,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1560 = VQRSHLsv8i16
+  { 1561,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1561 = VQRSHLsv8i8
+  { 1562,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1562 = VQRSHLuv16i8
+  { 1563,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1563 = VQRSHLuv1i64
+  { 1564,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1564 = VQRSHLuv2i32
+  { 1565,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1565 = VQRSHLuv2i64
+  { 1566,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1566 = VQRSHLuv4i16
+  { 1567,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1567 = VQRSHLuv4i32
+  { 1568,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1568 = VQRSHLuv8i16
+  { 1569,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1569 = VQRSHLuv8i8
+  { 1570,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1570 = VQRSHRNsv2i32
+  { 1571,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1571 = VQRSHRNsv4i16
+  { 1572,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1572 = VQRSHRNsv8i8
+  { 1573,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1573 = VQRSHRNuv2i32
+  { 1574,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1574 = VQRSHRNuv4i16
+  { 1575,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1575 = VQRSHRNuv8i8
+  { 1576,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1576 = VQRSHRUNv2i32
+  { 1577,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1577 = VQRSHRUNv4i16
+  { 1578,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1578 = VQRSHRUNv8i8
+  { 1579,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1579 = VQSHLsiv16i8
+  { 1580,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1580 = VQSHLsiv1i64
+  { 1581,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1581 = VQSHLsiv2i32
+  { 1582,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1582 = VQSHLsiv2i64
+  { 1583,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1583 = VQSHLsiv4i16
+  { 1584,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1584 = VQSHLsiv4i32
+  { 1585,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1585 = VQSHLsiv8i16
+  { 1586,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1586 = VQSHLsiv8i8
+  { 1587,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1587 = VQSHLsuv16i8
+  { 1588,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1588 = VQSHLsuv1i64
+  { 1589,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1589 = VQSHLsuv2i32
+  { 1590,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1590 = VQSHLsuv2i64
+  { 1591,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1591 = VQSHLsuv4i16
+  { 1592,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1592 = VQSHLsuv4i32
+  { 1593,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1593 = VQSHLsuv8i16
+  { 1594,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1594 = VQSHLsuv8i8
+  { 1595,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1595 = VQSHLsv16i8
+  { 1596,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1596 = VQSHLsv1i64
+  { 1597,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1597 = VQSHLsv2i32
+  { 1598,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1598 = VQSHLsv2i64
+  { 1599,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1599 = VQSHLsv4i16
+  { 1600,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1600 = VQSHLsv4i32
+  { 1601,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1601 = VQSHLsv8i16
+  { 1602,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1602 = VQSHLsv8i8
+  { 1603,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1603 = VQSHLuiv16i8
+  { 1604,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1604 = VQSHLuiv1i64
+  { 1605,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1605 = VQSHLuiv2i32
+  { 1606,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1606 = VQSHLuiv2i64
+  { 1607,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1607 = VQSHLuiv4i16
+  { 1608,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1608 = VQSHLuiv4i32
+  { 1609,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1609 = VQSHLuiv8i16
+  { 1610,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1610 = VQSHLuiv8i8
+  { 1611,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1611 = VQSHLuv16i8
+  { 1612,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1612 = VQSHLuv1i64
+  { 1613,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1613 = VQSHLuv2i32
+  { 1614,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1614 = VQSHLuv2i64
+  { 1615,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1615 = VQSHLuv4i16
+  { 1616,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1616 = VQSHLuv4i32
+  { 1617,	5,	1,	394,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1617 = VQSHLuv8i16
+  { 1618,	5,	1,	393,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1618 = VQSHLuv8i8
+  { 1619,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1619 = VQSHRNsv2i32
+  { 1620,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1620 = VQSHRNsv4i16
+  { 1621,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1621 = VQSHRNsv8i8
+  { 1622,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1622 = VQSHRNuv2i32
+  { 1623,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1623 = VQSHRNuv4i16
+  { 1624,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1624 = VQSHRNuv8i8
+  { 1625,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1625 = VQSHRUNv2i32
+  { 1626,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1626 = VQSHRUNv4i16
+  { 1627,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1627 = VQSHRUNv8i8
+  { 1628,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1628 = VQSUBsv16i8
+  { 1629,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1629 = VQSUBsv1i64
+  { 1630,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1630 = VQSUBsv2i32
+  { 1631,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1631 = VQSUBsv2i64
+  { 1632,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1632 = VQSUBsv4i16
+  { 1633,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1633 = VQSUBsv4i32
+  { 1634,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1634 = VQSUBsv8i16
+  { 1635,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1635 = VQSUBsv8i8
+  { 1636,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1636 = VQSUBuv16i8
+  { 1637,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1637 = VQSUBuv1i64
+  { 1638,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1638 = VQSUBuv2i32
+  { 1639,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1639 = VQSUBuv2i64
+  { 1640,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1640 = VQSUBuv4i16
+  { 1641,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1641 = VQSUBuv4i32
+  { 1642,	5,	1,	408,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1642 = VQSUBuv8i16
+  { 1643,	5,	1,	409,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1643 = VQSUBuv8i8
+  { 1644,	5,	1,	424,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #1644 = VRADDHNv2i32
+  { 1645,	5,	1,	424,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #1645 = VRADDHNv4i16
+  { 1646,	5,	1,	424,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #1646 = VRADDHNv8i8
+  { 1647,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1647 = VRECPEd
+  { 1648,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1648 = VRECPEfd
+  { 1649,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1649 = VRECPEfq
+  { 1650,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1650 = VRECPEq
+  { 1651,	5,	1,	449,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1651 = VRECPSfd
+  { 1652,	5,	1,	450,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1652 = VRECPSfq
+  { 1653,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1653 = VREV16d8
+  { 1654,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1654 = VREV16q8
+  { 1655,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1655 = VREV32d16
+  { 1656,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1656 = VREV32d8
+  { 1657,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1657 = VREV32q16
+  { 1658,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1658 = VREV32q8
+  { 1659,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1659 = VREV64d16
+  { 1660,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1660 = VREV64d32
+  { 1661,	4,	1,	398,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1661 = VREV64d8
+  { 1662,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1662 = VREV64q16
+  { 1663,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1663 = VREV64q32
+  { 1664,	4,	1,	399,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1664 = VREV64q8
+  { 1665,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1665 = VRHADDsv16i8
+  { 1666,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1666 = VRHADDsv2i32
+  { 1667,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1667 = VRHADDsv4i16
+  { 1668,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1668 = VRHADDsv4i32
+  { 1669,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1669 = VRHADDsv8i16
+  { 1670,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1670 = VRHADDsv8i8
+  { 1671,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1671 = VRHADDuv16i8
+  { 1672,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1672 = VRHADDuv2i32
+  { 1673,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1673 = VRHADDuv4i16
+  { 1674,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1674 = VRHADDuv4i32
+  { 1675,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1675 = VRHADDuv8i16
+  { 1676,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1676 = VRHADDuv8i8
+  { 1677,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1677 = VRINTAD
+  { 1678,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1678 = VRINTAND
+  { 1679,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #1679 = VRINTANQ
+  { 1680,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #1680 = VRINTAS
+  { 1681,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1681 = VRINTMD
+  { 1682,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1682 = VRINTMND
+  { 1683,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #1683 = VRINTMNQ
+  { 1684,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #1684 = VRINTMS
+  { 1685,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1685 = VRINTND
+  { 1686,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1686 = VRINTNND
+  { 1687,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #1687 = VRINTNNQ
+  { 1688,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #1688 = VRINTNS
+  { 1689,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1689 = VRINTPD
+  { 1690,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1690 = VRINTPND
+  { 1691,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #1691 = VRINTPNQ
+  { 1692,	2,	1,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo141,0,nullptr },  // Inst #1692 = VRINTPS
+  { 1693,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1693 = VRINTRD
+  { 1694,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1694 = VRINTRS
+  { 1695,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1695 = VRINTXD
+  { 1696,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1696 = VRINTXND
+  { 1697,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #1697 = VRINTXNQ
+  { 1698,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1698 = VRINTXS
+  { 1699,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1699 = VRINTZD
+  { 1700,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo139,0,nullptr },  // Inst #1700 = VRINTZND
+  { 1701,	2,	1,	0,	4,	0, 0x11000ULL, nullptr, nullptr, OperandInfo25,0,nullptr },  // Inst #1701 = VRINTZNQ
+  { 1702,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1702 = VRINTZS
+  { 1703,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1703 = VRSHLsv16i8
+  { 1704,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1704 = VRSHLsv1i64
+  { 1705,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1705 = VRSHLsv2i32
+  { 1706,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1706 = VRSHLsv2i64
+  { 1707,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1707 = VRSHLsv4i16
+  { 1708,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1708 = VRSHLsv4i32
+  { 1709,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1709 = VRSHLsv8i16
+  { 1710,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1710 = VRSHLsv8i8
+  { 1711,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1711 = VRSHLuv16i8
+  { 1712,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1712 = VRSHLuv1i64
+  { 1713,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1713 = VRSHLuv2i32
+  { 1714,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1714 = VRSHLuv2i64
+  { 1715,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1715 = VRSHLuv4i16
+  { 1716,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1716 = VRSHLuv4i32
+  { 1717,	5,	1,	417,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1717 = VRSHLuv8i16
+  { 1718,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1718 = VRSHLuv8i8
+  { 1719,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1719 = VRSHRNv2i32
+  { 1720,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1720 = VRSHRNv4i16
+  { 1721,	5,	1,	423,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1721 = VRSHRNv8i8
+  { 1722,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1722 = VRSHRsv16i8
+  { 1723,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1723 = VRSHRsv1i64
+  { 1724,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1724 = VRSHRsv2i32
+  { 1725,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1725 = VRSHRsv2i64
+  { 1726,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1726 = VRSHRsv4i16
+  { 1727,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1727 = VRSHRsv4i32
+  { 1728,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1728 = VRSHRsv8i16
+  { 1729,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1729 = VRSHRsv8i8
+  { 1730,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1730 = VRSHRuv16i8
+  { 1731,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1731 = VRSHRuv1i64
+  { 1732,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1732 = VRSHRuv2i32
+  { 1733,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1733 = VRSHRuv2i64
+  { 1734,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1734 = VRSHRuv4i16
+  { 1735,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1735 = VRSHRuv4i32
+  { 1736,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1736 = VRSHRuv8i16
+  { 1737,	5,	1,	418,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1737 = VRSHRuv8i8
+  { 1738,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1738 = VRSQRTEd
+  { 1739,	4,	1,	419,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1739 = VRSQRTEfd
+  { 1740,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1740 = VRSQRTEfq
+  { 1741,	4,	1,	420,	4,	0|(1<<MCID_Predicable), 0x11000ULL, nullptr, nullptr, OperandInfo131,0,nullptr },  // Inst #1741 = VRSQRTEq
+  { 1742,	5,	1,	449,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1742 = VRSQRTSfd
+  { 1743,	5,	1,	450,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1743 = VRSQRTSfq
+  { 1744,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1744 = VRSRAsv16i8
+  { 1745,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1745 = VRSRAsv1i64
+  { 1746,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1746 = VRSRAsv2i32
+  { 1747,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1747 = VRSRAsv2i64
+  { 1748,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1748 = VRSRAsv4i16
+  { 1749,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1749 = VRSRAsv4i32
+  { 1750,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1750 = VRSRAsv8i16
+  { 1751,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1751 = VRSRAsv8i8
+  { 1752,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1752 = VRSRAuv16i8
+  { 1753,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1753 = VRSRAuv1i64
+  { 1754,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1754 = VRSRAuv2i32
+  { 1755,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1755 = VRSRAuv2i64
+  { 1756,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1756 = VRSRAuv4i16
+  { 1757,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1757 = VRSRAuv4i32
+  { 1758,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1758 = VRSRAuv8i16
+  { 1759,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1759 = VRSRAuv8i8
+  { 1760,	5,	1,	424,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #1760 = VRSUBHNv2i32
+  { 1761,	5,	1,	424,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #1761 = VRSUBHNv4i16
+  { 1762,	5,	1,	424,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #1762 = VRSUBHNv8i8
+  { 1763,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo191,0,nullptr },  // Inst #1763 = VSELEQD
+  { 1764,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo193,0,nullptr },  // Inst #1764 = VSELEQS
+  { 1765,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo191,0,nullptr },  // Inst #1765 = VSELGED
+  { 1766,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo193,0,nullptr },  // Inst #1766 = VSELGES
+  { 1767,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo191,0,nullptr },  // Inst #1767 = VSELGTD
+  { 1768,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo193,0,nullptr },  // Inst #1768 = VSELGTS
+  { 1769,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo191,0,nullptr },  // Inst #1769 = VSELVSD
+  { 1770,	3,	1,	0,	4,	0, 0x8800ULL, ImplicitList1, nullptr, OperandInfo193,0,nullptr },  // Inst #1770 = VSELVSS
+  { 1771,	6,	1,	499,	4,	0|(1<<MCID_Predicable), 0x10e00ULL, nullptr, nullptr, OperandInfo224,0,nullptr },  // Inst #1771 = VSETLNi16
+  { 1772,	6,	1,	499,	4,	0|(1<<MCID_Predicable), 0x10e00ULL, nullptr, nullptr, OperandInfo224,0,nullptr },  // Inst #1772 = VSETLNi32
+  { 1773,	6,	1,	499,	4,	0|(1<<MCID_Predicable), 0x10e00ULL, nullptr, nullptr, OperandInfo224,0,nullptr },  // Inst #1773 = VSETLNi8
+  { 1774,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1774 = VSHLLi16
+  { 1775,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1775 = VSHLLi32
+  { 1776,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1776 = VSHLLi8
+  { 1777,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1777 = VSHLLsv2i64
+  { 1778,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1778 = VSHLLsv4i32
+  { 1779,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1779 = VSHLLsv8i16
+  { 1780,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1780 = VSHLLuv2i64
+  { 1781,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1781 = VSHLLuv4i32
+  { 1782,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo150,0,nullptr },  // Inst #1782 = VSHLLuv8i16
+  { 1783,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1783 = VSHLiv16i8
+  { 1784,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1784 = VSHLiv1i64
+  { 1785,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1785 = VSHLiv2i32
+  { 1786,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1786 = VSHLiv2i64
+  { 1787,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1787 = VSHLiv4i16
+  { 1788,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1788 = VSHLiv4i32
+  { 1789,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo220,0,nullptr },  // Inst #1789 = VSHLiv8i16
+  { 1790,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo221,0,nullptr },  // Inst #1790 = VSHLiv8i8
+  { 1791,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1791 = VSHLsv16i8
+  { 1792,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1792 = VSHLsv1i64
+  { 1793,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1793 = VSHLsv2i32
+  { 1794,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1794 = VSHLsv2i64
+  { 1795,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1795 = VSHLsv4i16
+  { 1796,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1796 = VSHLsv4i32
+  { 1797,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1797 = VSHLsv8i16
+  { 1798,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1798 = VSHLsv8i8
+  { 1799,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1799 = VSHLuv16i8
+  { 1800,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1800 = VSHLuv1i64
+  { 1801,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1801 = VSHLuv2i32
+  { 1802,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1802 = VSHLuv2i64
+  { 1803,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1803 = VSHLuv4i16
+  { 1804,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1804 = VSHLuv4i32
+  { 1805,	5,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #1805 = VSHLuv8i16
+  { 1806,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11300ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #1806 = VSHLuv8i8
+  { 1807,	5,	1,	422,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1807 = VSHRNv2i32
+  { 1808,	5,	1,	422,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1808 = VSHRNv4i16
+  { 1809,	5,	1,	422,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo219,0,nullptr },  // Inst #1809 = VSHRNv8i8
+  { 1810,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1810 = VSHRsv16i8
+  { 1811,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1811 = VSHRsv1i64
+  { 1812,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1812 = VSHRsv2i32
+  { 1813,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1813 = VSHRsv2i64
+  { 1814,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1814 = VSHRsv4i16
+  { 1815,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1815 = VSHRsv4i32
+  { 1816,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1816 = VSHRsv8i16
+  { 1817,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1817 = VSHRsv8i8
+  { 1818,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1818 = VSHRuv16i8
+  { 1819,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1819 = VSHRuv1i64
+  { 1820,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1820 = VSHRuv2i32
+  { 1821,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1821 = VSHRuv2i64
+  { 1822,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1822 = VSHRuv4i16
+  { 1823,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1823 = VSHRuv4i32
+  { 1824,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo146,0,nullptr },  // Inst #1824 = VSHRuv8i16
+  { 1825,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo145,0,nullptr },  // Inst #1825 = VSHRuv8i8
+  { 1826,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #1826 = VSHTOD
+  { 1827,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #1827 = VSHTOS
+  { 1828,	4,	1,	481,	4,	0|(1<<MCID_Predicable), 0x8880ULL, nullptr, nullptr, OperandInfo143,0,nullptr },  // Inst #1828 = VSITOD
+  { 1829,	4,	1,	482,	4,	0|(1<<MCID_Predicable), 0x28880ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1829 = VSITOS
+  { 1830,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo227,0,nullptr },  // Inst #1830 = VSLIv16i8
+  { 1831,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo228,0,nullptr },  // Inst #1831 = VSLIv1i64
+  { 1832,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo228,0,nullptr },  // Inst #1832 = VSLIv2i32
+  { 1833,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo227,0,nullptr },  // Inst #1833 = VSLIv2i64
+  { 1834,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo228,0,nullptr },  // Inst #1834 = VSLIv4i16
+  { 1835,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo227,0,nullptr },  // Inst #1835 = VSLIv4i32
+  { 1836,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo227,0,nullptr },  // Inst #1836 = VSLIv8i16
+  { 1837,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11180ULL, nullptr, nullptr, OperandInfo228,0,nullptr },  // Inst #1837 = VSLIv8i8
+  { 1838,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #1838 = VSLTOD
+  { 1839,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #1839 = VSLTOS
+  { 1840,	4,	1,	589,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo129,0,nullptr },  // Inst #1840 = VSQRTD
+  { 1841,	4,	1,	587,	4,	0|(1<<MCID_Predicable), 0x8780ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #1841 = VSQRTS
+  { 1842,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1842 = VSRAsv16i8
+  { 1843,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1843 = VSRAsv1i64
+  { 1844,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1844 = VSRAsv2i32
+  { 1845,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1845 = VSRAsv2i64
+  { 1846,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1846 = VSRAsv4i16
+  { 1847,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1847 = VSRAsv4i32
+  { 1848,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1848 = VSRAsv8i16
+  { 1849,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1849 = VSRAsv8i8
+  { 1850,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1850 = VSRAuv16i8
+  { 1851,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1851 = VSRAuv1i64
+  { 1852,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1852 = VSRAuv2i32
+  { 1853,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1853 = VSRAuv2i64
+  { 1854,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1854 = VSRAuv4i16
+  { 1855,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1855 = VSRAuv4i32
+  { 1856,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1856 = VSRAuv8i16
+  { 1857,	6,	1,	412,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1857 = VSRAuv8i8
+  { 1858,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1858 = VSRIv16i8
+  { 1859,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1859 = VSRIv1i64
+  { 1860,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1860 = VSRIv2i32
+  { 1861,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1861 = VSRIv2i64
+  { 1862,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1862 = VSRIv4i16
+  { 1863,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1863 = VSRIv4i32
+  { 1864,	6,	1,	392,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo222,0,nullptr },  // Inst #1864 = VSRIv8i16
+  { 1865,	6,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11200ULL, nullptr, nullptr, OperandInfo223,0,nullptr },  // Inst #1865 = VSRIv8i8
+  { 1866,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo229,0,nullptr },  // Inst #1866 = VST1LNd16
+  { 1867,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo230,0,nullptr },  // Inst #1867 = VST1LNd16_UPD
+  { 1868,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo229,0,nullptr },  // Inst #1868 = VST1LNd32
+  { 1869,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo230,0,nullptr },  // Inst #1869 = VST1LNd32_UPD
+  { 1870,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo229,0,nullptr },  // Inst #1870 = VST1LNd8
+  { 1871,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10f06ULL, nullptr, nullptr, OperandInfo230,0,nullptr },  // Inst #1871 = VST1LNd8_UPD
+  { 1872,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1872 = VST1LNdAsm_16
+  { 1873,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1873 = VST1LNdAsm_32
+  { 1874,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1874 = VST1LNdAsm_8
+  { 1875,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1875 = VST1LNdWB_fixed_Asm_16
+  { 1876,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1876 = VST1LNdWB_fixed_Asm_32
+  { 1877,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1877 = VST1LNdWB_fixed_Asm_8
+  { 1878,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1878 = VST1LNdWB_register_Asm_16
+  { 1879,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1879 = VST1LNdWB_register_Asm_32
+  { 1880,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1880 = VST1LNdWB_register_Asm_8
+  { 1881,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo231,0,nullptr },  // Inst #1881 = VST1LNq16Pseudo
+  { 1882,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo232,0,nullptr },  // Inst #1882 = VST1LNq16Pseudo_UPD
+  { 1883,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo231,0,nullptr },  // Inst #1883 = VST1LNq32Pseudo
+  { 1884,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo232,0,nullptr },  // Inst #1884 = VST1LNq32Pseudo_UPD
+  { 1885,	6,	0,	578,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo231,0,nullptr },  // Inst #1885 = VST1LNq8Pseudo
+  { 1886,	8,	1,	579,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x10006ULL, nullptr, nullptr, OperandInfo232,0,nullptr },  // Inst #1886 = VST1LNq8Pseudo_UPD
+  { 1887,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1887 = VST1d16
+  { 1888,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1888 = VST1d16Q
+  { 1889,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1889 = VST1d16Qwb_fixed
+  { 1890,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1890 = VST1d16Qwb_register
+  { 1891,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1891 = VST1d16T
+  { 1892,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1892 = VST1d16Twb_fixed
+  { 1893,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1893 = VST1d16Twb_register
+  { 1894,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1894 = VST1d16wb_fixed
+  { 1895,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1895 = VST1d16wb_register
+  { 1896,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1896 = VST1d32
+  { 1897,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1897 = VST1d32Q
+  { 1898,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1898 = VST1d32Qwb_fixed
+  { 1899,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1899 = VST1d32Qwb_register
+  { 1900,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1900 = VST1d32T
+  { 1901,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1901 = VST1d32Twb_fixed
+  { 1902,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1902 = VST1d32Twb_register
+  { 1903,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1903 = VST1d32wb_fixed
+  { 1904,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1904 = VST1d32wb_register
+  { 1905,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1905 = VST1d64
+  { 1906,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1906 = VST1d64Q
+  { 1907,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #1907 = VST1d64QPseudo
+  { 1908,	6,	1,	568,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo237,0,nullptr },  // Inst #1908 = VST1d64QPseudoWB_fixed
+  { 1909,	7,	1,	568,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #1909 = VST1d64QPseudoWB_register
+  { 1910,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1910 = VST1d64Qwb_fixed
+  { 1911,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1911 = VST1d64Qwb_register
+  { 1912,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1912 = VST1d64T
+  { 1913,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #1913 = VST1d64TPseudo
+  { 1914,	6,	1,	565,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo237,0,nullptr },  // Inst #1914 = VST1d64TPseudoWB_fixed
+  { 1915,	7,	1,	565,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #1915 = VST1d64TPseudoWB_register
+  { 1916,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1916 = VST1d64Twb_fixed
+  { 1917,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1917 = VST1d64Twb_register
+  { 1918,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1918 = VST1d64wb_fixed
+  { 1919,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1919 = VST1d64wb_register
+  { 1920,	5,	0,	559,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1920 = VST1d8
+  { 1921,	5,	0,	566,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1921 = VST1d8Q
+  { 1922,	6,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1922 = VST1d8Qwb_fixed
+  { 1923,	7,	1,	567,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1923 = VST1d8Qwb_register
+  { 1924,	5,	0,	563,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1924 = VST1d8T
+  { 1925,	6,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1925 = VST1d8Twb_fixed
+  { 1926,	7,	1,	564,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1926 = VST1d8Twb_register
+  { 1927,	6,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1927 = VST1d8wb_fixed
+  { 1928,	7,	1,	561,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1928 = VST1d8wb_register
+  { 1929,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1929 = VST1q16
+  { 1930,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1930 = VST1q16wb_fixed
+  { 1931,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1931 = VST1q16wb_register
+  { 1932,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1932 = VST1q32
+  { 1933,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1933 = VST1q32wb_fixed
+  { 1934,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1934 = VST1q32wb_register
+  { 1935,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1935 = VST1q64
+  { 1936,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1936 = VST1q64wb_fixed
+  { 1937,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1937 = VST1q64wb_register
+  { 1938,	5,	0,	560,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1938 = VST1q8
+  { 1939,	6,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1939 = VST1q8wb_fixed
+  { 1940,	7,	1,	562,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1940 = VST1q8wb_register
+  { 1941,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo242,0,nullptr },  // Inst #1941 = VST2LNd16
+  { 1942,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo231,0,nullptr },  // Inst #1942 = VST2LNd16Pseudo
+  { 1943,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo232,0,nullptr },  // Inst #1943 = VST2LNd16Pseudo_UPD
+  { 1944,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo243,0,nullptr },  // Inst #1944 = VST2LNd16_UPD
+  { 1945,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo242,0,nullptr },  // Inst #1945 = VST2LNd32
+  { 1946,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo231,0,nullptr },  // Inst #1946 = VST2LNd32Pseudo
+  { 1947,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo232,0,nullptr },  // Inst #1947 = VST2LNd32Pseudo_UPD
+  { 1948,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo243,0,nullptr },  // Inst #1948 = VST2LNd32_UPD
+  { 1949,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo242,0,nullptr },  // Inst #1949 = VST2LNd8
+  { 1950,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo231,0,nullptr },  // Inst #1950 = VST2LNd8Pseudo
+  { 1951,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo232,0,nullptr },  // Inst #1951 = VST2LNd8Pseudo_UPD
+  { 1952,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo243,0,nullptr },  // Inst #1952 = VST2LNd8_UPD
+  { 1953,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1953 = VST2LNdAsm_16
+  { 1954,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1954 = VST2LNdAsm_32
+  { 1955,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1955 = VST2LNdAsm_8
+  { 1956,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1956 = VST2LNdWB_fixed_Asm_16
+  { 1957,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1957 = VST2LNdWB_fixed_Asm_32
+  { 1958,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1958 = VST2LNdWB_fixed_Asm_8
+  { 1959,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1959 = VST2LNdWB_register_Asm_16
+  { 1960,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1960 = VST2LNdWB_register_Asm_32
+  { 1961,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1961 = VST2LNdWB_register_Asm_8
+  { 1962,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo242,0,nullptr },  // Inst #1962 = VST2LNq16
+  { 1963,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #1963 = VST2LNq16Pseudo
+  { 1964,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #1964 = VST2LNq16Pseudo_UPD
+  { 1965,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo243,0,nullptr },  // Inst #1965 = VST2LNq16_UPD
+  { 1966,	7,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo242,0,nullptr },  // Inst #1966 = VST2LNq32
+  { 1967,	6,	0,	580,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #1967 = VST2LNq32Pseudo
+  { 1968,	8,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #1968 = VST2LNq32Pseudo_UPD
+  { 1969,	9,	1,	581,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo243,0,nullptr },  // Inst #1969 = VST2LNq32_UPD
+  { 1970,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1970 = VST2LNqAsm_16
+  { 1971,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1971 = VST2LNqAsm_32
+  { 1972,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1972 = VST2LNqWB_fixed_Asm_16
+  { 1973,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #1973 = VST2LNqWB_fixed_Asm_32
+  { 1974,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1974 = VST2LNqWB_register_Asm_16
+  { 1975,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #1975 = VST2LNqWB_register_Asm_32
+  { 1976,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1976 = VST2b16
+  { 1977,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1977 = VST2b16wb_fixed
+  { 1978,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1978 = VST2b16wb_register
+  { 1979,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1979 = VST2b32
+  { 1980,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1980 = VST2b32wb_fixed
+  { 1981,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1981 = VST2b32wb_register
+  { 1982,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1982 = VST2b8
+  { 1983,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1983 = VST2b8wb_fixed
+  { 1984,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1984 = VST2b8wb_register
+  { 1985,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1985 = VST2d16
+  { 1986,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1986 = VST2d16wb_fixed
+  { 1987,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1987 = VST2d16wb_register
+  { 1988,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1988 = VST2d32
+  { 1989,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1989 = VST2d32wb_fixed
+  { 1990,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1990 = VST2d32wb_register
+  { 1991,	5,	0,	569,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo239,0,nullptr },  // Inst #1991 = VST2d8
+  { 1992,	6,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo240,0,nullptr },  // Inst #1992 = VST2d8wb_fixed
+  { 1993,	7,	1,	570,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo241,0,nullptr },  // Inst #1993 = VST2d8wb_register
+  { 1994,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #1994 = VST2q16
+  { 1995,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #1995 = VST2q16Pseudo
+  { 1996,	6,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo237,0,nullptr },  // Inst #1996 = VST2q16PseudoWB_fixed
+  { 1997,	7,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo246,0,nullptr },  // Inst #1997 = VST2q16PseudoWB_register
+  { 1998,	6,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #1998 = VST2q16wb_fixed
+  { 1999,	7,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #1999 = VST2q16wb_register
+  { 2000,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #2000 = VST2q32
+  { 2001,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2001 = VST2q32Pseudo
+  { 2002,	6,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo237,0,nullptr },  // Inst #2002 = VST2q32PseudoWB_fixed
+  { 2003,	7,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo246,0,nullptr },  // Inst #2003 = VST2q32PseudoWB_register
+  { 2004,	6,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #2004 = VST2q32wb_fixed
+  { 2005,	7,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #2005 = VST2q32wb_register
+  { 2006,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo233,0,nullptr },  // Inst #2006 = VST2q8
+  { 2007,	5,	0,	571,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2007 = VST2q8Pseudo
+  { 2008,	6,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo237,0,nullptr },  // Inst #2008 = VST2q8PseudoWB_fixed
+  { 2009,	7,	1,	572,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo246,0,nullptr },  // Inst #2009 = VST2q8PseudoWB_register
+  { 2010,	6,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo234,0,nullptr },  // Inst #2010 = VST2q8wb_fixed
+  { 2011,	7,	1,	573,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo235,0,nullptr },  // Inst #2011 = VST2q8wb_register
+  { 2012,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo247,0,nullptr },  // Inst #2012 = VST3LNd16
+  { 2013,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #2013 = VST3LNd16Pseudo
+  { 2014,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #2014 = VST3LNd16Pseudo_UPD
+  { 2015,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo248,0,nullptr },  // Inst #2015 = VST3LNd16_UPD
+  { 2016,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo247,0,nullptr },  // Inst #2016 = VST3LNd32
+  { 2017,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #2017 = VST3LNd32Pseudo
+  { 2018,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #2018 = VST3LNd32Pseudo_UPD
+  { 2019,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo248,0,nullptr },  // Inst #2019 = VST3LNd32_UPD
+  { 2020,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo247,0,nullptr },  // Inst #2020 = VST3LNd8
+  { 2021,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #2021 = VST3LNd8Pseudo
+  { 2022,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #2022 = VST3LNd8Pseudo_UPD
+  { 2023,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo248,0,nullptr },  // Inst #2023 = VST3LNd8_UPD
+  { 2024,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2024 = VST3LNdAsm_16
+  { 2025,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2025 = VST3LNdAsm_32
+  { 2026,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2026 = VST3LNdAsm_8
+  { 2027,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2027 = VST3LNdWB_fixed_Asm_16
+  { 2028,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2028 = VST3LNdWB_fixed_Asm_32
+  { 2029,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2029 = VST3LNdWB_fixed_Asm_8
+  { 2030,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2030 = VST3LNdWB_register_Asm_16
+  { 2031,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2031 = VST3LNdWB_register_Asm_32
+  { 2032,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2032 = VST3LNdWB_register_Asm_8
+  { 2033,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo247,0,nullptr },  // Inst #2033 = VST3LNq16
+  { 2034,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo249,0,nullptr },  // Inst #2034 = VST3LNq16Pseudo
+  { 2035,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo250,0,nullptr },  // Inst #2035 = VST3LNq16Pseudo_UPD
+  { 2036,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo248,0,nullptr },  // Inst #2036 = VST3LNq16_UPD
+  { 2037,	8,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo247,0,nullptr },  // Inst #2037 = VST3LNq32
+  { 2038,	6,	0,	582,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo249,0,nullptr },  // Inst #2038 = VST3LNq32Pseudo
+  { 2039,	8,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo250,0,nullptr },  // Inst #2039 = VST3LNq32Pseudo_UPD
+  { 2040,	10,	1,	583,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo248,0,nullptr },  // Inst #2040 = VST3LNq32_UPD
+  { 2041,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2041 = VST3LNqAsm_16
+  { 2042,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2042 = VST3LNqAsm_32
+  { 2043,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2043 = VST3LNqWB_fixed_Asm_16
+  { 2044,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2044 = VST3LNqWB_fixed_Asm_32
+  { 2045,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2045 = VST3LNqWB_register_Asm_16
+  { 2046,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2046 = VST3LNqWB_register_Asm_32
+  { 2047,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo251,0,nullptr },  // Inst #2047 = VST3d16
+  { 2048,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2048 = VST3d16Pseudo
+  { 2049,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #2049 = VST3d16Pseudo_UPD
+  { 2050,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo252,0,nullptr },  // Inst #2050 = VST3d16_UPD
+  { 2051,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo251,0,nullptr },  // Inst #2051 = VST3d32
+  { 2052,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2052 = VST3d32Pseudo
+  { 2053,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #2053 = VST3d32Pseudo_UPD
+  { 2054,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo252,0,nullptr },  // Inst #2054 = VST3d32_UPD
+  { 2055,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo251,0,nullptr },  // Inst #2055 = VST3d8
+  { 2056,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2056 = VST3d8Pseudo
+  { 2057,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #2057 = VST3d8Pseudo_UPD
+  { 2058,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo252,0,nullptr },  // Inst #2058 = VST3d8_UPD
+  { 2059,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2059 = VST3dAsm_16
+  { 2060,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2060 = VST3dAsm_32
+  { 2061,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2061 = VST3dAsm_8
+  { 2062,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2062 = VST3dWB_fixed_Asm_16
+  { 2063,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2063 = VST3dWB_fixed_Asm_32
+  { 2064,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2064 = VST3dWB_fixed_Asm_8
+  { 2065,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2065 = VST3dWB_register_Asm_16
+  { 2066,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2066 = VST3dWB_register_Asm_32
+  { 2067,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2067 = VST3dWB_register_Asm_8
+  { 2068,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo251,0,nullptr },  // Inst #2068 = VST3q16
+  { 2069,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2069 = VST3q16Pseudo_UPD
+  { 2070,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo252,0,nullptr },  // Inst #2070 = VST3q16_UPD
+  { 2071,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo254,0,nullptr },  // Inst #2071 = VST3q16oddPseudo
+  { 2072,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2072 = VST3q16oddPseudo_UPD
+  { 2073,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo251,0,nullptr },  // Inst #2073 = VST3q32
+  { 2074,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2074 = VST3q32Pseudo_UPD
+  { 2075,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo252,0,nullptr },  // Inst #2075 = VST3q32_UPD
+  { 2076,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo254,0,nullptr },  // Inst #2076 = VST3q32oddPseudo
+  { 2077,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2077 = VST3q32oddPseudo_UPD
+  { 2078,	7,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo251,0,nullptr },  // Inst #2078 = VST3q8
+  { 2079,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2079 = VST3q8Pseudo_UPD
+  { 2080,	9,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo252,0,nullptr },  // Inst #2080 = VST3q8_UPD
+  { 2081,	5,	0,	574,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo254,0,nullptr },  // Inst #2081 = VST3q8oddPseudo
+  { 2082,	7,	1,	575,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2082 = VST3q8oddPseudo_UPD
+  { 2083,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2083 = VST3qAsm_16
+  { 2084,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2084 = VST3qAsm_32
+  { 2085,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2085 = VST3qAsm_8
+  { 2086,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2086 = VST3qWB_fixed_Asm_16
+  { 2087,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2087 = VST3qWB_fixed_Asm_32
+  { 2088,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2088 = VST3qWB_fixed_Asm_8
+  { 2089,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2089 = VST3qWB_register_Asm_16
+  { 2090,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2090 = VST3qWB_register_Asm_32
+  { 2091,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2091 = VST3qWB_register_Asm_8
+  { 2092,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo255,0,nullptr },  // Inst #2092 = VST4LNd16
+  { 2093,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #2093 = VST4LNd16Pseudo
+  { 2094,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #2094 = VST4LNd16Pseudo_UPD
+  { 2095,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo256,0,nullptr },  // Inst #2095 = VST4LNd16_UPD
+  { 2096,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo255,0,nullptr },  // Inst #2096 = VST4LNd32
+  { 2097,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #2097 = VST4LNd32Pseudo
+  { 2098,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #2098 = VST4LNd32Pseudo_UPD
+  { 2099,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo256,0,nullptr },  // Inst #2099 = VST4LNd32_UPD
+  { 2100,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo255,0,nullptr },  // Inst #2100 = VST4LNd8
+  { 2101,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo244,0,nullptr },  // Inst #2101 = VST4LNd8Pseudo
+  { 2102,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo245,0,nullptr },  // Inst #2102 = VST4LNd8Pseudo_UPD
+  { 2103,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo256,0,nullptr },  // Inst #2103 = VST4LNd8_UPD
+  { 2104,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2104 = VST4LNdAsm_16
+  { 2105,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2105 = VST4LNdAsm_32
+  { 2106,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2106 = VST4LNdAsm_8
+  { 2107,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2107 = VST4LNdWB_fixed_Asm_16
+  { 2108,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2108 = VST4LNdWB_fixed_Asm_32
+  { 2109,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2109 = VST4LNdWB_fixed_Asm_8
+  { 2110,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2110 = VST4LNdWB_register_Asm_16
+  { 2111,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2111 = VST4LNdWB_register_Asm_32
+  { 2112,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2112 = VST4LNdWB_register_Asm_8
+  { 2113,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo255,0,nullptr },  // Inst #2113 = VST4LNq16
+  { 2114,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo249,0,nullptr },  // Inst #2114 = VST4LNq16Pseudo
+  { 2115,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo250,0,nullptr },  // Inst #2115 = VST4LNq16Pseudo_UPD
+  { 2116,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo256,0,nullptr },  // Inst #2116 = VST4LNq16_UPD
+  { 2117,	9,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo255,0,nullptr },  // Inst #2117 = VST4LNq32
+  { 2118,	6,	0,	584,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo249,0,nullptr },  // Inst #2118 = VST4LNq32Pseudo
+  { 2119,	8,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo250,0,nullptr },  // Inst #2119 = VST4LNq32Pseudo_UPD
+  { 2120,	11,	1,	585,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo256,0,nullptr },  // Inst #2120 = VST4LNq32_UPD
+  { 2121,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2121 = VST4LNqAsm_16
+  { 2122,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2122 = VST4LNqAsm_32
+  { 2123,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2123 = VST4LNqWB_fixed_Asm_16
+  { 2124,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo163,0,nullptr },  // Inst #2124 = VST4LNqWB_fixed_Asm_32
+  { 2125,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2125 = VST4LNqWB_register_Asm_16
+  { 2126,	7,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo164,0,nullptr },  // Inst #2126 = VST4LNqWB_register_Asm_32
+  { 2127,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo257,0,nullptr },  // Inst #2127 = VST4d16
+  { 2128,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2128 = VST4d16Pseudo
+  { 2129,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #2129 = VST4d16Pseudo_UPD
+  { 2130,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo258,0,nullptr },  // Inst #2130 = VST4d16_UPD
+  { 2131,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo257,0,nullptr },  // Inst #2131 = VST4d32
+  { 2132,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2132 = VST4d32Pseudo
+  { 2133,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #2133 = VST4d32Pseudo_UPD
+  { 2134,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo258,0,nullptr },  // Inst #2134 = VST4d32_UPD
+  { 2135,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo257,0,nullptr },  // Inst #2135 = VST4d8
+  { 2136,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo236,0,nullptr },  // Inst #2136 = VST4d8Pseudo
+  { 2137,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo238,0,nullptr },  // Inst #2137 = VST4d8Pseudo_UPD
+  { 2138,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo258,0,nullptr },  // Inst #2138 = VST4d8_UPD
+  { 2139,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2139 = VST4dAsm_16
+  { 2140,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2140 = VST4dAsm_32
+  { 2141,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2141 = VST4dAsm_8
+  { 2142,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2142 = VST4dWB_fixed_Asm_16
+  { 2143,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2143 = VST4dWB_fixed_Asm_32
+  { 2144,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2144 = VST4dWB_fixed_Asm_8
+  { 2145,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2145 = VST4dWB_register_Asm_16
+  { 2146,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2146 = VST4dWB_register_Asm_32
+  { 2147,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2147 = VST4dWB_register_Asm_8
+  { 2148,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo257,0,nullptr },  // Inst #2148 = VST4q16
+  { 2149,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2149 = VST4q16Pseudo_UPD
+  { 2150,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo258,0,nullptr },  // Inst #2150 = VST4q16_UPD
+  { 2151,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo254,0,nullptr },  // Inst #2151 = VST4q16oddPseudo
+  { 2152,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2152 = VST4q16oddPseudo_UPD
+  { 2153,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo257,0,nullptr },  // Inst #2153 = VST4q32
+  { 2154,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2154 = VST4q32Pseudo_UPD
+  { 2155,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo258,0,nullptr },  // Inst #2155 = VST4q32_UPD
+  { 2156,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo254,0,nullptr },  // Inst #2156 = VST4q32oddPseudo
+  { 2157,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2157 = VST4q32oddPseudo_UPD
+  { 2158,	8,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo257,0,nullptr },  // Inst #2158 = VST4q8
+  { 2159,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2159 = VST4q8Pseudo_UPD
+  { 2160,	10,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10f06ULL, nullptr, nullptr, OperandInfo258,0,nullptr },  // Inst #2160 = VST4q8_UPD
+  { 2161,	5,	0,	576,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo254,0,nullptr },  // Inst #2161 = VST4q8oddPseudo
+  { 2162,	7,	1,	577,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0x10006ULL, nullptr, nullptr, OperandInfo253,0,nullptr },  // Inst #2162 = VST4q8oddPseudo_UPD
+  { 2163,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2163 = VST4qAsm_16
+  { 2164,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2164 = VST4qAsm_32
+  { 2165,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2165 = VST4qAsm_8
+  { 2166,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2166 = VST4qWB_fixed_Asm_16
+  { 2167,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2167 = VST4qWB_fixed_Asm_32
+  { 2168,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo155,0,nullptr },  // Inst #2168 = VST4qWB_fixed_Asm_8
+  { 2169,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2169 = VST4qWB_register_Asm_16
+  { 2170,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2170 = VST4qWB_register_Asm_32
+  { 2171,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo177,0,nullptr },  // Inst #2171 = VST4qWB_register_Asm_8
+  { 2172,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x8be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2172 = VSTMDDB_UPD
+  { 2173,	4,	0,	516,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x8b84ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2173 = VSTMDIA
+  { 2174,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x8be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2174 = VSTMDIA_UPD
+  { 2175,	4,	0,	513,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x18004ULL, nullptr, nullptr, OperandInfo188,0,nullptr },  // Inst #2175 = VSTMQIA
+  { 2176,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x18be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2176 = VSTMSDB_UPD
+  { 2177,	4,	0,	516,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x18b84ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2177 = VSTMSIA
+  { 2178,	5,	1,	517,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0x18be4ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2178 = VSTMSIA_UPD
+  { 2179,	5,	0,	510,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x18b05ULL, nullptr, nullptr, OperandInfo189,0,nullptr },  // Inst #2179 = VSTRD
+  { 2180,	5,	0,	511,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0x18b05ULL, nullptr, nullptr, OperandInfo190,0,nullptr },  // Inst #2180 = VSTRS
+  { 2181,	5,	1,	448,	4,	0|(1<<MCID_Predicable), 0x8800ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2181 = VSUBD
+  { 2182,	5,	1,	421,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #2182 = VSUBHNv2i32
+  { 2183,	5,	1,	421,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #2183 = VSUBHNv4i16
+  { 2184,	5,	1,	421,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo132,0,nullptr },  // Inst #2184 = VSUBHNv8i8
+  { 2185,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #2185 = VSUBLsv2i64
+  { 2186,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #2186 = VSUBLsv4i32
+  { 2187,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #2187 = VSUBLsv8i16
+  { 2188,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #2188 = VSUBLuv2i64
+  { 2189,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #2189 = VSUBLuv4i32
+  { 2190,	5,	1,	379,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo126,0,nullptr },  // Inst #2190 = VSUBLuv8i16
+  { 2191,	5,	1,	445,	4,	0|(1<<MCID_Predicable), 0x28800ULL, nullptr, nullptr, OperandInfo133,0,nullptr },  // Inst #2191 = VSUBS
+  { 2192,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #2192 = VSUBWsv2i64
+  { 2193,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #2193 = VSUBWsv4i32
+  { 2194,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #2194 = VSUBWsv8i16
+  { 2195,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #2195 = VSUBWuv2i64
+  { 2196,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #2196 = VSUBWuv4i32
+  { 2197,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo134,0,nullptr },  // Inst #2197 = VSUBWuv8i16
+  { 2198,	5,	1,	442,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2198 = VSUBfd
+  { 2199,	5,	1,	443,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2199 = VSUBfq
+  { 2200,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2200 = VSUBv16i8
+  { 2201,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2201 = VSUBv1i64
+  { 2202,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2202 = VSUBv2i32
+  { 2203,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2203 = VSUBv2i64
+  { 2204,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2204 = VSUBv4i16
+  { 2205,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2205 = VSUBv4i32
+  { 2206,	5,	1,	395,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2206 = VSUBv8i16
+  { 2207,	5,	1,	380,	4,	0|(1<<MCID_Predicable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2207 = VSUBv8i8
+  { 2208,	6,	2,	433,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2208 = VSWPd
+  { 2209,	6,	2,	433,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2209 = VSWPq
+  { 2210,	5,	1,	425,	4,	0|(1<<MCID_Predicable), 0x11480ULL, nullptr, nullptr, OperandInfo261,0,nullptr },  // Inst #2210 = VTBL1
+  { 2211,	5,	1,	427,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, nullptr, nullptr, OperandInfo262,0,nullptr },  // Inst #2211 = VTBL2
+  { 2212,	5,	1,	429,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, nullptr, nullptr, OperandInfo261,0,nullptr },  // Inst #2212 = VTBL3
+  { 2213,	5,	1,	429,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, nullptr, nullptr, OperandInfo263,0,nullptr },  // Inst #2213 = VTBL3Pseudo
+  { 2214,	5,	1,	431,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, nullptr, nullptr, OperandInfo261,0,nullptr },  // Inst #2214 = VTBL4
+  { 2215,	5,	1,	431,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, nullptr, nullptr, OperandInfo263,0,nullptr },  // Inst #2215 = VTBL4Pseudo
+  { 2216,	6,	1,	426,	4,	0|(1<<MCID_Predicable), 0x11480ULL, nullptr, nullptr, OperandInfo264,0,nullptr },  // Inst #2216 = VTBX1
+  { 2217,	6,	1,	428,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, nullptr, nullptr, OperandInfo265,0,nullptr },  // Inst #2217 = VTBX2
+  { 2218,	6,	1,	430,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, nullptr, nullptr, OperandInfo264,0,nullptr },  // Inst #2218 = VTBX3
+  { 2219,	6,	1,	430,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, nullptr, nullptr, OperandInfo266,0,nullptr },  // Inst #2219 = VTBX3Pseudo
+  { 2220,	6,	1,	432,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x11480ULL, nullptr, nullptr, OperandInfo264,0,nullptr },  // Inst #2220 = VTBX4
+  { 2221,	6,	1,	432,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x10000ULL, nullptr, nullptr, OperandInfo266,0,nullptr },  // Inst #2221 = VTBX4Pseudo
+  { 2222,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #2222 = VTOSHD
+  { 2223,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #2223 = VTOSHS
+  { 2224,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, nullptr, OperandInfo142,0,nullptr },  // Inst #2224 = VTOSIRD
+  { 2225,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, nullptr, OperandInfo130,0,nullptr },  // Inst #2225 = VTOSIRS
+  { 2226,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, nullptr, nullptr, OperandInfo142,0,nullptr },  // Inst #2226 = VTOSIZD
+  { 2227,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x28880ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #2227 = VTOSIZS
+  { 2228,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #2228 = VTOSLD
+  { 2229,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #2229 = VTOSLS
+  { 2230,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #2230 = VTOUHD
+  { 2231,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #2231 = VTOUHS
+  { 2232,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, nullptr, OperandInfo142,0,nullptr },  // Inst #2232 = VTOUIRD
+  { 2233,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x8880ULL, ImplicitList9, nullptr, OperandInfo130,0,nullptr },  // Inst #2233 = VTOUIRS
+  { 2234,	4,	1,	485,	4,	0|(1<<MCID_Predicable), 0x8880ULL, nullptr, nullptr, OperandInfo142,0,nullptr },  // Inst #2234 = VTOUIZD
+  { 2235,	4,	1,	486,	4,	0|(1<<MCID_Predicable), 0x28880ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #2235 = VTOUIZS
+  { 2236,	5,	1,	483,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #2236 = VTOULD
+  { 2237,	5,	1,	484,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #2237 = VTOULS
+  { 2238,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2238 = VTRNd16
+  { 2239,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2239 = VTRNd32
+  { 2240,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2240 = VTRNd8
+  { 2241,	6,	2,	435,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2241 = VTRNq16
+  { 2242,	6,	2,	435,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2242 = VTRNq32
+  { 2243,	6,	2,	435,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2243 = VTRNq8
+  { 2244,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2244 = VTSTv16i8
+  { 2245,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2245 = VTSTv2i32
+  { 2246,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2246 = VTSTv4i16
+  { 2247,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2247 = VTSTv4i32
+  { 2248,	5,	1,	386,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo128,0,nullptr },  // Inst #2248 = VTSTv8i16
+  { 2249,	5,	1,	387,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x11280ULL, nullptr, nullptr, OperandInfo127,0,nullptr },  // Inst #2249 = VTSTv8i8
+  { 2250,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #2250 = VUHTOD
+  { 2251,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #2251 = VUHTOS
+  { 2252,	4,	1,	481,	4,	0|(1<<MCID_Predicable), 0x8880ULL, nullptr, nullptr, OperandInfo143,0,nullptr },  // Inst #2252 = VUITOD
+  { 2253,	4,	1,	482,	4,	0|(1<<MCID_Predicable), 0x28880ULL, nullptr, nullptr, OperandInfo130,0,nullptr },  // Inst #2253 = VUITOS
+  { 2254,	5,	1,	189,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x8880ULL, nullptr, nullptr, OperandInfo225,0,nullptr },  // Inst #2254 = VULTOD
+  { 2255,	5,	1,	190,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x28880ULL, nullptr, nullptr, OperandInfo226,0,nullptr },  // Inst #2255 = VULTOS
+  { 2256,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2256 = VUZPd16
+  { 2257,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2257 = VUZPd8
+  { 2258,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2258 = VUZPq16
+  { 2259,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2259 = VUZPq32
+  { 2260,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2260 = VUZPq8
+  { 2261,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2261 = VZIPd16
+  { 2262,	6,	2,	434,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo259,0,nullptr },  // Inst #2262 = VZIPd8
+  { 2263,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2263 = VZIPq16
+  { 2264,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2264 = VZIPq32
+  { 2265,	6,	2,	436,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x11000ULL, nullptr, nullptr, OperandInfo260,0,nullptr },  // Inst #2265 = VZIPq8
+  { 2266,	0,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList10, ImplicitList11, nullptr,0,nullptr },  // Inst #2266 = WIN__CHKSTK
+  { 2267,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2267 = sysLDMDA
+  { 2268,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2268 = sysLDMDA_UPD
+  { 2269,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2269 = sysLDMDB
+  { 2270,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2270 = sysLDMDB_UPD
+  { 2271,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2271 = sysLDMIA
+  { 2272,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2272 = sysLDMIA_UPD
+  { 2273,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2273 = sysLDMIB
+  { 2274,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2274 = sysLDMIB_UPD
+  { 2275,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2275 = sysSTMDA
+  { 2276,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2276 = sysSTMDA_UPD
+  { 2277,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2277 = sysSTMDB
+  { 2278,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2278 = sysSTMDB_UPD
+  { 2279,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2279 = sysSTMIA
+  { 2280,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2280 = sysSTMIA_UPD
+  { 2281,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x504ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2281 = sysSTMIB
+  { 2282,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0x564ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2282 = sysSTMIB_UPD
+  { 2283,	2,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList1, OperandInfo267,0,nullptr },  // Inst #2283 = t2ABS
+  { 2284,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo268,0,nullptr },  // Inst #2284 = t2ADCri
+  { 2285,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo269,0,nullptr },  // Inst #2285 = t2ADCrr
+  { 2286,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo270,0,nullptr },  // Inst #2286 = t2ADCrs
+  { 2287,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo271,0,nullptr },  // Inst #2287 = t2ADDSri
+  { 2288,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo272,0,nullptr },  // Inst #2288 = t2ADDSrr
+  { 2289,	6,	1,	238,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo273,0,nullptr },  // Inst #2289 = t2ADDSrs
+  { 2290,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo274,0,nullptr },  // Inst #2290 = t2ADDri
+  { 2291,	5,	1,	1,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo275,0,nullptr },  // Inst #2291 = t2ADDri12
+  { 2292,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo276,0,nullptr },  // Inst #2292 = t2ADDrr
+  { 2293,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo277,0,nullptr },  // Inst #2293 = t2ADDrs
+  { 2294,	4,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo278,0,nullptr },  // Inst #2294 = t2ADR
+  { 2295,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2295 = t2ANDri
+  { 2296,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2296 = t2ANDrr
+  { 2297,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo270,0,nullptr },  // Inst #2297 = t2ANDrs
+  { 2298,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2298 = t2ASRri
+  { 2299,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2299 = t2ASRrr
+  { 2300,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0xc80ULL, nullptr, nullptr, OperandInfo34,0,nullptr },  // Inst #2300 = t2B
+  { 2301,	5,	1,	297,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo279,0,nullptr },  // Inst #2301 = t2BFC
+  { 2302,	6,	1,	298,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo280,0,nullptr },  // Inst #2302 = t2BFI
+  { 2303,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2303 = t2BICri
+  { 2304,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2304 = t2BICrr
+  { 2305,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo270,0,nullptr },  // Inst #2305 = t2BICrs
+  { 2306,	4,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable), 0x0ULL, nullptr, nullptr, OperandInfo36,0,nullptr },  // Inst #2306 = t2BR_JT
+  { 2307,	3,	0,	15,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo281,0,nullptr },  // Inst #2307 = t2BXJ
+  { 2308,	3,	0,	10,	4,	0|(1<<MCID_Branch)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo34,0,nullptr },  // Inst #2308 = t2Bcc
+  { 2309,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo40,0,nullptr },  // Inst #2309 = t2CDP
+  { 2310,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo40,0,nullptr },  // Inst #2310 = t2CDP2
+  { 2311,	2,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #2311 = t2CLREX
+  { 2312,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo282,0,nullptr },  // Inst #2312 = t2CLZ
+  { 2313,	4,	0,	17,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo283,0,nullptr },  // Inst #2313 = t2CMNri
+  { 2314,	4,	0,	18,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo284,0,nullptr },  // Inst #2314 = t2CMNzrr
+  { 2315,	5,	0,	240,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo285,0,nullptr },  // Inst #2315 = t2CMNzrs
+  { 2316,	4,	0,	241,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo283,0,nullptr },  // Inst #2316 = t2CMPri
+  { 2317,	4,	0,	242,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo284,0,nullptr },  // Inst #2317 = t2CMPrr
+  { 2318,	5,	0,	243,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo285,0,nullptr },  // Inst #2318 = t2CMPrs
+  { 2319,	1,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #2319 = t2CPS1p
+  { 2320,	2,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo7,0,nullptr },  // Inst #2320 = t2CPS2p
+  { 2321,	3,	0,	0,	4,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo3,0,nullptr },  // Inst #2321 = t2CPS3p
+  { 2322,	3,	1,	0,	4,	0, 0xc80ULL, nullptr, nullptr, OperandInfo286,0,nullptr },  // Inst #2322 = t2CRC32B
+  { 2323,	3,	1,	0,	4,	0, 0xc80ULL, nullptr, nullptr, OperandInfo286,0,nullptr },  // Inst #2323 = t2CRC32CB
+  { 2324,	3,	1,	0,	4,	0, 0xc80ULL, nullptr, nullptr, OperandInfo286,0,nullptr },  // Inst #2324 = t2CRC32CH
+  { 2325,	3,	1,	0,	4,	0, 0xc80ULL, nullptr, nullptr, OperandInfo286,0,nullptr },  // Inst #2325 = t2CRC32CW
+  { 2326,	3,	1,	0,	4,	0, 0xc80ULL, nullptr, nullptr, OperandInfo286,0,nullptr },  // Inst #2326 = t2CRC32H
+  { 2327,	3,	1,	0,	4,	0, 0xc80ULL, nullptr, nullptr, OperandInfo286,0,nullptr },  // Inst #2327 = t2CRC32W
+  { 2328,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2328 = t2DBG
+  { 2329,	2,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #2329 = t2DCPS1
+  { 2330,	2,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #2330 = t2DCPS2
+  { 2331,	2,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #2331 = t2DCPS3
+  { 2332,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2332 = t2DMB
+  { 2333,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2333 = t2DSB
+  { 2334,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2334 = t2EORri
+  { 2335,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2335 = t2EORrr
+  { 2336,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo270,0,nullptr },  // Inst #2336 = t2EORrs
+  { 2337,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2337 = t2HINT
+  { 2338,	3,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2338 = t2ISB
+  { 2339,	2,	0,	378,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, ImplicitList12, OperandInfo7,0,0 },  // Inst #2339 = t2IT
+  { 2340,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, ImplicitList13, OperandInfo287,0,nullptr },  // Inst #2340 = t2Int_eh_sjlj_setjmp
+  { 2341,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, ImplicitList7, OperandInfo287,0,nullptr },  // Inst #2341 = t2Int_eh_sjlj_setjmp_nofp
+  { 2342,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2342 = t2LDA
+  { 2343,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2343 = t2LDAB
+  { 2344,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2344 = t2LDAEX
+  { 2345,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2345 = t2LDAEXB
+  { 2346,	5,	2,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo289,0,nullptr },  // Inst #2346 = t2LDAEXD
+  { 2347,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2347 = t2LDAEXH
+  { 2348,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2348 = t2LDAH
+  { 2349,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2349 = t2LDC2L_OFFSET
+  { 2350,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2350 = t2LDC2L_OPTION
+  { 2351,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2351 = t2LDC2L_POST
+  { 2352,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2352 = t2LDC2L_PRE
+  { 2353,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2353 = t2LDC2_OFFSET
+  { 2354,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2354 = t2LDC2_OPTION
+  { 2355,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2355 = t2LDC2_POST
+  { 2356,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2356 = t2LDC2_PRE
+  { 2357,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2357 = t2LDCL_OFFSET
+  { 2358,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2358 = t2LDCL_OPTION
+  { 2359,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2359 = t2LDCL_POST
+  { 2360,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2360 = t2LDCL_PRE
+  { 2361,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2361 = t2LDC_OFFSET
+  { 2362,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2362 = t2LDC_OPTION
+  { 2363,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2363 = t2LDC_POST
+  { 2364,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2364 = t2LDC_PRE
+  { 2365,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2365 = t2LDMDB
+  { 2366,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2366 = t2LDMDB_UPD
+  { 2367,	4,	0,	353,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2367 = t2LDMIA
+  { 2368,	5,	1,	355,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x0ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2368 = t2LDMIA_RET
+  { 2369,	5,	1,	354,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2369 = t2LDMIA_UPD
+  { 2370,	5,	1,	346,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2370 = t2LDRBT
+  { 2371,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2371 = t2LDRB_POST
+  { 2372,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2372 = t2LDRB_PRE
+  { 2373,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2373 = t2LDRBi12
+  { 2374,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2374 = t2LDRBi8
+  { 2375,	4,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #2375 = t2LDRBpci
+  { 2376,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo283,0,nullptr },  // Inst #2376 = t2LDRBpcrel
+  { 2377,	6,	1,	326,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo291,0,nullptr },  // Inst #2377 = t2LDRBs
+  { 2378,	7,	3,	352,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, nullptr, nullptr, OperandInfo292,0,nullptr },  // Inst #2378 = t2LDRD_POST
+  { 2379,	7,	3,	352,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, nullptr, nullptr, OperandInfo292,0,nullptr },  // Inst #2379 = t2LDRD_PRE
+  { 2380,	6,	2,	351,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_ExtraDefRegAllocReq), 0xc8fULL, nullptr, nullptr, OperandInfo293,0,nullptr },  // Inst #2380 = t2LDRDi8
+  { 2381,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo294,0,nullptr },  // Inst #2381 = t2LDREX
+  { 2382,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2382 = t2LDREXB
+  { 2383,	5,	2,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo289,0,nullptr },  // Inst #2383 = t2LDREXD
+  { 2384,	4,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2384 = t2LDREXH
+  { 2385,	5,	1,	346,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2385 = t2LDRHT
+  { 2386,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2386 = t2LDRH_POST
+  { 2387,	6,	2,	342,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2387 = t2LDRH_PRE
+  { 2388,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2388 = t2LDRHi12
+  { 2389,	5,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2389 = t2LDRHi8
+  { 2390,	4,	1,	329,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #2390 = t2LDRHpci
+  { 2391,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo283,0,nullptr },  // Inst #2391 = t2LDRHpcrel
+  { 2392,	6,	1,	326,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo291,0,nullptr },  // Inst #2392 = t2LDRHs
+  { 2393,	5,	1,	348,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2393 = t2LDRSBT
+  { 2394,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2394 = t2LDRSB_POST
+  { 2395,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2395 = t2LDRSB_PRE
+  { 2396,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2396 = t2LDRSBi12
+  { 2397,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2397 = t2LDRSBi8
+  { 2398,	4,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #2398 = t2LDRSBpci
+  { 2399,	4,	0,	338,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo283,0,nullptr },  // Inst #2399 = t2LDRSBpcrel
+  { 2400,	6,	1,	339,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo291,0,nullptr },  // Inst #2400 = t2LDRSBs
+  { 2401,	5,	1,	348,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2401 = t2LDRSHT
+  { 2402,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2402 = t2LDRSH_POST
+  { 2403,	6,	2,	349,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2403 = t2LDRSH_PRE
+  { 2404,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2404 = t2LDRSHi12
+  { 2405,	5,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2405 = t2LDRSHi8
+  { 2406,	4,	1,	337,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #2406 = t2LDRSHpci
+  { 2407,	4,	0,	338,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo283,0,nullptr },  // Inst #2407 = t2LDRSHpcrel
+  { 2408,	6,	1,	339,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo291,0,nullptr },  // Inst #2408 = t2LDRSHs
+  { 2409,	5,	1,	347,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2409 = t2LDRT
+  { 2410,	6,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2410 = t2LDR_POST
+  { 2411,	6,	2,	345,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo57,0,nullptr },  // Inst #2411 = t2LDR_PRE
+  { 2412,	5,	1,	330,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8bULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2412 = t2LDRi12
+  { 2413,	5,	1,	330,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8cULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2413 = t2LDRi8
+  { 2414,	4,	1,	330,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8eULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #2414 = t2LDRpci
+  { 2415,	3,	1,	331,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo295,0,nullptr },  // Inst #2415 = t2LDRpci_pic
+  { 2416,	4,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo23,0,nullptr },  // Inst #2416 = t2LDRpcrel
+  { 2417,	6,	1,	332,	4,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8dULL, nullptr, nullptr, OperandInfo291,0,nullptr },  // Inst #2417 = t2LDRs
+  { 2418,	4,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo296,0,nullptr },  // Inst #2418 = t2LEApcrel
+  { 2419,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo297,0,nullptr },  // Inst #2419 = t2LEApcrelJT
+  { 2420,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2420 = t2LSLri
+  { 2421,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2421 = t2LSLrr
+  { 2422,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2422 = t2LSRri
+  { 2423,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2423 = t2LSRrr
+  { 2424,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo69,0,0 },  // Inst #2424 = t2MCR
+  { 2425,	8,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo69,0,nullptr },  // Inst #2425 = t2MCR2
+  { 2426,	7,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo298,0,nullptr },  // Inst #2426 = t2MCRR
+  { 2427,	7,	0,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo298,0,nullptr },  // Inst #2427 = t2MCRR2
+  { 2428,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2428 = t2MLA
+  { 2429,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2429 = t2MLS
+  { 2430,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo300,0,nullptr },  // Inst #2430 = t2MOVCCasr
+  { 2431,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo279,0,nullptr },  // Inst #2431 = t2MOVCCi
+  { 2432,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo279,0,nullptr },  // Inst #2432 = t2MOVCCi16
+  { 2433,	5,	1,	292,	8,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo301,0,nullptr },  // Inst #2433 = t2MOVCCi32imm
+  { 2434,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo300,0,nullptr },  // Inst #2434 = t2MOVCClsl
+  { 2435,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo300,0,nullptr },  // Inst #2435 = t2MOVCClsr
+  { 2436,	5,	1,	43,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Select)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0x0ULL, nullptr, nullptr, OperandInfo302,0,nullptr },  // Inst #2436 = t2MOVCCr
+  { 2437,	6,	1,	247,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo300,0,nullptr },  // Inst #2437 = t2MOVCCror
+  { 2438,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo303,0,nullptr },  // Inst #2438 = t2MOVSsi
+  { 2439,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo304,0,nullptr },  // Inst #2439 = t2MOVSsr
+  { 2440,	5,	1,	41,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo279,0,nullptr },  // Inst #2440 = t2MOVTi16
+  { 2441,	4,	1,	41,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo305,0,nullptr },  // Inst #2441 = t2MOVTi16_ga_pcrel
+  { 2442,	2,	1,	294,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo306,0,nullptr },  // Inst #2442 = t2MOV_ga_pcrel
+  { 2443,	5,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0xc80ULL, nullptr, nullptr, OperandInfo307,0,nullptr },  // Inst #2443 = t2MOVi
+  { 2444,	4,	1,	41,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_CheapAsAMove), 0xc80ULL, nullptr, nullptr, OperandInfo278,0,nullptr },  // Inst #2444 = t2MOVi16
+  { 2445,	3,	1,	295,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo295,0,nullptr },  // Inst #2445 = t2MOVi16_ga_pcrel
+  { 2446,	2,	1,	293,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo306,0,nullptr },  // Inst #2446 = t2MOVi32imm
+  { 2447,	5,	1,	48,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo308,0,nullptr },  // Inst #2447 = t2MOVr
+  { 2448,	5,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo303,0,nullptr },  // Inst #2448 = t2MOVsi
+  { 2449,	6,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo304,0,nullptr },  // Inst #2449 = t2MOVsr
+  { 2450,	4,	1,	50,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo282,0,nullptr },  // Inst #2450 = t2MOVsra_flag
+  { 2451,	4,	1,	50,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo282,0,nullptr },  // Inst #2451 = t2MOVsrl_flag
+  { 2452,	8,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo88,0,nullptr },  // Inst #2452 = t2MRC
+  { 2453,	8,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo88,0,nullptr },  // Inst #2453 = t2MRC2
+  { 2454,	7,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo298,0,nullptr },  // Inst #2454 = t2MRRC
+  { 2455,	7,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo298,0,nullptr },  // Inst #2455 = t2MRRC2
+  { 2456,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2456 = t2MRS_AR
+  { 2457,	4,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo278,0,nullptr },  // Inst #2457 = t2MRS_M
+  { 2458,	3,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2458 = t2MRSsys_AR
+  { 2459,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo309,0,nullptr },  // Inst #2459 = t2MSR_AR
+  { 2460,	4,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo309,0,nullptr },  // Inst #2460 = t2MSR_M
+  { 2461,	5,	1,	310,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2461 = t2MUL
+  { 2462,	5,	1,	40,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MoveImm)|(1<<MCID_Predicable), 0x0ULL, nullptr, nullptr, OperandInfo279,0,nullptr },  // Inst #2462 = t2MVNCCi
+  { 2463,	5,	1,	52,	4,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef)|(1<<MCID_CheapAsAMove), 0xc80ULL, nullptr, nullptr, OperandInfo307,0,nullptr },  // Inst #2463 = t2MVNi
+  { 2464,	5,	1,	53,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo311,0,nullptr },  // Inst #2464 = t2MVNr
+  { 2465,	6,	1,	249,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo312,0,nullptr },  // Inst #2465 = t2MVNs
+  { 2466,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2466 = t2ORNri
+  { 2467,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2467 = t2ORNrr
+  { 2468,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo270,0,nullptr },  // Inst #2468 = t2ORNrs
+  { 2469,	6,	1,	6,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2469 = t2ORRri
+  { 2470,	6,	1,	7,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2470 = t2ORRrr
+  { 2471,	7,	1,	59,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo270,0,nullptr },  // Inst #2471 = t2ORRrs
+  { 2472,	6,	1,	59,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2472 = t2PKHBT
+  { 2473,	6,	1,	59,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2473 = t2PKHTB
+  { 2474,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo314,0,nullptr },  // Inst #2474 = t2PLDWi12
+  { 2475,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo314,0,nullptr },  // Inst #2475 = t2PLDWi8
+  { 2476,	5,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo315,0,nullptr },  // Inst #2476 = t2PLDWs
+  { 2477,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo314,0,nullptr },  // Inst #2477 = t2PLDi12
+  { 2478,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo314,0,nullptr },  // Inst #2478 = t2PLDi8
+  { 2479,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2479 = t2PLDpci
+  { 2480,	5,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo315,0,nullptr },  // Inst #2480 = t2PLDs
+  { 2481,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo314,0,nullptr },  // Inst #2481 = t2PLIi12
+  { 2482,	4,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo314,0,nullptr },  // Inst #2482 = t2PLIi8
+  { 2483,	3,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2483 = t2PLIpci
+  { 2484,	5,	0,	60,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo315,0,nullptr },  // Inst #2484 = t2PLIs
+  { 2485,	5,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2485 = t2QADD
+  { 2486,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2486 = t2QADD16
+  { 2487,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2487 = t2QADD8
+  { 2488,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2488 = t2QASX
+  { 2489,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2489 = t2QDADD
+  { 2490,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2490 = t2QDSUB
+  { 2491,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2491 = t2QSAX
+  { 2492,	5,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2492 = t2QSUB
+  { 2493,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2493 = t2QSUB16
+  { 2494,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2494 = t2QSUB8
+  { 2495,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo282,0,nullptr },  // Inst #2495 = t2RBIT
+  { 2496,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo282,0,nullptr },  // Inst #2496 = t2REV
+  { 2497,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo282,0,nullptr },  // Inst #2497 = t2REV16
+  { 2498,	4,	1,	16,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo282,0,nullptr },  // Inst #2498 = t2REVSH
+  { 2499,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2499 = t2RFEDB
+  { 2500,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2500 = t2RFEDBW
+  { 2501,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2501 = t2RFEIA
+  { 2502,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2502 = t2RFEIAW
+  { 2503,	6,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2503 = t2RORri
+  { 2504,	6,	1,	49,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2504 = t2RORrr
+  { 2505,	5,	1,	50,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, ImplicitList1, nullptr, OperandInfo311,0,nullptr },  // Inst #2505 = t2RRX
+  { 2506,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo316,0,nullptr },  // Inst #2506 = t2RSBSri
+  { 2507,	6,	1,	58,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo317,0,nullptr },  // Inst #2507 = t2RSBSrs
+  { 2508,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo268,0,nullptr },  // Inst #2508 = t2RSBri
+  { 2509,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo269,0,nullptr },  // Inst #2509 = t2RSBrr
+  { 2510,	7,	1,	250,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo270,0,nullptr },  // Inst #2510 = t2RSBrs
+  { 2511,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2511 = t2SADD16
+  { 2512,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2512 = t2SADD8
+  { 2513,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2513 = t2SASX
+  { 2514,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo268,0,nullptr },  // Inst #2514 = t2SBCri
+  { 2515,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo269,0,nullptr },  // Inst #2515 = t2SBCrr
+  { 2516,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef)|(1<<MCID_HasPostISelHook), 0xc80ULL, ImplicitList1, ImplicitList1, OperandInfo270,0,nullptr },  // Inst #2516 = t2SBCrs
+  { 2517,	6,	1,	297,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo318,0,nullptr },  // Inst #2517 = t2SBFX
+  { 2518,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2518 = t2SDIV
+  { 2519,	5,	1,	296,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo17,0,nullptr },  // Inst #2519 = t2SEL
+  { 2520,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2520 = t2SHADD16
+  { 2521,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2521 = t2SHADD8
+  { 2522,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2522 = t2SHASX
+  { 2523,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2523 = t2SHSAX
+  { 2524,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2524 = t2SHSUB16
+  { 2525,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2525 = t2SHSUB8
+  { 2526,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2526 = t2SMC
+  { 2527,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2527 = t2SMLABB
+  { 2528,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2528 = t2SMLABT
+  { 2529,	6,	1,	320,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2529 = t2SMLAD
+  { 2530,	6,	1,	320,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2530 = t2SMLADX
+  { 2531,	8,	2,	323,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo319,0,nullptr },  // Inst #2531 = t2SMLAL
+  { 2532,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2532 = t2SMLALBB
+  { 2533,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2533 = t2SMLALBT
+  { 2534,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2534 = t2SMLALD
+  { 2535,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2535 = t2SMLALDX
+  { 2536,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2536 = t2SMLALTB
+  { 2537,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2537 = t2SMLALTT
+  { 2538,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2538 = t2SMLATB
+  { 2539,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2539 = t2SMLATT
+  { 2540,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2540 = t2SMLAWB
+  { 2541,	6,	1,	317,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2541 = t2SMLAWT
+  { 2542,	6,	1,	318,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2542 = t2SMLSD
+  { 2543,	6,	1,	318,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2543 = t2SMLSDX
+  { 2544,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2544 = t2SMLSLD
+  { 2545,	6,	2,	323,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2545 = t2SMLSLDX
+  { 2546,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2546 = t2SMMLA
+  { 2547,	6,	1,	313,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2547 = t2SMMLAR
+  { 2548,	6,	1,	313,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2548 = t2SMMLS
+  { 2549,	6,	1,	313,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2549 = t2SMMLSR
+  { 2550,	5,	1,	310,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2550 = t2SMMUL
+  { 2551,	5,	1,	310,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2551 = t2SMMULR
+  { 2552,	5,	1,	315,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2552 = t2SMUAD
+  { 2553,	5,	1,	315,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2553 = t2SMUADX
+  { 2554,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2554 = t2SMULBB
+  { 2555,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2555 = t2SMULBT
+  { 2556,	6,	2,	322,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2556 = t2SMULL
+  { 2557,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2557 = t2SMULTB
+  { 2558,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2558 = t2SMULTT
+  { 2559,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2559 = t2SMULWB
+  { 2560,	5,	1,	311,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2560 = t2SMULWT
+  { 2561,	5,	1,	312,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2561 = t2SMUSD
+  { 2562,	5,	1,	312,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2562 = t2SMUSDX
+  { 2563,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2563 = t2SRSDB
+  { 2564,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2564 = t2SRSDB_UPD
+  { 2565,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2565 = t2SRSIA
+  { 2566,	3,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2566 = t2SRSIA_UPD
+  { 2567,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo320,0,nullptr },  // Inst #2567 = t2SSAT
+  { 2568,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo321,0,nullptr },  // Inst #2568 = t2SSAT16
+  { 2569,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2569 = t2SSAX
+  { 2570,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2570 = t2SSUB16
+  { 2571,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2571 = t2SSUB8
+  { 2572,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2572 = t2STC2L_OFFSET
+  { 2573,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2573 = t2STC2L_OPTION
+  { 2574,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2574 = t2STC2L_POST
+  { 2575,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2575 = t2STC2L_PRE
+  { 2576,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2576 = t2STC2_OFFSET
+  { 2577,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2577 = t2STC2_OPTION
+  { 2578,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2578 = t2STC2_POST
+  { 2579,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2579 = t2STC2_PRE
+  { 2580,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2580 = t2STCL_OFFSET
+  { 2581,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2581 = t2STCL_OPTION
+  { 2582,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2582 = t2STCL_POST
+  { 2583,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2583 = t2STCL_PRE
+  { 2584,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2584 = t2STC_OFFSET
+  { 2585,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2585 = t2STC_OPTION
+  { 2586,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2586 = t2STC_POST
+  { 2587,	6,	0,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo55,0,nullptr },  // Inst #2587 = t2STC_PRE
+  { 2588,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2588 = t2STL
+  { 2589,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2589 = t2STLB
+  { 2590,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo322,0,nullptr },  // Inst #2590 = t2STLEX
+  { 2591,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo322,0,nullptr },  // Inst #2591 = t2STLEXB
+  { 2592,	6,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo323,0,nullptr },  // Inst #2592 = t2STLEXD
+  { 2593,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo322,0,nullptr },  // Inst #2593 = t2STLEXH
+  { 2594,	4,	0,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo288,0,nullptr },  // Inst #2594 = t2STLH
+  { 2595,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2595 = t2STMDB
+  { 2596,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2596 = t2STMDB_UPD
+  { 2597,	4,	0,	374,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo51,0,nullptr },  // Inst #2597 = t2STMIA
+  { 2598,	5,	1,	375,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2598 = t2STMIA_UPD
+  { 2599,	5,	1,	370,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2599 = t2STRBT
+  { 2600,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo324,0,nullptr },  // Inst #2600 = t2STRB_POST
+  { 2601,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo324,0,nullptr },  // Inst #2601 = t2STRB_PRE
+  { 2602,	6,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo325,0,nullptr },  // Inst #2602 = t2STRB_preidx
+  { 2603,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2603 = t2STRBi12
+  { 2604,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2604 = t2STRBi8
+  { 2605,	6,	0,	360,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo326,0,nullptr },  // Inst #2605 = t2STRBs
+  { 2606,	7,	1,	373,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, nullptr, nullptr, OperandInfo327,0,nullptr },  // Inst #2606 = t2STRD_POST
+  { 2607,	7,	1,	373,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8fULL, nullptr, nullptr, OperandInfo327,0,nullptr },  // Inst #2607 = t2STRD_PRE
+  { 2608,	6,	0,	372,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_ExtraSrcRegAllocReq), 0xc8fULL, nullptr, nullptr, OperandInfo293,0,nullptr },  // Inst #2608 = t2STRDi8
+  { 2609,	6,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo328,0,nullptr },  // Inst #2609 = t2STREX
+  { 2610,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo322,0,nullptr },  // Inst #2610 = t2STREXB
+  { 2611,	6,	1,	0,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo323,0,nullptr },  // Inst #2611 = t2STREXD
+  { 2612,	5,	1,	0,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo322,0,nullptr },  // Inst #2612 = t2STREXH
+  { 2613,	5,	1,	370,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2613 = t2STRHT
+  { 2614,	6,	1,	367,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo324,0,nullptr },  // Inst #2614 = t2STRH_POST
+  { 2615,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo324,0,nullptr },  // Inst #2615 = t2STRH_PRE
+  { 2616,	6,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo325,0,nullptr },  // Inst #2616 = t2STRH_preidx
+  { 2617,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2617 = t2STRHi12
+  { 2618,	5,	0,	363,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2618 = t2STRHi8
+  { 2619,	6,	0,	360,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo326,0,nullptr },  // Inst #2619 = t2STRHs
+  { 2620,	5,	1,	371,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc8cULL, nullptr, nullptr, OperandInfo290,0,nullptr },  // Inst #2620 = t2STRT
+  { 2621,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcccULL, nullptr, nullptr, OperandInfo329,0,nullptr },  // Inst #2621 = t2STR_POST
+  { 2622,	6,	1,	369,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xcacULL, nullptr, nullptr, OperandInfo329,0,nullptr },  // Inst #2622 = t2STR_PRE
+  { 2623,	6,	1,	368,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo325,0,nullptr },  // Inst #2623 = t2STR_preidx
+  { 2624,	5,	0,	364,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8bULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2624 = t2STRi12
+  { 2625,	5,	0,	364,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8cULL, nullptr, nullptr, OperandInfo43,0,nullptr },  // Inst #2625 = t2STRi8
+  { 2626,	6,	0,	362,	4,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8dULL, nullptr, nullptr, OperandInfo291,0,nullptr },  // Inst #2626 = t2STRs
+  { 2627,	3,	0,	0,	4,	0|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0xc80ULL, nullptr, ImplicitList14, OperandInfo47,0,nullptr },  // Inst #2627 = t2SUBS_PC_LR
+  { 2628,	5,	1,	1,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo271,0,nullptr },  // Inst #2628 = t2SUBSri
+  { 2629,	5,	1,	2,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo272,0,nullptr },  // Inst #2629 = t2SUBSrr
+  { 2630,	6,	1,	238,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_HasPostISelHook), 0x0ULL, nullptr, ImplicitList1, OperandInfo273,0,nullptr },  // Inst #2630 = t2SUBSrs
+  { 2631,	6,	1,	1,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Rematerializable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo274,0,nullptr },  // Inst #2631 = t2SUBri
+  { 2632,	5,	1,	1,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo275,0,nullptr },  // Inst #2632 = t2SUBri12
+  { 2633,	6,	1,	2,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo276,0,nullptr },  // Inst #2633 = t2SUBrr
+  { 2634,	7,	1,	58,	4,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0xc80ULL, nullptr, nullptr, OperandInfo277,0,nullptr },  // Inst #2634 = t2SUBrs
+  { 2635,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2635 = t2SXTAB
+  { 2636,	6,	1,	306,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2636 = t2SXTAB16
+  { 2637,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2637 = t2SXTAH
+  { 2638,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo316,0,nullptr },  // Inst #2638 = t2SXTB
+  { 2639,	5,	1,	291,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo316,0,nullptr },  // Inst #2639 = t2SXTB16
+  { 2640,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo316,0,nullptr },  // Inst #2640 = t2SXTH
+  { 2641,	4,	0,	14,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo330,0,nullptr },  // Inst #2641 = t2TBB
+  { 2642,	3,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo38,0,nullptr },  // Inst #2642 = t2TBB_JT
+  { 2643,	4,	0,	14,	4,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo330,0,nullptr },  // Inst #2643 = t2TBH
+  { 2644,	3,	0,	10,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_NotDuplicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo38,0,nullptr },  // Inst #2644 = t2TBH_JT
+  { 2645,	4,	0,	255,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo283,0,nullptr },  // Inst #2645 = t2TEQri
+  { 2646,	4,	0,	256,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo284,0,nullptr },  // Inst #2646 = t2TEQrr
+  { 2647,	5,	0,	257,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo285,0,nullptr },  // Inst #2647 = t2TEQrs
+  { 2648,	4,	0,	255,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo283,0,nullptr },  // Inst #2648 = t2TSTri
+  { 2649,	4,	0,	256,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo284,0,nullptr },  // Inst #2649 = t2TSTrr
+  { 2650,	5,	0,	257,	4,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo285,0,nullptr },  // Inst #2650 = t2TSTrs
+  { 2651,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2651 = t2UADD16
+  { 2652,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2652 = t2UADD8
+  { 2653,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2653 = t2UASX
+  { 2654,	6,	1,	297,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo318,0,nullptr },  // Inst #2654 = t2UBFX
+  { 2655,	1,	0,	76,	4,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #2655 = t2UDF
+  { 2656,	5,	1,	324,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2656 = t2UDIV
+  { 2657,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2657 = t2UHADD16
+  { 2658,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2658 = t2UHADD8
+  { 2659,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2659 = t2UHASX
+  { 2660,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2660 = t2UHSAX
+  { 2661,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2661 = t2UHSUB16
+  { 2662,	5,	1,	305,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2662 = t2UHSUB8
+  { 2663,	6,	2,	323,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2663 = t2UMAAL
+  { 2664,	8,	2,	323,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo319,0,nullptr },  // Inst #2664 = t2UMLAL
+  { 2665,	6,	2,	322,	4,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2665 = t2UMULL
+  { 2666,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2666 = t2UQADD16
+  { 2667,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2667 = t2UQADD8
+  { 2668,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2668 = t2UQASX
+  { 2669,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2669 = t2UQSAX
+  { 2670,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2670 = t2UQSUB16
+  { 2671,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2671 = t2UQSUB8
+  { 2672,	5,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2672 = t2USAD8
+  { 2673,	6,	1,	0,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo299,0,nullptr },  // Inst #2673 = t2USADA8
+  { 2674,	6,	1,	300,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo320,0,nullptr },  // Inst #2674 = t2USAT
+  { 2675,	5,	1,	300,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo321,0,nullptr },  // Inst #2675 = t2USAT16
+  { 2676,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2676 = t2USAX
+  { 2677,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2677 = t2USUB16
+  { 2678,	5,	1,	302,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo310,0,nullptr },  // Inst #2678 = t2USUB8
+  { 2679,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2679 = t2UXTAB
+  { 2680,	6,	1,	306,	4,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2680 = t2UXTAB16
+  { 2681,	6,	1,	306,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo313,0,nullptr },  // Inst #2681 = t2UXTAH
+  { 2682,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo316,0,nullptr },  // Inst #2682 = t2UXTB
+  { 2683,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo316,0,nullptr },  // Inst #2683 = t2UXTB16
+  { 2684,	5,	1,	291,	4,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo316,0,nullptr },  // Inst #2684 = t2UXTH
+  { 2685,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, ImplicitList1, nullptr, OperandInfo331,0,nullptr },  // Inst #2685 = tADC
+  { 2686,	5,	1,	258,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo77,0,nullptr },  // Inst #2686 = tADDhirr
+  { 2687,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo332,0,nullptr },  // Inst #2687 = tADDi3
+  { 2688,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo333,0,nullptr },  // Inst #2688 = tADDi8
+  { 2689,	5,	1,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo334,0,nullptr },  // Inst #2689 = tADDrSP
+  { 2690,	5,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo335,0,nullptr },  // Inst #2690 = tADDrSPi
+  { 2691,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo336,0,nullptr },  // Inst #2691 = tADDrr
+  { 2692,	5,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo337,0,nullptr },  // Inst #2692 = tADDspi
+  { 2693,	5,	1,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo338,0,nullptr },  // Inst #2693 = tADDspr
+  { 2694,	1,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo2,0,nullptr },  // Inst #2694 = tADJCALLSTACKDOWN
+  { 2695,	2,	0,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, ImplicitList2, OperandInfo8,0,nullptr },  // Inst #2695 = tADJCALLSTACKUP
+  { 2696,	4,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo339,0,nullptr },  // Inst #2696 = tADR
+  { 2697,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2697 = tAND
+  { 2698,	6,	2,	50,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo332,0,nullptr },  // Inst #2698 = tASRri
+  { 2699,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2699 = tASRrr
+  { 2700,	3,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0xc80ULL, nullptr, nullptr, OperandInfo34,0,nullptr },  // Inst #2700 = tB
+  { 2701,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2701 = tBIC
+  { 2702,	1,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #2702 = tBKPT
+  { 2703,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0xc80ULL, ImplicitList2, ImplicitList3, OperandInfo340,0,nullptr },  // Inst #2703 = tBL
+  { 2704,	3,	0,	12,	4,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0xc80ULL, ImplicitList2, ImplicitList3, OperandInfo340,0,nullptr },  // Inst #2704 = tBLXi
+  { 2705,	3,	0,	12,	2,	0|(1<<MCID_Call)|(1<<MCID_Predicable), 0xc80ULL, ImplicitList2, ImplicitList3, OperandInfo341,0,nullptr },  // Inst #2705 = tBLXr
+  { 2706,	3,	0,	10,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2706 = tBRIND
+  { 2707,	3,	0,	14,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Terminator), 0x0ULL, nullptr, nullptr, OperandInfo342,0,nullptr },  // Inst #2707 = tBR_JTr
+  { 2708,	3,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_IndirectBranch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo33,0,nullptr },  // Inst #2708 = tBX
+  { 2709,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList3, OperandInfo35,0,nullptr },  // Inst #2709 = tBX_CALL
+  { 2710,	2,	0,	10,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator), 0x0ULL, nullptr, nullptr, OperandInfo39,0,nullptr },  // Inst #2710 = tBX_RET
+  { 2711,	3,	0,	10,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo343,0,nullptr },  // Inst #2711 = tBX_RET_vararg
+  { 2712,	3,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo34,0,nullptr },  // Inst #2712 = tBcc
+  { 2713,	3,	0,	14,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Branch)|(1<<MCID_Barrier)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList3, OperandInfo34,0,nullptr },  // Inst #2713 = tBfar
+  { 2714,	2,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo344,0,nullptr },  // Inst #2714 = tCBNZ
+  { 2715,	2,	0,	10,	2,	0|(1<<MCID_Branch)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo344,0,nullptr },  // Inst #2715 = tCBZ
+  { 2716,	4,	0,	242,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo345,0,nullptr },  // Inst #2716 = tCMNz
+  { 2717,	4,	0,	242,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, ImplicitList1, OperandInfo42,0,nullptr },  // Inst #2717 = tCMPhir
+  { 2718,	4,	0,	241,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo346,0,nullptr },  // Inst #2718 = tCMPi8
+  { 2719,	4,	0,	242,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo345,0,nullptr },  // Inst #2719 = tCMPr
+  { 2720,	2,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo7,0,nullptr },  // Inst #2720 = tCPS
+  { 2721,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2721 = tEOR
+  { 2722,	3,	0,	0,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo47,0,nullptr },  // Inst #2722 = tHINT
+  { 2723,	1,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #2723 = tHLT
+  { 2724,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, ImplicitList5, OperandInfo11,0,nullptr },  // Inst #2724 = tInt_eh_sjlj_longjmp
+  { 2725,	2,	0,	0,	0,	0|(1<<MCID_Barrier)|(1<<MCID_UsesCustomInserter)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, ImplicitList15, OperandInfo287,0,nullptr },  // Inst #2725 = tInt_eh_sjlj_setjmp
+  { 2726,	4,	0,	353,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo347,0,nullptr },  // Inst #2726 = tLDMIA
+  { 2727,	5,	1,	354,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Variadic), 0x0ULL, nullptr, nullptr, OperandInfo50,0,nullptr },  // Inst #2727 = tLDMIA_UPD
+  { 2728,	5,	1,	329,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc87ULL, nullptr, nullptr, OperandInfo348,0,nullptr },  // Inst #2728 = tLDRBi
+  { 2729,	5,	1,	333,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc87ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2729 = tLDRBr
+  { 2730,	5,	1,	329,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc88ULL, nullptr, nullptr, OperandInfo348,0,nullptr },  // Inst #2730 = tLDRHi
+  { 2731,	5,	1,	333,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc88ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2731 = tLDRHr
+  { 2732,	2,	1,	33,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo350,0,nullptr },  // Inst #2732 = tLDRLIT_ga_abs
+  { 2733,	2,	1,	34,	0,	0|(1<<MCID_Pseudo), 0x0ULL, nullptr, nullptr, OperandInfo350,0,nullptr },  // Inst #2733 = tLDRLIT_ga_pcrel
+  { 2734,	5,	1,	340,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc87ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2734 = tLDRSB
+  { 2735,	5,	1,	340,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc88ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2735 = tLDRSH
+  { 2736,	5,	1,	330,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc89ULL, nullptr, nullptr, OperandInfo348,0,nullptr },  // Inst #2736 = tLDRi
+  { 2737,	4,	1,	330,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc8aULL, nullptr, nullptr, OperandInfo339,0,nullptr },  // Inst #2737 = tLDRpci
+  { 2738,	3,	1,	327,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_MayLoad)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo83,0,nullptr },  // Inst #2738 = tLDRpci_pic
+  { 2739,	5,	1,	334,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0xc89ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2739 = tLDRr
+  { 2740,	5,	1,	330,	2,	0|(1<<MCID_FoldableAsLoad)|(1<<MCID_MayLoad)|(1<<MCID_Predicable), 0xc8aULL, nullptr, nullptr, OperandInfo351,0,nullptr },  // Inst #2740 = tLDRspi
+  { 2741,	4,	1,	259,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_Rematerializable), 0x0ULL, nullptr, nullptr, OperandInfo352,0,nullptr },  // Inst #2741 = tLEApcrel
+  { 2742,	5,	1,	259,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, nullptr, nullptr, OperandInfo353,0,nullptr },  // Inst #2742 = tLEApcrelJT
+  { 2743,	6,	2,	50,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo332,0,nullptr },  // Inst #2743 = tLSLri
+  { 2744,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2744 = tLSLrr
+  { 2745,	6,	2,	50,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo332,0,nullptr },  // Inst #2745 = tLSRri
+  { 2746,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2746 = tLSRrr
+  { 2747,	5,	1,	0,	0,	0|(1<<MCID_Pseudo)|(1<<MCID_Predicable)|(1<<MCID_UsesCustomInserter), 0x0ULL, nullptr, nullptr, OperandInfo354,0,nullptr },  // Inst #2747 = tMOVCCr_pseudo
+  { 2748,	2,	1,	48,	2,	0, 0xc80ULL, nullptr, ImplicitList1, OperandInfo287,0,nullptr },  // Inst #2748 = tMOVSr
+  { 2749,	5,	2,	41,	2,	0|(1<<MCID_MoveImm)|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo355,0,nullptr },  // Inst #2749 = tMOVi8
+  { 2750,	4,	1,	48,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo42,0,nullptr },  // Inst #2750 = tMOVr
+  { 2751,	6,	2,	51,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo356,0,nullptr },  // Inst #2751 = tMUL
+  { 2752,	5,	2,	53,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo357,0,nullptr },  // Inst #2752 = tMVN
+  { 2753,	6,	2,	260,	2,	0|(1<<MCID_Predicable)|(1<<MCID_Commutable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2753 = tORR
+  { 2754,	3,	1,	258,	2,	0|(1<<MCID_NotDuplicable), 0xc80ULL, nullptr, nullptr, OperandInfo358,0,nullptr },  // Inst #2754 = tPICADD
+  { 2755,	3,	0,	356,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0xc80ULL, ImplicitList2, ImplicitList2, OperandInfo359,0,nullptr },  // Inst #2755 = tPOP
+  { 2756,	3,	0,	357,	2,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_MayLoad)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraDefRegAllocReq), 0x0ULL, nullptr, nullptr, OperandInfo359,0,nullptr },  // Inst #2756 = tPOP_RET
+  { 2757,	3,	0,	376,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_UnmodeledSideEffects)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, ImplicitList2, ImplicitList2, OperandInfo359,0,nullptr },  // Inst #2757 = tPUSH
+  { 2758,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2758 = tREV
+  { 2759,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2759 = tREV16
+  { 2760,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2760 = tREVSH
+  { 2761,	6,	2,	49,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo331,0,nullptr },  // Inst #2761 = tROR
+  { 2762,	5,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo357,0,nullptr },  // Inst #2762 = tRSB
+  { 2763,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, ImplicitList1, nullptr, OperandInfo331,0,nullptr },  // Inst #2763 = tSBC
+  { 2764,	1,	0,	0,	2,	0|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo5,ARM_HasV8Ops,nullptr },  // Inst #2764 = tSETEND
+  { 2765,	5,	1,	375,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable)|(1<<MCID_Variadic)|(1<<MCID_ExtraSrcRegAllocReq), 0xc80ULL, nullptr, nullptr, OperandInfo360,0,nullptr },  // Inst #2765 = tSTMIA_UPD
+  { 2766,	5,	0,	363,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc87ULL, nullptr, nullptr, OperandInfo348,0,nullptr },  // Inst #2766 = tSTRBi
+  { 2767,	5,	0,	359,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc87ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2767 = tSTRBr
+  { 2768,	5,	0,	363,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc88ULL, nullptr, nullptr, OperandInfo348,0,nullptr },  // Inst #2768 = tSTRHi
+  { 2769,	5,	0,	359,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc88ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2769 = tSTRHr
+  { 2770,	5,	0,	364,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc89ULL, nullptr, nullptr, OperandInfo348,0,nullptr },  // Inst #2770 = tSTRi
+  { 2771,	5,	0,	358,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc89ULL, nullptr, nullptr, OperandInfo349,0,nullptr },  // Inst #2771 = tSTRr
+  { 2772,	5,	0,	364,	2,	0|(1<<MCID_MayStore)|(1<<MCID_Predicable), 0xc8aULL, nullptr, nullptr, OperandInfo351,0,nullptr },  // Inst #2772 = tSTRspi
+  { 2773,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo332,0,nullptr },  // Inst #2773 = tSUBi3
+  { 2774,	6,	2,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo333,0,nullptr },  // Inst #2774 = tSUBi8
+  { 2775,	6,	2,	258,	2,	0|(1<<MCID_Predicable)|(1<<MCID_HasOptionalDef), 0x40c80ULL, nullptr, nullptr, OperandInfo336,0,nullptr },  // Inst #2775 = tSUBrr
+  { 2776,	5,	1,	259,	2,	0|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo337,0,nullptr },  // Inst #2776 = tSUBspi
+  { 2777,	3,	0,	10,	2,	0|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, ImplicitList2, nullptr, OperandInfo47,0,nullptr },  // Inst #2777 = tSVC
+  { 2778,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2778 = tSXTB
+  { 2779,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2779 = tSXTH
+  { 2780,	3,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, nullptr, OperandInfo34,0,nullptr },  // Inst #2780 = tTAILJMPd
+  { 2781,	3,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Predicable)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, nullptr, OperandInfo34,0,nullptr },  // Inst #2781 = tTAILJMPdND
+  { 2782,	1,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Return)|(1<<MCID_Barrier)|(1<<MCID_Call)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0x0ULL, ImplicitList2, nullptr, OperandInfo122,0,nullptr },  // Inst #2782 = tTAILJMPr
+  { 2783,	0,	0,	10,	4,	0|(1<<MCID_Pseudo)|(1<<MCID_Call), 0x0ULL, ImplicitList2, ImplicitList8, nullptr,0,nullptr },  // Inst #2783 = tTPsoft
+  { 2784,	0,	0,	10,	2,	0|(1<<MCID_Barrier)|(1<<MCID_Terminator)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, nullptr,0,nullptr },  // Inst #2784 = tTRAP
+  { 2785,	4,	0,	263,	2,	0|(1<<MCID_Compare)|(1<<MCID_Predicable)|(1<<MCID_Commutable), 0xc80ULL, nullptr, ImplicitList1, OperandInfo345,0,nullptr },  // Inst #2785 = tTST
+  { 2786,	1,	0,	76,	2,	0|(1<<MCID_MayLoad)|(1<<MCID_MayStore)|(1<<MCID_UnmodeledSideEffects), 0xc80ULL, nullptr, nullptr, OperandInfo5,0,nullptr },  // Inst #2786 = tUDF
+  { 2787,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2787 = tUXTB
+  { 2788,	4,	1,	16,	2,	0|(1<<MCID_Predicable), 0xc80ULL, nullptr, nullptr, OperandInfo345,0,nullptr },  // Inst #2788 = tUXTH
 };
 
 
diff --git a/arch/ARM/ARMGenRegisterInfo.inc b/arch/ARM/ARMGenRegisterInfo.inc
index 1d79f8f..586fe22 100644
--- a/arch/ARM/ARMGenRegisterInfo.inc
+++ b/arch/ARM/ARMGenRegisterInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_ENUM
@@ -483,7 +483,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_MC_DESC
diff --git a/arch/ARM/ARMGenSubtargetInfo.inc b/arch/ARM/ARMGenSubtargetInfo.inc
index 01a03b4..e645113 100644
--- a/arch/ARM/ARMGenSubtargetInfo.inc
+++ b/arch/ARM/ARMGenSubtargetInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_SUBTARGETINFO_ENUM
@@ -48,26 +48,27 @@
 #define ARM_FeatureVFPOnlySP (1ULL << 32)
 #define ARM_FeatureVMLxForwarding (1ULL << 33)
 #define ARM_FeatureVirtualization (1ULL << 34)
-#define ARM_HasV4TOps (1ULL << 35)
-#define ARM_HasV5TEOps (1ULL << 36)
-#define ARM_HasV5TOps (1ULL << 37)
-#define ARM_HasV6MOps (1ULL << 38)
-#define ARM_HasV6Ops (1ULL << 39)
-#define ARM_HasV6T2Ops (1ULL << 40)
-#define ARM_HasV7Ops (1ULL << 41)
-#define ARM_HasV8Ops (1ULL << 42)
-#define ARM_ModeThumb (1ULL << 43)
-#define ARM_ProcA5 (1ULL << 44)
-#define ARM_ProcA7 (1ULL << 45)
-#define ARM_ProcA8 (1ULL << 46)
-#define ARM_ProcA9 (1ULL << 47)
-#define ARM_ProcA12 (1ULL << 48)
-#define ARM_ProcA15 (1ULL << 49)
-#define ARM_ProcA53 (1ULL << 50)
-#define ARM_ProcA57 (1ULL << 51)
-#define ARM_ProcKrait (1ULL << 52)
-#define ARM_ProcR5 (1ULL << 53)
-#define ARM_ProcSwift (1ULL << 54)
+#define ARM_FeatureZCZeroing (1ULL << 35)
+#define ARM_HasV4TOps (1ULL << 36)
+#define ARM_HasV5TEOps (1ULL << 37)
+#define ARM_HasV5TOps (1ULL << 38)
+#define ARM_HasV6MOps (1ULL << 39)
+#define ARM_HasV6Ops (1ULL << 40)
+#define ARM_HasV6T2Ops (1ULL << 41)
+#define ARM_HasV7Ops (1ULL << 42)
+#define ARM_HasV8Ops (1ULL << 43)
+#define ARM_ModeThumb (1ULL << 44)
+#define ARM_ProcA5 (1ULL << 45)
+#define ARM_ProcA7 (1ULL << 46)
+#define ARM_ProcA8 (1ULL << 47)
+#define ARM_ProcA9 (1ULL << 48)
+#define ARM_ProcA12 (1ULL << 49)
+#define ARM_ProcA15 (1ULL << 50)
+#define ARM_ProcA53 (1ULL << 51)
+#define ARM_ProcA57 (1ULL << 52)
+#define ARM_ProcKrait (1ULL << 53)
+#define ARM_ProcR5 (1ULL << 54)
+#define ARM_ProcSwift (1ULL << 55)
 
 #endif // GET_SUBTARGETINFO_ENUM
 
diff --git a/arch/ARM/ARMInstPrinter.c b/arch/ARM/ARMInstPrinter.c
index 4097bb8..8d2a1fe 100644
--- a/arch/ARM/ARMInstPrinter.c
+++ b/arch/ARM/ARMInstPrinter.c
@@ -155,10 +155,13 @@
 
 void ARM_getRegName(cs_struct *handle, int value)
 {
-	if (value == CS_OPT_SYNTAX_NOREGNAME)
+	if (value == CS_OPT_SYNTAX_NOREGNAME) {
 		handle->get_regname = getRegisterName2;
-	else
+		handle->reg_name = ARM_reg_name2;;
+	} else {
 		handle->get_regname = getRegisterName;
+		handle->reg_name = ARM_reg_name;;
+	}
 }
 
 /// translateShiftImm - Convert shift immediate from 0-31 to 1-32 for printing.
@@ -183,10 +186,10 @@
 	//assert (!(ShOpc == ARM_AM_ror && !ShImm) && "Cannot have ror #0");
 	SStream_concat0(O, ARM_AM_getShiftOpcStr(ShOpc));
 	if (MI->csh->detail) {
-			if (MI->csh->doing_mem)
-				MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].shift.type = (arm_shifter)ShOpc;
-			else
-				MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count - 1].shift.type = (arm_shifter)ShOpc;
+		if (MI->csh->doing_mem)
+			MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].shift.type = (arm_shifter)ShOpc;
+		else
+			MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count - 1].shift.type = (arm_shifter)ShOpc;
 	}
 
 	if (ShOpc != ARM_AM_rrx) {
@@ -296,7 +299,7 @@
 {
 	MCRegisterInfo *MRI = (MCRegisterInfo *)Info;
 
-	unsigned Opcode = MCInst_getOpcode(MI), tmp, i;
+	unsigned Opcode = MCInst_getOpcode(MI), tmp, i, pubOpcode;
 
 	switch(Opcode) {
 		// Check for HINT instructions w/ canonical names.
@@ -304,11 +307,11 @@
 		case ARM_tHINT:
 		case ARM_t2HINT:
 			switch (MCOperand_getImm(MCInst_getOperand(MI, 0))) {
-				case 0: SStream_concat0(O, "nop"); break;
-				case 1: SStream_concat0(O, "yield"); break;
-				case 2: SStream_concat0(O, "wfe"); break;
-				case 3: SStream_concat0(O, "wfi"); break;
-				case 4: SStream_concat0(O, "sev"); break;
+				case 0: SStream_concat0(O, "nop"); pubOpcode = ARM_INS_NOP; break;
+				case 1: SStream_concat0(O, "yield"); pubOpcode = ARM_INS_YIELD; break;
+				case 2: SStream_concat0(O, "wfe"); pubOpcode = ARM_INS_WFE; break;
+				case 3: SStream_concat0(O, "wfi"); pubOpcode = ARM_INS_WFI; break;
+				case 4: SStream_concat0(O, "sev"); pubOpcode = ARM_INS_SEV; break;
 				case 5:
 						// FIXME: HasV80Ops becomes a mode
 						//if ((ARM_getFeatureBits(MI->csh->mode) & ARM_HasV8Ops)) {
@@ -318,6 +321,7 @@
 						// Fallthrough for non-v8
 
 						SStream_concat0(O, "sevl");
+						pubOpcode = ARM_INS_SEVL;
 						break;
 				default:
 						// Anything else should just print normally.
@@ -326,7 +330,10 @@
 			}
 			printPredicateOperand(MI, 1, O);
 			if (Opcode == ARM_t2HINT)
-				SStream_concat0(O, ".w");	// FIXME: expose this in register-size of insn?
+				SStream_concat0(O, ".w");
+
+			MCInst_setOpcodePub(MI, pubOpcode);
+
 			return;
 
 			// Check for MOVs and print canonical forms, instead.
@@ -421,6 +428,7 @@
 								MCInst_getNumOperands(MI) > 5) {
 							// Should only print PUSH if there are at least two registers in the list.
 							SStream_concat0(O, "push");
+							MCInst_setOpcodePub(MI, ARM_INS_PUSH);
 							printPredicateOperand(MI, 2, O);
 							if (Opcode == ARM_t2STMDB_UPD)
 								SStream_concat0(O, ".w");
@@ -434,6 +442,7 @@
 						if (MCOperand_getReg(MCInst_getOperand(MI, 2)) == ARM_SP &&
 								MCOperand_getImm(MCInst_getOperand(MI, 3)) == -4) {
 							SStream_concat0(O, "push");
+							MCInst_setOpcodePub(MI, ARM_INS_PUSH);
 							printPredicateOperand(MI, 4, O);
 							SStream_concat0(O, "\t{");
 							printRegName(MI->csh, O, MCOperand_getReg(MCInst_getOperand(MI, 1)));
@@ -454,6 +463,7 @@
 								MCInst_getNumOperands(MI) > 5) {
 							// Should only print POP if there are at least two registers in the list.
 							SStream_concat0(O, "pop");
+							MCInst_setOpcodePub(MI, ARM_INS_POP);
 							printPredicateOperand(MI, 2, O);
 							if (Opcode == ARM_t2LDMIA_UPD)
 								SStream_concat0(O, ".w");
@@ -467,6 +477,7 @@
 						if (MCOperand_getReg(MCInst_getOperand(MI, 2)) == ARM_SP &&
 								MCOperand_getImm(MCInst_getOperand(MI, 4)) == 4) {
 							SStream_concat0(O, "pop");
+							MCInst_setOpcodePub(MI, ARM_INS_POP);
 							printPredicateOperand(MI, 5, O);
 							SStream_concat0(O, "\t{");
 							printRegName(MI->csh, O, MCOperand_getReg(MCInst_getOperand(MI, 0)));
@@ -485,6 +496,7 @@
 		case ARM_VSTMDDB_UPD:
 						if (MCOperand_getReg(MCInst_getOperand(MI, 0)) == ARM_SP) {
 							SStream_concat0(O, "vpush");
+							MCInst_setOpcodePub(MI, ARM_INS_VPUSH);
 							printPredicateOperand(MI, 2, O);
 							SStream_concat0(O, "\t");
 							printRegisterList(MI, 4, O);
@@ -497,6 +509,7 @@
 		case ARM_VLDMDIA_UPD:
 						if (MCOperand_getReg(MCInst_getOperand(MI, 0)) == ARM_SP) {
 							SStream_concat0(O, "vpop");
+							MCInst_setOpcodePub(MI, ARM_INS_VPOP);
 							printPredicateOperand(MI, 2, O);
 							SStream_concat0(O, "\t");
 							printRegisterList(MI, 4, O);
@@ -514,6 +527,7 @@
 							 }
 
 							 SStream_concat0(O, "ldm");
+							 MCInst_setOpcodePub(MI, ARM_INS_LDM);
 
 							 printPredicateOperand(MI, 1, O);
 							 SStream_concat0(O, "\t");
@@ -540,30 +554,30 @@
 		case ARM_STREXD:
 		case ARM_LDAEXD:
 		case ARM_STLEXD: {
-				    MCRegisterClass* MRC = MCRegisterInfo_getRegClass(MRI, ARM_GPRRegClassID);
-				    bool isStore = Opcode == ARM_STREXD || Opcode == ARM_STLEXD;
+				MCRegisterClass* MRC = MCRegisterInfo_getRegClass(MRI, ARM_GPRRegClassID);
+				bool isStore = Opcode == ARM_STREXD || Opcode == ARM_STLEXD;
 
-				    unsigned Reg = MCOperand_getReg(MCInst_getOperand(MI, isStore ? 1 : 0));
-				    if (MCRegisterClass_contains(MRC, Reg)) {
-						MCInst NewMI;
+				unsigned Reg = MCOperand_getReg(MCInst_getOperand(MI, isStore ? 1 : 0));
+				if (MCRegisterClass_contains(MRC, Reg)) {
+				    MCInst NewMI;
 
-						MCInst_Init(&NewMI);
-						MCInst_setOpcode(&NewMI, Opcode);
+				    MCInst_Init(&NewMI);
+				    MCInst_setOpcode(&NewMI, Opcode);
 
-						if (isStore)
-							MCInst_addOperand2(&NewMI, MCInst_getOperand(MI, 0));
+				    if (isStore)
+						MCInst_addOperand2(&NewMI, MCInst_getOperand(MI, 0));
 
-						MCOperand_CreateReg0(&NewMI, MCRegisterInfo_getMatchingSuperReg(MRI, Reg, ARM_gsub_0,
-									MCRegisterInfo_getRegClass(MRI, ARM_GPRPairRegClassID)));
+				    MCOperand_CreateReg0(&NewMI, MCRegisterInfo_getMatchingSuperReg(MRI, Reg, ARM_gsub_0,
+								MCRegisterInfo_getRegClass(MRI, ARM_GPRPairRegClassID)));
 
-						// Copy the rest operands into NewMI.
-						for(i = isStore ? 3 : 2; i < MCInst_getNumOperands(MI); ++i)
-							MCInst_addOperand2(&NewMI, MCInst_getOperand(MI, i));
+				    // Copy the rest operands into NewMI.
+				    for(i = isStore ? 3 : 2; i < MCInst_getNumOperands(MI); ++i)
+						MCInst_addOperand2(&NewMI, MCInst_getOperand(MI, i));
 
-						printInstruction(&NewMI, O, MRI);
-				   	 return;
-				    }
+				    printInstruction(&NewMI, O, MRI);
+				    return;
 				}
+		 }
 	}
 
 	//if (printAliasInstr(MI, O, MRI))
@@ -591,6 +605,8 @@
 			}
 		}
 	} else if (MCOperand_isImm(Op)) {
+		unsigned int opc = MCInst_getOpcode(MI);
+
 		imm = (int32_t)MCOperand_getImm(Op);
 
 		// relative branch only has relative offset, so we have to update it
@@ -598,12 +614,19 @@
 		// Note: in ARM, PC is always 2 instructions ahead, so we have to
 		// add 8 in ARM mode, or 4 in Thumb mode
 		// printf(">> opcode: %u\n", MCInst_getOpcode(MI));
-		if (ARM_rel_branch(MI->csh, MCInst_getOpcode(MI))) {
+		if (ARM_rel_branch(MI->csh, opc)) {
 			// only do this for relative branch
-			if (MI->csh->mode & CS_MODE_THUMB)
+			if (MI->csh->mode & CS_MODE_THUMB) {
 				imm += (int32_t)MI->address + 4;
-			else
+				if (ARM_blx_to_arm_mode(MI->csh, opc)) {
+					// here need to align down to the nearest 4-byte address
+#define _ALIGN_DOWN(v, align_width) ((v/align_width)*align_width)
+					imm = _ALIGN_DOWN(imm, 4);
+#undef _ALIGN_DOWN
+				}
+			} else {
 				imm += (int32_t)MI->address + 8;
+			}
 
 			if (imm >= 0) {
 				if (imm > HEX_THRESHOLD)
@@ -1295,16 +1318,33 @@
 static void printSetendOperand(MCInst *MI, unsigned OpNum, SStream *O)
 {
 	MCOperand *Op = MCInst_getOperand(MI, OpNum);
-	if (MCOperand_getImm(Op))
+	if (MCOperand_getImm(Op)) {
 		SStream_concat0(O, "be");
-	else
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_SETEND;
+			MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].setend = ARM_SETEND_BE;
+			MI->flat_insn->detail->arm.op_count++;
+		}
+	} else {
 		SStream_concat0(O, "le");
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_SETEND;
+			MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].setend = ARM_SETEND_LE;
+			MI->flat_insn->detail->arm.op_count++;
+		}
+	}
 }
 
 static void printCPSIMod(MCInst *MI, unsigned OpNum, SStream *O)
 {
 	MCOperand *Op = MCInst_getOperand(MI, OpNum);
-	SStream_concat0(O, ARM_PROC_IModToString((unsigned int)MCOperand_getImm(Op)));
+	unsigned int mode = (unsigned int)MCOperand_getImm(Op);
+
+	SStream_concat0(O, ARM_PROC_IModToString(mode));
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.cps_mode = mode;
+	}
 }
 
 static void printCPSIFlag(MCInst *MI, unsigned OpNum, SStream *O)
@@ -1312,105 +1352,141 @@
 	MCOperand *Op = MCInst_getOperand(MI, OpNum);
 	unsigned IFlags = (unsigned int)MCOperand_getImm(Op);
 	int i;
-	for (i=2; i >= 0; --i)
-		if (IFlags & (1 << i))
-			SStream_concat0(O, ARM_PROC_IFlagsToString(1 << i));
 
-	if (IFlags == 0)
+	for (i = 2; i >= 0; --i)
+		if (IFlags & (1 << i)) {
+			SStream_concat0(O, ARM_PROC_IFlagsToString(1 << i));
+		}
+
+	if (IFlags == 0) {
 		SStream_concat0(O, "none");
+		IFlags = ARM_CPSFLAG_NONE;
+	}
 
 	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_IMM;
-		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = IFlags;
-		MI->flat_insn->detail->arm.op_count++;
+		MI->flat_insn->detail->arm.cps_flag = IFlags;
 	}
 }
 
-// TODO
 static void printMSRMaskOperand(MCInst *MI, unsigned OpNum, SStream *O)
 {
 	MCOperand *Op = MCInst_getOperand(MI, OpNum);
-#if 0 // TODO once below is fixed
-	unsigned SpecRegRBit = (unsigned int)MCOperand_getImm(Op) >> 4;
-	unsigned Mask = (unsigned int)MCOperand_getImm(Op) & 0xf;
-#endif
+	unsigned SpecRegRBit = (unsigned)MCOperand_getImm(Op) >> 4;
+	unsigned Mask = MCOperand_getImm(Op) & 0xf;
+	unsigned reg;
 
-	// FIXME: FeatureMClass becomes mode??
-	//if (ARM_getFeatureBits(MI->csh->mode) & ARM_FeatureMClass) {
-	//if (true)
-	{
-		unsigned SYSm = (unsigned int)MCOperand_getImm(Op);
+	if (ARM_getFeatureBits(MI->csh->mode) & ARM_FeatureMClass) {
+		unsigned SYSm = (unsigned)MCOperand_getImm(Op);
 		unsigned Opcode = MCInst_getOpcode(MI);
 		// For reads of the special registers ignore the "mask encoding" bits
 		// which are only for writes.
 		if (Opcode == ARM_t2MRS_M)
 			SYSm &= 0xff;
 		switch (SYSm) {
-			default: return; //llvm_unreachable("Unexpected mask value!");
+			default: //llvm_unreachable("Unexpected mask value!");
 			case     0:
-			case 0x800: SStream_concat0(O, "apsr"); return; // with _nzcvq bits is an alias for aspr
-			case 0x400: SStream_concat0(O, "apsr_g"); return;
-			case 0xc00: SStream_concat0(O, "apsr_nzcvqg"); return;
+			case 0x800: SStream_concat0(O, "apsr"); ARM_addSysReg(MI, ARM_SYSREG_APSR); return; // with _nzcvq bits is an alias for aspr
+			case 0x400: SStream_concat0(O, "apsr_g"); ARM_addSysReg(MI, ARM_SYSREG_APSR_G); return;
+			case 0xc00: SStream_concat0(O, "apsr_nzcvqg"); ARM_addSysReg(MI, ARM_SYSREG_APSR_NZCVQG); return;
 			case     1:
-			case 0x801: SStream_concat0(O, "iapsr"); return; // with _nzcvq bits is an alias for iapsr
-			case 0x401: SStream_concat0(O, "iapsr_g"); return;
-			case 0xc01: SStream_concat0(O, "iapsr_nzcvqg"); return;
+			case 0x801: SStream_concat0(O, "iapsr"); ARM_addSysReg(MI, ARM_SYSREG_IAPSR); return; // with _nzcvq bits is an alias for iapsr
+			case 0x401: SStream_concat0(O, "iapsr_g"); ARM_addSysReg(MI, ARM_SYSREG_IAPSR_G); return;
+			case 0xc01: SStream_concat0(O, "iapsr_nzcvqg"); ARM_addSysReg(MI, ARM_SYSREG_IAPSR_NZCVQG); return;
 			case     2:
-			case 0x802: SStream_concat0(O, "eapsr"); return; // with _nzcvq bits is an alias for eapsr
-			case 0x402: SStream_concat0(O, "eapsr_g"); return;
-			case 0xc02: SStream_concat0(O, "eapsr_nzcvqg"); return;
+			case 0x802: SStream_concat0(O, "eapsr"); ARM_addSysReg(MI, ARM_SYSREG_EAPSR); return; // with _nzcvq bits is an alias for eapsr
+			case 0x402: SStream_concat0(O, "eapsr_g"); ARM_addSysReg(MI, ARM_SYSREG_EAPSR_G); return;
+			case 0xc02: SStream_concat0(O, "eapsr_nzcvqg"); ARM_addSysReg(MI, ARM_SYSREG_EAPSR_NZCVQG); return;
 			case     3:
-			case 0x803: SStream_concat0(O, "xpsr"); return; // with _nzcvq bits is an alias for xpsr
-			case 0x403: SStream_concat0(O, "xpsr_g"); return;
-			case 0xc03: SStream_concat0(O, "xpsr_nzcvqg"); return;
+			case 0x803: SStream_concat0(O, "xpsr"); ARM_addSysReg(MI, ARM_SYSREG_XPSR); return; // with _nzcvq bits is an alias for xpsr
+			case 0x403: SStream_concat0(O, "xpsr_g"); ARM_addSysReg(MI, ARM_SYSREG_XPSR_G); return;
+			case 0xc03: SStream_concat0(O, "xpsr_nzcvqg"); ARM_addSysReg(MI, ARM_SYSREG_XPSR_NZCVQG); return;
 			case     5:
-			case 0x805: SStream_concat0(O, "ipsr"); return;
+			case 0x805: SStream_concat0(O, "ipsr"); ARM_addSysReg(MI, ARM_SYSREG_IPSR); return;
 			case     6:
-			case 0x806: SStream_concat0(O, "epsr"); return;
+			case 0x806: SStream_concat0(O, "epsr"); ARM_addSysReg(MI, ARM_SYSREG_EPSR); return;
 			case     7:
-			case 0x807: SStream_concat0(O, "iepsr"); return;
+			case 0x807: SStream_concat0(O, "iepsr"); ARM_addSysReg(MI, ARM_SYSREG_IEPSR); return;
 			case     8:
-			case 0x808: SStream_concat0(O, "msp"); return;
+			case 0x808: SStream_concat0(O, "msp"); ARM_addSysReg(MI, ARM_SYSREG_MSP); return;
 			case     9:
-			case 0x809: SStream_concat0(O, "psp"); return;
+			case 0x809: SStream_concat0(O, "psp"); ARM_addSysReg(MI, ARM_SYSREG_PSP); return;
 			case  0x10:
-			case 0x810: SStream_concat0(O, "primask"); return;
+			case 0x810: SStream_concat0(O, "primask"); ARM_addSysReg(MI, ARM_SYSREG_PRIMASK); return;
 			case  0x11:
-			case 0x811: SStream_concat0(O, "basepri"); return;
+			case 0x811: SStream_concat0(O, "basepri"); ARM_addSysReg(MI, ARM_SYSREG_BASEPRI); return;
 			case  0x12:
-			case 0x812: SStream_concat0(O, "basepri_max"); return;
+			case 0x812: SStream_concat0(O, "basepri_max"); ARM_addSysReg(MI, ARM_SYSREG_BASEPRI_MAX); return;
 			case  0x13:
-			case 0x813: SStream_concat0(O, "faultmask"); return;
+			case 0x813: SStream_concat0(O, "faultmask"); ARM_addSysReg(MI, ARM_SYSREG_FAULTMASK); return;
 			case  0x14:
-			case 0x814: SStream_concat0(O, "control"); return;
+			case 0x814: SStream_concat0(O, "control"); ARM_addSysReg(MI, ARM_SYSREG_CONTROL); return;
 		}
 	}
-#if 0 // TODO once above is fixed
+
 	// As special cases, CPSR_f, CPSR_s and CPSR_fs prefer printing as
 	// APSR_nzcvq, APSR_g and APSRnzcvqg, respectively.
 	if (!SpecRegRBit && (Mask == 8 || Mask == 4 || Mask == 12)) {
-		SStream_concat0(O, "apsr_");
+		SStream_concat0(O, "APSR_");
 		switch (Mask) {
-			default: return; //llvm_unreachable("Unexpected mask value!");
-			case 4:  SStream_concat0(O, "g"); return;
-			case 8:  SStream_concat0(O, "nzcvq"); return;
-			case 12: SStream_concat0(O, "nzcvqg"); return;
+			default: // llvm_unreachable("Unexpected mask value!");
+			case 4:  SStream_concat0(O, "g"); ARM_addSysReg(MI, ARM_SYSREG_APSR_G); return;
+			case 8:  SStream_concat0(O, "nzcvq"); ARM_addSysReg(MI, ARM_SYSREG_APSR_NZCVQ); return;
+			case 12: SStream_concat0(O, "nzcvqg"); ARM_addSysReg(MI, ARM_SYSREG_APSR_NZCVQG); return;
 		}
 	}
 
-	if (SpecRegRBit)
-		SStream_concat0(O, "spsr");
-	else
-		SStream_concat0(O, "cpsr");
+	reg = 0;
+	if (SpecRegRBit) {
+		SStream_concat0(O, "SPSR");
+		if (Mask) {
+			SStream_concat0(O, "_");
+			if (Mask & 8) {
+				SStream_concat0(O, "f");
+				reg += ARM_SYSREG_SPSR_F;
+			}
 
-	if (Mask) {
-		SStream_concat0(O, "_");
-		if (Mask & 8) SStream_concat0(O, "f");
-		if (Mask & 4) SStream_concat0(O, "s");
-		if (Mask & 2) SStream_concat0(O, "x");
-		if (Mask & 1) SStream_concat0(O, "c");
+			if (Mask & 4) {
+				SStream_concat0(O, "s");
+				reg += ARM_SYSREG_SPSR_S;
+			}
+
+			if (Mask & 2) {
+				SStream_concat0(O, "x");
+				reg += ARM_SYSREG_SPSR_X;
+			}
+
+			if (Mask & 1) {
+				SStream_concat0(O, "c");
+				reg += ARM_SYSREG_SPSR_C;
+			}
+			ARM_addSysReg(MI, reg);
+		}
+	} else {
+		SStream_concat0(O, "CPSR");
+		if (Mask) {
+			SStream_concat0(O, "_");
+			if (Mask & 8) {
+				SStream_concat0(O, "f");
+				reg += ARM_SYSREG_CPSR_F;
+			}
+
+			if (Mask & 4) {
+				SStream_concat0(O, "s");
+				reg += ARM_SYSREG_CPSR_S;
+			}
+
+			if (Mask & 2) {
+				SStream_concat0(O, "x");
+				reg += ARM_SYSREG_CPSR_X;
+			}
+
+			if (Mask & 1) {
+				SStream_concat0(O, "c");
+				reg += ARM_SYSREG_CPSR_C;
+			}
+			ARM_addSysReg(MI, reg);
+		}
 	}
-#endif
 }
 
 static void printPredicateOperand(MCInst *MI, unsigned OpNum, SStream *O)
@@ -1444,9 +1520,9 @@
 	if (MCOperand_getReg(MCInst_getOperand(MI, OpNum))) {
 		//assert(MCOperand_getReg(MCInst_getOperand(MI, OpNum)) == ARM_CPSR &&
 		//       "Expect ARM CPSR register!");
+		SStream_concat0(O, "s");
 		if (MI->csh->detail)
 			MI->flat_insn->detail->arm.update_flags = true;
-		SStream_concat0(O, "s");
 	}
 }
 
@@ -1470,20 +1546,24 @@
 
 static void printPImmediate(MCInst *MI, unsigned OpNum, SStream *O)
 {
-	SStream_concat(O, "p%u", MCOperand_getImm(MCInst_getOperand(MI, OpNum)));
+	unsigned imm = (unsigned int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+
+	SStream_concat(O, "p%u", imm);
 	if (MI->csh->detail) {
 		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_PIMM;
-		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = (unsigned int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = imm;
 		MI->flat_insn->detail->arm.op_count++;
 	}
 }
 
 static void printCImmediate(MCInst *MI, unsigned OpNum, SStream *O)
 {
-	SStream_concat(O, "c%u", MCOperand_getImm(MCInst_getOperand(MI, OpNum)));
+	unsigned imm = (unsigned int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+
+	SStream_concat(O, "c%u", imm);
 	if (MI->csh->detail) {
 		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_CIMM;
-		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = (unsigned int)MCOperand_getImm(MCInst_getOperand(MI, OpNum));
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = imm;
 		MI->flat_insn->detail->arm.op_count++;
 	}
 }
@@ -1562,7 +1642,6 @@
 	}
 }
 
-// TODO
 static void printThumbITMask(MCInst *MI, unsigned OpNum, SStream *O)
 {
 	// (3 - the number of trailing zeros) is the number of then / else.
@@ -2038,9 +2117,7 @@
 	else
 		SStream_concat(O, "[%u]",tmp);
 	if (MI->csh->detail) {
-		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_IMM;
-		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = tmp;
-		MI->flat_insn->detail->arm.op_count++;
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count - 1].vector_index = tmp;
 	}
 }
 
@@ -2422,4 +2499,43 @@
 	SStream_concat0(O, "}");
 }
 
+void ARM_addVectorDataType(MCInst *MI, arm_vectordata_type vd)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.vector_data = vd;
+	}
+}
+
+void ARM_addVectorDataSize(MCInst *MI, int size)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.vector_size = size;
+	}
+}
+
+void ARM_addReg(MCInst *MI, int reg)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_REG;
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].reg = reg;
+		MI->flat_insn->detail->arm.op_count++;
+	}
+}
+
+void ARM_addUserMode(MCInst *MI)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.usermode = true;
+	}
+}
+
+void ARM_addSysReg(MCInst *MI, arm_sysreg reg)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_SYSREG;
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].reg = reg;
+		MI->flat_insn->detail->arm.op_count++;
+	}
+}
+
 #endif
diff --git a/arch/ARM/ARMInstPrinter.h b/arch/ARM/ARMInstPrinter.h
index 40847ba..169d610 100644
--- a/arch/ARM/ARMInstPrinter.h
+++ b/arch/ARM/ARMInstPrinter.h
@@ -27,4 +27,17 @@
 // setup handle->get_regname
 void ARM_getRegName(cs_struct *handle, int value);
 
+// specify vector data type for vector instructions
+void ARM_addVectorDataType(MCInst *MI, arm_vectordata_type vd);
+
+void ARM_addVectorDataSize(MCInst *MI, int size);
+
+void ARM_addReg(MCInst *MI, int reg);
+
+// load usermode registers (LDM, STM)
+void ARM_addUserMode(MCInst *MI);
+
+// sysreg for MRS/MSR
+void ARM_addSysReg(MCInst *MI, arm_sysreg reg);
+
 #endif
diff --git a/arch/ARM/ARMMapping.c b/arch/ARM/ARMMapping.c
index 479e313..d42df0f 100644
--- a/arch/ARM/ARMMapping.c
+++ b/arch/ARM/ARMMapping.c
@@ -127,6 +127,119 @@
 	{ ARM_REG_S30, "s30"},
 	{ ARM_REG_S31, "s31"},
 };
+static name_map reg_name_maps2[] = {
+	{ ARM_REG_INVALID, NULL },
+	{ ARM_REG_APSR, "apsr"},
+	{ ARM_REG_APSR_NZCV, "apsr_nzcv"},
+	{ ARM_REG_CPSR, "cpsr"},
+	{ ARM_REG_FPEXC, "fpexc"},
+	{ ARM_REG_FPINST, "fpinst"},
+	{ ARM_REG_FPSCR, "fpscr"},
+	{ ARM_REG_FPSCR_NZCV, "fpscr_nzcv"},
+	{ ARM_REG_FPSID, "fpsid"},
+	{ ARM_REG_ITSTATE, "itstate"},
+	{ ARM_REG_LR, "lr"},
+	{ ARM_REG_PC, "pc"},
+	{ ARM_REG_SP, "sp"},
+	{ ARM_REG_SPSR, "spsr"},
+	{ ARM_REG_D0, "d0"},
+	{ ARM_REG_D1, "d1"},
+	{ ARM_REG_D2, "d2"},
+	{ ARM_REG_D3, "d3"},
+	{ ARM_REG_D4, "d4"},
+	{ ARM_REG_D5, "d5"},
+	{ ARM_REG_D6, "d6"},
+	{ ARM_REG_D7, "d7"},
+	{ ARM_REG_D8, "d8"},
+	{ ARM_REG_D9, "d9"},
+	{ ARM_REG_D10, "d10"},
+	{ ARM_REG_D11, "d11"},
+	{ ARM_REG_D12, "d12"},
+	{ ARM_REG_D13, "d13"},
+	{ ARM_REG_D14, "d14"},
+	{ ARM_REG_D15, "d15"},
+	{ ARM_REG_D16, "d16"},
+	{ ARM_REG_D17, "d17"},
+	{ ARM_REG_D18, "d18"},
+	{ ARM_REG_D19, "d19"},
+	{ ARM_REG_D20, "d20"},
+	{ ARM_REG_D21, "d21"},
+	{ ARM_REG_D22, "d22"},
+	{ ARM_REG_D23, "d23"},
+	{ ARM_REG_D24, "d24"},
+	{ ARM_REG_D25, "d25"},
+	{ ARM_REG_D26, "d26"},
+	{ ARM_REG_D27, "d27"},
+	{ ARM_REG_D28, "d28"},
+	{ ARM_REG_D29, "d29"},
+	{ ARM_REG_D30, "d30"},
+	{ ARM_REG_D31, "d31"},
+	{ ARM_REG_FPINST2, "fpinst2"},
+	{ ARM_REG_MVFR0, "mvfr0"},
+	{ ARM_REG_MVFR1, "mvfr1"},
+	{ ARM_REG_MVFR2, "mvfr2"},
+	{ ARM_REG_Q0, "q0"},
+	{ ARM_REG_Q1, "q1"},
+	{ ARM_REG_Q2, "q2"},
+	{ ARM_REG_Q3, "q3"},
+	{ ARM_REG_Q4, "q4"},
+	{ ARM_REG_Q5, "q5"},
+	{ ARM_REG_Q6, "q6"},
+	{ ARM_REG_Q7, "q7"},
+	{ ARM_REG_Q8, "q8"},
+	{ ARM_REG_Q9, "q9"},
+	{ ARM_REG_Q10, "q10"},
+	{ ARM_REG_Q11, "q11"},
+	{ ARM_REG_Q12, "q12"},
+	{ ARM_REG_Q13, "q13"},
+	{ ARM_REG_Q14, "q14"},
+	{ ARM_REG_Q15, "q15"},
+	{ ARM_REG_R0, "r0"},
+	{ ARM_REG_R1, "r1"},
+	{ ARM_REG_R2, "r2"},
+	{ ARM_REG_R3, "r3"},
+	{ ARM_REG_R4, "r4"},
+	{ ARM_REG_R5, "r5"},
+	{ ARM_REG_R6, "r6"},
+	{ ARM_REG_R7, "r7"},
+	{ ARM_REG_R8, "r8"},
+	{ ARM_REG_R9, "r9"},
+	{ ARM_REG_R10, "r10"},
+	{ ARM_REG_R11, "r11"},
+	{ ARM_REG_R12, "r12"},
+	{ ARM_REG_S0, "s0"},
+	{ ARM_REG_S1, "s1"},
+	{ ARM_REG_S2, "s2"},
+	{ ARM_REG_S3, "s3"},
+	{ ARM_REG_S4, "s4"},
+	{ ARM_REG_S5, "s5"},
+	{ ARM_REG_S6, "s6"},
+	{ ARM_REG_S7, "s7"},
+	{ ARM_REG_S8, "s8"},
+	{ ARM_REG_S9, "s9"},
+	{ ARM_REG_S10, "s10"},
+	{ ARM_REG_S11, "s11"},
+	{ ARM_REG_S12, "s12"},
+	{ ARM_REG_S13, "s13"},
+	{ ARM_REG_S14, "s14"},
+	{ ARM_REG_S15, "s15"},
+	{ ARM_REG_S16, "s16"},
+	{ ARM_REG_S17, "s17"},
+	{ ARM_REG_S18, "s18"},
+	{ ARM_REG_S19, "s19"},
+	{ ARM_REG_S20, "s20"},
+	{ ARM_REG_S21, "s21"},
+	{ ARM_REG_S22, "s22"},
+	{ ARM_REG_S23, "s23"},
+	{ ARM_REG_S24, "s24"},
+	{ ARM_REG_S25, "s25"},
+	{ ARM_REG_S26, "s26"},
+	{ ARM_REG_S27, "s27"},
+	{ ARM_REG_S28, "s28"},
+	{ ARM_REG_S29, "s29"},
+	{ ARM_REG_S30, "s30"},
+	{ ARM_REG_S31, "s31"},
+};
 #endif
 
 const char *ARM_reg_name(csh handle, unsigned int reg)
@@ -141,6 +254,18 @@
 #endif
 }
 
+const char *ARM_reg_name2(csh handle, unsigned int reg)
+{
+#ifndef CAPSTONE_DIET
+	if (reg >= ARM_REG_MAX)
+		return NULL;
+
+	return reg_name_maps2[reg].name;
+#else
+	return NULL;
+#endif
+}
+
 static insn_map insns[] = {
 	// dummy item
 	{
@@ -835,12 +960,6 @@
 #endif
 	},
 	{
-		ARM_LDRD_PAIR, ARM_INS_LDRD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_ARM, ARM_GRP_V5TE, 0 }, 0, 0
-#endif
-	},
-	{
 		ARM_LDRD_POST, ARM_INS_LDRD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM_GRP_ARM, 0 }, 0, 0
@@ -2137,12 +2256,6 @@
 #endif
 	},
 	{
-		ARM_STRD_PAIR, ARM_INS_STRD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_ARM, ARM_GRP_V5TE, 0 }, 0, 0
-#endif
-	},
-	{
 		ARM_STRD_POST, ARM_INS_STRD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM_GRP_ARM, 0 }, 0, 0
@@ -2419,6 +2532,12 @@
 #endif
 	},
 	{
+		ARM_UDF, ARM_INS_UDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM_GRP_ARM, 0 }, 0, 0
+#endif
+	},
+	{
 		ARM_UDIV, ARM_INS_UDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM_GRP_ARM, 0 }, 0, 0
@@ -11049,13 +11168,13 @@
 	{
 		ARM_t2DMB, ARM_INS_DMB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_DATABARRIER, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_THUMB, ARM_GRP_DATABARRIER, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2DSB, ARM_INS_DSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_DATABARRIER, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_THUMB, ARM_GRP_DATABARRIER, 0 }, 0, 0
 #endif
 	},
 	{
@@ -11085,7 +11204,7 @@
 	{
 		ARM_t2ISB, ARM_INS_ISB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_DATABARRIER, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_THUMB, ARM_GRP_DATABARRIER, 0 }, 0, 0
 #endif
 	},
 	{
@@ -11139,49 +11258,49 @@
 	{
 		ARM_t2LDC2L_OFFSET, ARM_INS_LDC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2L_OPTION, ARM_INS_LDC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2L_POST, ARM_INS_LDC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2L_PRE, ARM_INS_LDC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2_OFFSET, ARM_INS_LDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2_OPTION, ARM_INS_LDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2_POST, ARM_INS_LDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2LDC2_PRE, ARM_INS_LDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -12309,49 +12428,49 @@
 	{
 		ARM_t2STC2L_OFFSET, ARM_INS_STC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2L_OPTION, ARM_INS_STC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2L_POST, ARM_INS_STC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2L_PRE, ARM_INS_STC2L,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2_OFFSET, ARM_INS_STC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2_OPTION, ARM_INS_STC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2_POST, ARM_INS_STC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
 		ARM_t2STC2_PRE, ARM_INS_STC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_PREV8, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_PREV8, ARM_GRP_THUMB2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -12757,6 +12876,12 @@
 #endif
 	},
 	{
+		ARM_t2UDF, ARM_INS_UDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM_GRP_THUMB2, 0 }, 0, 0
+#endif
+	},
+	{
 		ARM_t2UDIV, ARM_INS_UDIV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM_GRP_DIVIDE, ARM_GRP_THUMB2, 0 }, 0, 0
@@ -13035,7 +13160,7 @@
 	{
 		ARM_tBLXi, ARM_INS_BLX,
 #ifndef CAPSTONE_DIET
-		{ ARM_REG_SP, 0 }, { ARM_REG_LR, 0 }, { ARM_GRP_THUMB, ARM_GRP_V5T, 0 }, 0, 0
+		{ ARM_REG_SP, 0 }, { ARM_REG_LR, 0 }, { ARM_GRP_THUMB, ARM_GRP_V5T, ARM_GRP_NOTMCLASS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -13293,7 +13418,7 @@
 	{
 		ARM_tSETEND, ARM_INS_SETEND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { ARM_GRP_THUMB, ARM_GRP_THUMB1ONLY, 0 }, 0, 0
+		{ 0 }, { 0 }, { ARM_GRP_NOTMCLASS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -13399,6 +13524,12 @@
 #endif
 	},
 	{
+		ARM_tUDF, ARM_INS_UDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { ARM_GRP_THUMB, 0 }, 0, 0
+#endif
+	},
+	{
 		ARM_tUXTB, ARM_INS_UXTB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { ARM_GRP_THUMB, ARM_GRP_THUMB1ONLY, ARM_GRP_V6, 0 }, 0, 0
@@ -13677,6 +13808,7 @@
 	{ ARM_INS_UADD8, "uadd8" },
 	{ ARM_INS_UASX, "uasx" },
 	{ ARM_INS_UBFX, "ubfx" },
+	{ ARM_INS_UDF, "udf" },
 	{ ARM_INS_UDIV, "udiv" },
 	{ ARM_INS_UHADD16, "uhadd16" },
 	{ ARM_INS_UHADD8, "uhadd8" },
@@ -13873,6 +14005,16 @@
 	{ ARM_INS_MOVS, "movs" },
 	{ ARM_INS_POP, "pop" },
 	{ ARM_INS_PUSH, "push" },
+
+	// special instructions
+	{ ARM_INS_NOP, "nop" },
+	{ ARM_INS_YIELD, "yield" },
+	{ ARM_INS_WFE, "wfe" },
+	{ ARM_INS_WFI, "wfi" },
+	{ ARM_INS_SEV, "sev" },
+	{ ARM_INS_SEVL, "sevl" },
+	{ ARM_INS_VPUSH, "vpush" },
+	{ ARM_INS_VPOP, "vpop" },
 };
 #endif
 
@@ -13888,6 +14030,57 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ ARM_GRP_INVALID, NULL },
+	{ ARM_GRP_CRYPTO, "crypto" },
+	{ ARM_GRP_DATABARRIER, "databarrier" },
+	{ ARM_GRP_DIVIDE, "divide" },
+	{ ARM_GRP_FPARMV8, "fparmv8" },
+	{ ARM_GRP_MULTPRO, "multpro" },
+	{ ARM_GRP_NEON, "neon" },
+	{ ARM_GRP_T2EXTRACTPACK, "T2EXTRACTPACK" },
+	{ ARM_GRP_THUMB2DSP, "THUMB2DSP" },
+	{ ARM_GRP_TRUSTZONE, "TRUSTZONE" },
+	{ ARM_GRP_V4T, "v4t" },
+	{ ARM_GRP_V5T, "v5t" },
+	{ ARM_GRP_V5TE, "v5te" },
+	{ ARM_GRP_V6, "v6" },
+	{ ARM_GRP_V6T2, "v6t2" },
+	{ ARM_GRP_V7, "v7" },
+	{ ARM_GRP_V8, "v8" },
+	{ ARM_GRP_VFP2, "vfp2" },
+	{ ARM_GRP_VFP3, "vfp3" },
+	{ ARM_GRP_VFP4, "vfp4" },
+	{ ARM_GRP_ARM, "arm" },
+	{ ARM_GRP_MCLASS, "mclass" },
+	{ ARM_GRP_NOTMCLASS, "notmclass" },
+	{ ARM_GRP_THUMB, "thumb" },
+	{ ARM_GRP_THUMB1ONLY, "thumb1only" },
+	{ ARM_GRP_THUMB2, "thumb2" },
+	{ ARM_GRP_PREV8, "prev8" },
+	{ ARM_GRP_FPVMLX, "fpvmlx" },
+	{ ARM_GRP_MULOPS, "mulops" },
+	{ ARM_GRP_CRC, "crc" },
+	{ ARM_GRP_DPVFP, "dpvfp" },
+	{ ARM_GRP_V6M, "v6m" },
+
+	{ ARM_GRP_JUMP,	"jump" }
+};
+#endif
+
+const char *ARM_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= ARM_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 // list all relative branch instructions
 // ie: insns[i].branch && !insns[i].indirect_branch
 static unsigned int insn_rel[] = {
@@ -13903,7 +14096,11 @@
 	ARM_BL_pred,
 	ARM_BLXi,
 	ARM_tBL,
-	ARM_tB,
+	ARM_tBLXi,
+	0
+};
+
+static unsigned int insn_blx_rel_to_arm[] = {
 	ARM_tBLXi,
 	0
 };
@@ -13913,12 +14110,26 @@
 {
 	int i;
 
-	for (i = 0; insn_rel[i]; i++)
-		if (id == insn_rel[i])
+	for (i = 0; insn_rel[i]; i++) {
+		if (id == insn_rel[i]) {
+			return true;
+		}
+	}
+
+	// not found
+	return false;
+}
+
+bool ARM_blx_to_arm_mode(cs_struct *h, unsigned int id) {
+	int i;
+
+	for (i = 0; insn_blx_rel_to_arm[i]; i++)
+		if (id == insn_blx_rel_to_arm[i])
 			return true;
 
 	// not found
 	return false;
+
 }
 
 #endif
diff --git a/arch/ARM/ARMMapping.h b/arch/ARM/ARMMapping.h
index 7553e06..f537e55 100644
--- a/arch/ARM/ARMMapping.h
+++ b/arch/ARM/ARMMapping.h
@@ -9,13 +9,18 @@
 
 // return name of regiser in friendly string
 const char *ARM_reg_name(csh handle, unsigned int reg);
+const char *ARM_reg_name2(csh handle, unsigned int reg);
 
 // given internal insn id, return public instruction ID
 void ARM_get_insn_id(cs_struct *h, cs_insn *insn, unsigned int id);
 
 const char *ARM_insn_name(csh handle, unsigned int id);
 
+const char *ARM_group_name(csh handle, unsigned int id);
+
 // check if this insn is relative branch
 bool ARM_rel_branch(cs_struct *h, unsigned int insn_id);
 
+bool ARM_blx_to_arm_mode(cs_struct *h, unsigned int insn_id);
+
 #endif
diff --git a/arch/ARM/ARMModule.c b/arch/ARM/ARMModule.c
index 5c1a6d9..325b93e 100644
--- a/arch/ARM/ARMModule.c
+++ b/arch/ARM/ARMModule.c
@@ -15,7 +15,7 @@
 
 	// verify if requested mode is valid
 	if (ud->mode & ~(CS_MODE_LITTLE_ENDIAN | CS_MODE_ARM |
-				CS_MODE_THUMB | CS_MODE_BIG_ENDIAN))
+				CS_MODE_MCLASS | CS_MODE_THUMB | CS_MODE_BIG_ENDIAN))
 		return CS_ERR_MODE;
 
 	mri = cs_mem_malloc(sizeof(*mri));
@@ -28,6 +28,7 @@
 	ud->reg_name = ARM_reg_name;
 	ud->insn_id = ARM_get_insn_id;
 	ud->insn_name = ARM_insn_name;
+	ud->group_name = ARM_group_name;
 	ud->post_printer = ARM_post_printer;
 
 	if (ud->mode & CS_MODE_THUMB)
diff --git a/arch/Mips/MipsDisassembler.c b/arch/Mips/MipsDisassembler.c
index dc46c7b..c1caa7c 100644
--- a/arch/Mips/MipsDisassembler.c
+++ b/arch/Mips/MipsDisassembler.c
@@ -61,15 +61,15 @@
 static DecodeStatus DecodeFGR32RegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
-static DecodeStatus DecodeFGRH32RegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
-
 static DecodeStatus DecodeCCRRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
 static DecodeStatus DecodeFCCRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeFGRCCRegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeHWRegsRegisterClass(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -100,12 +100,21 @@
 static DecodeStatus DecodeMSACtrlRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeCOP2RegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeBranchTarget(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder);
 
 static DecodeStatus DecodeJumpTarget(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeBranchTarget21(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBranchTarget26(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder);
+
 // DecodeBranchTargetMM - Decode microMIPS branch offset, which is
 // shifted left by 1 bit.
 static DecodeStatus DecodeBranchTargetMM(MCInst *Inst,
@@ -131,6 +140,9 @@
 static DecodeStatus DecodeFMem(MCInst *Inst, unsigned Insn,
 		uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeSpecial3LlSc(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
 static DecodeStatus DecodeSimm16(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
@@ -145,6 +157,36 @@
 static DecodeStatus DecodeExtSize(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
 
+static DecodeStatus DecodeSimm19Lsl2(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeSimm18Lsl3(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+/// INSVE_[BHWD] have an implicit operand that the generated decoder doesn't
+/// handle.
+static DecodeStatus DecodeINSVE_DF_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeAddiGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeDaddiGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBlezlGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBgtzlGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBgtzGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+static DecodeStatus DecodeBlezGroupBranch_4(MCInst *MI,
+		uint32_t insn, uint64_t Address, MCRegisterInfo *Decoder);
+
+
 #define GET_SUBTARGETINFO_ENUM
 #include "MipsGenSubtargetInfo.inc"
 
@@ -156,25 +198,32 @@
 	// ref: MipsGenDisassemblerTables.inc::checkDecoderPredicate()
 	// some features are mutually execlusive
 	if (mode & CS_MODE_16) {
-		Bits &= ~Mips_FeatureMips32r2;
-		Bits &= ~Mips_FeatureMips32;
-		Bits &= ~Mips_FeatureFPIdx;
-		Bits &= ~Mips_FeatureBitCount;
-		Bits &= ~Mips_FeatureSwap;
-		Bits &= ~Mips_FeatureSEInReg;
-		Bits &= ~Mips_FeatureMips64r2;
-		Bits &= ~Mips_FeatureFP64Bit;
+		//Bits &= ~Mips_FeatureMips32r2;
+		//Bits &= ~Mips_FeatureMips32;
+		//Bits &= ~Mips_FeatureFPIdx;
+		//Bits &= ~Mips_FeatureBitCount;
+		//Bits &= ~Mips_FeatureSwap;
+		//Bits &= ~Mips_FeatureSEInReg;
+		//Bits &= ~Mips_FeatureMips64r2;
+		//Bits &= ~Mips_FeatureFP64Bit;
 	} else if (mode & CS_MODE_32) {
 		Bits &= ~Mips_FeatureMips16;
 		Bits &= ~Mips_FeatureFP64Bit;
+		Bits &= ~Mips_FeatureMips32r6;
+		Bits &= ~Mips_FeatureMips64r6;
 	} else if (mode & CS_MODE_64) {
 		Bits &= ~Mips_FeatureMips16;
+		Bits &= ~Mips_FeatureMips64r6;
+		Bits &= ~Mips_FeatureMips64r6;
 	}
 
-	if (mode & CS_MODE_MICRO)
+	if (mode & CS_MODE_MICRO) {
 		Bits |= Mips_FeatureMicroMips;
-	else
+		Bits &= ~Mips_FeatureMips4_32r2;
+		Bits &= ~Mips_FeatureMips2;
+	} else {
 		Bits &= ~Mips_FeatureMicroMips;
+	}
 
 	return Bits;
 }
@@ -200,9 +249,19 @@
 	// MipsRegStrings,
 	// MipsSubRegIdxLists, 12,
 	// MipsSubRegIdxRanges,   MipsRegEncodingTable);
-	MCRegisterInfo_InitMCRegisterInfo(MRI, MipsRegDesc, 317,
+
+	// InitMCRegisterInfo(MipsRegDesc, 386,
+	//		RA, PC,
+	//		MipsMCRegisterClasses, 47,
+	//		MipsRegUnitRoots, 265,
+	//		MipsRegDiffLists,
+	//		MipsRegStrings,
+	//		MipsSubRegIdxLists, 12,
+	//		MipsSubRegIdxRanges, MipsRegEncodingTable);
+
+	MCRegisterInfo_InitMCRegisterInfo(MRI, MipsRegDesc, 386,
 			0, 0, 
-			MipsMCRegisterClasses, 34,
+			MipsMCRegisterClasses, 47,
 			0, 0, 
 			MipsRegDiffLists,
 			0, 
@@ -254,8 +313,9 @@
 		// not enough data
 		return MCDisassembler_Fail;
 
-	if (instr->flat_insn->detail)
-		instr->flat_insn->detail->mips.op_count = 0;
+	if (instr->flat_insn->detail) {
+		memset(instr->flat_insn->detail, 0, sizeof(cs_detail));
+	}
 
 	Result = readInstruction32((unsigned char*)code, &Insn, isBigEndian,
 			mode & CS_MODE_MICRO);
@@ -272,6 +332,35 @@
 		return MCDisassembler_Fail;
 	}
 
+	if (((mode & CS_MODE_32) == 0) && ((mode & CS_MODE_MIPS3) == 0)) {	// COP3
+		// DEBUG(dbgs() << "Trying COP3_ table (32-bit opcodes):\n");
+		Result = decodeInstruction(DecoderTableCOP3_32, instr, Insn, Address, MRI, mode);
+		if (Result != MCDisassembler_Fail) {
+			*Size = 4;
+			return Result;
+		}
+	}
+
+	if (((mode & CS_MODE_MIPS32R6) != 0) && ((mode & CS_MODE_MIPSGP64) != 0)) {
+		// DEBUG(dbgs() << "Trying Mips32r6_64r6 (GPR64) table (32-bit opcodes):\n");
+		Result = decodeInstruction(DecoderTableMips32r6_64r6_GP6432, instr, Insn,
+				Address, MRI, mode);
+		if (Result != MCDisassembler_Fail) {
+			*Size = 4;
+			return Result;
+		}
+	}
+
+	if ((mode & CS_MODE_MIPS32R6) != 0) {
+		// DEBUG(dbgs() << "Trying Mips32r6_64r6 table (32-bit opcodes):\n");
+		Result = decodeInstruction(DecoderTableMips32r6_64r632, instr, Insn,
+				Address, MRI, mode);
+		if (Result != MCDisassembler_Fail) {
+			*Size = 4;
+			return Result;
+		}
+	}
+
 	// Calling the auto-generated decoder function.
 	Result = decodeInstruction(DecoderTableMips32, instr, Insn, Address, MRI, mode);
 	if (Result != MCDisassembler_Fail) {
@@ -306,8 +395,9 @@
 	if (Result == MCDisassembler_Fail)
 		return MCDisassembler_Fail;
 
-	if (instr->flat_insn->detail)
-		instr->flat_insn->detail->mips.op_count = 0;
+	if (instr->flat_insn->detail) {
+		memset(instr->flat_insn->detail, 0, sizeof(cs_detail));
+	}
 
 	// Calling the auto-generated decoder function.
 	Result = decodeInstruction(DecoderTableMips6432, instr, Insn, Address, MRI, mode);
@@ -346,6 +436,291 @@
 	return rc->RegsBegin[RegNo];
 }
 
+#define nullptr NULL
+
+static DecodeStatus DecodeINSVE_DF_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	typedef DecodeStatus (*DecodeFN)(MCInst *, unsigned, uint64_t, MCRegisterInfo *);
+	// The size of the n field depends on the element size
+	// The register class also depends on this.
+	uint32_t tmp = fieldFromInstruction(insn, 17, 5);
+	unsigned NSize = 0;
+	DecodeFN RegDecoder = nullptr;
+	if ((tmp & 0x18) == 0x00) { // INSVE_B
+		NSize = 4;
+		RegDecoder = DecodeMSA128BRegisterClass;
+	} else if ((tmp & 0x1c) == 0x10) { // INSVE_H
+		NSize = 3;
+		RegDecoder = DecodeMSA128HRegisterClass;
+	} else if ((tmp & 0x1e) == 0x18) { // INSVE_W
+		NSize = 2;
+		RegDecoder = DecodeMSA128WRegisterClass;
+	} else if ((tmp & 0x1f) == 0x1c) { // INSVE_D
+		NSize = 1;
+		RegDecoder = DecodeMSA128DRegisterClass;
+	} //else llvm_unreachable("Invalid encoding");
+
+	//assert(NSize != 0 && RegDecoder != nullptr);
+
+	// $wd
+	tmp = fieldFromInstruction(insn, 6, 5);
+	if (RegDecoder(MI, tmp, Address, Decoder) == MCDisassembler_Fail)
+		return MCDisassembler_Fail;
+	// $wd_in
+	if (RegDecoder(MI, tmp, Address, Decoder) == MCDisassembler_Fail)
+		return MCDisassembler_Fail;
+	// $n
+	tmp = fieldFromInstruction(insn, 16, NSize);
+	MCOperand_CreateImm0(MI, tmp);
+	// $ws
+	tmp = fieldFromInstruction(insn, 11, 5);
+	if (RegDecoder(MI, tmp, Address, Decoder) == MCDisassembler_Fail)
+		return MCDisassembler_Fail;
+	// $n2
+	MCOperand_CreateImm0(MI, 0);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeAddiGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the ADDI instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b001000 sssss ttttt iiiiiiiiiiiiiiii
+	//      BOVC if rs >= rt
+	//      BEQZALC if rs == 0 && rt != 0
+	//      BEQC if rs < rt && rs != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rs >= Rt) {
+		MCInst_setOpcode(MI, Mips_BOVC);
+		HasRs = true;
+	} else if (Rs != 0 && Rs < Rt) {
+		MCInst_setOpcode(MI, Mips_BEQC);
+		HasRs = true;
+	} else
+		MCInst_setOpcode(MI, Mips_BEQZALC);
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeDaddiGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the ADDI instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b011000 sssss ttttt iiiiiiiiiiiiiiii
+	//      BNVC if rs >= rt
+	//      BNEZALC if rs == 0 && rt != 0
+	//      BNEC if rs < rt && rs != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rs >= Rt) {
+		MCInst_setOpcode(MI, Mips_BNVC);
+		HasRs = true;
+	} else if (Rs != 0 && Rs < Rt) {
+		MCInst_setOpcode(MI, Mips_BNEC);
+		HasRs = true;
+	} else
+		MCInst_setOpcode(MI, Mips_BNEZALC);
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBlezlGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BLEZL instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b010110 sssss ttttt iiiiiiiiiiiiiiii
+	//      Invalid if rs == 0
+	//      BLEZC   if rs == 0  && rt != 0
+	//      BGEZC   if rs == rt && rt != 0
+	//      BGEC    if rs != rt && rs != 0  && rt != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rt == 0)
+		return MCDisassembler_Fail;
+	else if (Rs == 0)
+		MCInst_setOpcode(MI, Mips_BLEZC);
+	else if (Rs == Rt)
+		MCInst_setOpcode(MI, Mips_BGEZC);
+	else {
+		HasRs = true;
+		MCInst_setOpcode(MI, Mips_BGEC);
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBgtzlGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BGTZL instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b010111 sssss ttttt iiiiiiiiiiiiiiii
+	//      Invalid if rs == 0
+	//      BGTZC   if rs == 0  && rt != 0
+	//      BLTZC   if rs == rt && rt != 0
+	//      BLTC    if rs != rt && rs != 0  && rt != 0
+
+	bool HasRs = false;
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+
+	if (Rt == 0)
+		return MCDisassembler_Fail;
+	else if (Rs == 0)
+		MCInst_setOpcode(MI, Mips_BGTZC);
+	else if (Rs == Rt)
+		MCInst_setOpcode(MI, Mips_BLTZC);
+	else {
+		MCInst_setOpcode(MI, Mips_BLTC);
+		HasRs = true;
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBgtzGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BGTZ instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b000111 sssss ttttt iiiiiiiiiiiiiiii
+	//      BGTZ    if rt == 0
+	//      BGTZALC if rs == 0 && rt != 0
+	//      BLTZALC if rs != 0 && rs == rt
+	//      BLTUC   if rs != 0 && rs != rt
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+	bool HasRt = false;
+
+	if (Rt == 0) {
+		MCInst_setOpcode(MI, Mips_BGTZ);
+		HasRs = true;
+	} else if (Rs == 0) {
+		MCInst_setOpcode(MI, Mips_BGTZALC);
+		HasRt = true;
+	} else if (Rs == Rt) {
+		MCInst_setOpcode(MI, Mips_BLTZALC);
+		HasRs = true;
+	} else {
+		MCInst_setOpcode(MI, Mips_BLTUC);
+		HasRs = true;
+		HasRt = true;
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	if (HasRt)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBlezGroupBranch_4(MCInst *MI, uint32_t insn,
+		uint64_t Address, MCRegisterInfo *Decoder)
+{
+	// If we are called then we can assume that MIPS32r6/MIPS64r6 is enabled
+	// (otherwise we would have matched the BLEZL instruction from the earlier
+	// ISA's instead).
+	//
+	// We have:
+	//    0b000110 sssss ttttt iiiiiiiiiiiiiiii
+	//      Invalid   if rs == 0
+	//      BLEZALC   if rs == 0  && rt != 0
+	//      BGEZALC   if rs == rt && rt != 0
+	//      BGEUC     if rs != rt && rs != 0  && rt != 0
+
+	uint32_t Rs = fieldFromInstruction(insn, 21, 5);
+	uint32_t Rt = fieldFromInstruction(insn, 16, 5);
+	uint32_t Imm = (uint32_t)SignExtend64(fieldFromInstruction(insn, 0, 16), 16) << 2;
+	bool HasRs = false;
+
+	if (Rt == 0)
+		return MCDisassembler_Fail;
+	else if (Rs == 0)
+		MCInst_setOpcode(MI, Mips_BLEZALC);
+	else if (Rs == Rt)
+		MCInst_setOpcode(MI, Mips_BGEZALC);
+	else {
+		HasRs = true;
+		MCInst_setOpcode(MI, Mips_BGEUC);
+	}
+
+	if (HasRs)
+		MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rs));
+
+	MCOperand_CreateReg0(MI, getReg(Decoder, Mips_GPR32RegClassID, Rt));
+
+	MCOperand_CreateImm0(MI, Imm);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeCPU16RegsRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -419,19 +794,6 @@
 	return MCDisassembler_Success;
 }
 
-static DecodeStatus DecodeFGRH32RegisterClass(MCInst *Inst,
-		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
-{
-	unsigned Reg;
-
-	if (RegNo > 31)
-		return MCDisassembler_Fail;
-
-	Reg = getReg(Decoder, Mips_FGRH32RegClassID, RegNo);
-	MCOperand_CreateReg0(Inst, Reg);
-	return MCDisassembler_Success;
-}
-
 static DecodeStatus DecodeCCRRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -458,6 +820,19 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeFGRCCRegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	unsigned Reg;
+
+	if (RegNo > 31)
+		return MCDisassembler_Fail;
+
+	Reg = getReg(Decoder, Mips_FGRCCRegClassID, RegNo);
+	MCOperand_CreateReg0(Inst, Reg);
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeMem(MCInst *Inst,
 		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
 {
@@ -468,7 +843,7 @@
 	Reg = getReg(Decoder, Mips_GPR32RegClassID, Reg);
 	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
 
-	if(MCInst_getOpcode(Inst) == Mips_SC){
+	if (MCInst_getOpcode(Inst) == Mips_SC){
 		MCOperand_CreateReg0(Inst, Reg);
 	}
 
@@ -500,8 +875,7 @@
 	// .h - 2 bytes
 	// .w - 4 bytes
 	// .d - 8 bytes
-	switch(MCInst_getOpcode(Inst))
-	{
+	switch(MCInst_getOpcode(Inst)) {
 		default:
 			//assert (0 && "Unexpected instruction");
 			return MCDisassembler_Fail;
@@ -537,6 +911,9 @@
 	Reg = getReg(Decoder, Mips_GPR32RegClassID, Reg);
 	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
 
+	if (MCInst_getOpcode(Inst) == Mips_SC_MM)
+		MCOperand_CreateReg0(Inst, Reg);
+
 	MCOperand_CreateReg0(Inst, Reg);
 	MCOperand_CreateReg0(Inst, Base);
 	MCOperand_CreateImm0(Inst, Offset);
@@ -578,13 +955,37 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeSpecial3LlSc(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int64_t Offset = SignExtend64((Insn >> 7) & 0x1ff, 9);
+	unsigned Rt = fieldFromInstruction(Insn, 16, 5);
+	unsigned Base = fieldFromInstruction(Insn, 21, 5);
+
+	Rt = getReg(Decoder, Mips_GPR32RegClassID, Rt);
+	Base = getReg(Decoder, Mips_GPR32RegClassID, Base);
+
+	if (MCInst_getOpcode(Inst) == Mips_SC_R6 ||
+			MCInst_getOpcode(Inst) == Mips_SCD_R6) {
+		MCOperand_CreateReg0(Inst, Rt);
+	}
+
+	MCOperand_CreateReg0(Inst, Rt);
+	MCOperand_CreateReg0(Inst, Base);
+	MCOperand_CreateImm0(Inst, Offset);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeHWRegsRegisterClass(MCInst *Inst,
 		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
 {
 	// Currently only hardware register 29 is supported.
 	if (RegNo != 29)
 		return  MCDisassembler_Fail;
+
 	MCOperand_CreateReg0(Inst, Mips_HWR29);
+
 	return MCDisassembler_Success;
 }
 
@@ -598,6 +999,7 @@
 
 	Reg = getReg(Decoder, Mips_AFGR64RegClassID, RegNo /2);
 	MCOperand_CreateReg0(Inst, Reg);
+
 	return MCDisassembler_Success;
 }
 
@@ -624,6 +1026,7 @@
 
 	Reg = getReg(Decoder, Mips_HI32DSPRegClassID, RegNo);
 	MCOperand_CreateReg0(Inst, Reg);
+
 	return MCDisassembler_Success;
 }
 
@@ -637,6 +1040,7 @@
 
 	Reg = getReg(Decoder, Mips_LO32DSPRegClassID, RegNo);
 	MCOperand_CreateReg0(Inst, Reg);
+
 	return MCDisassembler_Success;
 }
 
@@ -710,12 +1114,26 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeCOP2RegisterClass(MCInst *Inst,
+		unsigned RegNo, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	unsigned Reg;
+
+	if (RegNo > 31)
+		return MCDisassembler_Fail;
+
+	Reg = getReg(Decoder, Mips_COP2RegClassID, RegNo);
+	MCOperand_CreateReg0(Inst, Reg);
+
+	return MCDisassembler_Success;
+}
+
 static DecodeStatus DecodeBranchTarget(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	unsigned BranchOffset = Offset & 0xffff;
-	BranchOffset = SignExtend32(BranchOffset << 2, 18) + 4;
+	int32_t BranchOffset = (SignExtend32(Offset, 16) << 2) + 4;
 	MCOperand_CreateImm0(Inst, BranchOffset);
+
 	return MCDisassembler_Success;
 }
 
@@ -724,15 +1142,35 @@
 {
 	unsigned JumpOffset = fieldFromInstruction(Insn, 0, 26) << 2;
 	MCOperand_CreateImm0(Inst, JumpOffset);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBranchTarget21(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int32_t BranchOffset = SignExtend32(Offset, 21) << 2;
+
+	MCOperand_CreateImm0(Inst, BranchOffset);
+
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeBranchTarget26(MCInst *Inst,
+		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	int32_t BranchOffset = SignExtend32(Offset, 26) << 2;
+
+	MCOperand_CreateImm0(Inst, BranchOffset);
 	return MCDisassembler_Success;
 }
 
 static DecodeStatus DecodeBranchTargetMM(MCInst *Inst,
 		unsigned Offset, uint64_t Address, MCRegisterInfo *Decoder)
 {
-	unsigned BranchOffset = Offset & 0xffff;
-	BranchOffset = SignExtend32(BranchOffset << 1, 18);
+	int32_t BranchOffset = SignExtend32(Offset, 16) << 1;
 	MCOperand_CreateImm0(Inst, BranchOffset);
+
 	return MCDisassembler_Success;
 }
 
@@ -741,6 +1179,7 @@
 {
 	unsigned JumpOffset = fieldFromInstruction(Insn, 0, 26) << 1;
 	MCOperand_CreateImm0(Inst, JumpOffset);
+
 	return MCDisassembler_Success;
 }
 
@@ -777,4 +1216,18 @@
 	return MCDisassembler_Success;
 }
 
+static DecodeStatus DecodeSimm19Lsl2(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 19) << 2);
+	return MCDisassembler_Success;
+}
+
+static DecodeStatus DecodeSimm18Lsl3(MCInst *Inst,
+		unsigned Insn, uint64_t Address, MCRegisterInfo *Decoder)
+{
+	MCOperand_CreateImm0(Inst, SignExtend32(Insn, 18) << 3);
+	return MCDisassembler_Success;
+}
+
 #endif
diff --git a/arch/Mips/MipsGenAsmWriter.inc b/arch/Mips/MipsGenAsmWriter.inc
index ac98211..5d4de76 100644
--- a/arch/Mips/MipsGenAsmWriter.inc
+++ b/arch/Mips/MipsGenAsmWriter.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,86 +25,90 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    7873U,	// DBG_VALUE
+    8918U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    7866U,	// BUNDLE
-    7883U,	// LIFETIME_START
-    7853U,	// LIFETIME_END
+    8911U,	// BUNDLE
+    8928U,	// LIFETIME_START
+    8898U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    12663U,	// ABSQ_S_PH
-    9521U,	// ABSQ_S_QB
-    15217U,	// ABSQ_S_W
-    16788525U,	// ADD
-    16789684U,	// ADDQH_PH
-    16789801U,	// ADDQH_R_PH
-    16792108U,	// ADDQH_R_W
-    16791711U,	// ADDQH_W
-    16789758U,	// ADDQ_PH
-    16789857U,	// ADDQ_S_PH
-    16792413U,	// ADDQ_S_W
-    16786897U,	// ADDSC
-    16785730U,	// ADDS_A_B
-    16786948U,	// ADDS_A_D
-    16788629U,	// ADDS_A_H
-    16791419U,	// ADDS_A_W
-    16786198U,	// ADDS_S_B
-    16787866U,	// ADDS_S_D
-    16789186U,	// ADDS_S_H
-    16792463U,	// ADDS_S_W
-    16786413U,	// ADDS_U_B
-    16788289U,	// ADDS_U_D
-    16789464U,	// ADDS_U_H
-    16792881U,	// ADDS_U_W
-    16786605U,	// ADDUH_QB
-    16786713U,	// ADDUH_R_QB
-    16789956U,	// ADDU_PH
-    16786818U,	// ADDU_QB
-    16789901U,	// ADDU_S_PH
-    16786759U,	// ADDU_S_QB
-    285221435U,	// ADDVI_B
-    285222825U,	// ADDVI_D
-    285224301U,	// ADDVI_H
-    285227300U,	// ADDVI_W
-    16786491U,	// ADDV_B
-    16788389U,	// ADDV_D
-    16789542U,	// ADDV_H
-    16792981U,	// ADDV_W
-    16786904U,	// ADDWC
-    16785712U,	// ADD_A_B
-    16786929U,	// ADD_A_D
-    16788611U,	// ADD_A_H
-    16791400U,	// ADD_A_W
-    16788525U,	// ADD_MM
-    16790163U,	// ADDi
-    16790163U,	// ADDi_MM
-    16791197U,	// ADDiu
-    16791197U,	// ADDiu_MM
-    16791172U,	// ADDu
-    16791172U,	// ADDu_MM
+    0U,	// LOAD_STACK_GUARD
+    21407U,	// ABSQ_S_PH
+    17795U,	// ABSQ_S_QB
+    24372U,	// ABSQ_S_W
+    33574461U,	// ADD
+    18064U,	// ADDIUPC
+    33575644U,	// ADDQH_PH
+    33575761U,	// ADDQH_R_PH
+    33578479U,	// ADDQH_R_W
+    33578082U,	// ADDQH_W
+    33575718U,	// ADDQ_PH
+    33575817U,	// ADDQ_S_PH
+    33578784U,	// ADDQ_S_W
+    33572524U,	// ADDSC
+    33571204U,	// ADDS_A_B
+    33572649U,	// ADDS_A_D
+    33574589U,	// ADDS_A_H
+    33577790U,	// ADDS_A_W
+    33571672U,	// ADDS_S_B
+    33573738U,	// ADDS_S_D
+    33575146U,	// ADDS_S_H
+    33578834U,	// ADDS_S_W
+    33571887U,	// ADDS_U_B
+    33574205U,	// ADDS_U_D
+    33575424U,	// ADDS_U_H
+    33579252U,	// ADDS_U_W
+    33572095U,	// ADDUH_QB
+    33572203U,	// ADDUH_R_QB
+    33575916U,	// ADDU_PH
+    33572308U,	// ADDU_QB
+    33575861U,	// ADDU_S_PH
+    33572249U,	// ADDU_S_QB
+    570442365U,	// ADDVI_B
+    570444081U,	// ADDVI_D
+    570445717U,	// ADDVI_H
+    570449127U,	// ADDVI_W
+    33571965U,	// ADDV_B
+    33574305U,	// ADDV_D
+    33575502U,	// ADDV_H
+    33579352U,	// ADDV_W
+    33572563U,	// ADDWC
+    33571186U,	// ADD_A_B
+    33572630U,	// ADD_A_D
+    33574571U,	// ADD_A_H
+    33577771U,	// ADD_A_W
+    33574461U,	// ADD_MM
+    33576129U,	// ADDi
+    33576129U,	// ADDi_MM
+    33577533U,	// ADDiu
+    33577533U,	// ADDiu_MM
+    33577487U,	// ADDu
+    33577487U,	// ADDu_MM
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
-    16788547U,	// AND
-    16788547U,	// AND64
-    285221294U,	// ANDI_B
-    16788547U,	// AND_MM
-    16791250U,	// AND_V
+    33576478U,	// ALIGN
+    18056U,	// ALUIPC
+    33574483U,	// AND
+    33574483U,	// AND64
+    570442224U,	// ANDI_B
+    33574483U,	// AND_MM
+    33577615U,	// AND_V
     0U,	// AND_V_D_PSEUDO
     0U,	// AND_V_H_PSEUDO
     0U,	// AND_V_W_PSEUDO
-    553661081U,	// ANDi
-    553661081U,	// ANDi64
-    553661081U,	// ANDi_MM
-    553659473U,	// APPEND
-    16786092U,	// ASUB_S_B
-    16787696U,	// ASUB_S_D
-    16789018U,	// ASUB_S_H
-    16792243U,	// ASUB_S_W
-    16786307U,	// ASUB_U_B
-    16788119U,	// ASUB_U_D
-    16789306U,	// ASUB_U_H
-    16792711U,	// ASUB_U_W
+    1107317959U,	// ANDi
+    1107317959U,	// ANDi64
+    1107317959U,	// ANDi_MM
+    1107316321U,	// APPEND
+    33571566U,	// ASUB_S_B
+    33573568U,	// ASUB_S_D
+    33574978U,	// ASUB_S_H
+    33578614U,	// ASUB_S_W
+    33571781U,	// ASUB_U_B
+    33574035U,	// ASUB_U_D
+    33575266U,	// ASUB_U_H
+    33579082U,	// ASUB_U_W
     0U,	// ATOMIC_CMP_SWAP_I16
     0U,	// ATOMIC_CMP_SWAP_I32
     0U,	// ATOMIC_CMP_SWAP_I64
@@ -137,1004 +141,1161 @@
     0U,	// ATOMIC_SWAP_I32
     0U,	// ATOMIC_SWAP_I64
     0U,	// ATOMIC_SWAP_I8
-    16786178U,	// AVER_S_B
-    16787846U,	// AVER_S_D
-    16789156U,	// AVER_S_H
-    16792443U,	// AVER_S_W
-    16786393U,	// AVER_U_B
-    16788269U,	// AVER_U_D
-    16789444U,	// AVER_U_H
-    16792861U,	// AVER_U_W
-    16786120U,	// AVE_S_B
-    16787778U,	// AVE_S_D
-    16789088U,	// AVE_S_H
-    16792325U,	// AVE_S_W
-    16786335U,	// AVE_U_B
-    16788201U,	// AVE_U_D
-    16789376U,	// AVE_U_H
-    16792793U,	// AVE_U_W
-    13981U,	// AddiuRxImmX16
-    79517U,	// AddiuRxPcImmX16
-    34616989U,	// AddiuRxRxImm16
-    1062557U,	// AddiuRxRxImmX16
-    2111133U,	// AddiuRxRyOffMemX16
-    147040U,	// AddiuSpImm16
-    212576U,	// AddiuSpImmX16
-    16791172U,	// AdduRxRyRz16
-    1059907U,	// AndRxRxRy16
+    33576239U,	// AUI
+    18049U,	// AUIPC
+    33571652U,	// AVER_S_B
+    33573718U,	// AVER_S_D
+    33575116U,	// AVER_S_H
+    33578814U,	// AVER_S_W
+    33571867U,	// AVER_U_B
+    33574185U,	// AVER_U_D
+    33575404U,	// AVER_U_H
+    33579232U,	// AVER_U_W
+    33571594U,	// AVE_S_B
+    33573650U,	// AVE_S_D
+    33575048U,	// AVE_S_H
+    33578696U,	// AVE_S_W
+    33571809U,	// AVE_U_B
+    33574117U,	// AVE_U_D
+    33575336U,	// AVE_U_H
+    33579164U,	// AVE_U_W
+    23101U,	// AddiuRxImmX16
+    154173U,	// AddiuRxPcImmX16
+    69229117U,	// AddiuRxRxImm16
+    2120253U,	// AddiuRxRxImmX16
+    4217405U,	// AddiuRxRyOffMemX16
+    287289U,	// AddiuSpImm16
+    418361U,	// AddiuSpImmX16
+    33577487U,	// AdduRxRyRz16
+    2117203U,	// AndRxRxRy16
     0U,	// B
-    553661382U,	// BALIGN
+    33577486U,	// BADDu
+    415061U,	// BAL
+    411192U,	// BALC
+    1107318301U,	// BALIGN
     0U,	// BAL_BR
-    11378U,	// BC1F
-    11378U,	// BC1F_MM
-    13902U,	// BC1T
-    13902U,	// BC1T_MM
-    285221363U,	// BCLRI_B
-    285222769U,	// BCLRI_D
-    285224245U,	// BCLRI_H
-    285227244U,	// BCLRI_W
-    16786059U,	// BCLR_B
-    16787620U,	// BCLR_D
-    16788985U,	// BCLR_H
-    16792159U,	// BCLR_W
-    16790583U,	// BEQ
-    16790583U,	// BEQ64
-    16790583U,	// BEQ_MM
-    15861U,	// BGEZ
-    15861U,	// BGEZ64
-    13065U,	// BGEZAL
-    13065U,	// BGEZAL_MM
-    15861U,	// BGEZ_MM
-    15905U,	// BGTZ
-    15905U,	// BGTZ64
-    15905U,	// BGTZ_MM
-    823140824U,	// BINSLI_B
-    823142230U,	// BINSLI_D
-    823143706U,	// BINSLI_H
-    823146705U,	// BINSLI_W
-    1091576427U,	// BINSL_B
-    1091577879U,	// BINSL_D
-    1091579276U,	// BINSL_H
-    1091582319U,	// BINSL_W
-    823140885U,	// BINSRI_B
-    823142275U,	// BINSRI_D
-    823143751U,	// BINSRI_H
-    823146750U,	// BINSRI_W
-    1091576475U,	// BINSR_B
-    1091578070U,	// BINSR_D
-    1091579401U,	// BINSR_H
-    1091582609U,	// BINSR_W
-    14106U,	// BITREV
-    15867U,	// BLEZ
-    15867U,	// BLEZ64
-    15867U,	// BLEZ_MM
-    15911U,	// BLTZ
-    15911U,	// BLTZ64
-    13073U,	// BLTZAL
-    13073U,	// BLTZAL_MM
-    15911U,	// BLTZ_MM
-    823140940U,	// BMNZI_B
-    1091581707U,	// BMNZ_V
-    823140932U,	// BMZI_B
-    1091581693U,	// BMZ_V
-    16788578U,	// BNE
-    16788578U,	// BNE64
-    285221302U,	// BNEGI_B
-    285222717U,	// BNEGI_D
-    285224193U,	// BNEGI_H
-    285227192U,	// BNEGI_W
-    16785814U,	// BNEG_B
-    16787237U,	// BNEG_D
-    16788713U,	// BNEG_H
-    16791631U,	// BNEG_W
-    16788578U,	// BNE_MM
-    9315U,	// BNZ_B
-    11288U,	// BNZ_D
-    12366U,	// BNZ_H
-    14084U,	// BNZ_V
-    15830U,	// BNZ_W
-    204937U,	// BPOSGE32
+    411171U,	// BC
+    25255U,	// BC1EQZ
+    20116U,	// BC1F
+    20116U,	// BC1F_MM
+    25239U,	// BC1NEZ
+    22995U,	// BC1T
+    22995U,	// BC1T_MM
+    25263U,	// BC2EQZ
+    25247U,	// BC2NEZ
+    570442293U,	// BCLRI_B
+    570444025U,	// BCLRI_D
+    570445661U,	// BCLRI_H
+    570449071U,	// BCLRI_W
+    33571533U,	// BCLR_B
+    33573492U,	// BCLR_D
+    33574945U,	// BCLR_H
+    33578530U,	// BCLR_W
+    33576621U,	// BEQ
+    33576621U,	// BEQ64
+    33572518U,	// BEQC
+    18016U,	// BEQZALC
+    18159U,	// BEQZC
+    33576621U,	// BEQ_MM
+    33572391U,	// BGEC
+    33572537U,	// BGEUC
+    25022U,	// BGEZ
+    25022U,	// BGEZ64
+    21855U,	// BGEZAL
+    17989U,	// BGEZALC
+    21855U,	// BGEZAL_MM
+    18138U,	// BGEZC
+    25022U,	// BGEZ_MM
+    25082U,	// BGTZ
+    25082U,	// BGTZ64
+    18025U,	// BGTZALC
+    18166U,	// BGTZC
+    25082U,	// BGTZ_MM
+    1646281242U,	// BINSLI_B
+    1646282974U,	// BINSLI_D
+    1646284610U,	// BINSLI_H
+    1646288020U,	// BINSLI_W
+    2183152301U,	// BINSL_B
+    2183154086U,	// BINSL_D
+    2183155636U,	// BINSL_H
+    2183159090U,	// BINSL_W
+    1646281303U,	// BINSRI_B
+    1646283019U,	// BINSRI_D
+    1646284655U,	// BINSRI_H
+    1646288065U,	// BINSRI_W
+    2183152349U,	// BINSR_B
+    2183154342U,	// BINSR_D
+    2183155761U,	// BINSR_H
+    2183159380U,	// BINSR_W
+    23255U,	// BITREV
+    22093U,	// BITSWAP
+    25028U,	// BLEZ
+    25028U,	// BLEZ64
+    17998U,	// BLEZALC
+    18145U,	// BLEZC
+    25028U,	// BLEZ_MM
+    33572531U,	// BLTC
+    33572544U,	// BLTUC
+    25088U,	// BLTZ
+    25088U,	// BLTZ64
+    21863U,	// BLTZAL
+    18034U,	// BLTZALC
+    21863U,	// BLTZAL_MM
+    18173U,	// BLTZC
+    25088U,	// BLTZ_MM
+    1646281358U,	// BMNZI_B
+    2183158472U,	// BMNZ_V
+    1646281350U,	// BMZI_B
+    2183158458U,	// BMZ_V
+    33574527U,	// BNE
+    33574527U,	// BNE64
+    33572397U,	// BNEC
+    570442232U,	// BNEGI_B
+    570443973U,	// BNEGI_D
+    570445609U,	// BNEGI_H
+    570449019U,	// BNEGI_W
+    33571288U,	// BNEG_B
+    33573037U,	// BNEG_D
+    33574673U,	// BNEG_H
+    33578002U,	// BNEG_W
+    18007U,	// BNEZALC
+    18152U,	// BNEZC
+    33574527U,	// BNE_MM
+    33572551U,	// BNVC
+    17573U,	// BNZ_B
+    19998U,	// BNZ_D
+    21110U,	// BNZ_H
+    23233U,	// BNZ_V
+    24985U,	// BNZ_W
+    33572557U,	// BOVC
+    409767U,	// BPOSGE32
     0U,	// BPOSGE32_PSEUDO
-    13035U,	// BREAK
-    13035U,	// BREAK_MM
-    823140799U,	// BSELI_B
+    21820U,	// BREAK
+    21820U,	// BREAK_MM
+    1646281217U,	// BSELI_B
     0U,	// BSEL_D_PSEUDO
     0U,	// BSEL_FD_PSEUDO
     0U,	// BSEL_FW_PSEUDO
     0U,	// BSEL_H_PSEUDO
-    1091581665U,	// BSEL_V
+    2183158430U,	// BSEL_V
     0U,	// BSEL_W_PSEUDO
-    285221417U,	// BSETI_B
-    285222807U,	// BSETI_D
-    285224283U,	// BSETI_H
-    285227282U,	// BSETI_W
-    16786275U,	// BSET_B
-    16787982U,	// BSET_D
-    16789274U,	// BSET_H
-    16792617U,	// BSET_W
-    9309U,	// BZ_B
-    11282U,	// BZ_D
-    12360U,	// BZ_H
-    14071U,	// BZ_V
-    15824U,	// BZ_W
-    50347540U,	// BeqzRxImm16
-    15892U,	// BeqzRxImmX16
-    139574U,	// Bimm16
-    205110U,	// BimmX16
-    50347521U,	// BnezRxImm16
-    15873U,	// BnezRxImmX16
-    7845U,	// Break16
-    278042U,	// Bteqz16
-    67122173U,	// BteqzT8CmpX16
-    67121861U,	// BteqzT8CmpiX16
-    67122772U,	// BteqzT8SltX16
-    67121879U,	// BteqzT8SltiX16
-    67122859U,	// BteqzT8SltiuX16
-    67122873U,	// BteqzT8SltuX16
-    212506U,	// BteqzX16
-    278023U,	// Btnez16
-    83899389U,	// BtnezT8CmpX16
-    83899077U,	// BtnezT8CmpiX16
-    83899988U,	// BtnezT8SltX16
-    83899095U,	// BtnezT8SltiX16
-    83900075U,	// BtnezT8SltiuX16
-    83900089U,	// BtnezT8SltuX16
-    212487U,	// BtnezX16
+    570442347U,	// BSETI_B
+    570444063U,	// BSETI_D
+    570445699U,	// BSETI_H
+    570449109U,	// BSETI_W
+    33571749U,	// BSET_B
+    33573854U,	// BSET_D
+    33575234U,	// BSET_H
+    33578988U,	// BSET_W
+    17567U,	// BZ_B
+    19982U,	// BZ_D
+    21104U,	// BZ_H
+    23220U,	// BZ_V
+    24979U,	// BZ_W
+    100688357U,	// BeqzRxImm16
+    25061U,	// BeqzRxImmX16
+    278904U,	// Bimm16
+    409976U,	// BimmX16
+    100688330U,	// BnezRxImm16
+    25034U,	// BnezRxImmX16
+    8890U,	// Break16
+    549363U,	// Bteqz16
+    134239853U,	// BteqzT8CmpX16
+    134239492U,	// BteqzT8CmpiX16
+    134240735U,	// BteqzT8SltX16
+    134239522U,	// BteqzT8SltiX16
+    134240843U,	// BteqzT8SltiuX16
+    134240879U,	// BteqzT8SltuX16
+    418291U,	// BteqzX16
+    549336U,	// Btnez16
+    167794285U,	// BtnezT8CmpX16
+    167793924U,	// BtnezT8CmpiX16
+    167795167U,	// BtnezT8SltX16
+    167793954U,	// BtnezT8SltiX16
+    167795275U,	// BtnezT8SltiuX16
+    167795311U,	// BtnezT8SltuX16
+    418264U,	// BtnezX16
     0U,	// BuildPairF64
     0U,	// BuildPairF64_64
-    10184U,	// CEIL_L_D64
-    13682U,	// CEIL_L_S
-    11244U,	// CEIL_W_D32
-    11244U,	// CEIL_W_D64
-    11244U,	// CEIL_W_MM
-    13864U,	// CEIL_W_S
-    13864U,	// CEIL_W_S_MM
-    16785890U,	// CEQI_B
-    16787296U,	// CEQI_D
-    16788772U,	// CEQI_H
-    16791771U,	// CEQI_W
-    16786044U,	// CEQ_B
-    16787561U,	// CEQ_D
-    16788963U,	// CEQ_H
-    16792047U,	// CEQ_W
-    8227U,	// CFC1
-    8227U,	// CFC1_MM
-    8480U,	// CFCMSA
-    16786129U,	// CLEI_S_B
-    16787787U,	// CLEI_S_D
-    16789097U,	// CLEI_S_H
-    16792334U,	// CLEI_S_W
-    285221800U,	// CLEI_U_B
-    285223666U,	// CLEI_U_D
-    285224841U,	// CLEI_U_H
-    285228258U,	// CLEI_U_W
-    16786111U,	// CLE_S_B
-    16787769U,	// CLE_S_D
-    16789079U,	// CLE_S_H
-    16792316U,	// CLE_S_W
-    16786326U,	// CLE_U_B
-    16788192U,	// CLE_U_D
-    16789367U,	// CLE_U_H
-    16792784U,	// CLE_U_W
-    13269U,	// CLO
-    13269U,	// CLO_MM
-    16786149U,	// CLTI_S_B
-    16787807U,	// CLTI_S_D
-    16789117U,	// CLTI_S_H
-    16792354U,	// CLTI_S_W
-    285221820U,	// CLTI_U_B
-    285223686U,	// CLTI_U_D
-    285224861U,	// CLTI_U_H
-    285228278U,	// CLTI_U_W
-    16786217U,	// CLT_S_B
-    16787885U,	// CLT_S_D
-    16789205U,	// CLT_S_H
-    16792482U,	// CLT_S_W
-    16786444U,	// CLT_U_B
-    16788320U,	// CLT_U_D
-    16789495U,	// CLT_U_H
-    16792912U,	// CLT_U_W
-    15887U,	// CLZ
-    15887U,	// CLZ_MM
-    16786651U,	// CMPGDU_EQ_QB
-    16786556U,	// CMPGDU_LE_QB
-    16786770U,	// CMPGDU_LT_QB
-    16786665U,	// CMPGU_EQ_QB
-    16786570U,	// CMPGU_LE_QB
-    16786784U,	// CMPGU_LT_QB
-    9462U,	// CMPU_EQ_QB
-    9367U,	// CMPU_LE_QB
-    9581U,	// CMPU_LT_QB
-    12551U,	// CMP_EQ_PH
-    12447U,	// CMP_LE_PH
-    12720U,	// CMP_LT_PH
-    7915U,	// CONSTPOOL_ENTRY
+    36472U,	// CACHE
+    36472U,	// CACHE_R6
+    18768U,	// CEIL_L_D64
+    22608U,	// CEIL_L_S
+    19944U,	// CEIL_W_D32
+    19944U,	// CEIL_W_D64
+    19944U,	// CEIL_W_MM
+    22930U,	// CEIL_W_S
+    22930U,	// CEIL_W_S_MM
+    33571364U,	// CEQI_B
+    33573096U,	// CEQI_D
+    33574732U,	// CEQI_H
+    33578142U,	// CEQI_W
+    33571518U,	// CEQ_B
+    33573399U,	// CEQ_D
+    33574923U,	// CEQ_H
+    33578418U,	// CEQ_W
+    16437U,	// CFC1
+    16437U,	// CFC1_MM
+    16738U,	// CFCMSA
+    1107321457U,	// CINS
+    1107321413U,	// CINS32
+    19404U,	// CLASS_D
+    22782U,	// CLASS_S
+    33571603U,	// CLEI_S_B
+    33573659U,	// CLEI_S_D
+    33575057U,	// CLEI_S_H
+    33578705U,	// CLEI_S_W
+    570442730U,	// CLEI_U_B
+    570445038U,	// CLEI_U_D
+    570446257U,	// CLEI_U_H
+    570450085U,	// CLEI_U_W
+    33571585U,	// CLE_S_B
+    33573641U,	// CLE_S_D
+    33575039U,	// CLE_S_H
+    33578687U,	// CLE_S_W
+    33571800U,	// CLE_U_B
+    33574108U,	// CLE_U_D
+    33575327U,	// CLE_U_H
+    33579155U,	// CLE_U_W
+    22068U,	// CLO
+    22068U,	// CLO_MM
+    22068U,	// CLO_R6
+    33571623U,	// CLTI_S_B
+    33573679U,	// CLTI_S_D
+    33575077U,	// CLTI_S_H
+    33578725U,	// CLTI_S_W
+    570442750U,	// CLTI_U_B
+    570445058U,	// CLTI_U_D
+    570446277U,	// CLTI_U_H
+    570450105U,	// CLTI_U_W
+    33571691U,	// CLT_S_B
+    33573757U,	// CLT_S_D
+    33575165U,	// CLT_S_H
+    33578853U,	// CLT_S_W
+    33571918U,	// CLT_U_B
+    33574236U,	// CLT_U_D
+    33575455U,	// CLT_U_H
+    33579283U,	// CLT_U_W
+    25056U,	// CLZ
+    25056U,	// CLZ_MM
+    25056U,	// CLZ_R6
+    33572141U,	// CMPGDU_EQ_QB
+    33572046U,	// CMPGDU_LE_QB
+    33572260U,	// CMPGDU_LT_QB
+    33572155U,	// CMPGU_EQ_QB
+    33572060U,	// CMPGU_LE_QB
+    33572274U,	// CMPGU_LT_QB
+    17736U,	// CMPU_EQ_QB
+    17641U,	// CMPU_LE_QB
+    17855U,	// CMPU_LT_QB
+    33573388U,	// CMP_EQ_D
+    21295U,	// CMP_EQ_PH
+    33577145U,	// CMP_EQ_S
+    33572958U,	// CMP_F_D
+    33576956U,	// CMP_F_S
+    33572802U,	// CMP_LE_D
+    21191U,	// CMP_LE_PH
+    33576877U,	// CMP_LE_S
+    33573879U,	// CMP_LT_D
+    21464U,	// CMP_LT_PH
+    33577240U,	// CMP_LT_S
+    33572976U,	// CMP_SAF_D
+    33576966U,	// CMP_SAF_S
+    33573415U,	// CMP_SEQ_D
+    33577164U,	// CMP_SEQ_S
+    33572839U,	// CMP_SLE_D
+    33576906U,	// CMP_SLE_S
+    33573906U,	// CMP_SLT_D
+    33577259U,	// CMP_SLT_S
+    33573463U,	// CMP_SUEQ_D
+    33577195U,	// CMP_SUEQ_S
+    33572887U,	// CMP_SULE_D
+    33576937U,	// CMP_SULE_S
+    33573954U,	// CMP_SULT_D
+    33577290U,	// CMP_SULT_S
+    33573345U,	// CMP_SUN_D
+    33577118U,	// CMP_SUN_S
+    33573443U,	// CMP_UEQ_D
+    33577184U,	// CMP_UEQ_S
+    33572867U,	// CMP_ULE_D
+    33576926U,	// CMP_ULE_S
+    33573934U,	// CMP_ULT_D
+    33577279U,	// CMP_ULT_S
+    33573327U,	// CMP_UN_D
+    33577108U,	// CMP_UN_S
+    8976U,	// CONSTPOOL_ENTRY
     0U,	// COPY_FD_PSEUDO
     0U,	// COPY_FW_PSEUDO
-    2516591440U,	// COPY_S_B
-    2516593130U,	// COPY_S_D
-    2516594439U,	// COPY_S_H
-    2516597738U,	// COPY_S_W
-    2516591655U,	// COPY_U_B
-    2516593553U,	// COPY_U_D
-    2516594706U,	// COPY_U_H
-    2516598145U,	// COPY_U_W
-    344126U,	// CTC1
-    344126U,	// CTC1_MM
-    8488U,	// CTCMSA
-    13567U,	// CVT_D32_S
-    14263U,	// CVT_D32_W
-    14263U,	// CVT_D32_W_MM
-    13042U,	// CVT_D64_L
-    13567U,	// CVT_D64_S
-    14263U,	// CVT_D64_W
-    13567U,	// CVT_D_S_MM
-    10205U,	// CVT_L_D64
-    10205U,	// CVT_L_D64_MM
-    13703U,	// CVT_L_S
-    13703U,	// CVT_L_S_MM
-    10471U,	// CVT_S_D32
-    10471U,	// CVT_S_D32_MM
-    10471U,	// CVT_S_D64
-    13051U,	// CVT_S_L
-    15018U,	// CVT_S_W
-    15018U,	// CVT_S_W_MM
-    11265U,	// CVT_W_D32
-    11265U,	// CVT_W_D64
-    11265U,	// CVT_W_MM
-    13885U,	// CVT_W_S
-    13885U,	// CVT_W_S_MM
-    10336U,	// C_EQ_D32
-    10336U,	// C_EQ_D64
-    13744U,	// C_EQ_S
-    9974U,	// C_F_D32
-    9974U,	// C_F_D64
-    13630U,	// C_F_S
-    9861U,	// C_LE_D32
-    9861U,	// C_LE_D64
-    13594U,	// C_LE_S
-    10783U,	// C_LT_D32
-    10783U,	// C_LT_D64
-    13786U,	// C_LT_S
-    9852U,	// C_NGE_D32
-    9852U,	// C_NGE_D64
-    13585U,	// C_NGE_S
-    9877U,	// C_NGLE_D32
-    9877U,	// C_NGLE_D64
-    13602U,	// C_NGLE_S
-    10214U,	// C_NGL_D32
-    10214U,	// C_NGL_D64
-    13712U,	// C_NGL_S
-    10774U,	// C_NGT_D32
-    10774U,	// C_NGT_D64
-    13777U,	// C_NGT_S
-    9887U,	// C_OLE_D32
-    9887U,	// C_OLE_D64
-    13612U,	// C_OLE_S
-    10799U,	// C_OLT_D32
-    10799U,	// C_OLT_D64
-    13794U,	// C_OLT_S
-    10352U,	// C_SEQ_D32
-    10352U,	// C_SEQ_D64
-    13752U,	// C_SEQ_S
-    10005U,	// C_SF_D32
-    10005U,	// C_SF_D64
-    13637U,	// C_SF_S
-    10369U,	// C_UEQ_D32
-    10369U,	// C_UEQ_D64
-    13761U,	// C_UEQ_S
-    9904U,	// C_ULE_D32
-    9904U,	// C_ULE_D64
-    13621U,	// C_ULE_S
-    10816U,	// C_ULT_D32
-    10816U,	// C_ULT_D64
-    13803U,	// C_ULT_S
-    10296U,	// C_UN_D32
-    10296U,	// C_UN_D64
-    13728U,	// C_UN_S
-    13309U,	// CmpRxRy16
-    117453509U,	// CmpiRxImm16
-    12997U,	// CmpiRxImmX16
-    212594U,	// Constant32
-    16788524U,	// DADD
-    16790162U,	// DADDi
-    16791196U,	// DADDiu
-    16791171U,	// DADDu
-    13268U,	// DCLO
-    15886U,	// DCLZ
-    7919U,	// DERET
-    7919U,	// DERET_MM
-    553664142U,	// DEXT
-    553664129U,	// DEXTM
-    553664155U,	// DEXTU
-    209557U,	// DI
-    553664136U,	// DINS
-    553664122U,	// DINSM
-    553664148U,	// DINSU
-    16786238U,	// DIV_S_B
-    16787928U,	// DIV_S_D
-    16789226U,	// DIV_S_H
-    16792525U,	// DIV_S_W
-    16786453U,	// DIV_U_B
-    16788351U,	// DIV_U_D
-    16789504U,	// DIV_U_H
-    16792943U,	// DIV_U_W
-    209557U,	// DI_MM
-    16785690U,	// DLSA
-    553656329U,	// DMFC0
-    8233U,	// DMFC1
-    553656504U,	// DMFC2
-    553656336U,	// DMTC0
-    344132U,	// DMTC1
-    553656511U,	// DMTC2
-    13918U,	// DMULT
-    14021U,	// DMULTu
-    16787836U,	// DOTP_S_D
-    16789146U,	// DOTP_S_H
-    16792393U,	// DOTP_S_W
-    16788259U,	// DOTP_U_D
-    16789434U,	// DOTP_U_H
-    16792851U,	// DOTP_U_W
-    1091578149U,	// DPADD_S_D
-    1091579459U,	// DPADD_S_H
-    1091582696U,	// DPADD_S_W
-    1091578572U,	// DPADD_U_D
-    1091579747U,	// DPADD_U_H
-    1091583164U,	// DPADD_U_W
-    16790015U,	// DPAQX_SA_W_PH
-    16790098U,	// DPAQX_S_W_PH
-    16791853U,	// DPAQ_SA_L_W
-    16790057U,	// DPAQ_S_W_PH
-    16790297U,	// DPAU_H_QBL
-    16790593U,	// DPAU_H_QBR
-    16790136U,	// DPAX_W_PH
-    16790005U,	// DPA_W_PH
-    16790030U,	// DPSQX_SA_W_PH
-    16790112U,	// DPSQX_S_W_PH
-    16791866U,	// DPSQ_SA_L_W
-    16790085U,	// DPSQ_S_W_PH
-    1091578116U,	// DPSUB_S_D
-    1091579438U,	// DPSUB_S_H
-    1091582663U,	// DPSUB_S_W
-    1091578539U,	// DPSUB_U_D
-    1091579726U,	// DPSUB_U_H
-    1091583131U,	// DPSUB_U_W
-    16790309U,	// DPSU_H_QBL
-    16790605U,	// DPSU_H_QBR
-    16790147U,	// DPSX_W_PH
-    16790126U,	// DPS_W_PH
-    553661662U,	// DROTR
-    553656483U,	// DROTR32
-    16791368U,	// DROTRV
-    12373U,	// DSBH
-    15955U,	// DSDIV
-    11320U,	// DSHD
-    553661355U,	// DSLL
-    553656467U,	// DSLL32
-    134230955U,	// DSLL64_32
-    16791330U,	// DSLLV
-    553656596U,	// DSRA
-    553656449U,	// DSRA32
-    16791315U,	// DSRAV
-    553661361U,	// DSRL
-    553656475U,	// DSRL32
-    16791337U,	// DSRLV
-    16791157U,	// DSUBu
-    15941U,	// DUDIV
-    15956U,	// DivRxRy16
-    15942U,	// DivuRxRy16
-    209569U,	// EI
-    209569U,	// EI_MM
-    7920U,	// ERET
-    7920U,	// ERET_MM
-    553664143U,	// EXT
-    553661489U,	// EXTP
-    553661421U,	// EXTPDP
-    16791352U,	// EXTPDPV
-    16791361U,	// EXTPV
-    16792586U,	// EXTRV_RS_W
-    16792140U,	// EXTRV_R_W
-    16789235U,	// EXTRV_S_H
-    16793023U,	// EXTRV_W
-    553663487U,	// EXTR_RS_W
-    553663031U,	// EXTR_R_W
-    553660078U,	// EXTR_S_H
-    553663130U,	// EXTR_W
-    553664143U,	// EXT_MM
+    738214802U,	// COPY_S_B
+    738216890U,	// COPY_S_D
+    738218287U,	// COPY_S_H
+    738221997U,	// COPY_S_W
+    738215017U,	// COPY_U_B
+    738217357U,	// COPY_U_D
+    738218554U,	// COPY_U_H
+    738222404U,	// COPY_U_W
+    704592U,	// CTC1
+    704592U,	// CTC1_MM
+    16746U,	// CTCMSA
+    22410U,	// CVT_D32_S
+    23418U,	// CVT_D32_W
+    23418U,	// CVT_D32_W_MM
+    21827U,	// CVT_D64_L
+    22410U,	// CVT_D64_S
+    23418U,	// CVT_D64_W
+    22410U,	// CVT_D_S_MM
+    18789U,	// CVT_L_D64
+    18789U,	// CVT_L_D64_MM
+    22629U,	// CVT_L_S
+    22629U,	// CVT_L_S_MM
+    19127U,	// CVT_S_D32
+    19127U,	// CVT_S_D32_MM
+    19127U,	// CVT_S_D64
+    21836U,	// CVT_S_L
+    24173U,	// CVT_S_W
+    24173U,	// CVT_S_W_MM
+    19965U,	// CVT_W_D32
+    19965U,	// CVT_W_D64
+    19965U,	// CVT_W_MM
+    22951U,	// CVT_W_S
+    22951U,	// CVT_W_S_MM
+    18948U,	// C_EQ_D32
+    18948U,	// C_EQ_D64
+    22705U,	// C_EQ_S
+    18519U,	// C_F_D32
+    18519U,	// C_F_D64
+    22517U,	// C_F_S
+    18362U,	// C_LE_D32
+    18362U,	// C_LE_D64
+    22437U,	// C_LE_S
+    19439U,	// C_LT_D32
+    19439U,	// C_LT_D64
+    22800U,	// C_LT_S
+    18353U,	// C_NGE_D32
+    18353U,	// C_NGE_D64
+    22428U,	// C_NGE_S
+    18388U,	// C_NGLE_D32
+    18388U,	// C_NGLE_D64
+    22455U,	// C_NGLE_S
+    18805U,	// C_NGL_D32
+    18805U,	// C_NGL_D64
+    22645U,	// C_NGL_S
+    19430U,	// C_NGT_D32
+    19430U,	// C_NGT_D64
+    22791U,	// C_NGT_S
+    18398U,	// C_OLE_D32
+    18398U,	// C_OLE_D64
+    22465U,	// C_OLE_S
+    19465U,	// C_OLT_D32
+    19465U,	// C_OLT_D64
+    22818U,	// C_OLT_S
+    18974U,	// C_SEQ_D32
+    18974U,	// C_SEQ_D64
+    22723U,	// C_SEQ_S
+    18589U,	// C_SF_D32
+    18589U,	// C_SF_D64
+    22563U,	// C_SF_S
+    19002U,	// C_UEQ_D32
+    19002U,	// C_UEQ_D64
+    22743U,	// C_UEQ_S
+    18426U,	// C_ULE_D32
+    18426U,	// C_ULE_D64
+    22485U,	// C_ULE_S
+    19493U,	// C_ULT_D32
+    19493U,	// C_ULT_D64
+    22838U,	// C_ULT_S
+    18887U,	// C_UN_D32
+    18887U,	// C_UN_D64
+    22668U,	// C_UN_S
+    22125U,	// CmpRxRy16
+    234902788U,	// CmpiRxImm16
+    21764U,	// CmpiRxImmX16
+    418395U,	// Constant32
+    33574460U,	// DADD
+    33576128U,	// DADDi
+    33577532U,	// DADDiu
+    33577493U,	// DADDu
+    7132383U,	// DAHI
+    33576485U,	// DALIGN
+    7132444U,	// DATI
+    33576238U,	// DAUI
+    22092U,	// DBITSWAP
+    22067U,	// DCLO
+    22067U,	// DCLO_R6
+    25055U,	// DCLZ
+    25055U,	// DCLZ_R6
+    33577695U,	// DDIV
+    33577603U,	// DDIVU
+    9002U,	// DERET
+    9002U,	// DERET_MM
+    1107321475U,	// DEXT
+    1107321450U,	// DEXTM
+    1107321488U,	// DEXTU
+    414915U,	// DI
+    1107321463U,	// DINS
+    1107321443U,	// DINSM
+    1107321481U,	// DINSU
+    33577696U,	// DIV
+    33577604U,	// DIVU
+    33571712U,	// DIV_S_B
+    33573800U,	// DIV_S_D
+    33575186U,	// DIV_S_H
+    33578896U,	// DIV_S_W
+    33571927U,	// DIV_U_B
+    33574267U,	// DIV_U_D
+    33575464U,	// DIV_U_H
+    33579314U,	// DIV_U_W
+    414915U,	// DI_MM
+    33571164U,	// DLSA
+    33571164U,	// DLSA_R6
+    1107312649U,	// DMFC0
+    16443U,	// DMFC1
+    1107312854U,	// DMFC2
+    33574505U,	// DMOD
+    33577507U,	// DMODU
+    1107312656U,	// DMTC0
+    704598U,	// DMTC1
+    1107312861U,	// DMTC2
+    33576122U,	// DMUH
+    33577525U,	// DMUHU
+    33576461U,	// DMUL
+    23017U,	// DMULT
+    23163U,	// DMULTu
+    33577569U,	// DMULU
+    33576461U,	// DMUL_R6
+    33573708U,	// DOTP_S_D
+    33575106U,	// DOTP_S_H
+    33578764U,	// DOTP_S_W
+    33574175U,	// DOTP_U_D
+    33575394U,	// DOTP_U_H
+    33579222U,	// DOTP_U_W
+    2183154421U,	// DPADD_S_D
+    2183155819U,	// DPADD_S_H
+    2183159467U,	// DPADD_S_W
+    2183154888U,	// DPADD_U_D
+    2183156107U,	// DPADD_U_H
+    2183159935U,	// DPADD_U_W
+    33575975U,	// DPAQX_SA_W_PH
+    33576058U,	// DPAQX_S_W_PH
+    33578224U,	// DPAQ_SA_L_W
+    33576017U,	// DPAQ_S_W_PH
+    33576303U,	// DPAU_H_QBL
+    33576636U,	// DPAU_H_QBR
+    33576096U,	// DPAX_W_PH
+    33575965U,	// DPA_W_PH
+    22130U,	// DPOP
+    33575990U,	// DPSQX_SA_W_PH
+    33576072U,	// DPSQX_S_W_PH
+    33578237U,	// DPSQ_SA_L_W
+    33576045U,	// DPSQ_S_W_PH
+    2183154388U,	// DPSUB_S_D
+    2183155798U,	// DPSUB_S_H
+    2183159434U,	// DPSUB_S_W
+    2183154855U,	// DPSUB_U_D
+    2183156086U,	// DPSUB_U_H
+    2183159902U,	// DPSUB_U_W
+    33576315U,	// DPSU_H_QBL
+    33576648U,	// DPSU_H_QBR
+    33576107U,	// DPSX_W_PH
+    33576086U,	// DPS_W_PH
+    1107318617U,	// DROTR
+    1107312833U,	// DROTR32
+    33577739U,	// DROTRV
+    21117U,	// DSBH
+    25132U,	// DSDIV
+    20040U,	// DSHD
+    1107318273U,	// DSLL
+    1107312817U,	// DSLL32
+    268457473U,	// DSLL64_32
+    33577701U,	// DSLLV
+    1107312982U,	// DSRA
+    1107312799U,	// DSRA32
+    33577680U,	// DSRAV
+    1107318279U,	// DSRL
+    1107312825U,	// DSRL32
+    33577708U,	// DSRLV
+    33572375U,	// DSUB
+    33577472U,	// DSUBu
+    25118U,	// DUDIV
+    25133U,	// DivRxRy16
+    25119U,	// DivuRxRy16
+    8960U,	// EHB
+    414927U,	// EI
+    414927U,	// EI_MM
+    9003U,	// ERET
+    9003U,	// ERET_MM
+    1107321476U,	// EXT
+    1107318439U,	// EXTP
+    1107318365U,	// EXTPDP
+    33577723U,	// EXTPDPV
+    33577732U,	// EXTPV
+    33578957U,	// EXTRV_RS_W
+    33578511U,	// EXTRV_R_W
+    33575195U,	// EXTRV_S_H
+    33579394U,	// EXTRV_W
+    1107320770U,	// EXTR_RS_W
+    1107320314U,	// EXTR_R_W
+    1107316950U,	// EXTR_S_H
+    1107320413U,	// EXTR_W
+    1107321469U,	// EXTS
+    1107321421U,	// EXTS32
+    1107321476U,	// EXT_MM
     0U,	// ExtractElementF64
     0U,	// ExtractElementF64_64
     0U,	// FABS_D
-    10740U,	// FABS_D32
-    10740U,	// FABS_D64
-    10740U,	// FABS_MM
-    13770U,	// FABS_S
-    13770U,	// FABS_S_MM
+    19396U,	// FABS_D32
+    19396U,	// FABS_D64
+    19396U,	// FABS_MM
+    22775U,	// FABS_S
+    22775U,	// FABS_S_MM
     0U,	// FABS_W
-    16787017U,	// FADD_D
-    16787018U,	// FADD_D32
-    16787018U,	// FADD_D64
-    16787018U,	// FADD_MM
-    16790794U,	// FADD_S
-    16790794U,	// FADD_S_MM
-    16791488U,	// FADD_W
-    16787197U,	// FCAF_D
-    16791607U,	// FCAF_W
-    16787560U,	// FCEQ_D
-    16792046U,	// FCEQ_W
-    10747U,	// FCLASS_D
-    15382U,	// FCLASS_W
-    16787085U,	// FCLE_D
-    16791530U,	// FCLE_W
-    16788007U,	// FCLT_D
-    16792625U,	// FCLT_W
-    425634U,	// FCMP_D32
-    425634U,	// FCMP_D32_MM
-    425634U,	// FCMP_D64
-    491170U,	// FCMP_S32
-    491170U,	// FCMP_S32_MM
-    16787147U,	// FCNE_D
-    16791564U,	// FCNE_W
-    16787636U,	// FCOR_D
-    16792175U,	// FCOR_W
-    16787594U,	// FCUEQ_D
-    16792062U,	// FCUEQ_W
-    16787129U,	// FCULE_D
-    16791546U,	// FCULE_W
-    16788041U,	// FCULT_D
-    16792641U,	// FCULT_W
-    16787163U,	// FCUNE_D
-    16791580U,	// FCUNE_W
-    16787520U,	// FCUN_D
-    16791952U,	// FCUN_W
-    16788415U,	// FDIV_D
-    16788416U,	// FDIV_D32
-    16788416U,	// FDIV_D64
-    16788416U,	// FDIV_MM
-    16791044U,	// FDIV_S
-    16791044U,	// FDIV_S_MM
-    16793007U,	// FDIV_W
-    16788893U,	// FEXDO_H
-    16791968U,	// FEXDO_W
-    16786920U,	// FEXP2_D
+    33572734U,	// FADD_D
+    33572735U,	// FADD_D32
+    33572735U,	// FADD_D64
+    33572735U,	// FADD_MM
+    33576853U,	// FADD_S
+    33576853U,	// FADD_S_MM
+    33577859U,	// FADD_W
+    33572968U,	// FCAF_D
+    33577978U,	// FCAF_W
+    33573398U,	// FCEQ_D
+    33578417U,	// FCEQ_W
+    19403U,	// FCLASS_D
+    24537U,	// FCLASS_W
+    33572812U,	// FCLE_D
+    33577901U,	// FCLE_W
+    33573889U,	// FCLT_D
+    33578996U,	// FCLT_W
+    991927U,	// FCMP_D32
+    991927U,	// FCMP_D32_MM
+    991927U,	// FCMP_D64
+    1122999U,	// FCMP_S32
+    1122999U,	// FCMP_S32_MM
+    33572908U,	// FCNE_D
+    33577935U,	// FCNE_W
+    33573508U,	// FCOR_D
+    33578546U,	// FCOR_W
+    33573454U,	// FCUEQ_D
+    33578433U,	// FCUEQ_W
+    33572878U,	// FCULE_D
+    33577917U,	// FCULE_W
+    33573945U,	// FCULT_D
+    33579012U,	// FCULT_W
+    33572924U,	// FCUNE_D
+    33577951U,	// FCUNE_W
+    33573337U,	// FCUN_D
+    33578323U,	// FCUN_W
+    33574331U,	// FDIV_D
+    33574332U,	// FDIV_D32
+    33574332U,	// FDIV_D64
+    33574332U,	// FDIV_MM
+    33577326U,	// FDIV_S
+    33577326U,	// FDIV_S_MM
+    33579378U,	// FDIV_W
+    33574853U,	// FEXDO_H
+    33578339U,	// FEXDO_W
+    33572621U,	// FEXP2_D
     0U,	// FEXP2_D_1_PSEUDO
-    16791391U,	// FEXP2_W
+    33577762U,	// FEXP2_W
     0U,	// FEXP2_W_1_PSEUDO
-    10238U,	// FEXUPL_D
-    14678U,	// FEXUPL_W
-    10436U,	// FEXUPR_D
-    14975U,	// FEXUPR_W
-    10678U,	// FFINT_S_D
-    15275U,	// FFINT_S_W
-    11113U,	// FFINT_U_D
-    15705U,	// FFINT_U_W
-    10248U,	// FFQL_D
-    14688U,	// FFQL_W
-    10446U,	// FFQR_D
-    14985U,	// FFQR_W
-    8789U,	// FILL_B
-    10223U,	// FILL_D
+    18829U,	// FEXUPL_D
+    23833U,	// FEXUPL_W
+    19092U,	// FEXUPR_D
+    24130U,	// FEXUPR_W
+    19334U,	// FFINT_S_D
+    24430U,	// FFINT_S_W
+    19813U,	// FFINT_U_D
+    24860U,	// FFINT_U_W
+    18839U,	// FFQL_D
+    23843U,	// FFQL_W
+    19102U,	// FFQR_D
+    24140U,	// FFQR_W
+    17047U,	// FILL_B
+    18814U,	// FILL_D
     0U,	// FILL_FD_PSEUDO
     0U,	// FILL_FW_PSEUDO
-    11638U,	// FILL_H
-    14663U,	// FILL_W
-    9695U,	// FLOG2_D
-    14166U,	// FLOG2_W
-    10194U,	// FLOOR_L_D64
-    13692U,	// FLOOR_L_S
-    11254U,	// FLOOR_W_D32
-    11254U,	// FLOOR_W_D64
-    11254U,	// FLOOR_W_MM
-    13874U,	// FLOOR_W_S
-    13874U,	// FLOOR_W_S_MM
-    1091577425U,	// FMADD_D
-    1091581896U,	// FMADD_W
-    16786958U,	// FMAX_A_D
-    16791429U,	// FMAX_A_W
-    16788490U,	// FMAX_D
-    16793032U,	// FMAX_W
-    16786938U,	// FMIN_A_D
-    16791409U,	// FMIN_A_W
-    16787504U,	// FMIN_D
-    16791944U,	// FMIN_W
-    11215U,	// FMOV_D32
-    11215U,	// FMOV_D32_MM
-    11215U,	// FMOV_D64
-    13835U,	// FMOV_S
-    13835U,	// FMOV_S_MM
-    1091577383U,	// FMSUB_D
-    1091581854U,	// FMSUB_W
-    16787488U,	// FMUL_D
-    16787489U,	// FMUL_D32
-    16787489U,	// FMUL_D64
-    16787489U,	// FMUL_MM
-    16790937U,	// FMUL_S
-    16790937U,	// FMUL_S_MM
-    16791928U,	// FMUL_W
-    10022U,	// FNEG_D32
-    10022U,	// FNEG_D64
-    10022U,	// FNEG_MM
-    13653U,	// FNEG_S
-    13653U,	// FNEG_S_MM
-    10328U,	// FRCP_D
-    14761U,	// FRCP_W
-    10851U,	// FRINT_D
-    15451U,	// FRINT_W
-    10879U,	// FRSQRT_D
-    15479U,	// FRSQRT_W
-    16787205U,	// FSAF_D
-    16791615U,	// FSAF_W
-    16787577U,	// FSEQ_D
-    16792054U,	// FSEQ_W
-    16787112U,	// FSLE_D
-    16791538U,	// FSLE_W
-    16788024U,	// FSLT_D
-    16792633U,	// FSLT_W
-    16787155U,	// FSNE_D
-    16791572U,	// FSNE_W
-    16787644U,	// FSOR_D
-    16792183U,	// FSOR_W
-    10870U,	// FSQRT_D
-    10871U,	// FSQRT_D32
-    10871U,	// FSQRT_D64
-    10871U,	// FSQRT_MM
-    13812U,	// FSQRT_S
-    13812U,	// FSQRT_S_MM
-    15470U,	// FSQRT_W
-    16786975U,	// FSUB_D
-    16786976U,	// FSUB_D32
-    16786976U,	// FSUB_D64
-    16786976U,	// FSUB_MM
-    16790776U,	// FSUB_S
-    16790776U,	// FSUB_S_MM
-    16791446U,	// FSUB_W
-    16787603U,	// FSUEQ_D
-    16792071U,	// FSUEQ_W
-    16787138U,	// FSULE_D
-    16791555U,	// FSULE_W
-    16788050U,	// FSULT_D
-    16792650U,	// FSULT_W
-    16787172U,	// FSUNE_D
-    16791589U,	// FSUNE_W
-    16787528U,	// FSUN_D
-    16791960U,	// FSUN_W
-    10689U,	// FTINT_S_D
-    15286U,	// FTINT_S_W
-    11124U,	// FTINT_U_D
-    15716U,	// FTINT_U_W
-    16788970U,	// FTQ_H
-    16792080U,	// FTQ_W
-    10511U,	// FTRUNC_S_D
-    15058U,	// FTRUNC_S_W
-    10934U,	// FTRUNC_U_D
-    15526U,	// FTRUNC_U_W
-    152056513U,	// GotPrologue16
-    16787739U,	// HADD_S_D
-    16789049U,	// HADD_S_H
-    16792286U,	// HADD_S_W
-    16788162U,	// HADD_U_D
-    16789337U,	// HADD_U_H
-    16792754U,	// HADD_U_W
-    16787706U,	// HSUB_S_D
-    16789028U,	// HSUB_S_H
-    16792253U,	// HSUB_S_W
-    16788129U,	// HSUB_U_D
-    16789316U,	// HSUB_U_H
-    16792721U,	// HSUB_U_W
-    16786508U,	// ILVEV_B
-    16788406U,	// ILVEV_D
-    16789559U,	// ILVEV_H
-    16792998U,	// ILVEV_W
-    16786036U,	// ILVL_B
-    16787496U,	// ILVL_D
-    16788885U,	// ILVL_H
-    16791936U,	// ILVL_W
-    16785788U,	// ILVOD_B
-    16787059U,	// ILVOD_D
-    16788687U,	// ILVOD_H
-    16791521U,	// ILVOD_W
-    16786084U,	// ILVR_B
-    16787679U,	// ILVR_D
-    16789010U,	// ILVR_H
-    16792226U,	// ILVR_W
-    553664137U,	// INS
-    3679091U,	// INSERT_B
-    3680876U,	// INSERT_D
+    20382U,	// FILL_H
+    23818U,	// FILL_W
+    18180U,	// FLOG2_D
+    23321U,	// FLOG2_W
+    18778U,	// FLOOR_L_D64
+    22618U,	// FLOOR_L_S
+    19954U,	// FLOOR_W_D32
+    19954U,	// FLOOR_W_D64
+    19954U,	// FLOOR_W_MM
+    22940U,	// FLOOR_W_S
+    22940U,	// FLOOR_W_S_MM
+    2183153542U,	// FMADD_D
+    2183158667U,	// FMADD_W
+    33572659U,	// FMAX_A_D
+    33577800U,	// FMAX_A_W
+    33574406U,	// FMAX_D
+    33579403U,	// FMAX_W
+    33572639U,	// FMIN_A_D
+    33577780U,	// FMIN_A_W
+    33573311U,	// FMIN_D
+    33578315U,	// FMIN_W
+    19915U,	// FMOV_D32
+    19915U,	// FMOV_D32_MM
+    19915U,	// FMOV_D64
+    22901U,	// FMOV_S
+    22901U,	// FMOV_S_MM
+    2183153500U,	// FMSUB_D
+    2183158625U,	// FMSUB_W
+    33573295U,	// FMUL_D
+    33573296U,	// FMUL_D32
+    33573296U,	// FMUL_D64
+    33573296U,	// FMUL_MM
+    33577086U,	// FMUL_S
+    33577086U,	// FMUL_S_MM
+    33578299U,	// FMUL_W
+    18606U,	// FNEG_D32
+    18606U,	// FNEG_D64
+    18606U,	// FNEG_MM
+    22579U,	// FNEG_S
+    22579U,	// FNEG_S_MM
+    18940U,	// FRCP_D
+    23916U,	// FRCP_W
+    19551U,	// FRINT_D
+    24606U,	// FRINT_W
+    19579U,	// FRSQRT_D
+    24634U,	// FRSQRT_W
+    33572987U,	// FSAF_D
+    33577986U,	// FSAF_W
+    33573426U,	// FSEQ_D
+    33578425U,	// FSEQ_W
+    33572850U,	// FSLE_D
+    33577909U,	// FSLE_W
+    33573917U,	// FSLT_D
+    33579004U,	// FSLT_W
+    33572916U,	// FSNE_D
+    33577943U,	// FSNE_W
+    33573516U,	// FSOR_D
+    33578554U,	// FSOR_W
+    19570U,	// FSQRT_D
+    19571U,	// FSQRT_D32
+    19571U,	// FSQRT_D64
+    19571U,	// FSQRT_MM
+    22878U,	// FSQRT_S
+    22878U,	// FSQRT_S_MM
+    24625U,	// FSQRT_W
+    33572692U,	// FSUB_D
+    33572693U,	// FSUB_D32
+    33572693U,	// FSUB_D64
+    33572693U,	// FSUB_MM
+    33576835U,	// FSUB_S
+    33576835U,	// FSUB_S_MM
+    33577817U,	// FSUB_W
+    33573475U,	// FSUEQ_D
+    33578442U,	// FSUEQ_W
+    33572899U,	// FSULE_D
+    33577926U,	// FSULE_W
+    33573966U,	// FSULT_D
+    33579021U,	// FSULT_W
+    33572933U,	// FSUNE_D
+    33577960U,	// FSUNE_W
+    33573356U,	// FSUN_D
+    33578331U,	// FSUN_W
+    19345U,	// FTINT_S_D
+    24441U,	// FTINT_S_W
+    19824U,	// FTINT_U_D
+    24871U,	// FTINT_U_W
+    33574930U,	// FTQ_H
+    33578451U,	// FTQ_W
+    19167U,	// FTRUNC_S_D
+    24213U,	// FTRUNC_S_W
+    19634U,	// FTRUNC_U_D
+    24681U,	// FTRUNC_U_W
+    304108795U,	// GotPrologue16
+    33573611U,	// HADD_S_D
+    33575009U,	// HADD_S_H
+    33578657U,	// HADD_S_W
+    33574078U,	// HADD_U_D
+    33575297U,	// HADD_U_H
+    33579125U,	// HADD_U_W
+    33573578U,	// HSUB_S_D
+    33574988U,	// HSUB_S_H
+    33578624U,	// HSUB_S_W
+    33574045U,	// HSUB_U_D
+    33575276U,	// HSUB_U_H
+    33579092U,	// HSUB_U_W
+    33571982U,	// ILVEV_B
+    33574322U,	// ILVEV_D
+    33575519U,	// ILVEV_H
+    33579369U,	// ILVEV_W
+    33571510U,	// ILVL_B
+    33573303U,	// ILVL_D
+    33574845U,	// ILVL_H
+    33578307U,	// ILVL_W
+    33571262U,	// ILVOD_B
+    33572776U,	// ILVOD_D
+    33574647U,	// ILVOD_H
+    33577892U,	// ILVOD_W
+    33571558U,	// ILVR_B
+    33573551U,	// ILVR_D
+    33574970U,	// ILVR_H
+    33578597U,	// ILVR_W
+    1107321458U,	// INS
+    9585589U,	// INSERT_B
+    0U,	// INSERT_B_VIDX_PSEUDO
+    9587816U,	// INSERT_D
+    0U,	// INSERT_D_VIDX_PSEUDO
     0U,	// INSERT_FD_PSEUDO
+    0U,	// INSERT_FD_VIDX_PSEUDO
     0U,	// INSERT_FW_PSEUDO
-    3682090U,	// INSERT_H
-    3685476U,	// INSERT_W
-    1062736U,	// INSV
-    4727173U,	// INSVE_B
-    4728557U,	// INSVE_D
-    4730072U,	// INSVE_H
-    4732974U,	// INSVE_W
-    553664137U,	// INS_MM
-    209640U,	// J
-    209668U,	// JAL
-    13518U,	// JALR
-    13518U,	// JALR64
+    0U,	// INSERT_FW_VIDX_PSEUDO
+    9589074U,	// INSERT_H
+    0U,	// INSERT_H_VIDX_PSEUDO
+    9592871U,	// INSERT_W
+    0U,	// INSERT_W_VIDX_PSEUDO
+    2120467U,	// INSV
+    11682247U,	// INSVE_B
+    11683918U,	// INSVE_D
+    11685632U,	// INSVE_H
+    11688945U,	// INSVE_W
+    1107321458U,	// INS_MM
+    415033U,	// J
+    415066U,	// JAL
+    22345U,	// JALR
+    415561U,	// JALR16_MM
+    22345U,	// JALR64
     0U,	// JALR64Pseudo
     0U,	// JALRPseudo
-    13518U,	// JALR_MM
-    209668U,	// JAL_MM
-    210122U,	// JR
-    210122U,	// JR64
-    210122U,	// JR_MM
-    209640U,	// J_MM
-    602884U,	// Jal16
-    668420U,	// JalB16
-    7907U,	// JrRa16
-    7898U,	// JrcRa16
-    212537U,	// JrcRx16
-    204801U,	// JumpLinkReg16
-    5252207U,	// LB
-    5252207U,	// LB64
-    168836586U,	// LBUX
-    5252207U,	// LB_MM
-    5256816U,	// LBu
-    5256816U,	// LBu64
-    5256816U,	// LBu_MM
-    5254207U,	// LD
-    5251095U,	// LDC1
-    5251095U,	// LDC164
-    5251095U,	// LDC1_MM
-    5251244U,	// LDC2
-    8615U,	// LDI_B
-    10038U,	// LDI_D
-    11514U,	// LDI_H
-    14513U,	// LDI_W
-    5256032U,	// LDL
-    5256328U,	// LDR
-    168829015U,	// LDXC1
-    168829015U,	// LDXC164
-    5251437U,	// LD_B
-    5252708U,	// LD_D
-    5254336U,	// LD_H
-    5257170U,	// LD_W
-    2111133U,	// LEA_ADDiu
-    2111132U,	// LEA_ADDiu64
-    2111133U,	// LEA_ADDiu_MM
-    5255270U,	// LH
-    5255270U,	// LH64
-    168836581U,	// LHX
-    5255270U,	// LH_MM
-    5256855U,	// LHu
-    5256855U,	// LHu64
-    5256855U,	// LHu_MM
-    5256103U,	// LL
-    5254206U,	// LLD
-    5256103U,	// LL_MM
-    5251079U,	// LOAD_ACC128
-    5251079U,	// LOAD_ACC64
-    5251079U,	// LOAD_ACC64DSP
-    5256194U,	// LOAD_CCOND_DSP
-    16785691U,	// LSA
-    168829029U,	// LUXC1
-    168829029U,	// LUXC164
-    168829029U,	// LUXC1_MM
-    6304483U,	// LUi
-    6304483U,	// LUi64
-    6304483U,	// LUi_MM
-    5258717U,	// LW
-    5258717U,	// LW64
-    5251147U,	// LWC1
-    5251147U,	// LWC1_MM
-    5251270U,	// LWC2
-    5256124U,	// LWL
-    5256124U,	// LWL64
-    5256124U,	// LWL_MM
-    5256428U,	// LWR
-    5256428U,	// LWR64
-    5256428U,	// LWR_MM
-    5256909U,	// LWU_MM
-    168836592U,	// LWX
-    168829043U,	// LWXC1
-    168829043U,	// LWXC1_MM
-    5258717U,	// LW_MM
-    5256909U,	// LWu
-    5252207U,	// LbRxRyOffMemX16
-    5256816U,	// LbuRxRyOffMemX16
-    5255270U,	// LhRxRyOffMemX16
-    5256855U,	// LhuRxRyOffMemX16
-    117453505U,	// LiRxImm16
-    12983U,	// LiRxImmAlignX16
-    12993U,	// LiRxImmX16
-    6299870U,	// LoadAddr32Imm
-    5251294U,	// LoadAddr32Reg
-    6304449U,	// LoadImm32Reg
-    736733U,	// LwConstant32
-    33570269U,	// LwRxPcTcp16
-    15837U,	// LwRxPcTcpX16
-    5258717U,	// LwRxRyOffMemX16
-    185613789U,	// LwRxSpImmX16
-    11314U,	// MADD
-    1091579342U,	// MADDR_Q_H
-    1091582425U,	// MADDR_Q_W
-    13962U,	// MADDU
-    16791178U,	// MADDU_DSP
-    13962U,	// MADDU_MM
-    1091576890U,	// MADDV_B
-    1091578788U,	// MADDV_D
-    1091579941U,	// MADDV_H
-    1091583380U,	// MADDV_W
-    16787026U,	// MADD_D32
-    16787026U,	// MADD_D32_MM
-    16787026U,	// MADD_D64
-    16788530U,	// MADD_DSP
-    11314U,	// MADD_MM
-    1091579312U,	// MADD_Q_H
-    1091582395U,	// MADD_Q_W
-    16790793U,	// MADD_S
-    16790793U,	// MADD_S_MM
-    16790378U,	// MAQ_SA_W_PHL
-    16790674U,	// MAQ_SA_W_PHR
-    16790406U,	// MAQ_S_W_PHL
-    16790702U,	// MAQ_S_W_PHR
-    16786159U,	// MAXI_S_B
-    16787817U,	// MAXI_S_D
-    16789127U,	// MAXI_S_H
-    16792364U,	// MAXI_S_W
-    285221830U,	// MAXI_U_B
-    285223696U,	// MAXI_U_D
-    285224871U,	// MAXI_U_H
-    285228288U,	// MAXI_U_W
-    16785740U,	// MAX_A_B
-    16786959U,	// MAX_A_D
-    16788639U,	// MAX_A_H
-    16791430U,	// MAX_A_W
-    16786247U,	// MAX_S_B
-    16787937U,	// MAX_S_D
-    16789246U,	// MAX_S_H
-    16792545U,	// MAX_S_W
-    16786462U,	// MAX_U_B
-    16788360U,	// MAX_U_D
-    16789513U,	// MAX_U_H
-    16792952U,	// MAX_U_W
-    553656330U,	// MFC0
-    8234U,	// MFC1
-    8234U,	// MFC1_MM
-    553656505U,	// MFC2
-    8240U,	// MFHC1
-    8240U,	// MFHC1_MM
-    209579U,	// MFHI
-    209579U,	// MFHI64
-    12971U,	// MFHI_DSP
-    209579U,	// MFHI_MM
-    209882U,	// MFLO
-    209882U,	// MFLO64
-    13274U,	// MFLO_DSP
-    209882U,	// MFLO_MM
-    16786139U,	// MINI_S_B
-    16787797U,	// MINI_S_D
-    16789107U,	// MINI_S_H
-    16792344U,	// MINI_S_W
-    285221810U,	// MINI_U_B
-    285223676U,	// MINI_U_D
-    285224851U,	// MINI_U_H
-    285228268U,	// MINI_U_W
-    16785721U,	// MIN_A_B
-    16786939U,	// MIN_A_D
-    16788620U,	// MIN_A_H
-    16791410U,	// MIN_A_W
-    16786169U,	// MIN_S_B
-    16787827U,	// MIN_S_D
-    16789137U,	// MIN_S_H
-    16792384U,	// MIN_S_W
-    16786384U,	// MIN_U_B
-    16788250U,	// MIN_U_D
-    16789425U,	// MIN_U_H
-    16792842U,	// MIN_U_W
+    17592U,	// JALR_HB
+    22345U,	// JALR_MM
+    418221U,	// JALX
+    415066U,	// JAL_MM
+    17982U,	// JIALC
+    17971U,	// JIC
+    415557U,	// JR
+    415557U,	// JR64
+    410801U,	// JR_HB
+    410801U,	// JR_HB_R6
+    415557U,	// JR_MM
+    415033U,	// J_MM
+    1332570U,	// Jal16
+    1463642U,	// JalB16
+    8952U,	// JrRa16
+    8943U,	// JrcRa16
+    418322U,	// JrcRx16
+    409601U,	// JumpLinkReg16
+    12600513U,	// LB
+    12600513U,	// LB64
+    337666483U,	// LBUX
+    12600513U,	// LB_MM
+    12605947U,	// LBu
+    12605947U,	// LBu64
+    12605947U,	// LBu_MM
+    12602959U,	// LD
+    12599337U,	// LDC1
+    12599337U,	// LDC164
+    12599337U,	// LDC1_MM
+    12599498U,	// LDC2
+    12599498U,	// LDC2_R6
+    12599548U,	// LDC3
+    16873U,	// LDI_B
+    18622U,	// LDI_D
+    20258U,	// LDI_H
+    23668U,	// LDI_W
+    12604854U,	// LDL
+    18043U,	// LDPC
+    12605187U,	// LDR
+    337657961U,	// LDXC1
+    337657961U,	// LDXC164
+    12599727U,	// LD_B
+    12601241U,	// LD_D
+    12603112U,	// LD_H
+    12606357U,	// LD_W
+    4217405U,	// LEA_ADDiu
+    4217404U,	// LEA_ADDiu64
+    4217405U,	// LEA_ADDiu_MM
+    12604046U,	// LH
+    12604046U,	// LH64
+    337666472U,	// LHX
+    12604046U,	// LH_MM
+    12606000U,	// LHu
+    12606000U,	// LHu64
+    12606000U,	// LHu_MM
+    12604925U,	// LL
+    12602958U,	// LLD
+    12602958U,	// LLD_R6
+    12604925U,	// LL_MM
+    12604925U,	// LL_R6
+    12599303U,	// LOAD_ACC128
+    12599303U,	// LOAD_ACC64
+    12599303U,	// LOAD_ACC64DSP
+    12605048U,	// LOAD_CCOND_DSP
+    0U,	// LONG_BRANCH_ADDiu
+    0U,	// LONG_BRANCH_DADDiu
+    0U,	// LONG_BRANCH_LUi
+    33571165U,	// LSA
+    33571165U,	// LSA_R6
+    337657975U,	// LUXC1
+    337657975U,	// LUXC164
+    337657975U,	// LUXC1_MM
+    14701876U,	// LUi
+    14701876U,	// LUi64
+    14701876U,	// LUi_MM
+    12607904U,	// LW
+    12607904U,	// LW64
+    12599389U,	// LWC1
+    12599389U,	// LWC1_MM
+    12599524U,	// LWC2
+    12599524U,	// LWC2_R6
+    12599560U,	// LWC3
+    12604947U,	// LWL
+    12604947U,	// LWL64
+    12604947U,	// LWL_MM
+    18080U,	// LWPC
+    12605287U,	// LWR
+    12605287U,	// LWR64
+    12605287U,	// LWR_MM
+    18073U,	// LWUPC
+    12606090U,	// LWU_MM
+    337666489U,	// LWX
+    337657989U,	// LWXC1
+    337657989U,	// LWXC1_MM
+    12607904U,	// LW_MM
+    12606090U,	// LWu
+    12600513U,	// LbRxRyOffMemX16
+    12605947U,	// LbuRxRyOffMemX16
+    12604046U,	// LhRxRyOffMemX16
+    12606000U,	// LhuRxRyOffMemX16
+    234902779U,	// LiRxImm16
+    21745U,	// LiRxImmAlignX16
+    21755U,	// LiRxImmX16
+    14696736U,	// LoadAddr32Imm
+    12599584U,	// LoadAddr32Reg
+    14701819U,	// LoadImm32Reg
+    21759U,	// LoadImm64Reg
+    1597856U,	// LwConstant32
+    67133856U,	// LwRxPcTcp16
+    24992U,	// LwRxPcTcpX16
+    12607904U,	// LwRxRyOffMemX16
+    371220896U,	// LwRxSpImmX16
+    20034U,	// MADD
+    2183153804U,	// MADDF_D
+    2183157786U,	// MADDF_S
+    2183155702U,	// MADDR_Q_H
+    2183159196U,	// MADDR_Q_W
+    23068U,	// MADDU
+    33577500U,	// MADDU_DSP
+    23068U,	// MADDU_MM
+    2183152764U,	// MADDV_B
+    2183155104U,	// MADDV_D
+    2183156301U,	// MADDV_H
+    2183160151U,	// MADDV_W
+    33572743U,	// MADD_D32
+    33572743U,	// MADD_D32_MM
+    33572743U,	// MADD_D64
+    33574466U,	// MADD_DSP
+    20034U,	// MADD_MM
+    2183155672U,	// MADD_Q_H
+    2183159166U,	// MADD_Q_W
+    33576852U,	// MADD_S
+    33576852U,	// MADD_S_MM
+    33576384U,	// MAQ_SA_W_PHL
+    33576717U,	// MAQ_SA_W_PHR
+    33576412U,	// MAQ_S_W_PHL
+    33576745U,	// MAQ_S_W_PHR
+    33572684U,	// MAXA_D
+    33576825U,	// MAXA_S
+    33571633U,	// MAXI_S_B
+    33573689U,	// MAXI_S_D
+    33575087U,	// MAXI_S_H
+    33578735U,	// MAXI_S_W
+    570442760U,	// MAXI_U_B
+    570445068U,	// MAXI_U_D
+    570446287U,	// MAXI_U_H
+    570450115U,	// MAXI_U_W
+    33571214U,	// MAX_A_B
+    33572660U,	// MAX_A_D
+    33574599U,	// MAX_A_H
+    33577801U,	// MAX_A_W
+    33574407U,	// MAX_D
+    33577392U,	// MAX_S
+    33571721U,	// MAX_S_B
+    33573809U,	// MAX_S_D
+    33575206U,	// MAX_S_H
+    33578916U,	// MAX_S_W
+    33571936U,	// MAX_U_B
+    33574276U,	// MAX_U_D
+    33575473U,	// MAX_U_H
+    33579323U,	// MAX_U_W
+    1107312650U,	// MFC0
+    16444U,	// MFC1
+    16444U,	// MFC1_MM
+    1107312855U,	// MFC2
+    16450U,	// MFHC1_D32
+    16450U,	// MFHC1_D64
+    16450U,	// MFHC1_MM
+    414949U,	// MFHI
+    414949U,	// MFHI16_MM
+    414949U,	// MFHI64
+    21733U,	// MFHI_DSP
+    414949U,	// MFHI_MM
+    415289U,	// MFLO
+    415289U,	// MFLO16_MM
+    415289U,	// MFLO64
+    22073U,	// MFLO_DSP
+    415289U,	// MFLO_MM
+    33572669U,	// MINA_D
+    33576817U,	// MINA_S
+    33571613U,	// MINI_S_B
+    33573669U,	// MINI_S_D
+    33575067U,	// MINI_S_H
+    33578715U,	// MINI_S_W
+    570442740U,	// MINI_U_B
+    570445048U,	// MINI_U_D
+    570446267U,	// MINI_U_H
+    570450095U,	// MINI_U_W
+    33571195U,	// MIN_A_B
+    33572640U,	// MIN_A_D
+    33574580U,	// MIN_A_H
+    33577781U,	// MIN_A_W
+    33573312U,	// MIN_D
+    33577093U,	// MIN_S
+    33571643U,	// MIN_S_B
+    33573699U,	// MIN_S_D
+    33575097U,	// MIN_S_H
+    33578755U,	// MIN_S_W
+    33571858U,	// MIN_U_B
+    33574166U,	// MIN_U_D
+    33575385U,	// MIN_U_H
+    33579213U,	// MIN_U_W
     0U,	// MIPSeh_return32
     0U,	// MIPSeh_return64
-    16786883U,	// MODSUB
-    16786102U,	// MOD_S_B
-    16787760U,	// MOD_S_D
-    16789070U,	// MOD_S_H
-    16792307U,	// MOD_S_W
-    16786317U,	// MOD_U_B
-    16788183U,	// MOD_U_D
-    16789358U,	// MOD_U_H
-    16792775U,	// MOD_U_W
-    14041U,	// MOVE_V
-    16787229U,	// MOVF_D32
-    16787229U,	// MOVF_D32_MM
-    16787229U,	// MOVF_D64
-    16788600U,	// MOVF_I
-    16788600U,	// MOVF_I64
-    16788600U,	// MOVF_I_MM
-    16790861U,	// MOVF_S
-    16790861U,	// MOVF_S_MM
-    16787536U,	// MOVN_I64_D64
-    16790478U,	// MOVN_I64_I
-    16790478U,	// MOVN_I64_I64
-    16790952U,	// MOVN_I64_S
-    16787536U,	// MOVN_I_D32
-    16787536U,	// MOVN_I_D32_MM
-    16787536U,	// MOVN_I_D64
-    16790478U,	// MOVN_I_I
-    16790478U,	// MOVN_I_I64
-    16790478U,	// MOVN_I_MM
-    16790952U,	// MOVN_I_S
-    16790952U,	// MOVN_I_S_MM
-    16788111U,	// MOVT_D32
-    16788111U,	// MOVT_D32_MM
-    16788111U,	// MOVT_D64
-    16791146U,	// MOVT_I
-    16791146U,	// MOVT_I64
-    16791146U,	// MOVT_I_MM
-    16791036U,	// MOVT_S
-    16791036U,	// MOVT_S_MM
-    16788511U,	// MOVZ_I64_D64
-    16793133U,	// MOVZ_I64_I
-    16793133U,	// MOVZ_I64_I64
-    16791110U,	// MOVZ_I64_S
-    16788511U,	// MOVZ_I_D32
-    16788511U,	// MOVZ_I_D32_MM
-    16788511U,	// MOVZ_I_D64
-    16793133U,	// MOVZ_I_I
-    16793133U,	// MOVZ_I_I64
-    16793133U,	// MOVZ_I_MM
-    16791110U,	// MOVZ_I_S
-    16791110U,	// MOVZ_I_S_MM
-    9675U,	// MSUB
-    1091579331U,	// MSUBR_Q_H
-    1091582414U,	// MSUBR_Q_W
-    13948U,	// MSUBU
-    16791164U,	// MSUBU_DSP
-    13948U,	// MSUBU_MM
-    1091576881U,	// MSUBV_B
-    1091578779U,	// MSUBV_D
-    1091579932U,	// MSUBV_H
-    1091583371U,	// MSUBV_W
-    16786984U,	// MSUB_D32
-    16786984U,	// MSUB_D32_MM
-    16786984U,	// MSUB_D64
-    16786891U,	// MSUB_DSP
-    9675U,	// MSUB_MM
-    1091579302U,	// MSUB_Q_H
-    1091582385U,	// MSUB_Q_W
-    16790775U,	// MSUB_S
-    16790775U,	// MSUB_S_MM
-    553656337U,	// MTC0
-    344133U,	// MTC1
-    344133U,	// MTC1_MM
-    553656512U,	// MTC2
-    344119U,	// MTHC1
-    344119U,	// MTHC1_MM
-    209585U,	// MTHI
-    209585U,	// MTHI64
-    348849U,	// MTHI_DSP
-    209585U,	// MTHI_MM
-    349173U,	// MTHLIP
-    209895U,	// MTLO
-    209895U,	// MTLO64
-    349159U,	// MTLO_DSP
-    209895U,	// MTLO_MM
-    16790455U,	// MUL
-    16790419U,	// MULEQ_S_W_PHL
-    16790715U,	// MULEQ_S_W_PHR
-    16790321U,	// MULEU_S_PH_QBL
-    16790617U,	// MULEU_S_PH_QBR
-    16789924U,	// MULQ_RS_PH
-    16792564U,	// MULQ_RS_W
-    16789868U,	// MULQ_S_PH
-    16792423U,	// MULQ_S_W
-    16788953U,	// MULR_Q_H
-    16792036U,	// MULR_Q_W
-    16790070U,	// MULSAQ_S_W_PH
-    16790045U,	// MULSA_W_PH
-    13919U,	// MULT
-    16791238U,	// MULTU_DSP
-    16791135U,	// MULT_DSP
-    13919U,	// MULT_MM
-    14022U,	// MULTu
-    14022U,	// MULTu_MM
-    16786517U,	// MULV_B
-    16788423U,	// MULV_D
-    16789568U,	// MULV_H
-    16793015U,	// MULV_W
-    16790455U,	// MUL_MM
-    16789741U,	// MUL_PH
-    16788922U,	// MUL_Q_H
-    16792005U,	// MUL_Q_W
-    16789836U,	// MUL_S_PH
-    209579U,	// Mfhi16
-    209882U,	// Mflo16
-    11372U,	// Move32R16
-    11372U,	// MoveR3216
-    13919U,	// MultRxRy16
-    8148575U,	// MultRxRyRz16
-    14022U,	// MultuRxRy16
-    8148678U,	// MultuRxRyRz16
-    8540U,	// NLOC_B
-    9785U,	// NLOC_D
-    11439U,	// NLOC_H
-    14247U,	// NLOC_W
-    8548U,	// NLZC_B
-    9793U,	// NLZC_D
-    11447U,	// NLZC_H
-    14255U,	// NLZC_W
-    16787034U,	// NMADD_D32
-    16787034U,	// NMADD_D32_MM
-    16787034U,	// NMADD_D64
-    16790792U,	// NMADD_S
-    16790792U,	// NMADD_S_MM
-    16786992U,	// NMSUB_D32
-    16786992U,	// NMSUB_D32_MM
-    16786992U,	// NMSUB_D64
-    16790774U,	// NMSUB_S
-    16790774U,	// NMSUB_S_MM
+    33574506U,	// MOD
+    33572373U,	// MODSUB
+    33577508U,	// MODU
+    33571576U,	// MOD_S_B
+    33573632U,	// MOD_S_D
+    33575030U,	// MOD_S_H
+    33578678U,	// MOD_S_W
+    33571791U,	// MOD_U_B
+    33574099U,	// MOD_U_D
+    33575318U,	// MOD_U_H
+    33579146U,	// MOD_U_W
+    20110U,	// MOVE16_MM
+    23190U,	// MOVE_V
+    33573029U,	// MOVF_D32
+    33573029U,	// MOVF_D32_MM
+    33573029U,	// MOVF_D64
+    33574560U,	// MOVF_I
+    33574560U,	// MOVF_I64
+    33574560U,	// MOVF_I_MM
+    33577003U,	// MOVF_S
+    33577003U,	// MOVF_S_MM
+    33573364U,	// MOVN_I64_D64
+    33576493U,	// MOVN_I64_I
+    33576493U,	// MOVN_I64_I64
+    33577129U,	// MOVN_I64_S
+    33573364U,	// MOVN_I_D32
+    33573364U,	// MOVN_I_D32_MM
+    33573364U,	// MOVN_I_D64
+    33576493U,	// MOVN_I_I
+    33576493U,	// MOVN_I_I64
+    33576493U,	// MOVN_I_MM
+    33577129U,	// MOVN_I_S
+    33577129U,	// MOVN_I_S_MM
+    33574027U,	// MOVT_D32
+    33574027U,	// MOVT_D32_MM
+    33574027U,	// MOVT_D64
+    33577461U,	// MOVT_I
+    33577461U,	// MOVT_I64
+    33577461U,	// MOVT_I_MM
+    33577318U,	// MOVT_S
+    33577318U,	// MOVT_S_MM
+    33574447U,	// MOVZ_I64_D64
+    33579526U,	// MOVZ_I64_I
+    33579526U,	// MOVZ_I64_I64
+    33577419U,	// MOVZ_I64_S
+    33574447U,	// MOVZ_I_D32
+    33574447U,	// MOVZ_I_D32_MM
+    33574447U,	// MOVZ_I_D64
+    33579526U,	// MOVZ_I_I
+    33579526U,	// MOVZ_I_I64
+    33579526U,	// MOVZ_I_MM
+    33577419U,	// MOVZ_I_S
+    33577419U,	// MOVZ_I_S_MM
+    17949U,	// MSUB
+    2183153795U,	// MSUBF_D
+    2183157777U,	// MSUBF_S
+    2183155691U,	// MSUBR_Q_H
+    2183159185U,	// MSUBR_Q_W
+    23047U,	// MSUBU
+    33577479U,	// MSUBU_DSP
+    23047U,	// MSUBU_MM
+    2183152755U,	// MSUBV_B
+    2183155095U,	// MSUBV_D
+    2183156292U,	// MSUBV_H
+    2183160142U,	// MSUBV_W
+    33572701U,	// MSUB_D32
+    33572701U,	// MSUB_D32_MM
+    33572701U,	// MSUB_D64
+    33572381U,	// MSUB_DSP
+    17949U,	// MSUB_MM
+    2183155662U,	// MSUB_Q_H
+    2183159156U,	// MSUB_Q_W
+    33576834U,	// MSUB_S
+    33576834U,	// MSUB_S_MM
+    1107312657U,	// MTC0
+    704599U,	// MTC1
+    704599U,	// MTC1_MM
+    1107312862U,	// MTC2
+    81993U,	// MTHC1_D32
+    81993U,	// MTHC1_D64
+    704585U,	// MTHC1_MM
+    414955U,	// MTHI
+    414955U,	// MTHI64
+    709867U,	// MTHI_DSP
+    414955U,	// MTHI_MM
+    710245U,	// MTHLIP
+    415302U,	// MTLO
+    415302U,	// MTLO64
+    710214U,	// MTLO_DSP
+    415302U,	// MTLO_MM
+    409629U,	// MTM0
+    409747U,	// MTM1
+    409840U,	// MTM2
+    409635U,	// MTP0
+    409753U,	// MTP1
+    409846U,	// MTP2
+    33576123U,	// MUH
+    33577526U,	// MUHU
+    33576462U,	// MUL
+    33576425U,	// MULEQ_S_W_PHL
+    33576758U,	// MULEQ_S_W_PHR
+    33576327U,	// MULEU_S_PH_QBL
+    33576660U,	// MULEU_S_PH_QBR
+    33575884U,	// MULQ_RS_PH
+    33578935U,	// MULQ_RS_W
+    33575828U,	// MULQ_S_PH
+    33578794U,	// MULQ_S_W
+    33574913U,	// MULR_Q_H
+    33578407U,	// MULR_Q_W
+    33576030U,	// MULSAQ_S_W_PH
+    33576005U,	// MULSA_W_PH
+    23018U,	// MULT
+    33577596U,	// MULTU_DSP
+    33577450U,	// MULT_DSP
+    23018U,	// MULT_MM
+    23164U,	// MULTu
+    23164U,	// MULTu_MM
+    33577563U,	// MULU
+    33571991U,	// MULV_B
+    33574339U,	// MULV_D
+    33575528U,	// MULV_H
+    33579386U,	// MULV_W
+    33576462U,	// MUL_MM
+    33575701U,	// MUL_PH
+    33574882U,	// MUL_Q_H
+    33578376U,	// MUL_Q_W
+    33576462U,	// MUL_R6
+    33575796U,	// MUL_S_PH
+    414949U,	// Mfhi16
+    415289U,	// Mflo16
+    20110U,	// Move32R16
+    20110U,	// MoveR3216
+    23018U,	// MultRxRy16
+    17619434U,	// MultRxRyRz16
+    23164U,	// MultuRxRy16
+    17619580U,	// MultuRxRyRz16
+    16798U,	// NLOC_B
+    18286U,	// NLOC_D
+    20183U,	// NLOC_H
+    23402U,	// NLOC_W
+    16806U,	// NLZC_B
+    18294U,	// NLZC_D
+    20191U,	// NLZC_H
+    23410U,	// NLZC_W
+    33572751U,	// NMADD_D32
+    33572751U,	// NMADD_D32_MM
+    33572751U,	// NMADD_D64
+    33576851U,	// NMADD_S
+    33576851U,	// NMADD_S_MM
+    33572709U,	// NMSUB_D32
+    33572709U,	// NMSUB_D32_MM
+    33572709U,	// NMSUB_D64
+    33576833U,	// NMSUB_S
+    33576833U,	// NMSUB_S_MM
     0U,	// NOP
-    16790740U,	// NOR
-    16790740U,	// NOR64
-    285221381U,	// NORI_B
-    16790740U,	// NOR_MM
-    16791273U,	// NOR_V
+    33576783U,	// NOR
+    33576783U,	// NOR64
+    570442311U,	// NORI_B
+    33576783U,	// NOR_MM
+    33577638U,	// NOR_V
     0U,	// NOR_V_D_PSEUDO
     0U,	// NOR_V_H_PSEUDO
     0U,	// NOR_V_W_PSEUDO
-    11390U,	// NegRxRy16
-    13925U,	// NotRxRy16
-    16790741U,	// OR
-    16790741U,	// OR64
-    285221382U,	// ORI_B
-    16790741U,	// OR_MM
-    16791274U,	// OR_V
+    20134U,	// NegRxRy16
+    23024U,	// NotRxRy16
+    33576784U,	// OR
+    33576784U,	// OR64
+    570442312U,	// ORI_B
+    33576784U,	// OR_MM
+    33577639U,	// OR_V
     0U,	// OR_V_D_PSEUDO
     0U,	// OR_V_H_PSEUDO
     0U,	// OR_V_W_PSEUDO
-    553661138U,	// ORi
-    553661138U,	// ORi64
-    553661138U,	// ORi_MM
-    1062101U,	// OrRxRxRy16
-    16789730U,	// PACKRL_PH
-    16786499U,	// PCKEV_B
-    16788397U,	// PCKEV_D
-    16789550U,	// PCKEV_H
-    16792989U,	// PCKEV_W
-    16785779U,	// PCKOD_B
-    16787050U,	// PCKOD_D
-    16788678U,	// PCKOD_H
-    16791512U,	// PCKOD_W
-    9067U,	// PCNT_B
-    10843U,	// PCNT_D
-    12066U,	// PCNT_H
-    15443U,	// PCNT_W
-    16789694U,	// PICK_PH
-    16786615U,	// PICK_QB
-    13136U,	// PRECEQU_PH_QBL
-    8418U,	// PRECEQU_PH_QBLA
-    13432U,	// PRECEQU_PH_QBR
-    8451U,	// PRECEQU_PH_QBRA
-    13176U,	// PRECEQ_W_PHL
-    13472U,	// PRECEQ_W_PHR
-    13121U,	// PRECEU_PH_QBL
-    8402U,	// PRECEU_PH_QBLA
-    13417U,	// PRECEU_PH_QBR
-    8435U,	// PRECEU_PH_QBRA
-    16789646U,	// PRECRQU_S_QB_PH
-    16791655U,	// PRECRQ_PH_W
-    16789619U,	// PRECRQ_QB_PH
-    16791686U,	// PRECRQ_RS_PH_W
-    16789633U,	// PRECR_QB_PH
-    553662551U,	// PRECR_SRA_PH_W
-    553662580U,	// PRECR_SRA_R_PH_W
-    553659464U,	// PREPEND
+    1107318039U,	// ORi
+    1107318039U,	// ORi64
+    1107318039U,	// ORi_MM
+    2119504U,	// OrRxRxRy16
+    33575690U,	// PACKRL_PH
+    8964U,	// PAUSE
+    33571973U,	// PCKEV_B
+    33574313U,	// PCKEV_D
+    33575510U,	// PCKEV_H
+    33579360U,	// PCKEV_W
+    33571253U,	// PCKOD_B
+    33572767U,	// PCKOD_D
+    33574638U,	// PCKOD_H
+    33577883U,	// PCKOD_W
+    17325U,	// PCNT_B
+    19543U,	// PCNT_D
+    20810U,	// PCNT_H
+    24598U,	// PCNT_W
+    33575654U,	// PICK_PH
+    33572105U,	// PICK_QB
+    22131U,	// POP
+    21926U,	// PRECEQU_PH_QBL
+    16676U,	// PRECEQU_PH_QBLA
+    22259U,	// PRECEQU_PH_QBR
+    16709U,	// PRECEQU_PH_QBRA
+    21966U,	// PRECEQ_W_PHL
+    22299U,	// PRECEQ_W_PHR
+    21911U,	// PRECEU_PH_QBL
+    16660U,	// PRECEU_PH_QBLA
+    22244U,	// PRECEU_PH_QBR
+    16693U,	// PRECEU_PH_QBRA
+    33575606U,	// PRECRQU_S_QB_PH
+    33578026U,	// PRECRQ_PH_W
+    33575579U,	// PRECRQ_QB_PH
+    33578057U,	// PRECRQ_RS_PH_W
+    33575593U,	// PRECR_QB_PH
+    1107319834U,	// PRECR_SRA_PH_W
+    1107319863U,	// PRECR_SRA_R_PH_W
+    36506U,	// PREF
+    36506U,	// PREF_R6
+    1107316312U,	// PREPEND
     0U,	// PseudoCMPU_EQ_QB
     0U,	// PseudoCMPU_LE_QB
     0U,	// PseudoCMPU_LT_QB
     0U,	// PseudoCMP_EQ_PH
     0U,	// PseudoCMP_LE_PH
     0U,	// PseudoCMP_LT_PH
-    8199U,	// PseudoCVT_D32_W
-    8199U,	// PseudoCVT_D64_L
-    8199U,	// PseudoCVT_D64_W
-    8199U,	// PseudoCVT_S_L
-    8199U,	// PseudoCVT_S_W
+    16391U,	// PseudoCVT_D32_W
+    16391U,	// PseudoCVT_D64_L
+    16391U,	// PseudoCVT_D64_W
+    16391U,	// PseudoCVT_S_L
+    16391U,	// PseudoCVT_S_W
     0U,	// PseudoDMULT
     0U,	// PseudoDMULTu
     0U,	// PseudoDSDIV
     0U,	// PseudoDUDIV
+    0U,	// PseudoIndirectBranch
+    0U,	// PseudoIndirectBranch64
     0U,	// PseudoMADD
     0U,	// PseudoMADDU
     0U,	// PseudoMFHI
@@ -1150,251 +1311,276 @@
     0U,	// PseudoMULTu
     0U,	// PseudoPICK_PH
     0U,	// PseudoPICK_QB
+    0U,	// PseudoReturn
+    0U,	// PseudoReturn64
     0U,	// PseudoSDIV
     0U,	// PseudoUDIV
-    9651U,	// RADDU_W_QB
-    6304803U,	// RDDSP
-    13541U,	// RDHWR
-    13541U,	// RDHWR64
-    12769U,	// REPLV_PH
-    9631U,	// REPLV_QB
-    6303952U,	// REPL_PH
-    6300873U,	// REPL_QB
-    210122U,	// RET
-    210122U,	// RET_MM
-    553661663U,	// ROTR
-    16791369U,	// ROTRV
-    16791369U,	// ROTRV_MM
-    553661663U,	// ROTR_MM
-    10173U,	// ROUND_L_D64
-    13671U,	// ROUND_L_S
-    11233U,	// ROUND_W_D32
-    11233U,	// ROUND_W_D64
-    11233U,	// ROUND_W_MM
-    13853U,	// ROUND_W_S
-    13853U,	// ROUND_W_S_MM
+    17925U,	// RADDU_W_QB
+    14702233U,	// RDDSP
+    22368U,	// RDHWR
+    22368U,	// RDHWR64
+    21513U,	// REPLV_PH
+    17905U,	// REPLV_QB
+    14701304U,	// REPL_PH
+    14697755U,	// REPL_QB
+    19552U,	// RINT_D
+    22870U,	// RINT_S
+    1107318618U,	// ROTR
+    33577740U,	// ROTRV
+    33577740U,	// ROTRV_MM
+    1107318618U,	// ROTR_MM
+    18757U,	// ROUND_L_D64
+    22597U,	// ROUND_L_S
+    19933U,	// ROUND_W_D32
+    19933U,	// ROUND_W_D64
+    19933U,	// ROUND_W_MM
+    22919U,	// ROUND_W_S
+    22919U,	// ROUND_W_S_MM
     0U,	// Restore16
     0U,	// RestoreX16
     0U,	// RetRA
     0U,	// RetRA16
-    285221664U,	// SAT_S_B
-    553658788U,	// SAT_S_D
-    285224652U,	// SAT_S_H
-    553663385U,	// SAT_S_W
-    285221891U,	// SAT_U_B
-    553659223U,	// SAT_U_D
-    285224942U,	// SAT_U_H
-    553663815U,	// SAT_U_W
-    5252543U,	// SB
-    5252543U,	// SB64
-    5252543U,	// SB_MM
-    869844U,	// SC
-    871463U,	// SCD
-    5252564U,	// SC_MM
-    5254233U,	// SD
-    5251101U,	// SDC1
-    5251101U,	// SDC164
-    5251101U,	// SDC1_MM
-    5251250U,	// SDC2
-    15956U,	// SDIV
-    15956U,	// SDIV_MM
-    5256037U,	// SDL
-    5256333U,	// SDR
-    168829022U,	// SDXC1
-    168829022U,	// SDXC164
-    9322U,	// SEB
-    9322U,	// SEB64
-    9322U,	// SEB_MM
-    12385U,	// SEH
-    12385U,	// SEH64
-    12385U,	// SEH_MM
-    5255822U,	// SH
-    5255822U,	// SH64
-    285221263U,	// SHF_B
-    285224162U,	// SHF_H
-    285227080U,	// SHF_W
-    13280U,	// SHILO
-    14128U,	// SHILOV
-    16789975U,	// SHLLV_PH
-    16786837U,	// SHLLV_QB
-    16789912U,	// SHLLV_S_PH
-    16792534U,	// SHLLV_S_W
-    553660615U,	// SHLL_PH
-    553657536U,	// SHLL_QB
-    553660737U,	// SHLL_S_PH
-    553663286U,	// SHLL_S_W
-    16789965U,	// SHRAV_PH
-    16786827U,	// SHRAV_QB
-    16789813U,	// SHRAV_R_PH
-    16786725U,	// SHRAV_R_QB
-    16792129U,	// SHRAV_R_W
-    553660522U,	// SHRA_PH
-    553657459U,	// SHRA_QB
-    553660690U,	// SHRA_R_PH
-    553657602U,	// SHRA_R_QB
-    553662999U,	// SHRA_R_W
-    16789995U,	// SHRLV_PH
-    16786857U,	// SHRLV_QB
-    553660633U,	// SHRL_PH
-    553657554U,	// SHRL_QB
-    5255822U,	// SH_MM
-    3054510502U,	// SLDI_B
-    3054511925U,	// SLDI_D
-    3054513401U,	// SLDI_H
-    3054516400U,	// SLDI_W
-    3322945900U,	// SLD_B
-    3322947171U,	// SLD_D
-    3322948799U,	// SLD_H
-    3322951633U,	// SLD_W
-    553661356U,	// SLL
-    201339820U,	// SLL64_32
-    201339820U,	// SLL64_64
-    285221320U,	// SLLI_B
-    285222726U,	// SLLI_D
-    285224202U,	// SLLI_H
-    285227201U,	// SLLI_W
-    16791331U,	// SLLV
-    16791331U,	// SLLV_MM
-    16786013U,	// SLL_B
-    16787447U,	// SLL_D
-    16788862U,	// SLL_H
-    553661356U,	// SLL_MM
-    16791887U,	// SLL_W
-    16791124U,	// SLT
-    16791124U,	// SLT64
-    16791124U,	// SLT_MM
-    16790231U,	// SLTi
-    16790231U,	// SLTi64
-    16790231U,	// SLTi_MM
-    16791211U,	// SLTiu
-    16791211U,	// SLTiu64
-    16791211U,	// SLTiu_MM
-    16791225U,	// SLTu
-    16791225U,	// SLTu64
-    16791225U,	// SLTu_MM
+    1107313506U,	// SAT_S_B
+    1107315572U,	// SAT_S_D
+    570446068U,	// SAT_S_H
+    1107320668U,	// SAT_S_W
+    1107313733U,	// SAT_U_B
+    1107316051U,	// SAT_U_D
+    570446358U,	// SAT_U_H
+    1107321098U,	// SAT_U_W
+    12600849U,	// SB
+    12600849U,	// SB64
+    12600849U,	// SB_MM
+    1754799U,	// SC
+    1756727U,	// SCD
+    1756727U,	// SCD_R6
+    1754799U,	// SC_MM
+    1754799U,	// SC_R6
+    12602991U,	// SD
+    415318U,	// SDBBP
+    415318U,	// SDBBP_R6
+    12599343U,	// SDC1
+    12599343U,	// SDC164
+    12599343U,	// SDC1_MM
+    12599504U,	// SDC2
+    12599504U,	// SDC2_R6
+    12599554U,	// SDC3
+    25133U,	// SDIV
+    25133U,	// SDIV_MM
+    12604859U,	// SDL
+    12605192U,	// SDR
+    337657968U,	// SDXC1
+    337657968U,	// SDXC164
+    17580U,	// SEB
+    17580U,	// SEB64
+    17580U,	// SEB_MM
+    21129U,	// SEH
+    21129U,	// SEH64
+    21129U,	// SEH_MM
+    33579499U,	// SELEQZ
+    33579499U,	// SELEQZ64
+    33574437U,	// SELEQZ_D
+    33577409U,	// SELEQZ_S
+    33579472U,	// SELNEZ
+    33579472U,	// SELNEZ64
+    33574420U,	// SELNEZ_D
+    33577399U,	// SELNEZ_S
+    2183154030U,	// SEL_D
+    2183157870U,	// SEL_S
+    33576626U,	// SEQ
+    33576202U,	// SEQi
+    12604598U,	// SH
+    12604598U,	// SH64
+    570442193U,	// SHF_B
+    570445578U,	// SHF_H
+    570448907U,	// SHF_W
+    22079U,	// SHILO
+    23283U,	// SHILOV
+    33575935U,	// SHLLV_PH
+    33572327U,	// SHLLV_QB
+    33575872U,	// SHLLV_S_PH
+    33578905U,	// SHLLV_S_W
+    1107317487U,	// SHLL_PH
+    1107313938U,	// SHLL_QB
+    1107317609U,	// SHLL_S_PH
+    1107320569U,	// SHLL_S_W
+    33575925U,	// SHRAV_PH
+    33572317U,	// SHRAV_QB
+    33575773U,	// SHRAV_R_PH
+    33572215U,	// SHRAV_R_QB
+    33578500U,	// SHRAV_R_W
+    1107317394U,	// SHRA_PH
+    1107313861U,	// SHRA_QB
+    1107317562U,	// SHRA_R_PH
+    1107314004U,	// SHRA_R_QB
+    1107320282U,	// SHRA_R_W
+    33575955U,	// SHRLV_PH
+    33572347U,	// SHRLV_QB
+    1107317505U,	// SHRL_PH
+    1107313956U,	// SHRL_QB
+    12604598U,	// SH_MM
+    1814053352U,	// SLDI_B
+    1814055101U,	// SLDI_D
+    1814056737U,	// SLDI_H
+    1814060147U,	// SLDI_W
+    2350924206U,	// SLD_B
+    2350925720U,	// SLD_D
+    2350927591U,	// SLD_H
+    2350930836U,	// SLD_W
+    1107318274U,	// SLL
+    402675202U,	// SLL64_32
+    402675202U,	// SLL64_64
+    570442250U,	// SLLI_B
+    570443982U,	// SLLI_D
+    570445618U,	// SLLI_H
+    570449028U,	// SLLI_W
+    33577702U,	// SLLV
+    33577702U,	// SLLV_MM
+    33571487U,	// SLL_B
+    33573254U,	// SLL_D
+    33574822U,	// SLL_H
+    1107318274U,	// SLL_MM
+    33578258U,	// SLL_W
+    33577439U,	// SLT
+    33577439U,	// SLT64
+    33577439U,	// SLT_MM
+    33576226U,	// SLTi
+    33576226U,	// SLTi64
+    33576226U,	// SLTi_MM
+    33577547U,	// SLTiu
+    33577547U,	// SLTiu64
+    33577547U,	// SLTiu_MM
+    33577583U,	// SLTu
+    33577583U,	// SLTu64
+    33577583U,	// SLTu_MM
+    33574532U,	// SNE
+    33576147U,	// SNEi
     0U,	// SNZ_B_PSEUDO
     0U,	// SNZ_D_PSEUDO
     0U,	// SNZ_H_PSEUDO
     0U,	// SNZ_V_PSEUDO
     0U,	// SNZ_W_PSEUDO
-    2516591135U,	// SPLATI_B
-    2516592525U,	// SPLATI_D
-    2516594001U,	// SPLATI_H
-    2516597000U,	// SPLATI_W
-    2248155994U,	// SPLAT_B
-    2248157701U,	// SPLAT_D
-    2248158993U,	// SPLAT_H
-    2248162336U,	// SPLAT_W
-    553656597U,	// SRA
-    285221278U,	// SRAI_B
-    285222701U,	// SRAI_D
-    285224177U,	// SRAI_H
-    285227176U,	// SRAI_W
-    285221354U,	// SRARI_B
-    553658216U,	// SRARI_D
-    285224236U,	// SRARI_H
-    553662691U,	// SRARI_W
-    16786051U,	// SRAR_B
-    16787612U,	// SRAR_D
-    16788977U,	// SRAR_H
-    16792151U,	// SRAR_W
-    16791316U,	// SRAV
-    16791316U,	// SRAV_MM
-    16785749U,	// SRA_B
-    16786968U,	// SRA_D
-    16788648U,	// SRA_H
-    553656597U,	// SRA_MM
-    16791439U,	// SRA_W
-    553661362U,	// SRL
-    285221328U,	// SRLI_B
-    285222734U,	// SRLI_D
-    285224210U,	// SRLI_H
-    285227209U,	// SRLI_W
-    285221372U,	// SRLRI_B
-    553658234U,	// SRLRI_D
-    285224254U,	// SRLRI_H
-    553662709U,	// SRLRI_W
-    16786067U,	// SRLR_B
-    16787628U,	// SRLR_D
-    16788993U,	// SRLR_H
-    16792167U,	// SRLR_W
-    16791338U,	// SRLV
-    16791338U,	// SRLV_MM
-    16786020U,	// SRL_B
-    16787472U,	// SRL_D
-    16788869U,	// SRL_H
-    553661362U,	// SRL_MM
-    16791912U,	// SRL_W
-    5251079U,	// STORE_ACC128
-    5251079U,	// STORE_ACC64
-    5251079U,	// STORE_ACC64DSP
-    5256210U,	// STORE_CCOND_DSP
-    5251965U,	// ST_B
-    5253769U,	// ST_D
-    5254964U,	// ST_H
-    5258369U,	// ST_W
-    16786886U,	// SUB
-    16789674U,	// SUBQH_PH
-    16789789U,	// SUBQH_R_PH
-    16792097U,	// SUBQH_R_W
-    16791702U,	// SUBQH_W
-    16789749U,	// SUBQ_PH
-    16789846U,	// SUBQ_S_PH
-    16792403U,	// SUBQ_S_W
-    16786423U,	// SUBSUS_U_B
-    16788299U,	// SUBSUS_U_D
-    16789474U,	// SUBSUS_U_H
-    16792891U,	// SUBSUS_U_W
-    16786226U,	// SUBSUU_S_B
-    16787916U,	// SUBSUU_S_D
-    16789214U,	// SUBSUU_S_H
-    16792513U,	// SUBSUU_S_W
-    16786188U,	// SUBS_S_B
-    16787856U,	// SUBS_S_D
-    16789176U,	// SUBS_S_H
-    16792453U,	// SUBS_S_W
-    16786403U,	// SUBS_U_B
-    16788279U,	// SUBS_U_D
-    16789454U,	// SUBS_U_H
-    16792871U,	// SUBS_U_W
-    16786595U,	// SUBUH_QB
-    16786701U,	// SUBUH_R_QB
-    16789947U,	// SUBU_PH
-    16786809U,	// SUBU_QB
-    16789890U,	// SUBU_S_PH
-    16786748U,	// SUBU_S_QB
-    285221426U,	// SUBVI_B
-    285222816U,	// SUBVI_D
-    285224292U,	// SUBVI_H
-    285227291U,	// SUBVI_W
-    16786482U,	// SUBV_B
-    16788380U,	// SUBV_D
-    16789533U,	// SUBV_H
-    16792972U,	// SUBV_W
-    16786886U,	// SUB_MM
-    16791158U,	// SUBu
-    16791158U,	// SUBu_MM
-    168829036U,	// SUXC1
-    168829036U,	// SUXC164
-    168829036U,	// SUXC1_MM
-    5258721U,	// SW
-    5258721U,	// SW64
-    5251153U,	// SWC1
-    5251153U,	// SWC1_MM
-    5251276U,	// SWC2
-    5256129U,	// SWL
-    5256129U,	// SWL64
-    5256129U,	// SWL_MM
-    5256433U,	// SWR
-    5256433U,	// SWR64
-    5256433U,	// SWR_MM
-    168829050U,	// SWXC1
-    168829050U,	// SWXC1_MM
-    5258721U,	// SW_MM
-    212588U,	// SYNC
-    212588U,	// SYNC_MM
-    209826U,	// SYSCALL
-    209826U,	// SYSCALL_MM
+    738214497U,	// SPLATI_B
+    738216213U,	// SPLATI_D
+    738217849U,	// SPLATI_H
+    738221259U,	// SPLATI_W
+    201343900U,	// SPLAT_B
+    201346005U,	// SPLAT_D
+    201347385U,	// SPLAT_H
+    201351139U,	// SPLAT_W
+    1107312983U,	// SRA
+    570442208U,	// SRAI_B
+    570443957U,	// SRAI_D
+    570445593U,	// SRAI_H
+    570449003U,	// SRAI_W
+    1107313196U,	// SRARI_B
+    1107314928U,	// SRARI_D
+    570445652U,	// SRARI_H
+    1107319974U,	// SRARI_W
+    33571525U,	// SRAR_B
+    33573484U,	// SRAR_D
+    33574937U,	// SRAR_H
+    33578522U,	// SRAR_W
+    33577681U,	// SRAV
+    33577681U,	// SRAV_MM
+    33571223U,	// SRA_B
+    33572677U,	// SRA_D
+    33574608U,	// SRA_H
+    1107312983U,	// SRA_MM
+    33577810U,	// SRA_W
+    1107318280U,	// SRL
+    570442258U,	// SRLI_B
+    570443990U,	// SRLI_D
+    570445626U,	// SRLI_H
+    570449036U,	// SRLI_W
+    1107313214U,	// SRLRI_B
+    1107314946U,	// SRLRI_D
+    570445670U,	// SRLRI_H
+    1107319992U,	// SRLRI_W
+    33571541U,	// SRLR_B
+    33573500U,	// SRLR_D
+    33574953U,	// SRLR_H
+    33578538U,	// SRLR_W
+    33577709U,	// SRLV
+    33577709U,	// SRLV_MM
+    33571494U,	// SRL_B
+    33573279U,	// SRL_D
+    33574829U,	// SRL_H
+    1107318280U,	// SRL_MM
+    33578283U,	// SRL_W
+    8985U,	// SSNOP
+    12599303U,	// STORE_ACC128
+    12599303U,	// STORE_ACC64
+    12599303U,	// STORE_ACC64DSP
+    12605064U,	// STORE_CCOND_DSP
+    12600255U,	// ST_B
+    12602501U,	// ST_D
+    12603740U,	// ST_H
+    12607556U,	// ST_W
+    33572376U,	// SUB
+    33575634U,	// SUBQH_PH
+    33575749U,	// SUBQH_R_PH
+    33578468U,	// SUBQH_R_W
+    33578073U,	// SUBQH_W
+    33575709U,	// SUBQ_PH
+    33575806U,	// SUBQ_S_PH
+    33578774U,	// SUBQ_S_W
+    33571897U,	// SUBSUS_U_B
+    33574215U,	// SUBSUS_U_D
+    33575434U,	// SUBSUS_U_H
+    33579262U,	// SUBSUS_U_W
+    33571700U,	// SUBSUU_S_B
+    33573788U,	// SUBSUU_S_D
+    33575174U,	// SUBSUU_S_H
+    33578884U,	// SUBSUU_S_W
+    33571662U,	// SUBS_S_B
+    33573728U,	// SUBS_S_D
+    33575136U,	// SUBS_S_H
+    33578824U,	// SUBS_S_W
+    33571877U,	// SUBS_U_B
+    33574195U,	// SUBS_U_D
+    33575414U,	// SUBS_U_H
+    33579242U,	// SUBS_U_W
+    33572085U,	// SUBUH_QB
+    33572191U,	// SUBUH_R_QB
+    33575907U,	// SUBU_PH
+    33572299U,	// SUBU_QB
+    33575850U,	// SUBU_S_PH
+    33572238U,	// SUBU_S_QB
+    570442356U,	// SUBVI_B
+    570444072U,	// SUBVI_D
+    570445708U,	// SUBVI_H
+    570449118U,	// SUBVI_W
+    33571956U,	// SUBV_B
+    33574296U,	// SUBV_D
+    33575493U,	// SUBV_H
+    33579343U,	// SUBV_W
+    33572376U,	// SUB_MM
+    33577473U,	// SUBu
+    33577473U,	// SUBu_MM
+    337657982U,	// SUXC1
+    337657982U,	// SUXC164
+    337657982U,	// SUXC1_MM
+    12607908U,	// SW
+    12607908U,	// SW64
+    12599395U,	// SWC1
+    12599395U,	// SWC1_MM
+    12599530U,	// SWC2
+    12599530U,	// SWC2_R6
+    12599566U,	// SWC3
+    12604952U,	// SWL
+    12604952U,	// SWL64
+    12604952U,	// SWL_MM
+    12605292U,	// SWR
+    12605292U,	// SWR64
+    12605292U,	// SWR_MM
+    337657996U,	// SWXC1
+    337657996U,	// SWXC1_MM
+    12607908U,	// SW_MM
+    418389U,	// SYNC
+    418389U,	// SYNC_MM
+    415224U,	// SYSCALL
+    415224U,	// SYSCALL_MM
     0U,	// SZ_B_PSEUDO
     0U,	// SZ_D_PSEUDO
     0U,	// SZ_H_PSEUDO
@@ -1402,109 +1588,116 @@
     0U,	// SZ_W_PSEUDO
     0U,	// Save16
     0U,	// SaveX16
-    5252543U,	// SbRxRyOffMemX16
-    212531U,	// SebRx16
-    212543U,	// SehRx16
-    957972U,	// SelBeqZ
-    957953U,	// SelBneZ
-    226530301U,	// SelTBteqZCmp
-    226529989U,	// SelTBteqZCmpi
-    226530900U,	// SelTBteqZSlt
-    226530007U,	// SelTBteqZSlti
-    226530987U,	// SelTBteqZSltiu
-    226531001U,	// SelTBteqZSltu
-    243307517U,	// SelTBtneZCmp
-    243307205U,	// SelTBtneZCmpi
-    243308116U,	// SelTBtneZSlt
-    243307223U,	// SelTBtneZSlti
-    243308203U,	// SelTBtneZSltiu
-    243308217U,	// SelTBtneZSltu
-    5255822U,	// ShRxRyOffMemX16
-    553661356U,	// SllX16
-    1062691U,	// SllvRxRy16
-    10245716U,	// SltCCRxRy16
-    13908U,	// SltRxRy16
-    10244823U,	// SltiCCRxImmX16
-    117453527U,	// SltiRxImm16
-    13015U,	// SltiRxImmX16
-    10245803U,	// SltiuCCRxImmX16
-    117454507U,	// SltiuRxImm16
-    13995U,	// SltiuRxImmX16
-    10245817U,	// SltuCCRxRy16
-    14009U,	// SltuRxRy16
-    10245817U,	// SltuRxRyRz16
-    553656597U,	// SraX16
-    1062676U,	// SravRxRy16
-    553661362U,	// SrlX16
-    1062698U,	// SrlvRxRy16
-    16791158U,	// SubuRxRyRz16
-    5258721U,	// SwRxRyOffMemX16
-    185613793U,	// SwRxSpImmX16
+    12600849U,	// SbRxRyOffMemX16
+    418316U,	// SebRx16
+    418328U,	// SehRx16
+    1941989U,	// SelBeqZ
+    1941962U,	// SelBneZ
+    455186029U,	// SelTBteqZCmp
+    455185668U,	// SelTBteqZCmpi
+    455186911U,	// SelTBteqZSlt
+    455185698U,	// SelTBteqZSlti
+    455187019U,	// SelTBteqZSltiu
+    455187055U,	// SelTBteqZSltu
+    488740461U,	// SelTBtneZCmp
+    488740100U,	// SelTBtneZCmpi
+    488741343U,	// SelTBtneZSlt
+    488740130U,	// SelTBtneZSlti
+    488741451U,	// SelTBtneZSltiu
+    488741487U,	// SelTBtneZSltu
+    12604598U,	// ShRxRyOffMemX16
+    1107318274U,	// SllX16
+    2120422U,	// SllvRxRy16
+    21813727U,	// SltCCRxRy16
+    23007U,	// SltRxRy16
+    21812514U,	// SltiCCRxImmX16
+    234902818U,	// SltiRxImm16
+    21794U,	// SltiRxImmX16
+    21813835U,	// SltiuCCRxImmX16
+    234904139U,	// SltiuRxImm16
+    23115U,	// SltiuRxImmX16
+    21813871U,	// SltuCCRxRy16
+    23151U,	// SltuRxRy16
+    21813871U,	// SltuRxRyRz16
+    1107312983U,	// SraX16
+    2120401U,	// SravRxRy16
+    1107318280U,	// SrlX16
+    2120429U,	// SrlvRxRy16
+    33577473U,	// SubuRxRyRz16
+    12607908U,	// SwRxRyOffMemX16
+    371220900U,	// SwRxSpImmX16
     0U,	// TAILCALL
     0U,	// TAILCALL64_R
     0U,	// TAILCALL_R
-    553661500U,	// TEQ
-    6304459U,	// TEQI
-    6304459U,	// TEQI_MM
-    553661500U,	// TEQ_MM
-    553659485U,	// TGE
-    6304415U,	// TGEI
-    6305444U,	// TGEIU
-    6305444U,	// TGEIU_MM
-    6304415U,	// TGEI_MM
-    553662097U,	// TGEU
-    553662097U,	// TGEU_MM
-    553659485U,	// TGE_MM
-    553662041U,	// TLT
-    6304477U,	// TLTI
-    6305458U,	// TLTIU_MM
-    6304477U,	// TLTI_MM
-    553662143U,	// TLTU
-    553662143U,	// TLTU_MM
-    553662041U,	// TLT_MM
-    553659495U,	// TNE
-    6304421U,	// TNEI
-    6304421U,	// TNEI_MM
-    553659495U,	// TNE_MM
+    1107318455U,	// TEQ
+    14701840U,	// TEQI
+    14701840U,	// TEQI_MM
+    1107318455U,	// TEQ_MM
+    1107316339U,	// TGE
+    14701773U,	// TGEI
+    14703172U,	// TGEIU
+    14703172U,	// TGEIU_MM
+    14701773U,	// TGEI_MM
+    1107319338U,	// TGEU
+    1107319338U,	// TGEU_MM
+    1107316339U,	// TGE_MM
+    8980U,	// TLBP
+    8991U,	// TLBR
+    8970U,	// TLBWI
+    8996U,	// TLBWR
+    1107319268U,	// TLT
+    14701864U,	// TLTI
+    14703186U,	// TLTIU_MM
+    14701864U,	// TLTI_MM
+    1107319413U,	// TLTU
+    1107319413U,	// TLTU_MM
+    1107319268U,	// TLT_MM
+    1107316361U,	// TNE
+    14701785U,	// TNEI
+    14701785U,	// TNEI_MM
+    1107316361U,	// TNE_MM
     0U,	// TRAP
-    10162U,	// TRUNC_L_D64
-    13660U,	// TRUNC_L_S
-    11222U,	// TRUNC_W_D32
-    11222U,	// TRUNC_W_D64
-    11222U,	// TRUNC_W_MM
-    13842U,	// TRUNC_W_S
-    13842U,	// TRUNC_W_S_MM
-    6305458U,	// TTLTIU
-    15942U,	// UDIV
-    15942U,	// UDIV_MM
-    1091576206U,	// VSHF_B
-    1091577613U,	// VSHF_D
-    1091579105U,	// VSHF_H
-    1091582023U,	// VSHF_W
-    7925U,	// WAIT
-    7925U,	// WAIT_MM
-    6304810U,	// WRDSP
-    12379U,	// WSBH
-    12379U,	// WSBH_MM
-    16790745U,	// XOR
-    16790745U,	// XOR64
-    285221389U,	// XORI_B
-    16790745U,	// XOR_MM
-    16791280U,	// XOR_V
+    18746U,	// TRUNC_L_D64
+    22586U,	// TRUNC_L_S
+    19922U,	// TRUNC_W_D32
+    19922U,	// TRUNC_W_D64
+    19922U,	// TRUNC_W_MM
+    22908U,	// TRUNC_W_S
+    22908U,	// TRUNC_W_S_MM
+    14703186U,	// TTLTIU
+    25119U,	// UDIV
+    25119U,	// UDIV_MM
+    33577561U,	// V3MULU
+    33570839U,	// VMM0
+    33577576U,	// VMULU
+    2183152080U,	// VSHF_B
+    2183153813U,	// VSHF_D
+    2183155465U,	// VSHF_H
+    2183158794U,	// VSHF_W
+    9008U,	// WAIT
+    416217U,	// WAIT_MM
+    14702240U,	// WRDSP
+    21123U,	// WSBH
+    21123U,	// WSBH_MM
+    33576788U,	// XOR
+    33576788U,	// XOR64
+    570442319U,	// XORI_B
+    33576788U,	// XOR_MM
+    33577645U,	// XOR_V
     0U,	// XOR_V_D_PSEUDO
     0U,	// XOR_V_H_PSEUDO
     0U,	// XOR_V_W_PSEUDO
-    553661137U,	// XORi
-    553661137U,	// XORi64
-    553661137U,	// XORi_MM
-    1062105U,	// XorRxRxRy16
+    1107318038U,	// XORi
+    1107318038U,	// XORi64
+    1107318038U,	// XORi_MM
+    2119508U,	// XorRxRxRy16
     0U
   };
 
   static const uint8_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -1521,10 +1714,12 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// ABSQ_S_PH
     0U,	// ABSQ_S_QB
     0U,	// ABSQ_S_W
     0U,	// ADD
+    0U,	// ADDIUPC
     0U,	// ADDQH_PH
     0U,	// ADDQH_R_PH
     0U,	// ADDQH_R_W
@@ -1573,6 +1768,8 @@
     0U,	// ADDu_MM
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
+    1U,	// ALIGN
+    0U,	// ALUIPC
     0U,	// AND
     0U,	// AND64
     0U,	// ANDI_B
@@ -1625,6 +1822,8 @@
     0U,	// ATOMIC_SWAP_I32
     0U,	// ATOMIC_SWAP_I64
     0U,	// ATOMIC_SWAP_I8
+    0U,	// AUI
+    0U,	// AUIPC
     0U,	// AVER_S_B
     0U,	// AVER_S_D
     0U,	// AVER_S_H
@@ -1651,12 +1850,20 @@
     0U,	// AdduRxRyRz16
     0U,	// AndRxRxRy16
     0U,	// B
+    0U,	// BADDu
+    0U,	// BAL
+    0U,	// BALC
     0U,	// BALIGN
     0U,	// BAL_BR
+    0U,	// BC
+    0U,	// BC1EQZ
     0U,	// BC1F
     0U,	// BC1F_MM
+    0U,	// BC1NEZ
     0U,	// BC1T
     0U,	// BC1T_MM
+    0U,	// BC2EQZ
+    0U,	// BC2NEZ
     0U,	// BCLRI_B
     0U,	// BCLRI_D
     0U,	// BCLRI_H
@@ -1667,14 +1874,23 @@
     0U,	// BCLR_W
     0U,	// BEQ
     0U,	// BEQ64
+    0U,	// BEQC
+    0U,	// BEQZALC
+    0U,	// BEQZC
     0U,	// BEQ_MM
+    0U,	// BGEC
+    0U,	// BGEUC
     0U,	// BGEZ
     0U,	// BGEZ64
     0U,	// BGEZAL
+    0U,	// BGEZALC
     0U,	// BGEZAL_MM
+    0U,	// BGEZC
     0U,	// BGEZ_MM
     0U,	// BGTZ
     0U,	// BGTZ64
+    0U,	// BGTZALC
+    0U,	// BGTZC
     0U,	// BGTZ_MM
     0U,	// BINSLI_B
     0U,	// BINSLI_D
@@ -1693,13 +1909,20 @@
     0U,	// BINSR_H
     0U,	// BINSR_W
     0U,	// BITREV
+    0U,	// BITSWAP
     0U,	// BLEZ
     0U,	// BLEZ64
+    0U,	// BLEZALC
+    0U,	// BLEZC
     0U,	// BLEZ_MM
+    0U,	// BLTC
+    0U,	// BLTUC
     0U,	// BLTZ
     0U,	// BLTZ64
     0U,	// BLTZAL
+    0U,	// BLTZALC
     0U,	// BLTZAL_MM
+    0U,	// BLTZC
     0U,	// BLTZ_MM
     0U,	// BMNZI_B
     0U,	// BMNZ_V
@@ -1707,6 +1930,7 @@
     0U,	// BMZ_V
     0U,	// BNE
     0U,	// BNE64
+    0U,	// BNEC
     0U,	// BNEGI_B
     0U,	// BNEGI_D
     0U,	// BNEGI_H
@@ -1715,12 +1939,16 @@
     0U,	// BNEG_D
     0U,	// BNEG_H
     0U,	// BNEG_W
+    0U,	// BNEZALC
+    0U,	// BNEZC
     0U,	// BNE_MM
+    0U,	// BNVC
     0U,	// BNZ_B
     0U,	// BNZ_D
     0U,	// BNZ_H
     0U,	// BNZ_V
     0U,	// BNZ_W
+    0U,	// BOVC
     0U,	// BPOSGE32
     0U,	// BPOSGE32_PSEUDO
     0U,	// BREAK
@@ -1770,6 +1998,8 @@
     0U,	// BtnezX16
     0U,	// BuildPairF64
     0U,	// BuildPairF64_64
+    0U,	// CACHE
+    0U,	// CACHE_R6
     0U,	// CEIL_L_D64
     0U,	// CEIL_L_S
     0U,	// CEIL_W_D32
@@ -1788,6 +2018,10 @@
     0U,	// CFC1
     0U,	// CFC1_MM
     0U,	// CFCMSA
+    1U,	// CINS
+    1U,	// CINS32
+    0U,	// CLASS_D
+    0U,	// CLASS_S
     0U,	// CLEI_S_B
     0U,	// CLEI_S_D
     0U,	// CLEI_S_H
@@ -1806,6 +2040,7 @@
     0U,	// CLE_U_W
     0U,	// CLO
     0U,	// CLO_MM
+    0U,	// CLO_R6
     0U,	// CLTI_S_B
     0U,	// CLTI_S_D
     0U,	// CLTI_S_H
@@ -1824,6 +2059,7 @@
     0U,	// CLT_U_W
     0U,	// CLZ
     0U,	// CLZ_MM
+    0U,	// CLZ_R6
     0U,	// CMPGDU_EQ_QB
     0U,	// CMPGDU_LE_QB
     0U,	// CMPGDU_LT_QB
@@ -1833,20 +2069,52 @@
     0U,	// CMPU_EQ_QB
     0U,	// CMPU_LE_QB
     0U,	// CMPU_LT_QB
+    0U,	// CMP_EQ_D
     0U,	// CMP_EQ_PH
+    0U,	// CMP_EQ_S
+    0U,	// CMP_F_D
+    0U,	// CMP_F_S
+    0U,	// CMP_LE_D
     0U,	// CMP_LE_PH
+    0U,	// CMP_LE_S
+    0U,	// CMP_LT_D
     0U,	// CMP_LT_PH
+    0U,	// CMP_LT_S
+    0U,	// CMP_SAF_D
+    0U,	// CMP_SAF_S
+    0U,	// CMP_SEQ_D
+    0U,	// CMP_SEQ_S
+    0U,	// CMP_SLE_D
+    0U,	// CMP_SLE_S
+    0U,	// CMP_SLT_D
+    0U,	// CMP_SLT_S
+    0U,	// CMP_SUEQ_D
+    0U,	// CMP_SUEQ_S
+    0U,	// CMP_SULE_D
+    0U,	// CMP_SULE_S
+    0U,	// CMP_SULT_D
+    0U,	// CMP_SULT_S
+    0U,	// CMP_SUN_D
+    0U,	// CMP_SUN_S
+    0U,	// CMP_UEQ_D
+    0U,	// CMP_UEQ_S
+    0U,	// CMP_ULE_D
+    0U,	// CMP_ULE_S
+    0U,	// CMP_ULT_D
+    0U,	// CMP_ULT_S
+    0U,	// CMP_UN_D
+    0U,	// CMP_UN_S
     0U,	// CONSTPOOL_ENTRY
     0U,	// COPY_FD_PSEUDO
     0U,	// COPY_FW_PSEUDO
-    0U,	// COPY_S_B
-    0U,	// COPY_S_D
-    0U,	// COPY_S_H
-    0U,	// COPY_S_W
-    0U,	// COPY_U_B
-    0U,	// COPY_U_D
-    0U,	// COPY_U_H
-    0U,	// COPY_U_W
+    2U,	// COPY_S_B
+    2U,	// COPY_S_D
+    2U,	// COPY_S_H
+    2U,	// COPY_S_W
+    2U,	// COPY_U_B
+    2U,	// COPY_U_D
+    2U,	// COPY_U_H
+    2U,	// COPY_U_W
     0U,	// CTC1
     0U,	// CTC1_MM
     0U,	// CTCMSA
@@ -1928,17 +2196,28 @@
     0U,	// DADDi
     0U,	// DADDiu
     0U,	// DADDu
+    0U,	// DAHI
+    1U,	// DALIGN
+    0U,	// DATI
+    0U,	// DAUI
+    0U,	// DBITSWAP
     0U,	// DCLO
+    0U,	// DCLO_R6
     0U,	// DCLZ
+    0U,	// DCLZ_R6
+    0U,	// DDIV
+    0U,	// DDIVU
     0U,	// DERET
     0U,	// DERET_MM
-    1U,	// DEXT
-    1U,	// DEXTM
-    1U,	// DEXTU
+    5U,	// DEXT
+    5U,	// DEXTM
+    5U,	// DEXTU
     0U,	// DI
-    1U,	// DINS
-    1U,	// DINSM
-    1U,	// DINSU
+    5U,	// DINS
+    5U,	// DINSM
+    5U,	// DINSU
+    0U,	// DIV
+    0U,	// DIVU
     0U,	// DIV_S_B
     0U,	// DIV_S_D
     0U,	// DIV_S_H
@@ -1948,15 +2227,23 @@
     0U,	// DIV_U_H
     0U,	// DIV_U_W
     0U,	// DI_MM
-    3U,	// DLSA
+    1U,	// DLSA
+    1U,	// DLSA_R6
     0U,	// DMFC0
     0U,	// DMFC1
     0U,	// DMFC2
+    0U,	// DMOD
+    0U,	// DMODU
     0U,	// DMTC0
     0U,	// DMTC1
     0U,	// DMTC2
+    0U,	// DMUH
+    0U,	// DMUHU
+    0U,	// DMUL
     0U,	// DMULT
     0U,	// DMULTu
+    0U,	// DMULU
+    0U,	// DMUL_R6
     0U,	// DOTP_S_D
     0U,	// DOTP_S_H
     0U,	// DOTP_S_W
@@ -1977,6 +2264,7 @@
     0U,	// DPAU_H_QBR
     0U,	// DPAX_W_PH
     0U,	// DPA_W_PH
+    0U,	// DPOP
     0U,	// DPSQX_SA_W_PH
     0U,	// DPSQX_S_W_PH
     0U,	// DPSQ_SA_L_W
@@ -2007,15 +2295,17 @@
     0U,	// DSRL
     0U,	// DSRL32
     0U,	// DSRLV
+    0U,	// DSUB
     0U,	// DSUBu
     0U,	// DUDIV
     0U,	// DivRxRy16
     0U,	// DivuRxRy16
+    0U,	// EHB
     0U,	// EI
     0U,	// EI_MM
     0U,	// ERET
     0U,	// ERET_MM
-    1U,	// EXT
+    5U,	// EXT
     0U,	// EXTP
     0U,	// EXTPDP
     0U,	// EXTPDPV
@@ -2028,7 +2318,9 @@
     0U,	// EXTR_R_W
     0U,	// EXTR_S_H
     0U,	// EXTR_W
-    1U,	// EXT_MM
+    1U,	// EXTS
+    1U,	// EXTS32
+    5U,	// EXT_MM
     0U,	// ExtractElementF64
     0U,	// ExtractElementF64_64
     0U,	// FABS_D
@@ -2224,29 +2516,42 @@
     0U,	// ILVR_D
     0U,	// ILVR_H
     0U,	// ILVR_W
-    1U,	// INS
+    5U,	// INS
     0U,	// INSERT_B
+    0U,	// INSERT_B_VIDX_PSEUDO
     0U,	// INSERT_D
+    0U,	// INSERT_D_VIDX_PSEUDO
     0U,	// INSERT_FD_PSEUDO
+    0U,	// INSERT_FD_VIDX_PSEUDO
     0U,	// INSERT_FW_PSEUDO
+    0U,	// INSERT_FW_VIDX_PSEUDO
     0U,	// INSERT_H
+    0U,	// INSERT_H_VIDX_PSEUDO
     0U,	// INSERT_W
+    0U,	// INSERT_W_VIDX_PSEUDO
     0U,	// INSV
     0U,	// INSVE_B
     0U,	// INSVE_D
     0U,	// INSVE_H
     0U,	// INSVE_W
-    1U,	// INS_MM
+    5U,	// INS_MM
     0U,	// J
     0U,	// JAL
     0U,	// JALR
+    0U,	// JALR16_MM
     0U,	// JALR64
     0U,	// JALR64Pseudo
     0U,	// JALRPseudo
+    0U,	// JALR_HB
     0U,	// JALR_MM
+    0U,	// JALX
     0U,	// JAL_MM
+    0U,	// JIALC
+    0U,	// JIC
     0U,	// JR
     0U,	// JR64
+    0U,	// JR_HB
+    0U,	// JR_HB_R6
     0U,	// JR_MM
     0U,	// J_MM
     0U,	// Jal16
@@ -2267,11 +2572,14 @@
     0U,	// LDC164
     0U,	// LDC1_MM
     0U,	// LDC2
+    0U,	// LDC2_R6
+    0U,	// LDC3
     0U,	// LDI_B
     0U,	// LDI_D
     0U,	// LDI_H
     0U,	// LDI_W
     0U,	// LDL
+    0U,	// LDPC
     0U,	// LDR
     0U,	// LDXC1
     0U,	// LDXC164
@@ -2291,12 +2599,18 @@
     0U,	// LHu_MM
     0U,	// LL
     0U,	// LLD
+    0U,	// LLD_R6
     0U,	// LL_MM
+    0U,	// LL_R6
     0U,	// LOAD_ACC128
     0U,	// LOAD_ACC64
     0U,	// LOAD_ACC64DSP
     0U,	// LOAD_CCOND_DSP
-    3U,	// LSA
+    0U,	// LONG_BRANCH_ADDiu
+    0U,	// LONG_BRANCH_DADDiu
+    0U,	// LONG_BRANCH_LUi
+    1U,	// LSA
+    1U,	// LSA_R6
     0U,	// LUXC1
     0U,	// LUXC164
     0U,	// LUXC1_MM
@@ -2308,12 +2622,16 @@
     0U,	// LWC1
     0U,	// LWC1_MM
     0U,	// LWC2
+    0U,	// LWC2_R6
+    0U,	// LWC3
     0U,	// LWL
     0U,	// LWL64
     0U,	// LWL_MM
+    0U,	// LWPC
     0U,	// LWR
     0U,	// LWR64
     0U,	// LWR_MM
+    0U,	// LWUPC
     0U,	// LWU_MM
     0U,	// LWX
     0U,	// LWXC1
@@ -2330,12 +2648,15 @@
     0U,	// LoadAddr32Imm
     0U,	// LoadAddr32Reg
     0U,	// LoadImm32Reg
+    0U,	// LoadImm64Reg
     0U,	// LwConstant32
     0U,	// LwRxPcTcp16
     0U,	// LwRxPcTcpX16
     0U,	// LwRxRyOffMemX16
     0U,	// LwRxSpImmX16
     0U,	// MADD
+    0U,	// MADDF_D
+    0U,	// MADDF_S
     0U,	// MADDR_Q_H
     0U,	// MADDR_Q_W
     0U,	// MADDU
@@ -2345,19 +2666,21 @@
     0U,	// MADDV_D
     0U,	// MADDV_H
     0U,	// MADDV_W
-    1U,	// MADD_D32
-    1U,	// MADD_D32_MM
-    1U,	// MADD_D64
+    5U,	// MADD_D32
+    5U,	// MADD_D32_MM
+    5U,	// MADD_D64
     0U,	// MADD_DSP
     0U,	// MADD_MM
     0U,	// MADD_Q_H
     0U,	// MADD_Q_W
-    1U,	// MADD_S
-    1U,	// MADD_S_MM
+    5U,	// MADD_S
+    5U,	// MADD_S_MM
     0U,	// MAQ_SA_W_PHL
     0U,	// MAQ_SA_W_PHR
     0U,	// MAQ_S_W_PHL
     0U,	// MAQ_S_W_PHR
+    0U,	// MAXA_D
+    0U,	// MAXA_S
     0U,	// MAXI_S_B
     0U,	// MAXI_S_D
     0U,	// MAXI_S_H
@@ -2370,6 +2693,8 @@
     0U,	// MAX_A_D
     0U,	// MAX_A_H
     0U,	// MAX_A_W
+    0U,	// MAX_D
+    0U,	// MAX_S
     0U,	// MAX_S_B
     0U,	// MAX_S_D
     0U,	// MAX_S_H
@@ -2382,16 +2707,21 @@
     0U,	// MFC1
     0U,	// MFC1_MM
     0U,	// MFC2
-    0U,	// MFHC1
+    0U,	// MFHC1_D32
+    0U,	// MFHC1_D64
     0U,	// MFHC1_MM
     0U,	// MFHI
+    0U,	// MFHI16_MM
     0U,	// MFHI64
     0U,	// MFHI_DSP
     0U,	// MFHI_MM
     0U,	// MFLO
+    0U,	// MFLO16_MM
     0U,	// MFLO64
     0U,	// MFLO_DSP
     0U,	// MFLO_MM
+    0U,	// MINA_D
+    0U,	// MINA_S
     0U,	// MINI_S_B
     0U,	// MINI_S_D
     0U,	// MINI_S_H
@@ -2404,6 +2734,8 @@
     0U,	// MIN_A_D
     0U,	// MIN_A_H
     0U,	// MIN_A_W
+    0U,	// MIN_D
+    0U,	// MIN_S
     0U,	// MIN_S_B
     0U,	// MIN_S_D
     0U,	// MIN_S_H
@@ -2414,7 +2746,9 @@
     0U,	// MIN_U_W
     0U,	// MIPSeh_return32
     0U,	// MIPSeh_return64
+    0U,	// MOD
     0U,	// MODSUB
+    0U,	// MODU
     0U,	// MOD_S_B
     0U,	// MOD_S_D
     0U,	// MOD_S_H
@@ -2423,6 +2757,7 @@
     0U,	// MOD_U_D
     0U,	// MOD_U_H
     0U,	// MOD_U_W
+    0U,	// MOVE16_MM
     0U,	// MOVE_V
     0U,	// MOVF_D32
     0U,	// MOVF_D32_MM
@@ -2465,6 +2800,8 @@
     0U,	// MOVZ_I_S
     0U,	// MOVZ_I_S_MM
     0U,	// MSUB
+    0U,	// MSUBF_D
+    0U,	// MSUBF_S
     0U,	// MSUBR_Q_H
     0U,	// MSUBR_Q_W
     0U,	// MSUBU
@@ -2474,20 +2811,21 @@
     0U,	// MSUBV_D
     0U,	// MSUBV_H
     0U,	// MSUBV_W
-    1U,	// MSUB_D32
-    1U,	// MSUB_D32_MM
-    1U,	// MSUB_D64
+    5U,	// MSUB_D32
+    5U,	// MSUB_D32_MM
+    5U,	// MSUB_D64
     0U,	// MSUB_DSP
     0U,	// MSUB_MM
     0U,	// MSUB_Q_H
     0U,	// MSUB_Q_W
-    1U,	// MSUB_S
-    1U,	// MSUB_S_MM
+    5U,	// MSUB_S
+    5U,	// MSUB_S_MM
     0U,	// MTC0
     0U,	// MTC1
     0U,	// MTC1_MM
     0U,	// MTC2
-    0U,	// MTHC1
+    0U,	// MTHC1_D32
+    0U,	// MTHC1_D64
     0U,	// MTHC1_MM
     0U,	// MTHI
     0U,	// MTHI64
@@ -2498,6 +2836,14 @@
     0U,	// MTLO64
     0U,	// MTLO_DSP
     0U,	// MTLO_MM
+    0U,	// MTM0
+    0U,	// MTM1
+    0U,	// MTM2
+    0U,	// MTP0
+    0U,	// MTP1
+    0U,	// MTP2
+    0U,	// MUH
+    0U,	// MUHU
     0U,	// MUL
     0U,	// MULEQ_S_W_PHL
     0U,	// MULEQ_S_W_PHR
@@ -2517,6 +2863,7 @@
     0U,	// MULT_MM
     0U,	// MULTu
     0U,	// MULTu_MM
+    0U,	// MULU
     0U,	// MULV_B
     0U,	// MULV_D
     0U,	// MULV_H
@@ -2525,6 +2872,7 @@
     0U,	// MUL_PH
     0U,	// MUL_Q_H
     0U,	// MUL_Q_W
+    0U,	// MUL_R6
     0U,	// MUL_S_PH
     0U,	// Mfhi16
     0U,	// Mflo16
@@ -2542,16 +2890,16 @@
     0U,	// NLZC_D
     0U,	// NLZC_H
     0U,	// NLZC_W
-    1U,	// NMADD_D32
-    1U,	// NMADD_D32_MM
-    1U,	// NMADD_D64
-    1U,	// NMADD_S
-    1U,	// NMADD_S_MM
-    1U,	// NMSUB_D32
-    1U,	// NMSUB_D32_MM
-    1U,	// NMSUB_D64
-    1U,	// NMSUB_S
-    1U,	// NMSUB_S_MM
+    5U,	// NMADD_D32
+    5U,	// NMADD_D32_MM
+    5U,	// NMADD_D64
+    5U,	// NMADD_S
+    5U,	// NMADD_S_MM
+    5U,	// NMSUB_D32
+    5U,	// NMSUB_D32_MM
+    5U,	// NMSUB_D64
+    5U,	// NMSUB_S
+    5U,	// NMSUB_S_MM
     0U,	// NOP
     0U,	// NOR
     0U,	// NOR64
@@ -2576,6 +2924,7 @@
     0U,	// ORi_MM
     0U,	// OrRxRxRy16
     0U,	// PACKRL_PH
+    0U,	// PAUSE
     0U,	// PCKEV_B
     0U,	// PCKEV_D
     0U,	// PCKEV_H
@@ -2590,6 +2939,7 @@
     0U,	// PCNT_W
     0U,	// PICK_PH
     0U,	// PICK_QB
+    0U,	// POP
     0U,	// PRECEQU_PH_QBL
     0U,	// PRECEQU_PH_QBLA
     0U,	// PRECEQU_PH_QBR
@@ -2607,6 +2957,8 @@
     0U,	// PRECR_QB_PH
     0U,	// PRECR_SRA_PH_W
     0U,	// PRECR_SRA_R_PH_W
+    0U,	// PREF
+    0U,	// PREF_R6
     0U,	// PREPEND
     0U,	// PseudoCMPU_EQ_QB
     0U,	// PseudoCMPU_LE_QB
@@ -2623,6 +2975,8 @@
     0U,	// PseudoDMULTu
     0U,	// PseudoDSDIV
     0U,	// PseudoDUDIV
+    0U,	// PseudoIndirectBranch
+    0U,	// PseudoIndirectBranch64
     0U,	// PseudoMADD
     0U,	// PseudoMADDU
     0U,	// PseudoMFHI
@@ -2638,6 +2992,8 @@
     0U,	// PseudoMULTu
     0U,	// PseudoPICK_PH
     0U,	// PseudoPICK_QB
+    0U,	// PseudoReturn
+    0U,	// PseudoReturn64
     0U,	// PseudoSDIV
     0U,	// PseudoUDIV
     0U,	// RADDU_W_QB
@@ -2648,8 +3004,8 @@
     0U,	// REPLV_QB
     0U,	// REPL_PH
     0U,	// REPL_QB
-    0U,	// RET
-    0U,	// RET_MM
+    0U,	// RINT_D
+    0U,	// RINT_S
     0U,	// ROTR
     0U,	// ROTRV
     0U,	// ROTRV_MM
@@ -2678,12 +3034,18 @@
     0U,	// SB_MM
     0U,	// SC
     0U,	// SCD
+    0U,	// SCD_R6
     0U,	// SC_MM
+    0U,	// SC_R6
     0U,	// SD
+    0U,	// SDBBP
+    0U,	// SDBBP_R6
     0U,	// SDC1
     0U,	// SDC164
     0U,	// SDC1_MM
     0U,	// SDC2
+    0U,	// SDC2_R6
+    0U,	// SDC3
     0U,	// SDIV
     0U,	// SDIV_MM
     0U,	// SDL
@@ -2696,6 +3058,18 @@
     0U,	// SEH
     0U,	// SEH64
     0U,	// SEH_MM
+    0U,	// SELEQZ
+    0U,	// SELEQZ64
+    0U,	// SELEQZ_D
+    0U,	// SELEQZ_S
+    0U,	// SELNEZ
+    0U,	// SELNEZ64
+    0U,	// SELNEZ_D
+    0U,	// SELNEZ_S
+    0U,	// SEL_D
+    0U,	// SEL_S
+    0U,	// SEQ
+    0U,	// SEQi
     0U,	// SH
     0U,	// SH64
     0U,	// SHF_B
@@ -2726,14 +3100,14 @@
     0U,	// SHRL_PH
     0U,	// SHRL_QB
     0U,	// SH_MM
-    0U,	// SLDI_B
-    0U,	// SLDI_D
-    0U,	// SLDI_H
-    0U,	// SLDI_W
-    0U,	// SLD_B
-    0U,	// SLD_D
-    0U,	// SLD_H
-    0U,	// SLD_W
+    2U,	// SLDI_B
+    2U,	// SLDI_D
+    2U,	// SLDI_H
+    2U,	// SLDI_W
+    2U,	// SLD_B
+    2U,	// SLD_D
+    2U,	// SLD_H
+    2U,	// SLD_W
     0U,	// SLL
     0U,	// SLL64_32
     0U,	// SLL64_64
@@ -2760,19 +3134,21 @@
     0U,	// SLTu
     0U,	// SLTu64
     0U,	// SLTu_MM
+    0U,	// SNE
+    0U,	// SNEi
     0U,	// SNZ_B_PSEUDO
     0U,	// SNZ_D_PSEUDO
     0U,	// SNZ_H_PSEUDO
     0U,	// SNZ_V_PSEUDO
     0U,	// SNZ_W_PSEUDO
-    0U,	// SPLATI_B
-    0U,	// SPLATI_D
-    0U,	// SPLATI_H
-    0U,	// SPLATI_W
-    0U,	// SPLAT_B
-    0U,	// SPLAT_D
-    0U,	// SPLAT_H
-    0U,	// SPLAT_W
+    2U,	// SPLATI_B
+    2U,	// SPLATI_D
+    2U,	// SPLATI_H
+    2U,	// SPLATI_W
+    2U,	// SPLAT_B
+    2U,	// SPLAT_D
+    2U,	// SPLAT_H
+    2U,	// SPLAT_W
     0U,	// SRA
     0U,	// SRAI_B
     0U,	// SRAI_D
@@ -2813,6 +3189,7 @@
     0U,	// SRL_H
     0U,	// SRL_MM
     0U,	// SRL_W
+    0U,	// SSNOP
     0U,	// STORE_ACC128
     0U,	// STORE_ACC64
     0U,	// STORE_ACC64DSP
@@ -2870,6 +3247,8 @@
     0U,	// SWC1
     0U,	// SWC1_MM
     0U,	// SWC2
+    0U,	// SWC2_R6
+    0U,	// SWC3
     0U,	// SWL
     0U,	// SWL64
     0U,	// SWL_MM
@@ -2943,6 +3322,10 @@
     0U,	// TGEU
     0U,	// TGEU_MM
     0U,	// TGE_MM
+    0U,	// TLBP
+    0U,	// TLBR
+    0U,	// TLBWI
+    0U,	// TLBWR
     0U,	// TLT
     0U,	// TLTI
     0U,	// TLTIU_MM
@@ -2965,6 +3348,9 @@
     0U,	// TTLTIU
     0U,	// UDIV
     0U,	// UDIV_MM
+    0U,	// V3MULU
+    0U,	// VMM0
+    0U,	// VMULU
     0U,	// VSHF_B
     0U,	// VSHF_D
     0U,	// VSHF_H
@@ -2994,894 +3380,1031 @@
   /* 0 */ 'j', 'a', 'l', 'r', 'c', 32, 9, 0,
   /* 8 */ 'd', 'm', 'f', 'c', '0', 9, 0,
   /* 15 */ 'd', 'm', 't', 'c', '0', 9, 0,
-  /* 22 */ 'l', 'd', 'c', '1', 9, 0,
-  /* 28 */ 's', 'd', 'c', '1', 9, 0,
-  /* 34 */ 'c', 'f', 'c', '1', 9, 0,
-  /* 40 */ 'd', 'm', 'f', 'c', '1', 9, 0,
-  /* 47 */ 'm', 'f', 'h', 'c', '1', 9, 0,
-  /* 54 */ 'm', 't', 'h', 'c', '1', 9, 0,
-  /* 61 */ 'c', 't', 'c', '1', 9, 0,
-  /* 67 */ 'd', 'm', 't', 'c', '1', 9, 0,
-  /* 74 */ 'l', 'w', 'c', '1', 9, 0,
-  /* 80 */ 's', 'w', 'c', '1', 9, 0,
-  /* 86 */ 'l', 'd', 'x', 'c', '1', 9, 0,
-  /* 93 */ 's', 'd', 'x', 'c', '1', 9, 0,
-  /* 100 */ 'l', 'u', 'x', 'c', '1', 9, 0,
-  /* 107 */ 's', 'u', 'x', 'c', '1', 9, 0,
-  /* 114 */ 'l', 'w', 'x', 'c', '1', 9, 0,
-  /* 121 */ 's', 'w', 'x', 'c', '1', 9, 0,
-  /* 128 */ 'd', 's', 'r', 'a', '3', '2', 9, 0,
-  /* 136 */ 'b', 'p', 'o', 's', 'g', 'e', '3', '2', 9, 0,
-  /* 146 */ 'd', 's', 'l', 'l', '3', '2', 9, 0,
-  /* 154 */ 'd', 's', 'r', 'l', '3', '2', 9, 0,
-  /* 162 */ 'd', 'r', 'o', 't', 'r', '3', '2', 9, 0,
-  /* 171 */ 'l', 'd', 'c', '2', 9, 0,
-  /* 177 */ 's', 'd', 'c', '2', 9, 0,
-  /* 183 */ 'd', 'm', 'f', 'c', '2', 9, 0,
-  /* 190 */ 'd', 'm', 't', 'c', '2', 9, 0,
-  /* 197 */ 'l', 'w', 'c', '2', 9, 0,
-  /* 203 */ 's', 'w', 'c', '2', 9, 0,
-  /* 209 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
-  /* 225 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
-  /* 242 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
-  /* 258 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
-  /* 275 */ 'd', 's', 'r', 'a', 9, 0,
-  /* 281 */ 'd', 'l', 's', 'a', 9, 0,
-  /* 287 */ 'c', 'f', 'c', 'm', 's', 'a', 9, 0,
-  /* 295 */ 'c', 't', 'c', 'm', 's', 'a', 9, 0,
-  /* 303 */ 'a', 'd', 'd', '_', 'a', '.', 'b', 9, 0,
-  /* 312 */ 'm', 'i', 'n', '_', 'a', '.', 'b', 9, 0,
-  /* 321 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'b', 9, 0,
-  /* 331 */ 'm', 'a', 'x', '_', 'a', '.', 'b', 9, 0,
-  /* 340 */ 's', 'r', 'a', '.', 'b', 9, 0,
-  /* 347 */ 'n', 'l', 'o', 'c', '.', 'b', 9, 0,
-  /* 355 */ 'n', 'l', 'z', 'c', '.', 'b', 9, 0,
-  /* 363 */ 's', 'l', 'd', '.', 'b', 9, 0,
-  /* 370 */ 'p', 'c', 'k', 'o', 'd', '.', 'b', 9, 0,
-  /* 379 */ 'i', 'l', 'v', 'o', 'd', '.', 'b', 9, 0,
-  /* 388 */ 'i', 'n', 's', 'v', 'e', '.', 'b', 9, 0,
-  /* 397 */ 'v', 's', 'h', 'f', '.', 'b', 9, 0,
-  /* 405 */ 'b', 'n', 'e', 'g', '.', 'b', 9, 0,
-  /* 413 */ 's', 'r', 'a', 'i', '.', 'b', 9, 0,
-  /* 421 */ 's', 'l', 'd', 'i', '.', 'b', 9, 0,
-  /* 429 */ 'a', 'n', 'd', 'i', '.', 'b', 9, 0,
-  /* 437 */ 'b', 'n', 'e', 'g', 'i', '.', 'b', 9, 0,
-  /* 446 */ 'b', 's', 'e', 'l', 'i', '.', 'b', 9, 0,
-  /* 455 */ 's', 'l', 'l', 'i', '.', 'b', 9, 0,
-  /* 463 */ 's', 'r', 'l', 'i', '.', 'b', 9, 0,
-  /* 471 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'b', 9, 0,
-  /* 481 */ 'c', 'e', 'q', 'i', '.', 'b', 9, 0,
-  /* 489 */ 's', 'r', 'a', 'r', 'i', '.', 'b', 9, 0,
-  /* 498 */ 'b', 'c', 'l', 'r', 'i', '.', 'b', 9, 0,
-  /* 507 */ 's', 'r', 'l', 'r', 'i', '.', 'b', 9, 0,
-  /* 516 */ 'n', 'o', 'r', 'i', '.', 'b', 9, 0,
-  /* 524 */ 'x', 'o', 'r', 'i', '.', 'b', 9, 0,
-  /* 532 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'b', 9, 0,
-  /* 542 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'b', 9, 0,
-  /* 552 */ 'b', 's', 'e', 't', 'i', '.', 'b', 9, 0,
-  /* 561 */ 's', 'u', 'b', 'v', 'i', '.', 'b', 9, 0,
-  /* 570 */ 'a', 'd', 'd', 'v', 'i', '.', 'b', 9, 0,
-  /* 579 */ 'b', 'm', 'z', 'i', '.', 'b', 9, 0,
-  /* 587 */ 'b', 'm', 'n', 'z', 'i', '.', 'b', 9, 0,
-  /* 596 */ 'f', 'i', 'l', 'l', '.', 'b', 9, 0,
-  /* 604 */ 's', 'l', 'l', '.', 'b', 9, 0,
-  /* 611 */ 's', 'r', 'l', '.', 'b', 9, 0,
-  /* 618 */ 'b', 'i', 'n', 's', 'l', '.', 'b', 9, 0,
-  /* 627 */ 'i', 'l', 'v', 'l', '.', 'b', 9, 0,
-  /* 635 */ 'c', 'e', 'q', '.', 'b', 9, 0,
-  /* 642 */ 's', 'r', 'a', 'r', '.', 'b', 9, 0,
-  /* 650 */ 'b', 'c', 'l', 'r', '.', 'b', 9, 0,
-  /* 658 */ 's', 'r', 'l', 'r', '.', 'b', 9, 0,
-  /* 666 */ 'b', 'i', 'n', 's', 'r', '.', 'b', 9, 0,
-  /* 675 */ 'i', 'l', 'v', 'r', '.', 'b', 9, 0,
-  /* 683 */ 'a', 's', 'u', 'b', '_', 's', '.', 'b', 9, 0,
-  /* 693 */ 'm', 'o', 'd', '_', 's', '.', 'b', 9, 0,
-  /* 702 */ 'c', 'l', 'e', '_', 's', '.', 'b', 9, 0,
-  /* 711 */ 'a', 'v', 'e', '_', 's', '.', 'b', 9, 0,
-  /* 720 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 730 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 740 */ 'c', 'l', 't', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 750 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'b', 9, 0,
-  /* 760 */ 'm', 'i', 'n', '_', 's', '.', 'b', 9, 0,
-  /* 769 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'b', 9, 0,
-  /* 779 */ 's', 'u', 'b', 's', '_', 's', '.', 'b', 9, 0,
-  /* 789 */ 'a', 'd', 'd', 's', '_', 's', '.', 'b', 9, 0,
-  /* 799 */ 's', 'a', 't', '_', 's', '.', 'b', 9, 0,
-  /* 808 */ 'c', 'l', 't', '_', 's', '.', 'b', 9, 0,
-  /* 817 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'b', 9, 0,
-  /* 829 */ 'd', 'i', 'v', '_', 's', '.', 'b', 9, 0,
-  /* 838 */ 'm', 'a', 'x', '_', 's', '.', 'b', 9, 0,
-  /* 847 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'b', 9, 0,
-  /* 857 */ 's', 'p', 'l', 'a', 't', '.', 'b', 9, 0,
-  /* 866 */ 'b', 's', 'e', 't', '.', 'b', 9, 0,
-  /* 874 */ 'p', 'c', 'n', 't', '.', 'b', 9, 0,
-  /* 882 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'b', 9, 0,
-  /* 892 */ 's', 't', '.', 'b', 9, 0,
-  /* 898 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'b', 9, 0,
-  /* 908 */ 'm', 'o', 'd', '_', 'u', '.', 'b', 9, 0,
-  /* 917 */ 'c', 'l', 'e', '_', 'u', '.', 'b', 9, 0,
-  /* 926 */ 'a', 'v', 'e', '_', 'u', '.', 'b', 9, 0,
-  /* 935 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 945 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 955 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 965 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'b', 9, 0,
-  /* 975 */ 'm', 'i', 'n', '_', 'u', '.', 'b', 9, 0,
-  /* 984 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'b', 9, 0,
-  /* 994 */ 's', 'u', 'b', 's', '_', 'u', '.', 'b', 9, 0,
-  /* 1004 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'b', 9, 0,
-  /* 1014 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'b', 9, 0,
-  /* 1026 */ 's', 'a', 't', '_', 'u', '.', 'b', 9, 0,
-  /* 1035 */ 'c', 'l', 't', '_', 'u', '.', 'b', 9, 0,
-  /* 1044 */ 'd', 'i', 'v', '_', 'u', '.', 'b', 9, 0,
-  /* 1053 */ 'm', 'a', 'x', '_', 'u', '.', 'b', 9, 0,
-  /* 1062 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'b', 9, 0,
-  /* 1072 */ 'm', 's', 'u', 'b', 'v', '.', 'b', 9, 0,
-  /* 1081 */ 'm', 'a', 'd', 'd', 'v', '.', 'b', 9, 0,
-  /* 1090 */ 'p', 'c', 'k', 'e', 'v', '.', 'b', 9, 0,
-  /* 1099 */ 'i', 'l', 'v', 'e', 'v', '.', 'b', 9, 0,
-  /* 1108 */ 'm', 'u', 'l', 'v', '.', 'b', 9, 0,
-  /* 1116 */ 'b', 'z', '.', 'b', 9, 0,
-  /* 1122 */ 'b', 'n', 'z', '.', 'b', 9, 0,
-  /* 1129 */ 's', 'e', 'b', 9, 0,
-  /* 1134 */ 'l', 'b', 9, 0,
-  /* 1138 */ 's', 'h', 'r', 'a', '.', 'q', 'b', 9, 0,
-  /* 1147 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
-  /* 1161 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
-  /* 1174 */ 'c', 'm', 'p', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
-  /* 1186 */ 's', 'u', 'b', 'u', 'h', '.', 'q', 'b', 9, 0,
-  /* 1196 */ 'a', 'd', 'd', 'u', 'h', '.', 'q', 'b', 9, 0,
-  /* 1206 */ 'p', 'i', 'c', 'k', '.', 'q', 'b', 9, 0,
-  /* 1215 */ 's', 'h', 'l', 'l', '.', 'q', 'b', 9, 0,
-  /* 1224 */ 'r', 'e', 'p', 'l', '.', 'q', 'b', 9, 0,
-  /* 1233 */ 's', 'h', 'r', 'l', '.', 'q', 'b', 9, 0,
-  /* 1242 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
-  /* 1256 */ 'c', 'm', 'p', 'g', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
-  /* 1269 */ 'c', 'm', 'p', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
-  /* 1281 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1292 */ 's', 'u', 'b', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1304 */ 'a', 'd', 'd', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1316 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'q', 'b', 9, 0,
-  /* 1328 */ 'a', 'b', 's', 'q', '_', 's', '.', 'q', 'b', 9, 0,
-  /* 1339 */ 's', 'u', 'b', 'u', '_', 's', '.', 'q', 'b', 9, 0,
-  /* 1350 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'q', 'b', 9, 0,
-  /* 1361 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
-  /* 1375 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
-  /* 1388 */ 'c', 'm', 'p', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
-  /* 1400 */ 's', 'u', 'b', 'u', '.', 'q', 'b', 9, 0,
-  /* 1409 */ 'a', 'd', 'd', 'u', '.', 'q', 'b', 9, 0,
-  /* 1418 */ 's', 'h', 'r', 'a', 'v', '.', 'q', 'b', 9, 0,
-  /* 1428 */ 's', 'h', 'l', 'l', 'v', '.', 'q', 'b', 9, 0,
-  /* 1438 */ 'r', 'e', 'p', 'l', 'v', '.', 'q', 'b', 9, 0,
-  /* 1448 */ 's', 'h', 'r', 'l', 'v', '.', 'q', 'b', 9, 0,
-  /* 1458 */ 'r', 'a', 'd', 'd', 'u', '.', 'w', '.', 'q', 'b', 9, 0,
-  /* 1470 */ 's', 'b', 9, 0,
-  /* 1474 */ 'm', 'o', 'd', 's', 'u', 'b', 9, 0,
-  /* 1482 */ 'm', 's', 'u', 'b', 9, 0,
-  /* 1488 */ 'a', 'd', 'd', 's', 'c', 9, 0,
-  /* 1495 */ 'a', 'd', 'd', 'w', 'c', 9, 0,
-  /* 1502 */ 'f', 'l', 'o', 'g', '2', '.', 'd', 9, 0,
-  /* 1511 */ 'f', 'e', 'x', 'p', '2', '.', 'd', 9, 0,
-  /* 1520 */ 'a', 'd', 'd', '_', 'a', '.', 'd', 9, 0,
-  /* 1529 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'd', 9, 0,
-  /* 1539 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'd', 9, 0,
-  /* 1549 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'd', 9, 0,
-  /* 1559 */ 's', 'r', 'a', '.', 'd', 9, 0,
-  /* 1566 */ 'f', 's', 'u', 'b', '.', 'd', 9, 0,
-  /* 1574 */ 'f', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
-  /* 1583 */ 'n', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
-  /* 1592 */ 'n', 'l', 'o', 'c', '.', 'd', 9, 0,
-  /* 1600 */ 'n', 'l', 'z', 'c', '.', 'd', 9, 0,
-  /* 1608 */ 'f', 'a', 'd', 'd', '.', 'd', 9, 0,
-  /* 1616 */ 'f', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
-  /* 1625 */ 'n', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
-  /* 1634 */ 's', 'l', 'd', '.', 'd', 9, 0,
-  /* 1641 */ 'p', 'c', 'k', 'o', 'd', '.', 'd', 9, 0,
-  /* 1650 */ 'i', 'l', 'v', 'o', 'd', '.', 'd', 9, 0,
-  /* 1659 */ 'c', '.', 'n', 'g', 'e', '.', 'd', 9, 0,
-  /* 1668 */ 'c', '.', 'l', 'e', '.', 'd', 9, 0,
-  /* 1676 */ 'f', 'c', 'l', 'e', '.', 'd', 9, 0,
-  /* 1684 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 'd', 9, 0,
-  /* 1694 */ 'c', '.', 'o', 'l', 'e', '.', 'd', 9, 0,
-  /* 1703 */ 'f', 's', 'l', 'e', '.', 'd', 9, 0,
-  /* 1711 */ 'c', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
-  /* 1720 */ 'f', 'c', 'u', 'l', 'e', '.', 'd', 9, 0,
-  /* 1729 */ 'f', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
-  /* 1738 */ 'f', 'c', 'n', 'e', '.', 'd', 9, 0,
-  /* 1746 */ 'f', 's', 'n', 'e', '.', 'd', 9, 0,
-  /* 1754 */ 'f', 'c', 'u', 'n', 'e', '.', 'd', 9, 0,
-  /* 1763 */ 'f', 's', 'u', 'n', 'e', '.', 'd', 9, 0,
-  /* 1772 */ 'i', 'n', 's', 'v', 'e', '.', 'd', 9, 0,
-  /* 1781 */ 'c', '.', 'f', '.', 'd', 9, 0,
-  /* 1788 */ 'f', 'c', 'a', 'f', '.', 'd', 9, 0,
-  /* 1796 */ 'f', 's', 'a', 'f', '.', 'd', 9, 0,
-  /* 1804 */ 'v', 's', 'h', 'f', '.', 'd', 9, 0,
-  /* 1812 */ 'c', '.', 's', 'f', '.', 'd', 9, 0,
-  /* 1820 */ 'm', 'o', 'v', 'f', '.', 'd', 9, 0,
-  /* 1828 */ 'b', 'n', 'e', 'g', '.', 'd', 9, 0,
-  /* 1836 */ 's', 'r', 'a', 'i', '.', 'd', 9, 0,
-  /* 1844 */ 's', 'l', 'd', 'i', '.', 'd', 9, 0,
-  /* 1852 */ 'b', 'n', 'e', 'g', 'i', '.', 'd', 9, 0,
-  /* 1861 */ 's', 'l', 'l', 'i', '.', 'd', 9, 0,
-  /* 1869 */ 's', 'r', 'l', 'i', '.', 'd', 9, 0,
-  /* 1877 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'd', 9, 0,
-  /* 1887 */ 'c', 'e', 'q', 'i', '.', 'd', 9, 0,
-  /* 1895 */ 's', 'r', 'a', 'r', 'i', '.', 'd', 9, 0,
-  /* 1904 */ 'b', 'c', 'l', 'r', 'i', '.', 'd', 9, 0,
-  /* 1913 */ 's', 'r', 'l', 'r', 'i', '.', 'd', 9, 0,
-  /* 1922 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'd', 9, 0,
-  /* 1932 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'd', 9, 0,
-  /* 1942 */ 'b', 's', 'e', 't', 'i', '.', 'd', 9, 0,
-  /* 1951 */ 's', 'u', 'b', 'v', 'i', '.', 'd', 9, 0,
-  /* 1960 */ 'a', 'd', 'd', 'v', 'i', '.', 'd', 9, 0,
-  /* 1969 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 'd', 9, 0,
-  /* 1980 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 'd', 9, 0,
-  /* 1991 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 'd', 9, 0,
-  /* 2001 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 'd', 9, 0,
-  /* 2012 */ 'c', 'v', 't', '.', 'l', '.', 'd', 9, 0,
-  /* 2021 */ 'c', '.', 'n', 'g', 'l', '.', 'd', 9, 0,
-  /* 2030 */ 'f', 'i', 'l', 'l', '.', 'd', 9, 0,
-  /* 2038 */ 's', 'l', 'l', '.', 'd', 9, 0,
-  /* 2045 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'd', 9, 0,
-  /* 2055 */ 'f', 'f', 'q', 'l', '.', 'd', 9, 0,
-  /* 2063 */ 's', 'r', 'l', '.', 'd', 9, 0,
-  /* 2070 */ 'b', 'i', 'n', 's', 'l', '.', 'd', 9, 0,
-  /* 2079 */ 'f', 'm', 'u', 'l', '.', 'd', 9, 0,
-  /* 2087 */ 'i', 'l', 'v', 'l', '.', 'd', 9, 0,
-  /* 2095 */ 'f', 'm', 'i', 'n', '.', 'd', 9, 0,
-  /* 2103 */ 'c', '.', 'u', 'n', '.', 'd', 9, 0,
-  /* 2111 */ 'f', 'c', 'u', 'n', '.', 'd', 9, 0,
-  /* 2119 */ 'f', 's', 'u', 'n', '.', 'd', 9, 0,
-  /* 2127 */ 'm', 'o', 'v', 'n', '.', 'd', 9, 0,
-  /* 2135 */ 'f', 'r', 'c', 'p', '.', 'd', 9, 0,
-  /* 2143 */ 'c', '.', 'e', 'q', '.', 'd', 9, 0,
-  /* 2151 */ 'f', 'c', 'e', 'q', '.', 'd', 9, 0,
-  /* 2159 */ 'c', '.', 's', 'e', 'q', '.', 'd', 9, 0,
-  /* 2168 */ 'f', 's', 'e', 'q', '.', 'd', 9, 0,
-  /* 2176 */ 'c', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
-  /* 2185 */ 'f', 'c', 'u', 'e', 'q', '.', 'd', 9, 0,
-  /* 2194 */ 'f', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
-  /* 2203 */ 's', 'r', 'a', 'r', '.', 'd', 9, 0,
-  /* 2211 */ 'b', 'c', 'l', 'r', '.', 'd', 9, 0,
-  /* 2219 */ 's', 'r', 'l', 'r', '.', 'd', 9, 0,
-  /* 2227 */ 'f', 'c', 'o', 'r', '.', 'd', 9, 0,
-  /* 2235 */ 'f', 's', 'o', 'r', '.', 'd', 9, 0,
-  /* 2243 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'd', 9, 0,
-  /* 2253 */ 'f', 'f', 'q', 'r', '.', 'd', 9, 0,
-  /* 2261 */ 'b', 'i', 'n', 's', 'r', '.', 'd', 9, 0,
-  /* 2270 */ 'i', 'l', 'v', 'r', '.', 'd', 9, 0,
-  /* 2278 */ 'c', 'v', 't', '.', 's', '.', 'd', 9, 0,
-  /* 2287 */ 'a', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
-  /* 2297 */ 'h', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
-  /* 2307 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
-  /* 2318 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'd', 9, 0,
-  /* 2330 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
-  /* 2340 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
-  /* 2351 */ 'm', 'o', 'd', '_', 's', '.', 'd', 9, 0,
-  /* 2360 */ 'c', 'l', 'e', '_', 's', '.', 'd', 9, 0,
-  /* 2369 */ 'a', 'v', 'e', '_', 's', '.', 'd', 9, 0,
-  /* 2378 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2388 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2398 */ 'c', 'l', 't', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2408 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'd', 9, 0,
-  /* 2418 */ 'm', 'i', 'n', '_', 's', '.', 'd', 9, 0,
-  /* 2427 */ 'd', 'o', 't', 'p', '_', 's', '.', 'd', 9, 0,
-  /* 2437 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'd', 9, 0,
-  /* 2447 */ 's', 'u', 'b', 's', '_', 's', '.', 'd', 9, 0,
-  /* 2457 */ 'a', 'd', 'd', 's', '_', 's', '.', 'd', 9, 0,
-  /* 2467 */ 's', 'a', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2476 */ 'c', 'l', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2485 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2496 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
-  /* 2507 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'd', 9, 0,
-  /* 2519 */ 'd', 'i', 'v', '_', 's', '.', 'd', 9, 0,
-  /* 2528 */ 'm', 'a', 'x', '_', 's', '.', 'd', 9, 0,
-  /* 2537 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'd', 9, 0,
-  /* 2547 */ 'a', 'b', 's', '.', 'd', 9, 0,
-  /* 2554 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'd', 9, 0,
-  /* 2564 */ 's', 'p', 'l', 'a', 't', '.', 'd', 9, 0,
-  /* 2573 */ 'b', 's', 'e', 't', '.', 'd', 9, 0,
-  /* 2581 */ 'c', '.', 'n', 'g', 't', '.', 'd', 9, 0,
-  /* 2590 */ 'c', '.', 'l', 't', '.', 'd', 9, 0,
-  /* 2598 */ 'f', 'c', 'l', 't', '.', 'd', 9, 0,
-  /* 2606 */ 'c', '.', 'o', 'l', 't', '.', 'd', 9, 0,
-  /* 2615 */ 'f', 's', 'l', 't', '.', 'd', 9, 0,
-  /* 2623 */ 'c', '.', 'u', 'l', 't', '.', 'd', 9, 0,
-  /* 2632 */ 'f', 'c', 'u', 'l', 't', '.', 'd', 9, 0,
-  /* 2641 */ 'f', 's', 'u', 'l', 't', '.', 'd', 9, 0,
-  /* 2650 */ 'p', 'c', 'n', 't', '.', 'd', 9, 0,
-  /* 2658 */ 'f', 'r', 'i', 'n', 't', '.', 'd', 9, 0,
-  /* 2667 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'd', 9, 0,
-  /* 2677 */ 'f', 's', 'q', 'r', 't', '.', 'd', 9, 0,
-  /* 2686 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'd', 9, 0,
-  /* 2696 */ 's', 't', '.', 'd', 9, 0,
-  /* 2702 */ 'm', 'o', 'v', 't', '.', 'd', 9, 0,
-  /* 2710 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
-  /* 2720 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
-  /* 2730 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
-  /* 2741 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'd', 9, 0,
-  /* 2753 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
-  /* 2763 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
-  /* 2774 */ 'm', 'o', 'd', '_', 'u', '.', 'd', 9, 0,
-  /* 2783 */ 'c', 'l', 'e', '_', 'u', '.', 'd', 9, 0,
-  /* 2792 */ 'a', 'v', 'e', '_', 'u', '.', 'd', 9, 0,
-  /* 2801 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2811 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2821 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2831 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'd', 9, 0,
-  /* 2841 */ 'm', 'i', 'n', '_', 'u', '.', 'd', 9, 0,
-  /* 2850 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'd', 9, 0,
-  /* 2860 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'd', 9, 0,
-  /* 2870 */ 's', 'u', 'b', 's', '_', 'u', '.', 'd', 9, 0,
-  /* 2880 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'd', 9, 0,
-  /* 2890 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'd', 9, 0,
-  /* 2902 */ 's', 'a', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2911 */ 'c', 'l', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2920 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2931 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
-  /* 2942 */ 'd', 'i', 'v', '_', 'u', '.', 'd', 9, 0,
-  /* 2951 */ 'm', 'a', 'x', '_', 'u', '.', 'd', 9, 0,
-  /* 2960 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'd', 9, 0,
-  /* 2970 */ 'm', 's', 'u', 'b', 'v', '.', 'd', 9, 0,
-  /* 2979 */ 'm', 'a', 'd', 'd', 'v', '.', 'd', 9, 0,
-  /* 2988 */ 'p', 'c', 'k', 'e', 'v', '.', 'd', 9, 0,
-  /* 2997 */ 'i', 'l', 'v', 'e', 'v', '.', 'd', 9, 0,
-  /* 3006 */ 'f', 'd', 'i', 'v', '.', 'd', 9, 0,
-  /* 3014 */ 'm', 'u', 'l', 'v', '.', 'd', 9, 0,
-  /* 3022 */ 'm', 'o', 'v', '.', 'd', 9, 0,
-  /* 3029 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 'd', 9, 0,
-  /* 3040 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 'd', 9, 0,
-  /* 3051 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 'd', 9, 0,
-  /* 3061 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 'd', 9, 0,
-  /* 3072 */ 'c', 'v', 't', '.', 'w', '.', 'd', 9, 0,
-  /* 3081 */ 'f', 'm', 'a', 'x', '.', 'd', 9, 0,
-  /* 3089 */ 'b', 'z', '.', 'd', 9, 0,
-  /* 3095 */ 'b', 'n', 'z', '.', 'd', 9, 0,
-  /* 3102 */ 'm', 'o', 'v', 'z', '.', 'd', 9, 0,
-  /* 3110 */ 's', 'c', 'd', 9, 0,
-  /* 3115 */ 'd', 'a', 'd', 'd', 9, 0,
-  /* 3121 */ 'm', 'a', 'd', 'd', 9, 0,
-  /* 3127 */ 'd', 's', 'h', 'd', 9, 0,
-  /* 3133 */ 'l', 'l', 'd', 9, 0,
-  /* 3138 */ 'a', 'n', 'd', 9, 0,
-  /* 3143 */ 'p', 'r', 'e', 'p', 'e', 'n', 'd', 9, 0,
-  /* 3152 */ 'a', 'p', 'p', 'e', 'n', 'd', 9, 0,
-  /* 3160 */ 's', 'd', 9, 0,
-  /* 3164 */ 't', 'g', 'e', 9, 0,
-  /* 3169 */ 'b', 'n', 'e', 9, 0,
-  /* 3174 */ 't', 'n', 'e', 9, 0,
-  /* 3179 */ 'm', 'o', 'v', 'e', 9, 0,
-  /* 3185 */ 'b', 'c', '1', 'f', 9, 0,
-  /* 3191 */ 'm', 'o', 'v', 'f', 9, 0,
-  /* 3197 */ 'n', 'e', 'g', 9, 0,
-  /* 3202 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
-  /* 3211 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
-  /* 3220 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
-  /* 3230 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
-  /* 3239 */ 's', 'r', 'a', '.', 'h', 9, 0,
-  /* 3246 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
-  /* 3254 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
-  /* 3262 */ 's', 'l', 'd', '.', 'h', 9, 0,
-  /* 3269 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
-  /* 3278 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
-  /* 3287 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
-  /* 3296 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
-  /* 3304 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
-  /* 3312 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
-  /* 3320 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
-  /* 3328 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
-  /* 3337 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
-  /* 3345 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
-  /* 3353 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
-  /* 3363 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
-  /* 3371 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
-  /* 3380 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
-  /* 3389 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
-  /* 3398 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
-  /* 3408 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
-  /* 3418 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
-  /* 3427 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
-  /* 3436 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
-  /* 3445 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
-  /* 3453 */ 's', 'l', 'l', '.', 'h', 9, 0,
-  /* 3460 */ 's', 'r', 'l', '.', 'h', 9, 0,
-  /* 3467 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
-  /* 3476 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
-  /* 3484 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
-  /* 3493 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
-  /* 3503 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
-  /* 3513 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
-  /* 3522 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 3533 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 3544 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
-  /* 3554 */ 'c', 'e', 'q', '.', 'h', 9, 0,
-  /* 3561 */ 'f', 't', 'q', '.', 'h', 9, 0,
-  /* 3568 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
-  /* 3576 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
-  /* 3584 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
-  /* 3592 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
-  /* 3601 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
-  /* 3609 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 3619 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 3629 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
-  /* 3640 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 3650 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 3661 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
-  /* 3670 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
-  /* 3679 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
-  /* 3688 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3698 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3708 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3718 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
-  /* 3728 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
-  /* 3737 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
-  /* 3747 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
-  /* 3757 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
-  /* 3767 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
-  /* 3777 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
-  /* 3787 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
-  /* 3796 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
-  /* 3805 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
-  /* 3817 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
-  /* 3826 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
-  /* 3837 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
-  /* 3846 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
-  /* 3856 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
-  /* 3865 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
-  /* 3873 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
-  /* 3881 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
-  /* 3891 */ 's', 't', '.', 'h', 9, 0,
-  /* 3897 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 3907 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 3917 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
-  /* 3928 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 3938 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 3949 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
-  /* 3958 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
-  /* 3967 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
-  /* 3976 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 3986 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 3996 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4006 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
-  /* 4016 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
-  /* 4025 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
-  /* 4035 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
-  /* 4045 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4055 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4065 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
-  /* 4077 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
-  /* 4086 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
-  /* 4095 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
-  /* 4104 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
-  /* 4113 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
-  /* 4123 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
-  /* 4132 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
-  /* 4141 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
-  /* 4150 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
-  /* 4159 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
-  /* 4167 */ 'b', 'z', '.', 'h', 9, 0,
-  /* 4173 */ 'b', 'n', 'z', '.', 'h', 9, 0,
-  /* 4180 */ 'd', 's', 'b', 'h', 9, 0,
-  /* 4186 */ 'w', 's', 'b', 'h', 9, 0,
-  /* 4192 */ 's', 'e', 'h', 9, 0,
-  /* 4197 */ 'l', 'h', 9, 0,
-  /* 4201 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
-  /* 4210 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4224 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4237 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
-  /* 4254 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
-  /* 4265 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
-  /* 4275 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
-  /* 4285 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
-  /* 4294 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
-  /* 4303 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
-  /* 4312 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
-  /* 4321 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
-  /* 4332 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
-  /* 4340 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
-  /* 4349 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
-  /* 4358 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
-  /* 4369 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4380 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4392 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4404 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
-  /* 4416 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4427 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4437 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4448 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4459 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4470 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4481 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4492 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4503 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
-  /* 4515 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
-  /* 4527 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
-  /* 4538 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
-  /* 4547 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
-  /* 4556 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
-  /* 4566 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 4576 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 4586 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
-  /* 4596 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4606 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4621 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4636 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4648 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4661 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4676 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4689 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4703 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4717 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4727 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4738 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
-  /* 4749 */ 's', 'h', 9, 0,
-  /* 4753 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
-  /* 4760 */ 'a', 'n', 'd', 'i', 9, 0,
-  /* 4766 */ 't', 'g', 'e', 'i', 9, 0,
-  /* 4772 */ 't', 'n', 'e', 'i', 9, 0,
-  /* 4778 */ 'm', 'f', 'h', 'i', 9, 0,
-  /* 4784 */ 'm', 't', 'h', 'i', 9, 0,
-  /* 4790 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
-  /* 4804 */ 'c', 'm', 'p', 'i', 9, 0,
-  /* 4810 */ 't', 'e', 'q', 'i', 9, 0,
-  /* 4816 */ 'x', 'o', 'r', 'i', 9, 0,
-  /* 4822 */ 's', 'l', 't', 'i', 9, 0,
-  /* 4828 */ 't', 'l', 't', 'i', 9, 0,
-  /* 4834 */ 'l', 'u', 'i', 9, 0,
-  /* 4839 */ 'j', 9, 0,
-  /* 4842 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
-  /* 4849 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
-  /* 4858 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
-  /* 4867 */ 'j', 'a', 'l', 9, 0,
-  /* 4872 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
-  /* 4880 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
-  /* 4888 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4900 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4912 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4928 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4943 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
-  /* 4959 */ 'l', 'd', 'l', 9, 0,
-  /* 4964 */ 's', 'd', 'l', 9, 0,
-  /* 4969 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 4983 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 4997 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5010 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
-  /* 5025 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
-  /* 5034 */ 'd', 's', 'l', 'l', 9, 0,
-  /* 5040 */ 'd', 's', 'r', 'l', 9, 0,
-  /* 5046 */ 'm', 'u', 'l', 9, 0,
-  /* 5051 */ 'l', 'w', 'l', 9, 0,
-  /* 5056 */ 's', 'w', 'l', 9, 0,
-  /* 5061 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
-  /* 5069 */ 'm', 'o', 'v', 'n', 9, 0,
-  /* 5075 */ 'd', 'c', 'l', 'o', 9, 0,
-  /* 5081 */ 'm', 'f', 'l', 'o', 9, 0,
-  /* 5087 */ 's', 'h', 'i', 'l', 'o', 9, 0,
-  /* 5094 */ 'm', 't', 'l', 'o', 9, 0,
-  /* 5100 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
-  /* 5108 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
-  /* 5116 */ 'c', 'm', 'p', 9, 0,
-  /* 5121 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
-  /* 5137 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
-  /* 5154 */ 'r', 'd', 'd', 's', 'p', 9, 0,
-  /* 5161 */ 'w', 'r', 'd', 's', 'p', 9, 0,
-  /* 5168 */ 'e', 'x', 't', 'p', 9, 0,
-  /* 5174 */ 'b', 'e', 'q', 9, 0,
-  /* 5179 */ 't', 'e', 'q', 9, 0,
-  /* 5184 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5196 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5208 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5224 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5239 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
-  /* 5255 */ 'l', 'd', 'r', 9, 0,
-  /* 5260 */ 's', 'd', 'r', 9, 0,
-  /* 5265 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5279 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5293 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5306 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
-  /* 5321 */ 'j', 'r', 9, 0,
-  /* 5325 */ 'j', 'a', 'l', 'r', 9, 0,
-  /* 5331 */ 'n', 'o', 'r', 9, 0,
-  /* 5336 */ 'x', 'o', 'r', 9, 0,
-  /* 5341 */ 'd', 'r', 'o', 't', 'r', 9, 0,
-  /* 5348 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
-  /* 5355 */ 'l', 'w', 'r', 9, 0,
-  /* 5360 */ 's', 'w', 'r', 9, 0,
-  /* 5365 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
-  /* 5374 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
-  /* 5383 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
-  /* 5392 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
-  /* 5401 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
-  /* 5409 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
-  /* 5419 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
-  /* 5428 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
-  /* 5437 */ 'c', '.', 'f', '.', 's', 9, 0,
-  /* 5444 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
-  /* 5452 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
-  /* 5460 */ 'n', 'e', 'g', '.', 's', 9, 0,
-  /* 5467 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
-  /* 5478 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
-  /* 5489 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
-  /* 5499 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
-  /* 5510 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
-  /* 5519 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
-  /* 5528 */ 'm', 'u', 'l', '.', 's', 9, 0,
-  /* 5535 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
-  /* 5543 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
-  /* 5551 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
-  /* 5559 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
-  /* 5568 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
-  /* 5577 */ 'a', 'b', 's', '.', 's', 9, 0,
-  /* 5584 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
-  /* 5593 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
-  /* 5601 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
-  /* 5610 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
-  /* 5619 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
-  /* 5627 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
-  /* 5635 */ 'd', 'i', 'v', '.', 's', 9, 0,
-  /* 5642 */ 'm', 'o', 'v', '.', 's', 9, 0,
-  /* 5649 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
-  /* 5660 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
-  /* 5671 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
-  /* 5681 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
-  /* 5692 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
-  /* 5701 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
-  /* 5709 */ 'b', 'c', '1', 't', 9, 0,
-  /* 5715 */ 's', 'l', 't', 9, 0,
-  /* 5720 */ 't', 'l', 't', 9, 0,
-  /* 5725 */ 'd', 'm', 'u', 'l', 't', 9, 0,
-  /* 5732 */ 'n', 'o', 't', 9, 0,
-  /* 5737 */ 'm', 'o', 'v', 't', 9, 0,
-  /* 5743 */ 'l', 'b', 'u', 9, 0,
-  /* 5748 */ 'd', 's', 'u', 'b', 'u', 9, 0,
-  /* 5755 */ 'm', 's', 'u', 'b', 'u', 9, 0,
-  /* 5762 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
-  /* 5769 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
-  /* 5776 */ 't', 'g', 'e', 'u', 9, 0,
-  /* 5782 */ 'l', 'h', 'u', 9, 0,
-  /* 5787 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
-  /* 5795 */ 't', 'g', 'e', 'i', 'u', 9, 0,
-  /* 5802 */ 's', 'l', 't', 'i', 'u', 9, 0,
-  /* 5809 */ 't', 'l', 't', 'i', 'u', 9, 0,
-  /* 5816 */ 's', 'l', 't', 'u', 9, 0,
-  /* 5822 */ 't', 'l', 't', 'u', 9, 0,
-  /* 5828 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
-  /* 5836 */ 'l', 'w', 'u', 9, 0,
-  /* 5841 */ 'a', 'n', 'd', '.', 'v', 9, 0,
-  /* 5848 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
-  /* 5856 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
-  /* 5864 */ 'n', 'o', 'r', '.', 'v', 9, 0,
-  /* 5871 */ 'x', 'o', 'r', '.', 'v', 9, 0,
-  /* 5878 */ 'b', 'z', '.', 'v', 9, 0,
-  /* 5884 */ 'b', 'm', 'z', '.', 'v', 9, 0,
-  /* 5891 */ 'b', 'n', 'z', '.', 'v', 9, 0,
-  /* 5898 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
-  /* 5906 */ 'd', 's', 'r', 'a', 'v', 9, 0,
-  /* 5913 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
-  /* 5921 */ 'd', 's', 'l', 'l', 'v', 9, 0,
-  /* 5928 */ 'd', 's', 'r', 'l', 'v', 9, 0,
-  /* 5935 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
-  /* 5943 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
-  /* 5952 */ 'e', 'x', 't', 'p', 'v', 9, 0,
-  /* 5959 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
-  /* 5967 */ 'i', 'n', 's', 'v', 9, 0,
-  /* 5973 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
-  /* 5982 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
-  /* 5991 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
-  /* 6000 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
-  /* 6010 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
-  /* 6020 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
-  /* 6030 */ 's', 'r', 'a', '.', 'w', 9, 0,
-  /* 6037 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
-  /* 6045 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
-  /* 6054 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
-  /* 6062 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
-  /* 6070 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
-  /* 6079 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
-  /* 6087 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
-  /* 6096 */ 's', 'l', 'd', '.', 'w', 9, 0,
-  /* 6103 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
-  /* 6112 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
-  /* 6121 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
-  /* 6129 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
-  /* 6137 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
-  /* 6146 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
-  /* 6155 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
-  /* 6163 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
-  /* 6171 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
-  /* 6180 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
-  /* 6189 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
-  /* 6198 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
-  /* 6206 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
-  /* 6214 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
-  /* 6222 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
-  /* 6230 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6246 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6259 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6277 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
-  /* 6293 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
-  /* 6302 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
-  /* 6311 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
-  /* 6319 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
-  /* 6327 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
-  /* 6336 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
-  /* 6344 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
-  /* 6352 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
-  /* 6362 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
-  /* 6370 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
-  /* 6379 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
-  /* 6388 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
-  /* 6397 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
-  /* 6407 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
-  /* 6417 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
-  /* 6426 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
-  /* 6435 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
-  /* 6444 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
-  /* 6457 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
-  /* 6470 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
-  /* 6478 */ 's', 'l', 'l', '.', 'w', 9, 0,
-  /* 6485 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
-  /* 6495 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
-  /* 6503 */ 's', 'r', 'l', '.', 'w', 9, 0,
-  /* 6510 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
-  /* 6519 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
-  /* 6527 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
-  /* 6535 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
-  /* 6543 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
-  /* 6551 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
-  /* 6559 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
-  /* 6568 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
-  /* 6576 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
-  /* 6586 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
-  /* 6596 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
-  /* 6605 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 6616 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 6627 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
-  /* 6637 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
-  /* 6645 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
-  /* 6653 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
-  /* 6662 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
-  /* 6671 */ 'f', 't', 'q', '.', 'w', 9, 0,
-  /* 6678 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
-  /* 6688 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
-  /* 6699 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
-  /* 6710 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
-  /* 6720 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
-  /* 6731 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
-  /* 6742 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
-  /* 6750 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
-  /* 6758 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
-  /* 6766 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
-  /* 6774 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
-  /* 6782 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
-  /* 6792 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
-  /* 6800 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
-  /* 6809 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
-  /* 6817 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
-  /* 6825 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
-  /* 6834 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 6844 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 6854 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
-  /* 6865 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
-  /* 6877 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 6887 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 6898 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
-  /* 6907 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
-  /* 6916 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
-  /* 6925 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6935 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6945 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6955 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
-  /* 6965 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
-  /* 6975 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
-  /* 6984 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
-  /* 6994 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7004 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7014 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7024 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
-  /* 7034 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
-  /* 7044 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
-  /* 7054 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
-  /* 7064 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7073 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7082 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7093 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
-  /* 7104 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
-  /* 7116 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
-  /* 7125 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
-  /* 7136 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
-  /* 7145 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
-  /* 7155 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 7166 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 7177 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
-  /* 7189 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
-  /* 7199 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
-  /* 7208 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
-  /* 7216 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
-  /* 7224 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
-  /* 7232 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
-  /* 7241 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
-  /* 7250 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
-  /* 7258 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
-  /* 7267 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
-  /* 7277 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
-  /* 7286 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
-  /* 7296 */ 's', 't', '.', 'w', 9, 0,
-  /* 7302 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 7312 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 7322 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
-  /* 7333 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
-  /* 7345 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 7355 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 7366 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
-  /* 7375 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
-  /* 7384 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
-  /* 7393 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7403 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7413 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7423 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
-  /* 7433 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
-  /* 7442 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
-  /* 7452 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
-  /* 7462 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 7472 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 7482 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
-  /* 7494 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7503 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7512 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7523 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
-  /* 7534 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
-  /* 7543 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
-  /* 7552 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
-  /* 7562 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
-  /* 7571 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
-  /* 7580 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
-  /* 7589 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
-  /* 7598 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
-  /* 7606 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
-  /* 7614 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
-  /* 7623 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
-  /* 7631 */ 'b', 'z', '.', 'w', 9, 0,
-  /* 7637 */ 'b', 'n', 'z', '.', 'w', 9, 0,
-  /* 7644 */ 'l', 'w', 9, 0,
-  /* 7648 */ 's', 'w', 9, 0,
-  /* 7652 */ 'l', 'h', 'x', 9, 0,
-  /* 7657 */ 'l', 'b', 'u', 'x', 9, 0,
-  /* 7663 */ 'l', 'w', 'x', 9, 0,
-  /* 7668 */ 'b', 'g', 'e', 'z', 9, 0,
-  /* 7674 */ 'b', 'l', 'e', 'z', 9, 0,
-  /* 7680 */ 'b', 'n', 'e', 'z', 9, 0,
-  /* 7686 */ 'b', 't', 'n', 'e', 'z', 9, 0,
-  /* 7693 */ 'd', 'c', 'l', 'z', 9, 0,
-  /* 7699 */ 'b', 'e', 'q', 'z', 9, 0,
-  /* 7705 */ 'b', 't', 'e', 'q', 'z', 9, 0,
-  /* 7712 */ 'b', 'g', 't', 'z', 9, 0,
-  /* 7718 */ 'b', 'l', 't', 'z', 9, 0,
-  /* 7724 */ 'm', 'o', 'v', 'z', 9, 0,
-  /* 7730 */ 's', 'e', 'b', 9, 32, 0,
-  /* 7736 */ 'j', 'r', 'c', 9, 32, 0,
-  /* 7742 */ 's', 'e', 'h', 9, 32, 0,
-  /* 7748 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
-  /* 7762 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
-  /* 7775 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
-  /* 7787 */ 's', 'y', 'n', 'c', 32, 0,
-  /* 7793 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
-  /* 7801 */ 'd', 'i', 'n', 's', 'm', 32, 0,
-  /* 7808 */ 'd', 'e', 'x', 't', 'm', 32, 0,
-  /* 7815 */ 'd', 'i', 'n', 's', 32, 0,
-  /* 7821 */ 'd', 'e', 'x', 't', 32, 0,
-  /* 7827 */ 'd', 'i', 'n', 's', 'u', 32, 0,
-  /* 7834 */ 'd', 'e', 'x', 't', 'u', 32, 0,
-  /* 7841 */ 'c', '.', 0,
-  /* 7844 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
-  /* 7852 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 7865 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 7872 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 7882 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 7897 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
-  /* 7906 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
-  /* 7914 */ 'f', 'o', 'o', 0,
-  /* 7918 */ 'd', 'e', 'r', 'e', 't', 0,
-  /* 7924 */ 'w', 'a', 'i', 't', 0,
+  /* 22 */ 'v', 'm', 'm', '0', 9, 0,
+  /* 28 */ 'm', 't', 'm', '0', 9, 0,
+  /* 34 */ 'm', 't', 'p', '0', 9, 0,
+  /* 40 */ 'l', 'd', 'c', '1', 9, 0,
+  /* 46 */ 's', 'd', 'c', '1', 9, 0,
+  /* 52 */ 'c', 'f', 'c', '1', 9, 0,
+  /* 58 */ 'd', 'm', 'f', 'c', '1', 9, 0,
+  /* 65 */ 'm', 'f', 'h', 'c', '1', 9, 0,
+  /* 72 */ 'm', 't', 'h', 'c', '1', 9, 0,
+  /* 79 */ 'c', 't', 'c', '1', 9, 0,
+  /* 85 */ 'd', 'm', 't', 'c', '1', 9, 0,
+  /* 92 */ 'l', 'w', 'c', '1', 9, 0,
+  /* 98 */ 's', 'w', 'c', '1', 9, 0,
+  /* 104 */ 'l', 'd', 'x', 'c', '1', 9, 0,
+  /* 111 */ 's', 'd', 'x', 'c', '1', 9, 0,
+  /* 118 */ 'l', 'u', 'x', 'c', '1', 9, 0,
+  /* 125 */ 's', 'u', 'x', 'c', '1', 9, 0,
+  /* 132 */ 'l', 'w', 'x', 'c', '1', 9, 0,
+  /* 139 */ 's', 'w', 'x', 'c', '1', 9, 0,
+  /* 146 */ 'm', 't', 'm', '1', 9, 0,
+  /* 152 */ 'm', 't', 'p', '1', 9, 0,
+  /* 158 */ 'd', 's', 'r', 'a', '3', '2', 9, 0,
+  /* 166 */ 'b', 'p', 'o', 's', 'g', 'e', '3', '2', 9, 0,
+  /* 176 */ 'd', 's', 'l', 'l', '3', '2', 9, 0,
+  /* 184 */ 'd', 's', 'r', 'l', '3', '2', 9, 0,
+  /* 192 */ 'd', 'r', 'o', 't', 'r', '3', '2', 9, 0,
+  /* 201 */ 'l', 'd', 'c', '2', 9, 0,
+  /* 207 */ 's', 'd', 'c', '2', 9, 0,
+  /* 213 */ 'd', 'm', 'f', 'c', '2', 9, 0,
+  /* 220 */ 'd', 'm', 't', 'c', '2', 9, 0,
+  /* 227 */ 'l', 'w', 'c', '2', 9, 0,
+  /* 233 */ 's', 'w', 'c', '2', 9, 0,
+  /* 239 */ 'm', 't', 'm', '2', 9, 0,
+  /* 245 */ 'm', 't', 'p', '2', 9, 0,
+  /* 251 */ 'l', 'd', 'c', '3', 9, 0,
+  /* 257 */ 's', 'd', 'c', '3', 9, 0,
+  /* 263 */ 'l', 'w', 'c', '3', 9, 0,
+  /* 269 */ 's', 'w', 'c', '3', 9, 0,
+  /* 275 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
+  /* 291 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 'a', 9, 0,
+  /* 308 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
+  /* 324 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 'a', 9, 0,
+  /* 341 */ 'd', 's', 'r', 'a', 9, 0,
+  /* 347 */ 'd', 'l', 's', 'a', 9, 0,
+  /* 353 */ 'c', 'f', 'c', 'm', 's', 'a', 9, 0,
+  /* 361 */ 'c', 't', 'c', 'm', 's', 'a', 9, 0,
+  /* 369 */ 'a', 'd', 'd', '_', 'a', '.', 'b', 9, 0,
+  /* 378 */ 'm', 'i', 'n', '_', 'a', '.', 'b', 9, 0,
+  /* 387 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'b', 9, 0,
+  /* 397 */ 'm', 'a', 'x', '_', 'a', '.', 'b', 9, 0,
+  /* 406 */ 's', 'r', 'a', '.', 'b', 9, 0,
+  /* 413 */ 'n', 'l', 'o', 'c', '.', 'b', 9, 0,
+  /* 421 */ 'n', 'l', 'z', 'c', '.', 'b', 9, 0,
+  /* 429 */ 's', 'l', 'd', '.', 'b', 9, 0,
+  /* 436 */ 'p', 'c', 'k', 'o', 'd', '.', 'b', 9, 0,
+  /* 445 */ 'i', 'l', 'v', 'o', 'd', '.', 'b', 9, 0,
+  /* 454 */ 'i', 'n', 's', 'v', 'e', '.', 'b', 9, 0,
+  /* 463 */ 'v', 's', 'h', 'f', '.', 'b', 9, 0,
+  /* 471 */ 'b', 'n', 'e', 'g', '.', 'b', 9, 0,
+  /* 479 */ 's', 'r', 'a', 'i', '.', 'b', 9, 0,
+  /* 487 */ 's', 'l', 'd', 'i', '.', 'b', 9, 0,
+  /* 495 */ 'a', 'n', 'd', 'i', '.', 'b', 9, 0,
+  /* 503 */ 'b', 'n', 'e', 'g', 'i', '.', 'b', 9, 0,
+  /* 512 */ 'b', 's', 'e', 'l', 'i', '.', 'b', 9, 0,
+  /* 521 */ 's', 'l', 'l', 'i', '.', 'b', 9, 0,
+  /* 529 */ 's', 'r', 'l', 'i', '.', 'b', 9, 0,
+  /* 537 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'b', 9, 0,
+  /* 547 */ 'c', 'e', 'q', 'i', '.', 'b', 9, 0,
+  /* 555 */ 's', 'r', 'a', 'r', 'i', '.', 'b', 9, 0,
+  /* 564 */ 'b', 'c', 'l', 'r', 'i', '.', 'b', 9, 0,
+  /* 573 */ 's', 'r', 'l', 'r', 'i', '.', 'b', 9, 0,
+  /* 582 */ 'n', 'o', 'r', 'i', '.', 'b', 9, 0,
+  /* 590 */ 'x', 'o', 'r', 'i', '.', 'b', 9, 0,
+  /* 598 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'b', 9, 0,
+  /* 608 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'b', 9, 0,
+  /* 618 */ 'b', 's', 'e', 't', 'i', '.', 'b', 9, 0,
+  /* 627 */ 's', 'u', 'b', 'v', 'i', '.', 'b', 9, 0,
+  /* 636 */ 'a', 'd', 'd', 'v', 'i', '.', 'b', 9, 0,
+  /* 645 */ 'b', 'm', 'z', 'i', '.', 'b', 9, 0,
+  /* 653 */ 'b', 'm', 'n', 'z', 'i', '.', 'b', 9, 0,
+  /* 662 */ 'f', 'i', 'l', 'l', '.', 'b', 9, 0,
+  /* 670 */ 's', 'l', 'l', '.', 'b', 9, 0,
+  /* 677 */ 's', 'r', 'l', '.', 'b', 9, 0,
+  /* 684 */ 'b', 'i', 'n', 's', 'l', '.', 'b', 9, 0,
+  /* 693 */ 'i', 'l', 'v', 'l', '.', 'b', 9, 0,
+  /* 701 */ 'c', 'e', 'q', '.', 'b', 9, 0,
+  /* 708 */ 's', 'r', 'a', 'r', '.', 'b', 9, 0,
+  /* 716 */ 'b', 'c', 'l', 'r', '.', 'b', 9, 0,
+  /* 724 */ 's', 'r', 'l', 'r', '.', 'b', 9, 0,
+  /* 732 */ 'b', 'i', 'n', 's', 'r', '.', 'b', 9, 0,
+  /* 741 */ 'i', 'l', 'v', 'r', '.', 'b', 9, 0,
+  /* 749 */ 'a', 's', 'u', 'b', '_', 's', '.', 'b', 9, 0,
+  /* 759 */ 'm', 'o', 'd', '_', 's', '.', 'b', 9, 0,
+  /* 768 */ 'c', 'l', 'e', '_', 's', '.', 'b', 9, 0,
+  /* 777 */ 'a', 'v', 'e', '_', 's', '.', 'b', 9, 0,
+  /* 786 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 796 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 806 */ 'c', 'l', 't', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 816 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'b', 9, 0,
+  /* 826 */ 'm', 'i', 'n', '_', 's', '.', 'b', 9, 0,
+  /* 835 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'b', 9, 0,
+  /* 845 */ 's', 'u', 'b', 's', '_', 's', '.', 'b', 9, 0,
+  /* 855 */ 'a', 'd', 'd', 's', '_', 's', '.', 'b', 9, 0,
+  /* 865 */ 's', 'a', 't', '_', 's', '.', 'b', 9, 0,
+  /* 874 */ 'c', 'l', 't', '_', 's', '.', 'b', 9, 0,
+  /* 883 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'b', 9, 0,
+  /* 895 */ 'd', 'i', 'v', '_', 's', '.', 'b', 9, 0,
+  /* 904 */ 'm', 'a', 'x', '_', 's', '.', 'b', 9, 0,
+  /* 913 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'b', 9, 0,
+  /* 923 */ 's', 'p', 'l', 'a', 't', '.', 'b', 9, 0,
+  /* 932 */ 'b', 's', 'e', 't', '.', 'b', 9, 0,
+  /* 940 */ 'p', 'c', 'n', 't', '.', 'b', 9, 0,
+  /* 948 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'b', 9, 0,
+  /* 958 */ 's', 't', '.', 'b', 9, 0,
+  /* 964 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'b', 9, 0,
+  /* 974 */ 'm', 'o', 'd', '_', 'u', '.', 'b', 9, 0,
+  /* 983 */ 'c', 'l', 'e', '_', 'u', '.', 'b', 9, 0,
+  /* 992 */ 'a', 'v', 'e', '_', 'u', '.', 'b', 9, 0,
+  /* 1001 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1011 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1021 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1031 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'b', 9, 0,
+  /* 1041 */ 'm', 'i', 'n', '_', 'u', '.', 'b', 9, 0,
+  /* 1050 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'b', 9, 0,
+  /* 1060 */ 's', 'u', 'b', 's', '_', 'u', '.', 'b', 9, 0,
+  /* 1070 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'b', 9, 0,
+  /* 1080 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'b', 9, 0,
+  /* 1092 */ 's', 'a', 't', '_', 'u', '.', 'b', 9, 0,
+  /* 1101 */ 'c', 'l', 't', '_', 'u', '.', 'b', 9, 0,
+  /* 1110 */ 'd', 'i', 'v', '_', 'u', '.', 'b', 9, 0,
+  /* 1119 */ 'm', 'a', 'x', '_', 'u', '.', 'b', 9, 0,
+  /* 1128 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'b', 9, 0,
+  /* 1138 */ 'm', 's', 'u', 'b', 'v', '.', 'b', 9, 0,
+  /* 1147 */ 'm', 'a', 'd', 'd', 'v', '.', 'b', 9, 0,
+  /* 1156 */ 'p', 'c', 'k', 'e', 'v', '.', 'b', 9, 0,
+  /* 1165 */ 'i', 'l', 'v', 'e', 'v', '.', 'b', 9, 0,
+  /* 1174 */ 'm', 'u', 'l', 'v', '.', 'b', 9, 0,
+  /* 1182 */ 'b', 'z', '.', 'b', 9, 0,
+  /* 1188 */ 'b', 'n', 'z', '.', 'b', 9, 0,
+  /* 1195 */ 's', 'e', 'b', 9, 0,
+  /* 1200 */ 'j', 'r', '.', 'h', 'b', 9, 0,
+  /* 1207 */ 'j', 'a', 'l', 'r', '.', 'h', 'b', 9, 0,
+  /* 1216 */ 'l', 'b', 9, 0,
+  /* 1220 */ 's', 'h', 'r', 'a', '.', 'q', 'b', 9, 0,
+  /* 1229 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
+  /* 1243 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
+  /* 1256 */ 'c', 'm', 'p', 'u', '.', 'l', 'e', '.', 'q', 'b', 9, 0,
+  /* 1268 */ 's', 'u', 'b', 'u', 'h', '.', 'q', 'b', 9, 0,
+  /* 1278 */ 'a', 'd', 'd', 'u', 'h', '.', 'q', 'b', 9, 0,
+  /* 1288 */ 'p', 'i', 'c', 'k', '.', 'q', 'b', 9, 0,
+  /* 1297 */ 's', 'h', 'l', 'l', '.', 'q', 'b', 9, 0,
+  /* 1306 */ 'r', 'e', 'p', 'l', '.', 'q', 'b', 9, 0,
+  /* 1315 */ 's', 'h', 'r', 'l', '.', 'q', 'b', 9, 0,
+  /* 1324 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
+  /* 1338 */ 'c', 'm', 'p', 'g', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
+  /* 1351 */ 'c', 'm', 'p', 'u', '.', 'e', 'q', '.', 'q', 'b', 9, 0,
+  /* 1363 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1374 */ 's', 'u', 'b', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1386 */ 'a', 'd', 'd', 'u', 'h', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1398 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'q', 'b', 9, 0,
+  /* 1410 */ 'a', 'b', 's', 'q', '_', 's', '.', 'q', 'b', 9, 0,
+  /* 1421 */ 's', 'u', 'b', 'u', '_', 's', '.', 'q', 'b', 9, 0,
+  /* 1432 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'q', 'b', 9, 0,
+  /* 1443 */ 'c', 'm', 'p', 'g', 'd', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
+  /* 1457 */ 'c', 'm', 'p', 'g', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
+  /* 1470 */ 'c', 'm', 'p', 'u', '.', 'l', 't', '.', 'q', 'b', 9, 0,
+  /* 1482 */ 's', 'u', 'b', 'u', '.', 'q', 'b', 9, 0,
+  /* 1491 */ 'a', 'd', 'd', 'u', '.', 'q', 'b', 9, 0,
+  /* 1500 */ 's', 'h', 'r', 'a', 'v', '.', 'q', 'b', 9, 0,
+  /* 1510 */ 's', 'h', 'l', 'l', 'v', '.', 'q', 'b', 9, 0,
+  /* 1520 */ 'r', 'e', 'p', 'l', 'v', '.', 'q', 'b', 9, 0,
+  /* 1530 */ 's', 'h', 'r', 'l', 'v', '.', 'q', 'b', 9, 0,
+  /* 1540 */ 'r', 'a', 'd', 'd', 'u', '.', 'w', '.', 'q', 'b', 9, 0,
+  /* 1552 */ 's', 'b', 9, 0,
+  /* 1556 */ 'm', 'o', 'd', 's', 'u', 'b', 9, 0,
+  /* 1564 */ 'm', 's', 'u', 'b', 9, 0,
+  /* 1570 */ 'b', 'c', 9, 0,
+  /* 1574 */ 'b', 'g', 'e', 'c', 9, 0,
+  /* 1580 */ 'b', 'n', 'e', 'c', 9, 0,
+  /* 1586 */ 'j', 'i', 'c', 9, 0,
+  /* 1591 */ 'b', 'a', 'l', 'c', 9, 0,
+  /* 1597 */ 'j', 'i', 'a', 'l', 'c', 9, 0,
+  /* 1604 */ 'b', 'g', 'e', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1613 */ 'b', 'l', 'e', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1622 */ 'b', 'n', 'e', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1631 */ 'b', 'e', 'q', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1640 */ 'b', 'g', 't', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1649 */ 'b', 'l', 't', 'z', 'a', 'l', 'c', 9, 0,
+  /* 1658 */ 'l', 'd', 'p', 'c', 9, 0,
+  /* 1664 */ 'a', 'u', 'i', 'p', 'c', 9, 0,
+  /* 1671 */ 'a', 'l', 'u', 'i', 'p', 'c', 9, 0,
+  /* 1679 */ 'a', 'd', 'd', 'i', 'u', 'p', 'c', 9, 0,
+  /* 1688 */ 'l', 'w', 'u', 'p', 'c', 9, 0,
+  /* 1695 */ 'l', 'w', 'p', 'c', 9, 0,
+  /* 1701 */ 'b', 'e', 'q', 'c', 9, 0,
+  /* 1707 */ 'a', 'd', 'd', 's', 'c', 9, 0,
+  /* 1714 */ 'b', 'l', 't', 'c', 9, 0,
+  /* 1720 */ 'b', 'g', 'e', 'u', 'c', 9, 0,
+  /* 1727 */ 'b', 'l', 't', 'u', 'c', 9, 0,
+  /* 1734 */ 'b', 'n', 'v', 'c', 9, 0,
+  /* 1740 */ 'b', 'o', 'v', 'c', 9, 0,
+  /* 1746 */ 'a', 'd', 'd', 'w', 'c', 9, 0,
+  /* 1753 */ 'b', 'g', 'e', 'z', 'c', 9, 0,
+  /* 1760 */ 'b', 'l', 'e', 'z', 'c', 9, 0,
+  /* 1767 */ 'b', 'n', 'e', 'z', 'c', 9, 0,
+  /* 1774 */ 'b', 'e', 'q', 'z', 'c', 9, 0,
+  /* 1781 */ 'b', 'g', 't', 'z', 'c', 9, 0,
+  /* 1788 */ 'b', 'l', 't', 'z', 'c', 9, 0,
+  /* 1795 */ 'f', 'l', 'o', 'g', '2', '.', 'd', 9, 0,
+  /* 1804 */ 'f', 'e', 'x', 'p', '2', '.', 'd', 9, 0,
+  /* 1813 */ 'a', 'd', 'd', '_', 'a', '.', 'd', 9, 0,
+  /* 1822 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'd', 9, 0,
+  /* 1832 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'd', 9, 0,
+  /* 1842 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'd', 9, 0,
+  /* 1852 */ 'm', 'i', 'n', 'a', '.', 'd', 9, 0,
+  /* 1860 */ 's', 'r', 'a', '.', 'd', 9, 0,
+  /* 1867 */ 'm', 'a', 'x', 'a', '.', 'd', 9, 0,
+  /* 1875 */ 'f', 's', 'u', 'b', '.', 'd', 9, 0,
+  /* 1883 */ 'f', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
+  /* 1892 */ 'n', 'm', 's', 'u', 'b', '.', 'd', 9, 0,
+  /* 1901 */ 'n', 'l', 'o', 'c', '.', 'd', 9, 0,
+  /* 1909 */ 'n', 'l', 'z', 'c', '.', 'd', 9, 0,
+  /* 1917 */ 'f', 'a', 'd', 'd', '.', 'd', 9, 0,
+  /* 1925 */ 'f', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
+  /* 1934 */ 'n', 'm', 'a', 'd', 'd', '.', 'd', 9, 0,
+  /* 1943 */ 's', 'l', 'd', '.', 'd', 9, 0,
+  /* 1950 */ 'p', 'c', 'k', 'o', 'd', '.', 'd', 9, 0,
+  /* 1959 */ 'i', 'l', 'v', 'o', 'd', '.', 'd', 9, 0,
+  /* 1968 */ 'c', '.', 'n', 'g', 'e', '.', 'd', 9, 0,
+  /* 1977 */ 'c', '.', 'l', 'e', '.', 'd', 9, 0,
+  /* 1985 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'd', 9, 0,
+  /* 1995 */ 'f', 'c', 'l', 'e', '.', 'd', 9, 0,
+  /* 2003 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 'd', 9, 0,
+  /* 2013 */ 'c', '.', 'o', 'l', 'e', '.', 'd', 9, 0,
+  /* 2022 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 'd', 9, 0,
+  /* 2033 */ 'f', 's', 'l', 'e', '.', 'd', 9, 0,
+  /* 2041 */ 'c', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2050 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2061 */ 'f', 'c', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2070 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2082 */ 'f', 's', 'u', 'l', 'e', '.', 'd', 9, 0,
+  /* 2091 */ 'f', 'c', 'n', 'e', '.', 'd', 9, 0,
+  /* 2099 */ 'f', 's', 'n', 'e', '.', 'd', 9, 0,
+  /* 2107 */ 'f', 'c', 'u', 'n', 'e', '.', 'd', 9, 0,
+  /* 2116 */ 'f', 's', 'u', 'n', 'e', '.', 'd', 9, 0,
+  /* 2125 */ 'i', 'n', 's', 'v', 'e', '.', 'd', 9, 0,
+  /* 2134 */ 'c', '.', 'f', '.', 'd', 9, 0,
+  /* 2141 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 'd', 9, 0,
+  /* 2151 */ 'f', 'c', 'a', 'f', '.', 'd', 9, 0,
+  /* 2159 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 'd', 9, 0,
+  /* 2170 */ 'f', 's', 'a', 'f', '.', 'd', 9, 0,
+  /* 2178 */ 'm', 's', 'u', 'b', 'f', '.', 'd', 9, 0,
+  /* 2187 */ 'm', 'a', 'd', 'd', 'f', '.', 'd', 9, 0,
+  /* 2196 */ 'v', 's', 'h', 'f', '.', 'd', 9, 0,
+  /* 2204 */ 'c', '.', 's', 'f', '.', 'd', 9, 0,
+  /* 2212 */ 'm', 'o', 'v', 'f', '.', 'd', 9, 0,
+  /* 2220 */ 'b', 'n', 'e', 'g', '.', 'd', 9, 0,
+  /* 2228 */ 's', 'r', 'a', 'i', '.', 'd', 9, 0,
+  /* 2236 */ 's', 'l', 'd', 'i', '.', 'd', 9, 0,
+  /* 2244 */ 'b', 'n', 'e', 'g', 'i', '.', 'd', 9, 0,
+  /* 2253 */ 's', 'l', 'l', 'i', '.', 'd', 9, 0,
+  /* 2261 */ 's', 'r', 'l', 'i', '.', 'd', 9, 0,
+  /* 2269 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'd', 9, 0,
+  /* 2279 */ 'c', 'e', 'q', 'i', '.', 'd', 9, 0,
+  /* 2287 */ 's', 'r', 'a', 'r', 'i', '.', 'd', 9, 0,
+  /* 2296 */ 'b', 'c', 'l', 'r', 'i', '.', 'd', 9, 0,
+  /* 2305 */ 's', 'r', 'l', 'r', 'i', '.', 'd', 9, 0,
+  /* 2314 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'd', 9, 0,
+  /* 2324 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'd', 9, 0,
+  /* 2334 */ 'b', 's', 'e', 't', 'i', '.', 'd', 9, 0,
+  /* 2343 */ 's', 'u', 'b', 'v', 'i', '.', 'd', 9, 0,
+  /* 2352 */ 'a', 'd', 'd', 'v', 'i', '.', 'd', 9, 0,
+  /* 2361 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 'd', 9, 0,
+  /* 2372 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 'd', 9, 0,
+  /* 2383 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 'd', 9, 0,
+  /* 2393 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 'd', 9, 0,
+  /* 2404 */ 'c', 'v', 't', '.', 'l', '.', 'd', 9, 0,
+  /* 2413 */ 's', 'e', 'l', '.', 'd', 9, 0,
+  /* 2420 */ 'c', '.', 'n', 'g', 'l', '.', 'd', 9, 0,
+  /* 2429 */ 'f', 'i', 'l', 'l', '.', 'd', 9, 0,
+  /* 2437 */ 's', 'l', 'l', '.', 'd', 9, 0,
+  /* 2444 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'd', 9, 0,
+  /* 2454 */ 'f', 'f', 'q', 'l', '.', 'd', 9, 0,
+  /* 2462 */ 's', 'r', 'l', '.', 'd', 9, 0,
+  /* 2469 */ 'b', 'i', 'n', 's', 'l', '.', 'd', 9, 0,
+  /* 2478 */ 'f', 'm', 'u', 'l', '.', 'd', 9, 0,
+  /* 2486 */ 'i', 'l', 'v', 'l', '.', 'd', 9, 0,
+  /* 2494 */ 'f', 'm', 'i', 'n', '.', 'd', 9, 0,
+  /* 2502 */ 'c', '.', 'u', 'n', '.', 'd', 9, 0,
+  /* 2510 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 'd', 9, 0,
+  /* 2520 */ 'f', 'c', 'u', 'n', '.', 'd', 9, 0,
+  /* 2528 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 'd', 9, 0,
+  /* 2539 */ 'f', 's', 'u', 'n', '.', 'd', 9, 0,
+  /* 2547 */ 'm', 'o', 'v', 'n', '.', 'd', 9, 0,
+  /* 2555 */ 'f', 'r', 'c', 'p', '.', 'd', 9, 0,
+  /* 2563 */ 'c', '.', 'e', 'q', '.', 'd', 9, 0,
+  /* 2571 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'd', 9, 0,
+  /* 2581 */ 'f', 'c', 'e', 'q', '.', 'd', 9, 0,
+  /* 2589 */ 'c', '.', 's', 'e', 'q', '.', 'd', 9, 0,
+  /* 2598 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 'd', 9, 0,
+  /* 2609 */ 'f', 's', 'e', 'q', '.', 'd', 9, 0,
+  /* 2617 */ 'c', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2626 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2637 */ 'f', 'c', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2646 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2658 */ 'f', 's', 'u', 'e', 'q', '.', 'd', 9, 0,
+  /* 2667 */ 's', 'r', 'a', 'r', '.', 'd', 9, 0,
+  /* 2675 */ 'b', 'c', 'l', 'r', '.', 'd', 9, 0,
+  /* 2683 */ 's', 'r', 'l', 'r', '.', 'd', 9, 0,
+  /* 2691 */ 'f', 'c', 'o', 'r', '.', 'd', 9, 0,
+  /* 2699 */ 'f', 's', 'o', 'r', '.', 'd', 9, 0,
+  /* 2707 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'd', 9, 0,
+  /* 2717 */ 'f', 'f', 'q', 'r', '.', 'd', 9, 0,
+  /* 2725 */ 'b', 'i', 'n', 's', 'r', '.', 'd', 9, 0,
+  /* 2734 */ 'i', 'l', 'v', 'r', '.', 'd', 9, 0,
+  /* 2742 */ 'c', 'v', 't', '.', 's', '.', 'd', 9, 0,
+  /* 2751 */ 'a', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
+  /* 2761 */ 'h', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
+  /* 2771 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'd', 9, 0,
+  /* 2782 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'd', 9, 0,
+  /* 2794 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
+  /* 2804 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'd', 9, 0,
+  /* 2815 */ 'm', 'o', 'd', '_', 's', '.', 'd', 9, 0,
+  /* 2824 */ 'c', 'l', 'e', '_', 's', '.', 'd', 9, 0,
+  /* 2833 */ 'a', 'v', 'e', '_', 's', '.', 'd', 9, 0,
+  /* 2842 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2852 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2862 */ 'c', 'l', 't', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2872 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'd', 9, 0,
+  /* 2882 */ 'm', 'i', 'n', '_', 's', '.', 'd', 9, 0,
+  /* 2891 */ 'd', 'o', 't', 'p', '_', 's', '.', 'd', 9, 0,
+  /* 2901 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'd', 9, 0,
+  /* 2911 */ 's', 'u', 'b', 's', '_', 's', '.', 'd', 9, 0,
+  /* 2921 */ 'a', 'd', 'd', 's', '_', 's', '.', 'd', 9, 0,
+  /* 2931 */ 's', 'a', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2940 */ 'c', 'l', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2949 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2960 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'd', 9, 0,
+  /* 2971 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'd', 9, 0,
+  /* 2983 */ 'd', 'i', 'v', '_', 's', '.', 'd', 9, 0,
+  /* 2992 */ 'm', 'a', 'x', '_', 's', '.', 'd', 9, 0,
+  /* 3001 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'd', 9, 0,
+  /* 3011 */ 'a', 'b', 's', '.', 'd', 9, 0,
+  /* 3018 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'd', 9, 0,
+  /* 3028 */ 's', 'p', 'l', 'a', 't', '.', 'd', 9, 0,
+  /* 3037 */ 'b', 's', 'e', 't', '.', 'd', 9, 0,
+  /* 3045 */ 'c', '.', 'n', 'g', 't', '.', 'd', 9, 0,
+  /* 3054 */ 'c', '.', 'l', 't', '.', 'd', 9, 0,
+  /* 3062 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'd', 9, 0,
+  /* 3072 */ 'f', 'c', 'l', 't', '.', 'd', 9, 0,
+  /* 3080 */ 'c', '.', 'o', 'l', 't', '.', 'd', 9, 0,
+  /* 3089 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 'd', 9, 0,
+  /* 3100 */ 'f', 's', 'l', 't', '.', 'd', 9, 0,
+  /* 3108 */ 'c', '.', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3117 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3128 */ 'f', 'c', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3137 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3149 */ 'f', 's', 'u', 'l', 't', '.', 'd', 9, 0,
+  /* 3158 */ 'p', 'c', 'n', 't', '.', 'd', 9, 0,
+  /* 3166 */ 'f', 'r', 'i', 'n', 't', '.', 'd', 9, 0,
+  /* 3175 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'd', 9, 0,
+  /* 3185 */ 'f', 's', 'q', 'r', 't', '.', 'd', 9, 0,
+  /* 3194 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'd', 9, 0,
+  /* 3204 */ 's', 't', '.', 'd', 9, 0,
+  /* 3210 */ 'm', 'o', 'v', 't', '.', 'd', 9, 0,
+  /* 3218 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
+  /* 3228 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
+  /* 3238 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'd', 9, 0,
+  /* 3249 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'd', 9, 0,
+  /* 3261 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
+  /* 3271 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'd', 9, 0,
+  /* 3282 */ 'm', 'o', 'd', '_', 'u', '.', 'd', 9, 0,
+  /* 3291 */ 'c', 'l', 'e', '_', 'u', '.', 'd', 9, 0,
+  /* 3300 */ 'a', 'v', 'e', '_', 'u', '.', 'd', 9, 0,
+  /* 3309 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3319 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3329 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3339 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'd', 9, 0,
+  /* 3349 */ 'm', 'i', 'n', '_', 'u', '.', 'd', 9, 0,
+  /* 3358 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'd', 9, 0,
+  /* 3368 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'd', 9, 0,
+  /* 3378 */ 's', 'u', 'b', 's', '_', 'u', '.', 'd', 9, 0,
+  /* 3388 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'd', 9, 0,
+  /* 3398 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'd', 9, 0,
+  /* 3410 */ 's', 'a', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3419 */ 'c', 'l', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3428 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3439 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'd', 9, 0,
+  /* 3450 */ 'd', 'i', 'v', '_', 'u', '.', 'd', 9, 0,
+  /* 3459 */ 'm', 'a', 'x', '_', 'u', '.', 'd', 9, 0,
+  /* 3468 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'd', 9, 0,
+  /* 3478 */ 'm', 's', 'u', 'b', 'v', '.', 'd', 9, 0,
+  /* 3487 */ 'm', 'a', 'd', 'd', 'v', '.', 'd', 9, 0,
+  /* 3496 */ 'p', 'c', 'k', 'e', 'v', '.', 'd', 9, 0,
+  /* 3505 */ 'i', 'l', 'v', 'e', 'v', '.', 'd', 9, 0,
+  /* 3514 */ 'f', 'd', 'i', 'v', '.', 'd', 9, 0,
+  /* 3522 */ 'm', 'u', 'l', 'v', '.', 'd', 9, 0,
+  /* 3530 */ 'm', 'o', 'v', '.', 'd', 9, 0,
+  /* 3537 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 'd', 9, 0,
+  /* 3548 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 'd', 9, 0,
+  /* 3559 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 'd', 9, 0,
+  /* 3569 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 'd', 9, 0,
+  /* 3580 */ 'c', 'v', 't', '.', 'w', '.', 'd', 9, 0,
+  /* 3589 */ 'f', 'm', 'a', 'x', '.', 'd', 9, 0,
+  /* 3597 */ 'b', 'z', '.', 'd', 9, 0,
+  /* 3603 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 'd', 9, 0,
+  /* 3613 */ 'b', 'n', 'z', '.', 'd', 9, 0,
+  /* 3620 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 'd', 9, 0,
+  /* 3630 */ 'm', 'o', 'v', 'z', '.', 'd', 9, 0,
+  /* 3638 */ 's', 'c', 'd', 9, 0,
+  /* 3643 */ 'd', 'a', 'd', 'd', 9, 0,
+  /* 3649 */ 'm', 'a', 'd', 'd', 9, 0,
+  /* 3655 */ 'd', 's', 'h', 'd', 9, 0,
+  /* 3661 */ 'l', 'l', 'd', 9, 0,
+  /* 3666 */ 'a', 'n', 'd', 9, 0,
+  /* 3671 */ 'p', 'r', 'e', 'p', 'e', 'n', 'd', 9, 0,
+  /* 3680 */ 'a', 'p', 'p', 'e', 'n', 'd', 9, 0,
+  /* 3688 */ 'd', 'm', 'o', 'd', 9, 0,
+  /* 3694 */ 's', 'd', 9, 0,
+  /* 3698 */ 't', 'g', 'e', 9, 0,
+  /* 3703 */ 'c', 'a', 'c', 'h', 'e', 9, 0,
+  /* 3710 */ 'b', 'n', 'e', 9, 0,
+  /* 3715 */ 's', 'n', 'e', 9, 0,
+  /* 3720 */ 't', 'n', 'e', 9, 0,
+  /* 3725 */ 'm', 'o', 'v', 'e', 9, 0,
+  /* 3731 */ 'b', 'c', '1', 'f', 9, 0,
+  /* 3737 */ 'p', 'r', 'e', 'f', 9, 0,
+  /* 3743 */ 'm', 'o', 'v', 'f', 9, 0,
+  /* 3749 */ 'n', 'e', 'g', 9, 0,
+  /* 3754 */ 'a', 'd', 'd', '_', 'a', '.', 'h', 9, 0,
+  /* 3763 */ 'm', 'i', 'n', '_', 'a', '.', 'h', 9, 0,
+  /* 3772 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'h', 9, 0,
+  /* 3782 */ 'm', 'a', 'x', '_', 'a', '.', 'h', 9, 0,
+  /* 3791 */ 's', 'r', 'a', '.', 'h', 9, 0,
+  /* 3798 */ 'n', 'l', 'o', 'c', '.', 'h', 9, 0,
+  /* 3806 */ 'n', 'l', 'z', 'c', '.', 'h', 9, 0,
+  /* 3814 */ 's', 'l', 'd', '.', 'h', 9, 0,
+  /* 3821 */ 'p', 'c', 'k', 'o', 'd', '.', 'h', 9, 0,
+  /* 3830 */ 'i', 'l', 'v', 'o', 'd', '.', 'h', 9, 0,
+  /* 3839 */ 'i', 'n', 's', 'v', 'e', '.', 'h', 9, 0,
+  /* 3848 */ 'v', 's', 'h', 'f', '.', 'h', 9, 0,
+  /* 3856 */ 'b', 'n', 'e', 'g', '.', 'h', 9, 0,
+  /* 3864 */ 's', 'r', 'a', 'i', '.', 'h', 9, 0,
+  /* 3872 */ 's', 'l', 'd', 'i', '.', 'h', 9, 0,
+  /* 3880 */ 'b', 'n', 'e', 'g', 'i', '.', 'h', 9, 0,
+  /* 3889 */ 's', 'l', 'l', 'i', '.', 'h', 9, 0,
+  /* 3897 */ 's', 'r', 'l', 'i', '.', 'h', 9, 0,
+  /* 3905 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'h', 9, 0,
+  /* 3915 */ 'c', 'e', 'q', 'i', '.', 'h', 9, 0,
+  /* 3923 */ 's', 'r', 'a', 'r', 'i', '.', 'h', 9, 0,
+  /* 3932 */ 'b', 'c', 'l', 'r', 'i', '.', 'h', 9, 0,
+  /* 3941 */ 's', 'r', 'l', 'r', 'i', '.', 'h', 9, 0,
+  /* 3950 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'h', 9, 0,
+  /* 3960 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'h', 9, 0,
+  /* 3970 */ 'b', 's', 'e', 't', 'i', '.', 'h', 9, 0,
+  /* 3979 */ 's', 'u', 'b', 'v', 'i', '.', 'h', 9, 0,
+  /* 3988 */ 'a', 'd', 'd', 'v', 'i', '.', 'h', 9, 0,
+  /* 3997 */ 'f', 'i', 'l', 'l', '.', 'h', 9, 0,
+  /* 4005 */ 's', 'l', 'l', '.', 'h', 9, 0,
+  /* 4012 */ 's', 'r', 'l', '.', 'h', 9, 0,
+  /* 4019 */ 'b', 'i', 'n', 's', 'l', '.', 'h', 9, 0,
+  /* 4028 */ 'i', 'l', 'v', 'l', '.', 'h', 9, 0,
+  /* 4036 */ 'f', 'e', 'x', 'd', 'o', '.', 'h', 9, 0,
+  /* 4045 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'h', 9, 0,
+  /* 4055 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'h', 9, 0,
+  /* 4065 */ 'm', 'u', 'l', '_', 'q', '.', 'h', 9, 0,
+  /* 4074 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4085 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4096 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'h', 9, 0,
+  /* 4106 */ 'c', 'e', 'q', '.', 'h', 9, 0,
+  /* 4113 */ 'f', 't', 'q', '.', 'h', 9, 0,
+  /* 4120 */ 's', 'r', 'a', 'r', '.', 'h', 9, 0,
+  /* 4128 */ 'b', 'c', 'l', 'r', '.', 'h', 9, 0,
+  /* 4136 */ 's', 'r', 'l', 'r', '.', 'h', 9, 0,
+  /* 4144 */ 'b', 'i', 'n', 's', 'r', '.', 'h', 9, 0,
+  /* 4153 */ 'i', 'l', 'v', 'r', '.', 'h', 9, 0,
+  /* 4161 */ 'a', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4171 */ 'h', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4181 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'h', 9, 0,
+  /* 4192 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4202 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4213 */ 'm', 'o', 'd', '_', 's', '.', 'h', 9, 0,
+  /* 4222 */ 'c', 'l', 'e', '_', 's', '.', 'h', 9, 0,
+  /* 4231 */ 'a', 'v', 'e', '_', 's', '.', 'h', 9, 0,
+  /* 4240 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4250 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4260 */ 'c', 'l', 't', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4270 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'h', 9, 0,
+  /* 4280 */ 'm', 'i', 'n', '_', 's', '.', 'h', 9, 0,
+  /* 4289 */ 'd', 'o', 't', 'p', '_', 's', '.', 'h', 9, 0,
+  /* 4299 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'h', 9, 0,
+  /* 4309 */ 'e', 'x', 't', 'r', '_', 's', '.', 'h', 9, 0,
+  /* 4319 */ 's', 'u', 'b', 's', '_', 's', '.', 'h', 9, 0,
+  /* 4329 */ 'a', 'd', 'd', 's', '_', 's', '.', 'h', 9, 0,
+  /* 4339 */ 's', 'a', 't', '_', 's', '.', 'h', 9, 0,
+  /* 4348 */ 'c', 'l', 't', '_', 's', '.', 'h', 9, 0,
+  /* 4357 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'h', 9, 0,
+  /* 4369 */ 'd', 'i', 'v', '_', 's', '.', 'h', 9, 0,
+  /* 4378 */ 'e', 'x', 't', 'r', 'v', '_', 's', '.', 'h', 9, 0,
+  /* 4389 */ 'm', 'a', 'x', '_', 's', '.', 'h', 9, 0,
+  /* 4398 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'h', 9, 0,
+  /* 4408 */ 's', 'p', 'l', 'a', 't', '.', 'h', 9, 0,
+  /* 4417 */ 'b', 's', 'e', 't', '.', 'h', 9, 0,
+  /* 4425 */ 'p', 'c', 'n', 't', '.', 'h', 9, 0,
+  /* 4433 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'h', 9, 0,
+  /* 4443 */ 's', 't', '.', 'h', 9, 0,
+  /* 4449 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4459 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4469 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'h', 9, 0,
+  /* 4480 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4490 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4501 */ 'm', 'o', 'd', '_', 'u', '.', 'h', 9, 0,
+  /* 4510 */ 'c', 'l', 'e', '_', 'u', '.', 'h', 9, 0,
+  /* 4519 */ 'a', 'v', 'e', '_', 'u', '.', 'h', 9, 0,
+  /* 4528 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4538 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4548 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4558 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'h', 9, 0,
+  /* 4568 */ 'm', 'i', 'n', '_', 'u', '.', 'h', 9, 0,
+  /* 4577 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'h', 9, 0,
+  /* 4587 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'h', 9, 0,
+  /* 4597 */ 's', 'u', 'b', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4607 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4617 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'h', 9, 0,
+  /* 4629 */ 's', 'a', 't', '_', 'u', '.', 'h', 9, 0,
+  /* 4638 */ 'c', 'l', 't', '_', 'u', '.', 'h', 9, 0,
+  /* 4647 */ 'd', 'i', 'v', '_', 'u', '.', 'h', 9, 0,
+  /* 4656 */ 'm', 'a', 'x', '_', 'u', '.', 'h', 9, 0,
+  /* 4665 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'h', 9, 0,
+  /* 4675 */ 'm', 's', 'u', 'b', 'v', '.', 'h', 9, 0,
+  /* 4684 */ 'm', 'a', 'd', 'd', 'v', '.', 'h', 9, 0,
+  /* 4693 */ 'p', 'c', 'k', 'e', 'v', '.', 'h', 9, 0,
+  /* 4702 */ 'i', 'l', 'v', 'e', 'v', '.', 'h', 9, 0,
+  /* 4711 */ 'm', 'u', 'l', 'v', '.', 'h', 9, 0,
+  /* 4719 */ 'b', 'z', '.', 'h', 9, 0,
+  /* 4725 */ 'b', 'n', 'z', '.', 'h', 9, 0,
+  /* 4732 */ 'd', 's', 'b', 'h', 9, 0,
+  /* 4738 */ 'w', 's', 'b', 'h', 9, 0,
+  /* 4744 */ 's', 'e', 'h', 9, 0,
+  /* 4749 */ 'l', 'h', 9, 0,
+  /* 4753 */ 's', 'h', 'r', 'a', '.', 'p', 'h', 9, 0,
+  /* 4762 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4776 */ 'p', 'r', 'e', 'c', 'r', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4789 */ 'p', 'r', 'e', 'c', 'r', 'q', 'u', '_', 's', '.', 'q', 'b', '.', 'p', 'h', 9, 0,
+  /* 4806 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 'p', 'h', 9, 0,
+  /* 4817 */ 's', 'u', 'b', 'q', 'h', '.', 'p', 'h', 9, 0,
+  /* 4827 */ 'a', 'd', 'd', 'q', 'h', '.', 'p', 'h', 9, 0,
+  /* 4837 */ 'p', 'i', 'c', 'k', '.', 'p', 'h', 9, 0,
+  /* 4846 */ 's', 'h', 'l', 'l', '.', 'p', 'h', 9, 0,
+  /* 4855 */ 'r', 'e', 'p', 'l', '.', 'p', 'h', 9, 0,
+  /* 4864 */ 's', 'h', 'r', 'l', '.', 'p', 'h', 9, 0,
+  /* 4873 */ 'p', 'a', 'c', 'k', 'r', 'l', '.', 'p', 'h', 9, 0,
+  /* 4884 */ 'm', 'u', 'l', '.', 'p', 'h', 9, 0,
+  /* 4892 */ 's', 'u', 'b', 'q', '.', 'p', 'h', 9, 0,
+  /* 4901 */ 'a', 'd', 'd', 'q', '.', 'p', 'h', 9, 0,
+  /* 4910 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 'p', 'h', 9, 0,
+  /* 4921 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4932 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4944 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4956 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'p', 'h', 9, 0,
+  /* 4968 */ 's', 'h', 'l', 'l', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 4979 */ 'm', 'u', 'l', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 4989 */ 's', 'u', 'b', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5000 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5011 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5022 */ 'a', 'b', 's', 'q', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5033 */ 's', 'u', 'b', 'u', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5044 */ 'a', 'd', 'd', 'u', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5055 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'p', 'h', 9, 0,
+  /* 5067 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'p', 'h', 9, 0,
+  /* 5079 */ 'c', 'm', 'p', '.', 'l', 't', '.', 'p', 'h', 9, 0,
+  /* 5090 */ 's', 'u', 'b', 'u', '.', 'p', 'h', 9, 0,
+  /* 5099 */ 'a', 'd', 'd', 'u', '.', 'p', 'h', 9, 0,
+  /* 5108 */ 's', 'h', 'r', 'a', 'v', '.', 'p', 'h', 9, 0,
+  /* 5118 */ 's', 'h', 'l', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5128 */ 'r', 'e', 'p', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5138 */ 's', 'h', 'r', 'l', 'v', '.', 'p', 'h', 9, 0,
+  /* 5148 */ 'd', 'p', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5158 */ 'd', 'p', 'a', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5173 */ 'd', 'p', 's', 'q', 'x', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5188 */ 'm', 'u', 'l', 's', 'a', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5200 */ 'd', 'p', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5213 */ 'm', 'u', 'l', 's', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5228 */ 'd', 'p', 's', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5241 */ 'd', 'p', 'a', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5255 */ 'd', 'p', 's', 'q', 'x', '_', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5269 */ 'd', 'p', 's', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5279 */ 'd', 'p', 'a', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5290 */ 'd', 'p', 's', 'x', '.', 'w', '.', 'p', 'h', 9, 0,
+  /* 5301 */ 's', 'h', 9, 0,
+  /* 5305 */ 'd', 'm', 'u', 'h', 9, 0,
+  /* 5311 */ 'd', 'a', 'd', 'd', 'i', 9, 0,
+  /* 5318 */ 'a', 'n', 'd', 'i', 9, 0,
+  /* 5324 */ 't', 'g', 'e', 'i', 9, 0,
+  /* 5330 */ 's', 'n', 'e', 'i', 9, 0,
+  /* 5336 */ 't', 'n', 'e', 'i', 9, 0,
+  /* 5342 */ 'd', 'a', 'h', 'i', 9, 0,
+  /* 5348 */ 'm', 'f', 'h', 'i', 9, 0,
+  /* 5354 */ 'm', 't', 'h', 'i', 9, 0,
+  /* 5360 */ '.', 'a', 'l', 'i', 'g', 'n', 32, '2', 10, 9, 'l', 'i', 9, 0,
+  /* 5374 */ 'd', 'l', 'i', 9, 0,
+  /* 5379 */ 'c', 'm', 'p', 'i', 9, 0,
+  /* 5385 */ 's', 'e', 'q', 'i', 9, 0,
+  /* 5391 */ 't', 'e', 'q', 'i', 9, 0,
+  /* 5397 */ 'x', 'o', 'r', 'i', 9, 0,
+  /* 5403 */ 'd', 'a', 't', 'i', 9, 0,
+  /* 5409 */ 's', 'l', 't', 'i', 9, 0,
+  /* 5415 */ 't', 'l', 't', 'i', 9, 0,
+  /* 5421 */ 'd', 'a', 'u', 'i', 9, 0,
+  /* 5427 */ 'l', 'u', 'i', 9, 0,
+  /* 5432 */ 'j', 9, 0,
+  /* 5435 */ 'b', 'r', 'e', 'a', 'k', 9, 0,
+  /* 5442 */ 'c', 'v', 't', '.', 'd', '.', 'l', 9, 0,
+  /* 5451 */ 'c', 'v', 't', '.', 's', '.', 'l', 9, 0,
+  /* 5460 */ 'b', 'a', 'l', 9, 0,
+  /* 5465 */ 'j', 'a', 'l', 9, 0,
+  /* 5470 */ 'b', 'g', 'e', 'z', 'a', 'l', 9, 0,
+  /* 5478 */ 'b', 'l', 't', 'z', 'a', 'l', 9, 0,
+  /* 5486 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5498 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5510 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5526 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5541 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'l', 9, 0,
+  /* 5557 */ 'l', 'd', 'l', 9, 0,
+  /* 5562 */ 's', 'd', 'l', 9, 0,
+  /* 5567 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5581 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5595 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5608 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'l', 9, 0,
+  /* 5623 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 9, 0,
+  /* 5632 */ 'd', 's', 'l', 'l', 9, 0,
+  /* 5638 */ 'd', 's', 'r', 'l', 9, 0,
+  /* 5644 */ 'd', 'm', 'u', 'l', 9, 0,
+  /* 5650 */ 'l', 'w', 'l', 9, 0,
+  /* 5655 */ 's', 'w', 'l', 9, 0,
+  /* 5660 */ 'b', 'a', 'l', 'i', 'g', 'n', 9, 0,
+  /* 5668 */ 'd', 'a', 'l', 'i', 'g', 'n', 9, 0,
+  /* 5676 */ 'm', 'o', 'v', 'n', 9, 0,
+  /* 5682 */ 'd', 'c', 'l', 'o', 9, 0,
+  /* 5688 */ 'm', 'f', 'l', 'o', 9, 0,
+  /* 5694 */ 's', 'h', 'i', 'l', 'o', 9, 0,
+  /* 5701 */ 'm', 't', 'l', 'o', 9, 0,
+  /* 5707 */ 'd', 'b', 'i', 't', 's', 'w', 'a', 'p', 9, 0,
+  /* 5717 */ 's', 'd', 'b', 'b', 'p', 9, 0,
+  /* 5724 */ 'e', 'x', 't', 'p', 'd', 'p', 9, 0,
+  /* 5732 */ 'm', 't', 'h', 'l', 'i', 'p', 9, 0,
+  /* 5740 */ 'c', 'm', 'p', 9, 0,
+  /* 5745 */ 'd', 'p', 'o', 'p', 9, 0,
+  /* 5751 */ 'l', 'o', 'a', 'd', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
+  /* 5767 */ 's', 't', 'o', 'r', 'e', '_', 'c', 'c', 'o', 'n', 'd', '_', 'd', 's', 'p', 9, 0,
+  /* 5784 */ 'r', 'd', 'd', 's', 'p', 9, 0,
+  /* 5791 */ 'w', 'r', 'd', 's', 'p', 9, 0,
+  /* 5798 */ 'e', 'x', 't', 'p', 9, 0,
+  /* 5804 */ 'b', 'e', 'q', 9, 0,
+  /* 5809 */ 's', 'e', 'q', 9, 0,
+  /* 5814 */ 't', 'e', 'q', 9, 0,
+  /* 5819 */ 'd', 'p', 'a', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5831 */ 'd', 'p', 's', 'u', '.', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5843 */ 'm', 'u', 'l', 'e', 'u', '_', 's', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5859 */ 'p', 'r', 'e', 'c', 'e', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5874 */ 'p', 'r', 'e', 'c', 'e', 'q', 'u', '.', 'p', 'h', '.', 'q', 'b', 'r', 9, 0,
+  /* 5890 */ 'l', 'd', 'r', 9, 0,
+  /* 5895 */ 's', 'd', 'r', 9, 0,
+  /* 5900 */ 'm', 'a', 'q', '_', 's', 'a', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5914 */ 'p', 'r', 'e', 'c', 'e', 'q', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5928 */ 'm', 'a', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5941 */ 'm', 'u', 'l', 'e', 'q', '_', 's', '.', 'w', '.', 'p', 'h', 'r', 9, 0,
+  /* 5956 */ 'j', 'r', 9, 0,
+  /* 5960 */ 'j', 'a', 'l', 'r', 9, 0,
+  /* 5966 */ 'n', 'o', 'r', 9, 0,
+  /* 5971 */ 'x', 'o', 'r', 9, 0,
+  /* 5976 */ 'd', 'r', 'o', 't', 'r', 9, 0,
+  /* 5983 */ 'r', 'd', 'h', 'w', 'r', 9, 0,
+  /* 5990 */ 'l', 'w', 'r', 9, 0,
+  /* 5995 */ 's', 'w', 'r', 9, 0,
+  /* 6000 */ 'm', 'i', 'n', 'a', '.', 's', 9, 0,
+  /* 6008 */ 'm', 'a', 'x', 'a', '.', 's', 9, 0,
+  /* 6016 */ 'n', 'm', 's', 'u', 'b', '.', 's', 9, 0,
+  /* 6025 */ 'c', 'v', 't', '.', 'd', '.', 's', 9, 0,
+  /* 6034 */ 'n', 'm', 'a', 'd', 'd', '.', 's', 9, 0,
+  /* 6043 */ 'c', '.', 'n', 'g', 'e', '.', 's', 9, 0,
+  /* 6052 */ 'c', '.', 'l', 'e', '.', 's', 9, 0,
+  /* 6060 */ 'c', 'm', 'p', '.', 'l', 'e', '.', 's', 9, 0,
+  /* 6070 */ 'c', '.', 'n', 'g', 'l', 'e', '.', 's', 9, 0,
+  /* 6080 */ 'c', '.', 'o', 'l', 'e', '.', 's', 9, 0,
+  /* 6089 */ 'c', 'm', 'p', '.', 's', 'l', 'e', '.', 's', 9, 0,
+  /* 6100 */ 'c', '.', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6109 */ 'c', 'm', 'p', '.', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6120 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 'e', '.', 's', 9, 0,
+  /* 6132 */ 'c', '.', 'f', '.', 's', 9, 0,
+  /* 6139 */ 'c', 'm', 'p', '.', 'a', 'f', '.', 's', 9, 0,
+  /* 6149 */ 'c', 'm', 'p', '.', 's', 'a', 'f', '.', 's', 9, 0,
+  /* 6160 */ 'm', 's', 'u', 'b', 'f', '.', 's', 9, 0,
+  /* 6169 */ 'm', 'a', 'd', 'd', 'f', '.', 's', 9, 0,
+  /* 6178 */ 'c', '.', 's', 'f', '.', 's', 9, 0,
+  /* 6186 */ 'm', 'o', 'v', 'f', '.', 's', 9, 0,
+  /* 6194 */ 'n', 'e', 'g', '.', 's', 9, 0,
+  /* 6201 */ 't', 'r', 'u', 'n', 'c', '.', 'l', '.', 's', 9, 0,
+  /* 6212 */ 'r', 'o', 'u', 'n', 'd', '.', 'l', '.', 's', 9, 0,
+  /* 6223 */ 'c', 'e', 'i', 'l', '.', 'l', '.', 's', 9, 0,
+  /* 6233 */ 'f', 'l', 'o', 'o', 'r', '.', 'l', '.', 's', 9, 0,
+  /* 6244 */ 'c', 'v', 't', '.', 'l', '.', 's', 9, 0,
+  /* 6253 */ 's', 'e', 'l', '.', 's', 9, 0,
+  /* 6260 */ 'c', '.', 'n', 'g', 'l', '.', 's', 9, 0,
+  /* 6269 */ 'm', 'u', 'l', '.', 's', 9, 0,
+  /* 6276 */ 'm', 'i', 'n', '.', 's', 9, 0,
+  /* 6283 */ 'c', '.', 'u', 'n', '.', 's', 9, 0,
+  /* 6291 */ 'c', 'm', 'p', '.', 'u', 'n', '.', 's', 9, 0,
+  /* 6301 */ 'c', 'm', 'p', '.', 's', 'u', 'n', '.', 's', 9, 0,
+  /* 6312 */ 'm', 'o', 'v', 'n', '.', 's', 9, 0,
+  /* 6320 */ 'c', '.', 'e', 'q', '.', 's', 9, 0,
+  /* 6328 */ 'c', 'm', 'p', '.', 'e', 'q', '.', 's', 9, 0,
+  /* 6338 */ 'c', '.', 's', 'e', 'q', '.', 's', 9, 0,
+  /* 6347 */ 'c', 'm', 'p', '.', 's', 'e', 'q', '.', 's', 9, 0,
+  /* 6358 */ 'c', '.', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6367 */ 'c', 'm', 'p', '.', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6378 */ 'c', 'm', 'p', '.', 's', 'u', 'e', 'q', '.', 's', 9, 0,
+  /* 6390 */ 'a', 'b', 's', '.', 's', 9, 0,
+  /* 6397 */ 'c', 'l', 'a', 's', 's', '.', 's', 9, 0,
+  /* 6406 */ 'c', '.', 'n', 'g', 't', '.', 's', 9, 0,
+  /* 6415 */ 'c', '.', 'l', 't', '.', 's', 9, 0,
+  /* 6423 */ 'c', 'm', 'p', '.', 'l', 't', '.', 's', 9, 0,
+  /* 6433 */ 'c', '.', 'o', 'l', 't', '.', 's', 9, 0,
+  /* 6442 */ 'c', 'm', 'p', '.', 's', 'l', 't', '.', 's', 9, 0,
+  /* 6453 */ 'c', '.', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6462 */ 'c', 'm', 'p', '.', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6473 */ 'c', 'm', 'p', '.', 's', 'u', 'l', 't', '.', 's', 9, 0,
+  /* 6485 */ 'r', 'i', 'n', 't', '.', 's', 9, 0,
+  /* 6493 */ 's', 'q', 'r', 't', '.', 's', 9, 0,
+  /* 6501 */ 'm', 'o', 'v', 't', '.', 's', 9, 0,
+  /* 6509 */ 'd', 'i', 'v', '.', 's', 9, 0,
+  /* 6516 */ 'm', 'o', 'v', '.', 's', 9, 0,
+  /* 6523 */ 't', 'r', 'u', 'n', 'c', '.', 'w', '.', 's', 9, 0,
+  /* 6534 */ 'r', 'o', 'u', 'n', 'd', '.', 'w', '.', 's', 9, 0,
+  /* 6545 */ 'c', 'e', 'i', 'l', '.', 'w', '.', 's', 9, 0,
+  /* 6555 */ 'f', 'l', 'o', 'o', 'r', '.', 'w', '.', 's', 9, 0,
+  /* 6566 */ 'c', 'v', 't', '.', 'w', '.', 's', 9, 0,
+  /* 6575 */ 'm', 'a', 'x', '.', 's', 9, 0,
+  /* 6582 */ 's', 'e', 'l', 'n', 'e', 'z', '.', 's', 9, 0,
+  /* 6592 */ 's', 'e', 'l', 'e', 'q', 'z', '.', 's', 9, 0,
+  /* 6602 */ 'm', 'o', 'v', 'z', '.', 's', 9, 0,
+  /* 6610 */ 'b', 'c', '1', 't', 9, 0,
+  /* 6616 */ 'w', 'a', 'i', 't', 9, 0,
+  /* 6622 */ 's', 'l', 't', 9, 0,
+  /* 6627 */ 't', 'l', 't', 9, 0,
+  /* 6632 */ 'd', 'm', 'u', 'l', 't', 9, 0,
+  /* 6639 */ 'n', 'o', 't', 9, 0,
+  /* 6644 */ 'm', 'o', 'v', 't', 9, 0,
+  /* 6650 */ 'l', 'b', 'u', 9, 0,
+  /* 6655 */ 'd', 's', 'u', 'b', 'u', 9, 0,
+  /* 6662 */ 'm', 's', 'u', 'b', 'u', 9, 0,
+  /* 6669 */ 'b', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6676 */ 'd', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6683 */ 'm', 'a', 'd', 'd', 'u', 9, 0,
+  /* 6690 */ 'd', 'm', 'o', 'd', 'u', 9, 0,
+  /* 6697 */ 't', 'g', 'e', 'u', 9, 0,
+  /* 6703 */ 'l', 'h', 'u', 9, 0,
+  /* 6708 */ 'd', 'm', 'u', 'h', 'u', 9, 0,
+  /* 6715 */ 'd', 'a', 'd', 'd', 'i', 'u', 9, 0,
+  /* 6723 */ 't', 'g', 'e', 'i', 'u', 9, 0,
+  /* 6730 */ 's', 'l', 't', 'i', 'u', 9, 0,
+  /* 6737 */ 't', 'l', 't', 'i', 'u', 9, 0,
+  /* 6744 */ 'v', '3', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6752 */ 'd', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6759 */ 'v', 'm', 'u', 'l', 'u', 9, 0,
+  /* 6766 */ 's', 'l', 't', 'u', 9, 0,
+  /* 6772 */ 't', 'l', 't', 'u', 9, 0,
+  /* 6778 */ 'd', 'm', 'u', 'l', 't', 'u', 9, 0,
+  /* 6786 */ 'd', 'd', 'i', 'v', 'u', 9, 0,
+  /* 6793 */ 'l', 'w', 'u', 9, 0,
+  /* 6798 */ 'a', 'n', 'd', '.', 'v', 9, 0,
+  /* 6805 */ 'm', 'o', 'v', 'e', '.', 'v', 9, 0,
+  /* 6813 */ 'b', 's', 'e', 'l', '.', 'v', 9, 0,
+  /* 6821 */ 'n', 'o', 'r', '.', 'v', 9, 0,
+  /* 6828 */ 'x', 'o', 'r', '.', 'v', 9, 0,
+  /* 6835 */ 'b', 'z', '.', 'v', 9, 0,
+  /* 6841 */ 'b', 'm', 'z', '.', 'v', 9, 0,
+  /* 6848 */ 'b', 'n', 'z', '.', 'v', 9, 0,
+  /* 6855 */ 'b', 'm', 'n', 'z', '.', 'v', 9, 0,
+  /* 6863 */ 'd', 's', 'r', 'a', 'v', 9, 0,
+  /* 6870 */ 'b', 'i', 't', 'r', 'e', 'v', 9, 0,
+  /* 6878 */ 'd', 'd', 'i', 'v', 9, 0,
+  /* 6884 */ 'd', 's', 'l', 'l', 'v', 9, 0,
+  /* 6891 */ 'd', 's', 'r', 'l', 'v', 9, 0,
+  /* 6898 */ 's', 'h', 'i', 'l', 'o', 'v', 9, 0,
+  /* 6906 */ 'e', 'x', 't', 'p', 'd', 'p', 'v', 9, 0,
+  /* 6915 */ 'e', 'x', 't', 'p', 'v', 9, 0,
+  /* 6922 */ 'd', 'r', 'o', 't', 'r', 'v', 9, 0,
+  /* 6930 */ 'i', 'n', 's', 'v', 9, 0,
+  /* 6936 */ 'f', 'l', 'o', 'g', '2', '.', 'w', 9, 0,
+  /* 6945 */ 'f', 'e', 'x', 'p', '2', '.', 'w', 9, 0,
+  /* 6954 */ 'a', 'd', 'd', '_', 'a', '.', 'w', 9, 0,
+  /* 6963 */ 'f', 'm', 'i', 'n', '_', 'a', '.', 'w', 9, 0,
+  /* 6973 */ 'a', 'd', 'd', 's', '_', 'a', '.', 'w', 9, 0,
+  /* 6983 */ 'f', 'm', 'a', 'x', '_', 'a', '.', 'w', 9, 0,
+  /* 6993 */ 's', 'r', 'a', '.', 'w', 9, 0,
+  /* 7000 */ 'f', 's', 'u', 'b', '.', 'w', 9, 0,
+  /* 7008 */ 'f', 'm', 's', 'u', 'b', '.', 'w', 9, 0,
+  /* 7017 */ 'n', 'l', 'o', 'c', '.', 'w', 9, 0,
+  /* 7025 */ 'n', 'l', 'z', 'c', '.', 'w', 9, 0,
+  /* 7033 */ 'c', 'v', 't', '.', 'd', '.', 'w', 9, 0,
+  /* 7042 */ 'f', 'a', 'd', 'd', '.', 'w', 9, 0,
+  /* 7050 */ 'f', 'm', 'a', 'd', 'd', '.', 'w', 9, 0,
+  /* 7059 */ 's', 'l', 'd', '.', 'w', 9, 0,
+  /* 7066 */ 'p', 'c', 'k', 'o', 'd', '.', 'w', 9, 0,
+  /* 7075 */ 'i', 'l', 'v', 'o', 'd', '.', 'w', 9, 0,
+  /* 7084 */ 'f', 'c', 'l', 'e', '.', 'w', 9, 0,
+  /* 7092 */ 'f', 's', 'l', 'e', '.', 'w', 9, 0,
+  /* 7100 */ 'f', 'c', 'u', 'l', 'e', '.', 'w', 9, 0,
+  /* 7109 */ 'f', 's', 'u', 'l', 'e', '.', 'w', 9, 0,
+  /* 7118 */ 'f', 'c', 'n', 'e', '.', 'w', 9, 0,
+  /* 7126 */ 'f', 's', 'n', 'e', '.', 'w', 9, 0,
+  /* 7134 */ 'f', 'c', 'u', 'n', 'e', '.', 'w', 9, 0,
+  /* 7143 */ 'f', 's', 'u', 'n', 'e', '.', 'w', 9, 0,
+  /* 7152 */ 'i', 'n', 's', 'v', 'e', '.', 'w', 9, 0,
+  /* 7161 */ 'f', 'c', 'a', 'f', '.', 'w', 9, 0,
+  /* 7169 */ 'f', 's', 'a', 'f', '.', 'w', 9, 0,
+  /* 7177 */ 'v', 's', 'h', 'f', '.', 'w', 9, 0,
+  /* 7185 */ 'b', 'n', 'e', 'g', '.', 'w', 9, 0,
+  /* 7193 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7209 */ 'p', 'r', 'e', 'c', 'r', 'q', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7222 */ 'p', 'r', 'e', 'c', 'r', '_', 's', 'r', 'a', '_', 'r', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7240 */ 'p', 'r', 'e', 'c', 'r', 'q', '_', 'r', 's', '.', 'p', 'h', '.', 'w', 9, 0,
+  /* 7256 */ 's', 'u', 'b', 'q', 'h', '.', 'w', 9, 0,
+  /* 7265 */ 'a', 'd', 'd', 'q', 'h', '.', 'w', 9, 0,
+  /* 7274 */ 's', 'r', 'a', 'i', '.', 'w', 9, 0,
+  /* 7282 */ 's', 'l', 'd', 'i', '.', 'w', 9, 0,
+  /* 7290 */ 'b', 'n', 'e', 'g', 'i', '.', 'w', 9, 0,
+  /* 7299 */ 's', 'l', 'l', 'i', '.', 'w', 9, 0,
+  /* 7307 */ 's', 'r', 'l', 'i', '.', 'w', 9, 0,
+  /* 7315 */ 'b', 'i', 'n', 's', 'l', 'i', '.', 'w', 9, 0,
+  /* 7325 */ 'c', 'e', 'q', 'i', '.', 'w', 9, 0,
+  /* 7333 */ 's', 'r', 'a', 'r', 'i', '.', 'w', 9, 0,
+  /* 7342 */ 'b', 'c', 'l', 'r', 'i', '.', 'w', 9, 0,
+  /* 7351 */ 's', 'r', 'l', 'r', 'i', '.', 'w', 9, 0,
+  /* 7360 */ 'b', 'i', 'n', 's', 'r', 'i', '.', 'w', 9, 0,
+  /* 7370 */ 's', 'p', 'l', 'a', 't', 'i', '.', 'w', 9, 0,
+  /* 7380 */ 'b', 's', 'e', 't', 'i', '.', 'w', 9, 0,
+  /* 7389 */ 's', 'u', 'b', 'v', 'i', '.', 'w', 9, 0,
+  /* 7398 */ 'a', 'd', 'd', 'v', 'i', '.', 'w', 9, 0,
+  /* 7407 */ 'd', 'p', 'a', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
+  /* 7420 */ 'd', 'p', 's', 'q', '_', 's', 'a', '.', 'l', '.', 'w', 9, 0,
+  /* 7433 */ 'f', 'i', 'l', 'l', '.', 'w', 9, 0,
+  /* 7441 */ 's', 'l', 'l', '.', 'w', 9, 0,
+  /* 7448 */ 'f', 'e', 'x', 'u', 'p', 'l', '.', 'w', 9, 0,
+  /* 7458 */ 'f', 'f', 'q', 'l', '.', 'w', 9, 0,
+  /* 7466 */ 's', 'r', 'l', '.', 'w', 9, 0,
+  /* 7473 */ 'b', 'i', 'n', 's', 'l', '.', 'w', 9, 0,
+  /* 7482 */ 'f', 'm', 'u', 'l', '.', 'w', 9, 0,
+  /* 7490 */ 'i', 'l', 'v', 'l', '.', 'w', 9, 0,
+  /* 7498 */ 'f', 'm', 'i', 'n', '.', 'w', 9, 0,
+  /* 7506 */ 'f', 'c', 'u', 'n', '.', 'w', 9, 0,
+  /* 7514 */ 'f', 's', 'u', 'n', '.', 'w', 9, 0,
+  /* 7522 */ 'f', 'e', 'x', 'd', 'o', '.', 'w', 9, 0,
+  /* 7531 */ 'f', 'r', 'c', 'p', '.', 'w', 9, 0,
+  /* 7539 */ 'm', 's', 'u', 'b', '_', 'q', '.', 'w', 9, 0,
+  /* 7549 */ 'm', 'a', 'd', 'd', '_', 'q', '.', 'w', 9, 0,
+  /* 7559 */ 'm', 'u', 'l', '_', 'q', '.', 'w', 9, 0,
+  /* 7568 */ 'm', 's', 'u', 'b', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7579 */ 'm', 'a', 'd', 'd', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7590 */ 'm', 'u', 'l', 'r', '_', 'q', '.', 'w', 9, 0,
+  /* 7600 */ 'f', 'c', 'e', 'q', '.', 'w', 9, 0,
+  /* 7608 */ 'f', 's', 'e', 'q', '.', 'w', 9, 0,
+  /* 7616 */ 'f', 'c', 'u', 'e', 'q', '.', 'w', 9, 0,
+  /* 7625 */ 'f', 's', 'u', 'e', 'q', '.', 'w', 9, 0,
+  /* 7634 */ 'f', 't', 'q', '.', 'w', 9, 0,
+  /* 7641 */ 's', 'h', 'r', 'a', '_', 'r', '.', 'w', 9, 0,
+  /* 7651 */ 's', 'u', 'b', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
+  /* 7662 */ 'a', 'd', 'd', 'q', 'h', '_', 'r', '.', 'w', 9, 0,
+  /* 7673 */ 'e', 'x', 't', 'r', '_', 'r', '.', 'w', 9, 0,
+  /* 7683 */ 's', 'h', 'r', 'a', 'v', '_', 'r', '.', 'w', 9, 0,
+  /* 7694 */ 'e', 'x', 't', 'r', 'v', '_', 'r', '.', 'w', 9, 0,
+  /* 7705 */ 's', 'r', 'a', 'r', '.', 'w', 9, 0,
+  /* 7713 */ 'b', 'c', 'l', 'r', '.', 'w', 9, 0,
+  /* 7721 */ 's', 'r', 'l', 'r', '.', 'w', 9, 0,
+  /* 7729 */ 'f', 'c', 'o', 'r', '.', 'w', 9, 0,
+  /* 7737 */ 'f', 's', 'o', 'r', '.', 'w', 9, 0,
+  /* 7745 */ 'f', 'e', 'x', 'u', 'p', 'r', '.', 'w', 9, 0,
+  /* 7755 */ 'f', 'f', 'q', 'r', '.', 'w', 9, 0,
+  /* 7763 */ 'b', 'i', 'n', 's', 'r', '.', 'w', 9, 0,
+  /* 7772 */ 'e', 'x', 't', 'r', '.', 'w', 9, 0,
+  /* 7780 */ 'i', 'l', 'v', 'r', '.', 'w', 9, 0,
+  /* 7788 */ 'c', 'v', 't', '.', 's', '.', 'w', 9, 0,
+  /* 7797 */ 'a', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7807 */ 'h', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7817 */ 'd', 'p', 's', 'u', 'b', '_', 's', '.', 'w', 9, 0,
+  /* 7828 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 's', '.', 'w', 9, 0,
+  /* 7840 */ 'h', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 7850 */ 'd', 'p', 'a', 'd', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 7861 */ 'm', 'o', 'd', '_', 's', '.', 'w', 9, 0,
+  /* 7870 */ 'c', 'l', 'e', '_', 's', '.', 'w', 9, 0,
+  /* 7879 */ 'a', 'v', 'e', '_', 's', '.', 'w', 9, 0,
+  /* 7888 */ 'c', 'l', 'e', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7898 */ 'm', 'i', 'n', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7908 */ 'c', 'l', 't', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7918 */ 'm', 'a', 'x', 'i', '_', 's', '.', 'w', 9, 0,
+  /* 7928 */ 's', 'h', 'l', 'l', '_', 's', '.', 'w', 9, 0,
+  /* 7938 */ 'm', 'i', 'n', '_', 's', '.', 'w', 9, 0,
+  /* 7947 */ 'd', 'o', 't', 'p', '_', 's', '.', 'w', 9, 0,
+  /* 7957 */ 's', 'u', 'b', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7967 */ 'a', 'd', 'd', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7977 */ 'm', 'u', 'l', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7987 */ 'a', 'b', 's', 'q', '_', 's', '.', 'w', 9, 0,
+  /* 7997 */ 'a', 'v', 'e', 'r', '_', 's', '.', 'w', 9, 0,
+  /* 8007 */ 's', 'u', 'b', 's', '_', 's', '.', 'w', 9, 0,
+  /* 8017 */ 'a', 'd', 'd', 's', '_', 's', '.', 'w', 9, 0,
+  /* 8027 */ 's', 'a', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8036 */ 'c', 'l', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8045 */ 'f', 'f', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8056 */ 'f', 't', 'i', 'n', 't', '_', 's', '.', 'w', 9, 0,
+  /* 8067 */ 's', 'u', 'b', 's', 'u', 'u', '_', 's', '.', 'w', 9, 0,
+  /* 8079 */ 'd', 'i', 'v', '_', 's', '.', 'w', 9, 0,
+  /* 8088 */ 's', 'h', 'l', 'l', 'v', '_', 's', '.', 'w', 9, 0,
+  /* 8099 */ 'm', 'a', 'x', '_', 's', '.', 'w', 9, 0,
+  /* 8108 */ 'c', 'o', 'p', 'y', '_', 's', '.', 'w', 9, 0,
+  /* 8118 */ 'm', 'u', 'l', 'q', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8129 */ 'e', 'x', 't', 'r', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8140 */ 'e', 'x', 't', 'r', 'v', '_', 'r', 's', '.', 'w', 9, 0,
+  /* 8152 */ 'f', 'c', 'l', 'a', 's', 's', '.', 'w', 9, 0,
+  /* 8162 */ 's', 'p', 'l', 'a', 't', '.', 'w', 9, 0,
+  /* 8171 */ 'b', 's', 'e', 't', '.', 'w', 9, 0,
+  /* 8179 */ 'f', 'c', 'l', 't', '.', 'w', 9, 0,
+  /* 8187 */ 'f', 's', 'l', 't', '.', 'w', 9, 0,
+  /* 8195 */ 'f', 'c', 'u', 'l', 't', '.', 'w', 9, 0,
+  /* 8204 */ 'f', 's', 'u', 'l', 't', '.', 'w', 9, 0,
+  /* 8213 */ 'p', 'c', 'n', 't', '.', 'w', 9, 0,
+  /* 8221 */ 'f', 'r', 'i', 'n', 't', '.', 'w', 9, 0,
+  /* 8230 */ 'i', 'n', 's', 'e', 'r', 't', '.', 'w', 9, 0,
+  /* 8240 */ 'f', 's', 'q', 'r', 't', '.', 'w', 9, 0,
+  /* 8249 */ 'f', 'r', 's', 'q', 'r', 't', '.', 'w', 9, 0,
+  /* 8259 */ 's', 't', '.', 'w', 9, 0,
+  /* 8265 */ 'a', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8275 */ 'h', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8285 */ 'd', 'p', 's', 'u', 'b', '_', 'u', '.', 'w', 9, 0,
+  /* 8296 */ 'f', 't', 'r', 'u', 'n', 'c', '_', 'u', '.', 'w', 9, 0,
+  /* 8308 */ 'h', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8318 */ 'd', 'p', 'a', 'd', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8329 */ 'm', 'o', 'd', '_', 'u', '.', 'w', 9, 0,
+  /* 8338 */ 'c', 'l', 'e', '_', 'u', '.', 'w', 9, 0,
+  /* 8347 */ 'a', 'v', 'e', '_', 'u', '.', 'w', 9, 0,
+  /* 8356 */ 'c', 'l', 'e', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8366 */ 'm', 'i', 'n', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8376 */ 'c', 'l', 't', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8386 */ 'm', 'a', 'x', 'i', '_', 'u', '.', 'w', 9, 0,
+  /* 8396 */ 'm', 'i', 'n', '_', 'u', '.', 'w', 9, 0,
+  /* 8405 */ 'd', 'o', 't', 'p', '_', 'u', '.', 'w', 9, 0,
+  /* 8415 */ 'a', 'v', 'e', 'r', '_', 'u', '.', 'w', 9, 0,
+  /* 8425 */ 's', 'u', 'b', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8435 */ 'a', 'd', 'd', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8445 */ 's', 'u', 'b', 's', 'u', 's', '_', 'u', '.', 'w', 9, 0,
+  /* 8457 */ 's', 'a', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8466 */ 'c', 'l', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8475 */ 'f', 'f', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8486 */ 'f', 't', 'i', 'n', 't', '_', 'u', '.', 'w', 9, 0,
+  /* 8497 */ 'd', 'i', 'v', '_', 'u', '.', 'w', 9, 0,
+  /* 8506 */ 'm', 'a', 'x', '_', 'u', '.', 'w', 9, 0,
+  /* 8515 */ 'c', 'o', 'p', 'y', '_', 'u', '.', 'w', 9, 0,
+  /* 8525 */ 'm', 's', 'u', 'b', 'v', '.', 'w', 9, 0,
+  /* 8534 */ 'm', 'a', 'd', 'd', 'v', '.', 'w', 9, 0,
+  /* 8543 */ 'p', 'c', 'k', 'e', 'v', '.', 'w', 9, 0,
+  /* 8552 */ 'i', 'l', 'v', 'e', 'v', '.', 'w', 9, 0,
+  /* 8561 */ 'f', 'd', 'i', 'v', '.', 'w', 9, 0,
+  /* 8569 */ 'm', 'u', 'l', 'v', '.', 'w', 9, 0,
+  /* 8577 */ 'e', 'x', 't', 'r', 'v', '.', 'w', 9, 0,
+  /* 8586 */ 'f', 'm', 'a', 'x', '.', 'w', 9, 0,
+  /* 8594 */ 'b', 'z', '.', 'w', 9, 0,
+  /* 8600 */ 'b', 'n', 'z', '.', 'w', 9, 0,
+  /* 8607 */ 'l', 'w', 9, 0,
+  /* 8611 */ 's', 'w', 9, 0,
+  /* 8615 */ 'l', 'h', 'x', 9, 0,
+  /* 8620 */ 'j', 'a', 'l', 'x', 9, 0,
+  /* 8626 */ 'l', 'b', 'u', 'x', 9, 0,
+  /* 8632 */ 'l', 'w', 'x', 9, 0,
+  /* 8637 */ 'b', 'g', 'e', 'z', 9, 0,
+  /* 8643 */ 'b', 'l', 'e', 'z', 9, 0,
+  /* 8649 */ 'b', 'n', 'e', 'z', 9, 0,
+  /* 8655 */ 's', 'e', 'l', 'n', 'e', 'z', 9, 0,
+  /* 8663 */ 'b', 't', 'n', 'e', 'z', 9, 0,
+  /* 8670 */ 'd', 'c', 'l', 'z', 9, 0,
+  /* 8676 */ 'b', 'e', 'q', 'z', 9, 0,
+  /* 8682 */ 's', 'e', 'l', 'e', 'q', 'z', 9, 0,
+  /* 8690 */ 'b', 't', 'e', 'q', 'z', 9, 0,
+  /* 8697 */ 'b', 'g', 't', 'z', 9, 0,
+  /* 8703 */ 'b', 'l', 't', 'z', 9, 0,
+  /* 8709 */ 'm', 'o', 'v', 'z', 9, 0,
+  /* 8715 */ 's', 'e', 'b', 9, 32, 0,
+  /* 8721 */ 'j', 'r', 'c', 9, 32, 0,
+  /* 8727 */ 's', 'e', 'h', 9, 32, 0,
+  /* 8733 */ 'd', 'd', 'i', 'v', 'u', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
+  /* 8747 */ 'd', 'd', 'i', 'v', 9, '$', 'z', 'e', 'r', 'o', ',', 32, 0,
+  /* 8760 */ 'a', 'd', 'd', 'i', 'u', 9, '$', 's', 'p', ',', 32, 0,
+  /* 8772 */ 'c', 'i', 'n', 's', '3', '2', 32, 0,
+  /* 8780 */ 'e', 'x', 't', 's', '3', '2', 32, 0,
+  /* 8788 */ 's', 'y', 'n', 'c', 32, 0,
+  /* 8794 */ 9, '.', 'w', 'o', 'r', 'd', 32, 0,
+  /* 8802 */ 'd', 'i', 'n', 's', 'm', 32, 0,
+  /* 8809 */ 'd', 'e', 'x', 't', 'm', 32, 0,
+  /* 8816 */ 'c', 'i', 'n', 's', 32, 0,
+  /* 8822 */ 'd', 'i', 'n', 's', 32, 0,
+  /* 8828 */ 'e', 'x', 't', 's', 32, 0,
+  /* 8834 */ 'd', 'e', 'x', 't', 32, 0,
+  /* 8840 */ 'd', 'i', 'n', 's', 'u', 32, 0,
+  /* 8847 */ 'd', 'e', 'x', 't', 'u', 32, 0,
+  /* 8854 */ 'b', 'c', '1', 'n', 'e', 'z', 32, 0,
+  /* 8862 */ 'b', 'c', '2', 'n', 'e', 'z', 32, 0,
+  /* 8870 */ 'b', 'c', '1', 'e', 'q', 'z', 32, 0,
+  /* 8878 */ 'b', 'c', '2', 'e', 'q', 'z', 32, 0,
+  /* 8886 */ 'c', '.', 0,
+  /* 8889 */ 'b', 'r', 'e', 'a', 'k', 32, '0', 0,
+  /* 8897 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 8910 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 8917 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 8927 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 8942 */ 'j', 'r', 'c', 9, 32, '$', 'r', 'a', 0,
+  /* 8951 */ 'j', 'r', 9, 32, '$', 'r', 'a', 0,
+  /* 8959 */ 'e', 'h', 'b', 0,
+  /* 8963 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 8969 */ 't', 'l', 'b', 'w', 'i', 0,
+  /* 8975 */ 'f', 'o', 'o', 0,
+  /* 8979 */ 't', 'l', 'b', 'p', 0,
+  /* 8984 */ 's', 's', 'n', 'o', 'p', 0,
+  /* 8990 */ 't', 'l', 'b', 'r', 0,
+  /* 8995 */ 't', 'l', 'b', 'w', 'r', 0,
+  /* 9001 */ 'd', 'e', 'r', 'e', 't', 0,
+  /* 9007 */ 'w', 'a', 'i', 't', 0,
   };
 #endif
 
@@ -3891,32 +4414,46 @@
   uint64_t Bits = (Bits2 << 32) | Bits1;
   // assert(Bits != 0 && "Cannot print this instruction.");
 #ifndef CAPSTONE_DIET
-  SStream_concat0(O, AsmStrs+(Bits & 8191)-1);
+  SStream_concat0(O, AsmStrs+(Bits & 16383)-1);
 #endif
 
 
-  // Fragment 0 encoded into 3 bits for 5 unique commands.
-  //printf("Frag-0: %"PRIu64"\n", (Bits >> 13) & 7);
-  switch ((Bits >> 13) & 7) {
+  // Fragment 0 encoded into 3 bits for 7 unique commands.
+  //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 7);
+  switch ((Bits >> 14) & 7) {
   default:   // unreachable.
   case 0:
     // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, Break16, CONSTPOOL_EN...
     return;
     break;
   case 1:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
     printOperand(MI, 0, O); 
     break;
   case 2:
-    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1, MTHC1_MM, MTHI_DSP, MTHLIP...
+    // CACHE, CACHE_R6, PREF, PREF_R6
+    printUnsignedImm(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printMemOperand(MI, 0, O); 
+    return;
+    break;
+  case 3:
+    // CTC1, CTC1_MM, DAHI, DATI, DMTC1, MTC1, MTC1_MM, MTHC1_MM, MTHI_DSP, M...
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 3:
+  case 4:
     // FCMP_D32, FCMP_D32_MM, FCMP_D64, FCMP_S32, FCMP_S32_MM
     printFCCOperand(MI, 2, O); 
     break;
-  case 4:
+  case 5:
+    // MTHC1_D32, MTHC1_D64
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    return;
+    break;
+  case 6:
     // SelBeqZ, SelBneZ, SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZ...
     printOperand(MI, 3, O); 
     break;
@@ -3924,11 +4461,11 @@
 
 
   // Fragment 1 encoded into 4 bits for 15 unique commands.
-  //printf("Frag-1: %"PRIu64"\n", (Bits >> 16) & 15);
-  switch ((Bits >> 16) & 15) {
+  //printf("Frag-1: %"PRIu64"\n", (Bits >> 17) & 15);
+  switch ((Bits >> 17) & 15) {
   default:   // unreachable.
   case 0:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
     SStream_concat0(O, ", "); 
     break;
   case 1:
@@ -3943,7 +4480,7 @@
     return;
     break;
   case 3:
-    // AddiuSpImmX16, BPOSGE32, BimmX16, BteqzX16, BtnezX16, Constant32, DI, ...
+    // AddiuSpImmX16, BAL, BALC, BC, BPOSGE32, BimmX16, BteqzX16, BtnezX16, C...
     return;
     break;
   case 4:
@@ -3952,11 +4489,15 @@
     return;
     break;
   case 5:
-    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1, MTHC1_MM, MTHI_DSP, MTHLIP...
+    // CTC1, CTC1_MM, DMTC1, MTC1, MTC1_MM, MTHC1_MM, MTHI_DSP, MTHLIP, MTLO_...
     printOperand(MI, 0, O); 
     return;
     break;
   case 6:
+    // DAHI, DATI, MultRxRyRz16, MultuRxRyRz16, SltCCRxRy16, SltiCCRxImmX16, ...
+    printOperand(MI, 2, O); 
+    break;
+  case 7:
     // FCMP_D32, FCMP_D32_MM, FCMP_D64
     SStream_concat0(O, ".d\t"); 
     printOperand(MI, 0, O); 
@@ -3964,7 +4505,7 @@
     printOperand(MI, 1, O); 
     return;
     break;
-  case 7:
+  case 8:
     // FCMP_S32, FCMP_S32_MM
     SStream_concat0(O, ".s\t"); 
     printOperand(MI, 0, O); 
@@ -3972,33 +4513,29 @@
     printOperand(MI, 1, O); 
     return;
     break;
-  case 8:
+  case 9:
     // INSERT_B, INSERT_D, INSERT_H, INSERT_W, INSVE_B, INSVE_D, INSVE_H, INS...
     SStream_concat0(O, "["); 
     break;
-  case 9:
+  case 10:
     // Jal16
     SStream_concat0(O, "\n\tnop"); 
     return;
     break;
-  case 10:
+  case 11:
     // JalB16
     SStream_concat0(O, "\t# branch\n\tnop"); 
     return;
     break;
-  case 11:
+  case 12:
     // LwConstant32
     SStream_concat0(O, ", 1f\n\tb\t2f\n\t.align\t2\n1: \t.word\t"); 
     printOperand(MI, 1, O); 
     SStream_concat0(O, "\n2:"); 
     return;
     break;
-  case 12:
-    // MultRxRyRz16, MultuRxRyRz16, SltCCRxRy16, SltiCCRxImmX16, SltiuCCRxImm...
-    printOperand(MI, 2, O); 
-    break;
   case 13:
-    // SC, SCD
+    // SC, SCD, SCD_R6, SC_MM, SC_R6
     printMemOperand(MI, 2, O); 
     return;
     break;
@@ -4013,12 +4550,12 @@
   }
 
 
-  // Fragment 2 encoded into 4 bits for 10 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 20) & 15);
-  switch ((Bits >> 20) & 15) {
+  // Fragment 2 encoded into 4 bits for 11 unique commands.
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 21) & 15);
+  switch ((Bits >> 21) & 15) {
   default:   // unreachable.
   case 0:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADD, ADDIUPC, ADDQH_PH, ADDQH_R_PH, AD...
     printOperand(MI, 1, O); 
     break;
   case 1:
@@ -4031,41 +4568,47 @@
     return;
     break;
   case 3:
+    // DAHI, DATI
+    return;
+    break;
+  case 4:
     // INSERT_B, INSERT_D, INSERT_H, INSERT_W
     printUnsignedImm(MI, 3, O); 
     SStream_concat0(O, "], "); 
     printOperand(MI, 2, O); 
     return;
     break;
-  case 4:
+  case 5:
     // INSVE_B, INSVE_D, INSVE_H, INSVE_W
     printUnsignedImm(MI, 2, O); 
     SStream_concat0(O, "], "); 
     printOperand(MI, 3, O); 
-    SStream_concat0(O, "[0]"); 
+    SStream_concat0(O, "["); 
+    printUnsignedImm(MI, 4, O); 
+    SStream_concat0(O, "]"); 
     return;
     break;
-  case 5:
+  case 6:
     // LB, LB64, LB_MM, LBu, LBu64, LBu_MM, LD, LDC1, LDC164, LDC1_MM, LDC2, ...
     printMemOperand(MI, 1, O); 
     return;
     break;
-  case 6:
+  case 7:
     // LUi, LUi64, LUi_MM, LoadAddr32Imm, LoadImm32Reg, RDDSP, REPL_PH, REPL_...
     printUnsignedImm(MI, 1, O); 
     return;
     break;
-  case 7:
+  case 8:
     // MultRxRyRz16, MultuRxRyRz16
     SStream_concat0(O, "\n\tmflo\t"); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 8:
+  case 9:
     // SelTBteqZCmp, SelTBteqZCmpi, SelTBteqZSlt, SelTBteqZSlti, SelTBteqZSlt...
     printOperand(MI, 4, O); 
     break;
-  case 9:
+  case 10:
     // SltCCRxRy16, SltiCCRxImmX16, SltiuCCRxImmX16, SltuCCRxRy16, SltuRxRyRz...
     SStream_concat0(O, "\n\tmove\t"); 
     printOperand(MI, 0, O); 
@@ -4076,11 +4619,11 @@
 
 
   // Fragment 3 encoded into 4 bits for 15 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 24) & 15);
-  switch ((Bits >> 24) & 15) {
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 25) & 15);
+  switch ((Bits >> 25) & 15) {
   default:   // unreachable.
   case 0:
-    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, AddiuRxImmX16, AddiuRxRxImmX16, AndRxR...
+    // ABSQ_S_PH, ABSQ_S_QB, ABSQ_S_W, ADDIUPC, ALUIPC, AUIPC, AddiuRxImmX16,...
     return;
     break;
   case 1:
@@ -4171,8 +4714,8 @@
 
 
   // Fragment 4 encoded into 3 bits for 5 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 28) & 7);
-  switch ((Bits >> 28) & 7) {
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 29) & 7);
+  switch ((Bits >> 29) & 7) {
   default:   // unreachable.
   case 0:
     // ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
@@ -4183,7 +4726,7 @@
     printUnsignedImm8(MI, 2, O); 
     break;
   case 2:
-    // ANDi, ANDi64, ANDi_MM, APPEND, BALIGN, DEXT, DEXTM, DEXTU, DINS, DINSM...
+    // ANDi, ANDi64, ANDi_MM, APPEND, BALIGN, CINS, CINS32, DEXT, DEXTM, DEXT...
     printUnsignedImm(MI, 2, O); 
     break;
   case 3:
@@ -4198,37 +4741,36 @@
 
 
   // Fragment 5 encoded into 2 bits for 3 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 31) & 3);
-  switch ((Bits >> 31) & 3) {
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 32) & 3);
+  switch ((Bits >> 32) & 3) {
   default:   // unreachable.
   case 0:
     // ADD, ADDQH_PH, ADDQH_R_PH, ADDQH_R_W, ADDQH_W, ADDQ_PH, ADDQ_S_PH, ADD...
     return;
     break;
   case 1:
+    // ALIGN, CINS, CINS32, DALIGN, DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, D...
+    SStream_concat0(O, ", "); 
+    break;
+  case 2:
     // COPY_S_B, COPY_S_D, COPY_S_H, COPY_S_W, COPY_U_B, COPY_U_D, COPY_U_H, ...
     SStream_concat0(O, "]"); 
     return;
     break;
-  case 2:
-    // DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, DLSA, EXT, EXT_MM, INS, INS_MM...
-    SStream_concat0(O, ", "); 
-    break;
   }
 
 
   // Fragment 6 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-6: %"PRIu64"\n", (Bits >> 33) & 1);
-  if ((Bits >> 33) & 1) {
-    // DLSA, LSA
-    printUnsignedImm(MI, 3, O); 
-    return;
-  } else {
+  //printf("Frag-6: %"PRIu64"\n", (Bits >> 34) & 1);
+  if ((Bits >> 34) & 1) {
     // DEXT, DEXTM, DEXTU, DINS, DINSM, DINSU, EXT, EXT_MM, INS, INS_MM, MADD...
     printOperand(MI, 3, O); 
     return;
+  } else {
+    // ALIGN, CINS, CINS32, DALIGN, DLSA, DLSA_R6, EXTS, EXTS32, LSA, LSA_R6
+    printUnsignedImm(MI, 3, O); 
+    return;
   }
-
 }
 
 
@@ -4237,7 +4779,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 317 && "Invalid register number!");
+  // assert(RegNo && RegNo < 386 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -4253,142 +4795,153 @@
   /* 44 */ 'f', 'c', 'c', '0', 0,
   /* 49 */ 'f', '0', 0,
   /* 52 */ 'k', '0', 0,
-  /* 55 */ 's', '0', 0,
-  /* 58 */ 't', '0', 0,
-  /* 61 */ 'v', '0', 0,
-  /* 64 */ 'w', '0', 0,
-  /* 67 */ 'f', '1', '1', 0,
-  /* 71 */ 'w', '1', '1', 0,
-  /* 75 */ 'f', '2', '1', 0,
-  /* 79 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '1', 0,
-  /* 92 */ 'w', '2', '1', 0,
-  /* 96 */ 'f', '3', '1', 0,
-  /* 100 */ 'w', '3', '1', 0,
-  /* 104 */ 'a', '1', 0,
-  /* 107 */ 'a', 'c', '1', 0,
-  /* 111 */ 'f', 'c', 'c', '1', 0,
-  /* 116 */ 'f', '1', 0,
-  /* 119 */ 'k', '1', 0,
-  /* 122 */ 's', '1', 0,
-  /* 125 */ 't', '1', 0,
-  /* 128 */ 'v', '1', 0,
-  /* 131 */ 'w', '1', 0,
-  /* 134 */ 'f', '1', '2', 0,
-  /* 138 */ 'w', '1', '2', 0,
-  /* 142 */ 'f', '2', '2', 0,
-  /* 146 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '2', 0,
-  /* 159 */ 'w', '2', '2', 0,
-  /* 163 */ 'a', '2', 0,
-  /* 166 */ 'a', 'c', '2', 0,
-  /* 170 */ 'f', 'c', 'c', '2', 0,
-  /* 175 */ 'f', '2', 0,
-  /* 178 */ 's', '2', 0,
-  /* 181 */ 't', '2', 0,
-  /* 184 */ 'w', '2', 0,
-  /* 187 */ 'f', '1', '3', 0,
-  /* 191 */ 'w', '1', '3', 0,
-  /* 195 */ 'f', '2', '3', 0,
-  /* 199 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '3', 0,
-  /* 212 */ 'w', '2', '3', 0,
-  /* 216 */ 'a', '3', 0,
-  /* 219 */ 'a', 'c', '3', 0,
-  /* 223 */ 'f', 'c', 'c', '3', 0,
-  /* 228 */ 'f', '3', 0,
-  /* 231 */ 's', '3', 0,
-  /* 234 */ 't', '3', 0,
-  /* 237 */ 'w', '3', 0,
-  /* 240 */ 'f', '1', '4', 0,
-  /* 244 */ 'w', '1', '4', 0,
-  /* 248 */ 'f', '2', '4', 0,
-  /* 252 */ 'w', '2', '4', 0,
-  /* 256 */ 'f', 'c', 'c', '4', 0,
-  /* 261 */ 'f', '4', 0,
-  /* 264 */ 's', '4', 0,
-  /* 267 */ 't', '4', 0,
-  /* 270 */ 'w', '4', 0,
-  /* 273 */ 'f', '1', '5', 0,
-  /* 277 */ 'w', '1', '5', 0,
-  /* 281 */ 'f', '2', '5', 0,
-  /* 285 */ 'w', '2', '5', 0,
-  /* 289 */ 'f', 'c', 'c', '5', 0,
-  /* 294 */ 'f', '5', 0,
-  /* 297 */ 's', '5', 0,
-  /* 300 */ 't', '5', 0,
-  /* 303 */ 'w', '5', 0,
-  /* 306 */ 'f', '1', '6', 0,
-  /* 310 */ 'w', '1', '6', 0,
-  /* 314 */ 'f', '2', '6', 0,
-  /* 318 */ 'w', '2', '6', 0,
-  /* 322 */ 'f', 'c', 'c', '6', 0,
-  /* 327 */ 'f', '6', 0,
-  /* 330 */ 's', '6', 0,
-  /* 333 */ 't', '6', 0,
-  /* 336 */ 'w', '6', 0,
-  /* 339 */ 'f', '1', '7', 0,
-  /* 343 */ 'w', '1', '7', 0,
-  /* 347 */ 'f', '2', '7', 0,
-  /* 351 */ 'w', '2', '7', 0,
-  /* 355 */ 'f', 'c', 'c', '7', 0,
-  /* 360 */ 'f', '7', 0,
-  /* 363 */ 's', '7', 0,
-  /* 366 */ 't', '7', 0,
-  /* 369 */ 'w', '7', 0,
-  /* 372 */ 'f', '1', '8', 0,
-  /* 376 */ 'w', '1', '8', 0,
-  /* 380 */ 'f', '2', '8', 0,
-  /* 384 */ 'w', '2', '8', 0,
-  /* 388 */ 'f', '8', 0,
-  /* 391 */ 't', '8', 0,
-  /* 394 */ 'w', '8', 0,
-  /* 397 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '1', '6', '_', '1', '9', 0,
-  /* 413 */ 'f', '1', '9', 0,
-  /* 417 */ 'w', '1', '9', 0,
-  /* 421 */ 'f', '2', '9', 0,
-  /* 425 */ 'w', '2', '9', 0,
-  /* 429 */ 'f', '9', 0,
-  /* 432 */ 't', '9', 0,
-  /* 435 */ 'w', '9', 0,
-  /* 438 */ 'D', 'S', 'P', 'E', 'F', 'I', 0,
-  /* 445 */ 'r', 'a', 0,
-  /* 448 */ 'p', 'c', 0,
-  /* 451 */ 'D', 'S', 'P', 'C', 'C', 'o', 'n', 'd', 0,
-  /* 460 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', 0,
-  /* 471 */ 'h', 'i', 0,
-  /* 474 */ 'l', 'o', 0,
-  /* 477 */ 'z', 'e', 'r', 'o', 0,
-  /* 482 */ 'f', 'p', 0,
-  /* 485 */ 'g', 'p', 0,
-  /* 488 */ 's', 'p', 0,
-  /* 491 */ 'D', 'S', 'P', 'P', 'o', 's', 0,
-  /* 498 */ 'a', 't', 0,
-  /* 501 */ 'D', 'S', 'P', 'S', 'C', 'o', 'u', 'n', 't', 0,
-  /* 511 */ 'D', 'S', 'P', 'C', 'a', 'r', 'r', 'y', 0,
+  /* 55 */ 'm', 'p', 'l', '0', 0,
+  /* 60 */ 'p', '0', 0,
+  /* 63 */ 's', '0', 0,
+  /* 66 */ 't', '0', 0,
+  /* 69 */ 'v', '0', 0,
+  /* 72 */ 'w', '0', 0,
+  /* 75 */ 'f', '1', '1', 0,
+  /* 79 */ 'w', '1', '1', 0,
+  /* 83 */ 'f', '2', '1', 0,
+  /* 87 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '1', 0,
+  /* 100 */ 'w', '2', '1', 0,
+  /* 104 */ 'f', '3', '1', 0,
+  /* 108 */ 'w', '3', '1', 0,
+  /* 112 */ 'a', '1', 0,
+  /* 115 */ 'a', 'c', '1', 0,
+  /* 119 */ 'f', 'c', 'c', '1', 0,
+  /* 124 */ 'f', '1', 0,
+  /* 127 */ 'k', '1', 0,
+  /* 130 */ 'm', 'p', 'l', '1', 0,
+  /* 135 */ 'p', '1', 0,
+  /* 138 */ 's', '1', 0,
+  /* 141 */ 't', '1', 0,
+  /* 144 */ 'v', '1', 0,
+  /* 147 */ 'w', '1', 0,
+  /* 150 */ 'f', '1', '2', 0,
+  /* 154 */ 'w', '1', '2', 0,
+  /* 158 */ 'f', '2', '2', 0,
+  /* 162 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '2', 0,
+  /* 175 */ 'w', '2', '2', 0,
+  /* 179 */ 'a', '2', 0,
+  /* 182 */ 'a', 'c', '2', 0,
+  /* 186 */ 'f', 'c', 'c', '2', 0,
+  /* 191 */ 'f', '2', 0,
+  /* 194 */ 'm', 'p', 'l', '2', 0,
+  /* 199 */ 'p', '2', 0,
+  /* 202 */ 's', '2', 0,
+  /* 205 */ 't', '2', 0,
+  /* 208 */ 'w', '2', 0,
+  /* 211 */ 'f', '1', '3', 0,
+  /* 215 */ 'w', '1', '3', 0,
+  /* 219 */ 'f', '2', '3', 0,
+  /* 223 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '2', '3', 0,
+  /* 236 */ 'w', '2', '3', 0,
+  /* 240 */ 'a', '3', 0,
+  /* 243 */ 'a', 'c', '3', 0,
+  /* 247 */ 'f', 'c', 'c', '3', 0,
+  /* 252 */ 'f', '3', 0,
+  /* 255 */ 's', '3', 0,
+  /* 258 */ 't', '3', 0,
+  /* 261 */ 'w', '3', 0,
+  /* 264 */ 'f', '1', '4', 0,
+  /* 268 */ 'w', '1', '4', 0,
+  /* 272 */ 'f', '2', '4', 0,
+  /* 276 */ 'w', '2', '4', 0,
+  /* 280 */ 'f', 'c', 'c', '4', 0,
+  /* 285 */ 'f', '4', 0,
+  /* 288 */ 's', '4', 0,
+  /* 291 */ 't', '4', 0,
+  /* 294 */ 'w', '4', 0,
+  /* 297 */ 'f', '1', '5', 0,
+  /* 301 */ 'w', '1', '5', 0,
+  /* 305 */ 'f', '2', '5', 0,
+  /* 309 */ 'w', '2', '5', 0,
+  /* 313 */ 'f', 'c', 'c', '5', 0,
+  /* 318 */ 'f', '5', 0,
+  /* 321 */ 's', '5', 0,
+  /* 324 */ 't', '5', 0,
+  /* 327 */ 'w', '5', 0,
+  /* 330 */ 'f', '1', '6', 0,
+  /* 334 */ 'w', '1', '6', 0,
+  /* 338 */ 'f', '2', '6', 0,
+  /* 342 */ 'w', '2', '6', 0,
+  /* 346 */ 'f', 'c', 'c', '6', 0,
+  /* 351 */ 'f', '6', 0,
+  /* 354 */ 's', '6', 0,
+  /* 357 */ 't', '6', 0,
+  /* 360 */ 'w', '6', 0,
+  /* 363 */ 'f', '1', '7', 0,
+  /* 367 */ 'w', '1', '7', 0,
+  /* 371 */ 'f', '2', '7', 0,
+  /* 375 */ 'w', '2', '7', 0,
+  /* 379 */ 'f', 'c', 'c', '7', 0,
+  /* 384 */ 'f', '7', 0,
+  /* 387 */ 's', '7', 0,
+  /* 390 */ 't', '7', 0,
+  /* 393 */ 'w', '7', 0,
+  /* 396 */ 'f', '1', '8', 0,
+  /* 400 */ 'w', '1', '8', 0,
+  /* 404 */ 'f', '2', '8', 0,
+  /* 408 */ 'w', '2', '8', 0,
+  /* 412 */ 'f', '8', 0,
+  /* 415 */ 't', '8', 0,
+  /* 418 */ 'w', '8', 0,
+  /* 421 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', '1', '6', '_', '1', '9', 0,
+  /* 437 */ 'f', '1', '9', 0,
+  /* 441 */ 'w', '1', '9', 0,
+  /* 445 */ 'f', '2', '9', 0,
+  /* 449 */ 'w', '2', '9', 0,
+  /* 453 */ 'f', '9', 0,
+  /* 456 */ 't', '9', 0,
+  /* 459 */ 'w', '9', 0,
+  /* 462 */ 'D', 'S', 'P', 'E', 'F', 'I', 0,
+  /* 469 */ 'r', 'a', 0,
+  /* 472 */ 'p', 'c', 0,
+  /* 475 */ 'D', 'S', 'P', 'C', 'C', 'o', 'n', 'd', 0,
+  /* 484 */ 'D', 'S', 'P', 'O', 'u', 't', 'F', 'l', 'a', 'g', 0,
+  /* 495 */ 'h', 'i', 0,
+  /* 498 */ 'l', 'o', 0,
+  /* 501 */ 'z', 'e', 'r', 'o', 0,
+  /* 506 */ 'f', 'p', 0,
+  /* 509 */ 'g', 'p', 0,
+  /* 512 */ 's', 'p', 0,
+  /* 515 */ 'D', 'S', 'P', 'P', 'o', 's', 0,
+  /* 522 */ 'a', 't', 0,
+  /* 525 */ 'D', 'S', 'P', 'S', 'C', 'o', 'u', 'n', 't', 0,
+  /* 535 */ 'D', 'S', 'P', 'C', 'a', 'r', 'r', 'y', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    498, 451, 511, 438, 460, 491, 501, 482, 485, 136, 69, 2, 308, 242, 
-    275, 189, 341, 448, 445, 488, 477, 37, 104, 163, 216, 40, 107, 166, 
-    219, 498, 2, 69, 136, 189, 242, 275, 308, 341, 374, 411, 1, 68, 
-    135, 188, 241, 274, 307, 340, 373, 410, 9, 76, 143, 196, 249, 282, 
-    315, 348, 381, 422, 30, 97, 49, 175, 261, 327, 388, 0, 134, 240, 
-    306, 372, 8, 142, 248, 314, 380, 29, 12, 79, 146, 199, 49, 116, 
-    175, 228, 261, 294, 327, 360, 388, 429, 0, 67, 134, 187, 240, 273, 
-    306, 339, 372, 413, 8, 75, 142, 195, 248, 281, 314, 347, 380, 421, 
-    29, 96, 44, 111, 170, 223, 256, 289, 322, 355, 2, 69, 136, 189, 
-    242, 275, 308, 341, 374, 411, 1, 68, 135, 188, 241, 274, 307, 340, 
-    373, 410, 9, 76, 143, 196, 249, 282, 315, 348, 381, 422, 30, 97, 
-    482, 49, 116, 175, 228, 261, 294, 327, 360, 388, 429, 0, 67, 134, 
-    187, 240, 273, 306, 339, 372, 413, 8, 75, 142, 195, 248, 281, 314, 
-    347, 380, 421, 29, 96, 485, 40, 107, 166, 219, 422, 52, 119, 40, 
-    107, 166, 219, 445, 55, 122, 178, 231, 264, 297, 330, 363, 488, 58, 
-    125, 181, 234, 267, 300, 333, 366, 391, 432, 61, 128, 64, 131, 184, 
-    237, 270, 303, 336, 369, 394, 435, 4, 71, 138, 191, 244, 277, 310, 
-    343, 376, 417, 25, 92, 159, 212, 252, 285, 318, 351, 384, 425, 33, 
-    100, 477, 37, 104, 163, 216, 40, 49, 116, 175, 228, 261, 294, 327, 
-    360, 388, 429, 0, 67, 134, 187, 240, 273, 306, 339, 372, 413, 8, 
-    75, 142, 195, 248, 281, 314, 347, 380, 421, 29, 96, 397, 471, 52, 
-    119, 474, 55, 122, 178, 231, 264, 297, 330, 363, 58, 125, 181, 234, 
-    267, 300, 333, 366, 391, 432, 61, 128, 
+    522, 475, 535, 462, 484, 515, 525, 506, 509, 152, 77, 2, 332, 266, 
+    299, 213, 365, 472, 469, 512, 501, 37, 112, 179, 240, 40, 115, 182, 
+    243, 522, 2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 2, 77, 
+    152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 265, 298, 
+    331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 405, 446, 
+    30, 105, 1, 76, 151, 212, 265, 298, 331, 364, 397, 434, 9, 84, 
+    159, 220, 273, 306, 339, 372, 405, 446, 30, 105, 49, 191, 285, 351, 
+    412, 0, 150, 264, 330, 396, 8, 158, 272, 338, 404, 29, 12, 87, 
+    162, 223, 49, 124, 191, 252, 285, 318, 351, 384, 412, 453, 0, 75, 
+    150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 219, 272, 305, 
+    338, 371, 404, 445, 29, 104, 44, 119, 186, 247, 280, 313, 346, 379, 
+    2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 
+    265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
+    405, 446, 30, 105, 506, 49, 124, 191, 252, 285, 318, 351, 384, 412, 
+    453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 158, 
+    219, 272, 305, 338, 371, 404, 445, 29, 104, 509, 40, 115, 182, 243, 
+    2, 77, 152, 213, 266, 299, 332, 365, 398, 435, 1, 76, 151, 212, 
+    265, 298, 331, 364, 397, 434, 9, 84, 159, 220, 273, 306, 339, 372, 
+    405, 446, 30, 105, 52, 127, 40, 115, 182, 243, 55, 130, 194, 60, 
+    135, 199, 469, 63, 138, 202, 255, 288, 321, 354, 387, 512, 66, 141, 
+    205, 258, 291, 324, 357, 390, 415, 456, 69, 144, 72, 147, 208, 261, 
+    294, 327, 360, 393, 418, 459, 4, 79, 154, 215, 268, 301, 334, 367, 
+    400, 441, 25, 100, 175, 236, 276, 309, 342, 375, 408, 449, 33, 108, 
+    501, 37, 112, 179, 240, 40, 49, 124, 191, 252, 285, 318, 351, 384, 
+    412, 453, 0, 75, 150, 211, 264, 297, 330, 363, 396, 437, 8, 83, 
+    158, 219, 272, 305, 338, 371, 404, 445, 29, 104, 421, 495, 52, 127, 
+    498, 63, 138, 202, 255, 288, 321, 354, 387, 66, 141, 205, 258, 291, 
+    324, 357, 390, 415, 456, 69, 144, 
   };
 
   //int i;
@@ -4404,11 +4957,17 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
+  int OpIdx, PrintMethodIdx;
   MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
   default: return NULL;
@@ -4424,6 +4983,22 @@
       break;
     }
     return NULL;
+  case Mips_BC1F:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
+      // (BC1F FCC0, brtarget:$offset)
+      AsmString = "bc1f $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_BC1T:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_FCC0) {
+      // (BC1T FCC0, brtarget:$offset)
+      AsmString = "bc1t $\x02";
+      break;
+    }
+    return NULL;
   case Mips_BREAK:
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -4434,6 +5009,13 @@
       AsmString = "break";
       break;
     }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BREAK uimm10:$imm, 0)
+      AsmString = "break $\x01";
+      break;
+    }
     return NULL;
   case Mips_DADDu:
     if (MCInst_getNumOperands(MI) == 3 &&
@@ -4463,6 +5045,54 @@
       break;
     }
     return NULL;
+  case Mips_JALR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
+      // (JALR ZERO, GPR32Opnd:$rs)
+      AsmString = "jr $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_JALR64:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO_64 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(Mips_GPR64RegClassID, 1)) {
+      // (JALR64 ZERO_64, GPR64Opnd:$rs)
+      AsmString = "jr $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_JALR_HB:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_RA &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(Mips_GPR32RegClassID, 1)) {
+      // (JALR_HB RA, GPR32Opnd:$rs)
+      AsmString = "jalr.hb $\x02";
+      break;
+    }
+    return NULL;
+  case Mips_SDBBP:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (SDBBP 0)
+      AsmString = "sdbbp";
+      break;
+    }
+    return NULL;
+  case Mips_SDBBP_R6:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (SDBBP_R6 0)
+      AsmString = "sdbbp";
+      break;
+    }
+    return NULL;
   case Mips_SLL:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == Mips_ZERO &&
@@ -4498,6 +5128,15 @@
       break;
     }
     return NULL;
+  case Mips_SYNC:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (SYNC 0)
+      AsmString = "sync";
+      break;
+    }
+    return NULL;
   case Mips_SYSCALL:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -4585,22 +5224,40 @@
       break;
     }
     return NULL;
+  case Mips_WAIT_MM:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (WAIT_MM 0)
+      AsmString = "wait";
+      break;
+    }
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/Mips/MipsGenDisassemblerTables.inc b/arch/Mips/MipsGenDisassemblerTables.inc
index 1dc3821..d0cf2a4 100644
--- a/arch/Mips/MipsGenDisassemblerTables.inc
+++ b/arch/Mips/MipsGenDisassemblerTables.inc
@@ -24,3829 +24,4465 @@
   return (insn & fieldMask) >> startBit; \
 }
 
+static uint8_t DecoderTableCOP3_32[] = {
+/* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
+/* 3 */       MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 15
+/* 7 */       MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 51
+/* 11 */      MCD_OPC_Decode, 160, 7, 10, // Opcode: LWC3
+/* 15 */      MCD_OPC_FilterValue, 55, 8, 0, // Skip to: 27
+/* 19 */      MCD_OPC_CheckPredicate, 2, 28, 0, // Skip to: 51
+/* 23 */      MCD_OPC_Decode, 238, 6, 10, // Opcode: LDC3
+/* 27 */      MCD_OPC_FilterValue, 59, 8, 0, // Skip to: 39
+/* 31 */      MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 51
+/* 35 */      MCD_OPC_Decode, 145, 12, 10, // Opcode: SWC3
+/* 39 */      MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 51
+/* 43 */      MCD_OPC_CheckPredicate, 2, 4, 0, // Skip to: 51
+/* 47 */      MCD_OPC_Decode, 198, 10, 10, // Opcode: SDC3
+/* 51 */      MCD_OPC_Fail,
+  0
+};
+
 static uint8_t DecoderTableMicroMips32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 23, 3, // Skip to: 798
+/* 3 */       MCD_OPC_FilterValue, 0, 30, 3, // Skip to: 805
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 51, 0, // Skip to: 65
 /* 14 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 17 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 29
-/* 21 */      MCD_OPC_CheckPredicate, 1, 5, 5, // Skip to: 1310
-/* 25 */      MCD_OPC_Decode, 220, 9, 10, // Opcode: SLL_MM
+/* 21 */      MCD_OPC_CheckPredicate, 3, 12, 5, // Skip to: 1317
+/* 25 */      MCD_OPC_Decode, 145, 11, 13, // Opcode: SLL_MM
 /* 29 */      MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 41
-/* 33 */      MCD_OPC_CheckPredicate, 1, 249, 4, // Skip to: 1310
-/* 37 */      MCD_OPC_Decode, 157, 10, 10, // Opcode: SRL_MM
+/* 33 */      MCD_OPC_CheckPredicate, 3, 0, 5, // Skip to: 1317
+/* 37 */      MCD_OPC_Decode, 212, 11, 13, // Opcode: SRL_MM
 /* 41 */      MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 53
-/* 45 */      MCD_OPC_CheckPredicate, 1, 237, 4, // Skip to: 1310
-/* 49 */      MCD_OPC_Decode, 137, 10, 10, // Opcode: SRA_MM
-/* 53 */      MCD_OPC_FilterValue, 3, 229, 4, // Skip to: 1310
-/* 57 */      MCD_OPC_CheckPredicate, 1, 225, 4, // Skip to: 1310
-/* 61 */      MCD_OPC_Decode, 255, 8, 10, // Opcode: ROTR_MM
+/* 45 */      MCD_OPC_CheckPredicate, 3, 244, 4, // Skip to: 1317
+/* 49 */      MCD_OPC_Decode, 192, 11, 13, // Opcode: SRA_MM
+/* 53 */      MCD_OPC_FilterValue, 3, 236, 4, // Skip to: 1317
+/* 57 */      MCD_OPC_CheckPredicate, 3, 232, 4, // Skip to: 1317
+/* 61 */      MCD_OPC_Decode, 162, 10, 13, // Opcode: ROTR_MM
 /* 65 */      MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 77
-/* 69 */      MCD_OPC_CheckPredicate, 1, 213, 4, // Skip to: 1310
-/* 73 */      MCD_OPC_Decode, 222, 1, 11, // Opcode: BREAK_MM
+/* 69 */      MCD_OPC_CheckPredicate, 3, 220, 4, // Skip to: 1317
+/* 73 */      MCD_OPC_Decode, 129, 2, 14, // Opcode: BREAK_MM
 /* 77 */      MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 89
-/* 81 */      MCD_OPC_CheckPredicate, 1, 201, 4, // Skip to: 1310
-/* 85 */      MCD_OPC_Decode, 222, 5, 12, // Opcode: INS_MM
+/* 81 */      MCD_OPC_CheckPredicate, 3, 208, 4, // Skip to: 1317
+/* 85 */      MCD_OPC_Decode, 199, 6, 15, // Opcode: INS_MM
 /* 89 */      MCD_OPC_FilterValue, 16, 180, 0, // Skip to: 273
 /* 93 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 96 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 108
-/* 100 */     MCD_OPC_CheckPredicate, 1, 182, 4, // Skip to: 1310
-/* 104 */     MCD_OPC_Decode, 216, 9, 13, // Opcode: SLLV_MM
+/* 100 */     MCD_OPC_CheckPredicate, 3, 189, 4, // Skip to: 1317
+/* 104 */     MCD_OPC_Decode, 141, 11, 16, // Opcode: SLLV_MM
 /* 108 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 120
-/* 112 */     MCD_OPC_CheckPredicate, 1, 170, 4, // Skip to: 1310
-/* 116 */     MCD_OPC_Decode, 153, 10, 13, // Opcode: SRLV_MM
+/* 112 */     MCD_OPC_CheckPredicate, 3, 177, 4, // Skip to: 1317
+/* 116 */     MCD_OPC_Decode, 208, 11, 16, // Opcode: SRLV_MM
 /* 120 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 132
-/* 124 */     MCD_OPC_CheckPredicate, 1, 158, 4, // Skip to: 1310
-/* 128 */     MCD_OPC_Decode, 133, 10, 13, // Opcode: SRAV_MM
+/* 124 */     MCD_OPC_CheckPredicate, 3, 165, 4, // Skip to: 1317
+/* 128 */     MCD_OPC_Decode, 188, 11, 16, // Opcode: SRAV_MM
 /* 132 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 144
-/* 136 */     MCD_OPC_CheckPredicate, 1, 146, 4, // Skip to: 1310
-/* 140 */     MCD_OPC_Decode, 254, 8, 13, // Opcode: ROTRV_MM
+/* 136 */     MCD_OPC_CheckPredicate, 3, 153, 4, // Skip to: 1317
+/* 140 */     MCD_OPC_Decode, 161, 10, 16, // Opcode: ROTRV_MM
 /* 144 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 155
-/* 148 */     MCD_OPC_CheckPredicate, 1, 134, 4, // Skip to: 1310
-/* 152 */     MCD_OPC_Decode, 62, 14, // Opcode: ADD_MM
+/* 148 */     MCD_OPC_CheckPredicate, 3, 141, 4, // Skip to: 1317
+/* 152 */     MCD_OPC_Decode, 64, 17, // Opcode: ADD_MM
 /* 155 */     MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 166
-/* 159 */     MCD_OPC_CheckPredicate, 1, 123, 4, // Skip to: 1310
-/* 163 */     MCD_OPC_Decode, 68, 14, // Opcode: ADDu_MM
+/* 159 */     MCD_OPC_CheckPredicate, 3, 130, 4, // Skip to: 1317
+/* 163 */     MCD_OPC_Decode, 70, 17, // Opcode: ADDu_MM
 /* 166 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 178
-/* 170 */     MCD_OPC_CheckPredicate, 1, 112, 4, // Skip to: 1310
-/* 174 */     MCD_OPC_Decode, 205, 10, 14, // Opcode: SUB_MM
+/* 170 */     MCD_OPC_CheckPredicate, 3, 119, 4, // Skip to: 1317
+/* 174 */     MCD_OPC_Decode, 133, 12, 17, // Opcode: SUB_MM
 /* 178 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 190
-/* 182 */     MCD_OPC_CheckPredicate, 1, 100, 4, // Skip to: 1310
-/* 186 */     MCD_OPC_Decode, 207, 10, 14, // Opcode: SUBu_MM
+/* 182 */     MCD_OPC_CheckPredicate, 3, 107, 4, // Skip to: 1317
+/* 186 */     MCD_OPC_Decode, 135, 12, 17, // Opcode: SUBu_MM
 /* 190 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 202
-/* 194 */     MCD_OPC_CheckPredicate, 1, 88, 4, // Skip to: 1310
-/* 198 */     MCD_OPC_Decode, 251, 7, 14, // Opcode: MUL_MM
+/* 194 */     MCD_OPC_CheckPredicate, 3, 95, 4, // Skip to: 1317
+/* 198 */     MCD_OPC_Decode, 149, 9, 17, // Opcode: MUL_MM
 /* 202 */     MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 213
-/* 206 */     MCD_OPC_CheckPredicate, 1, 76, 4, // Skip to: 1310
-/* 210 */     MCD_OPC_Decode, 74, 14, // Opcode: AND_MM
+/* 206 */     MCD_OPC_CheckPredicate, 3, 83, 4, // Skip to: 1317
+/* 210 */     MCD_OPC_Decode, 78, 17, // Opcode: AND_MM
 /* 213 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 225
-/* 217 */     MCD_OPC_CheckPredicate, 1, 65, 4, // Skip to: 1310
-/* 221 */     MCD_OPC_Decode, 168, 8, 14, // Opcode: OR_MM
+/* 217 */     MCD_OPC_CheckPredicate, 3, 72, 4, // Skip to: 1317
+/* 221 */     MCD_OPC_Decode, 195, 9, 17, // Opcode: OR_MM
 /* 225 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 237
-/* 229 */     MCD_OPC_CheckPredicate, 1, 53, 4, // Skip to: 1310
-/* 233 */     MCD_OPC_Decode, 158, 8, 14, // Opcode: NOR_MM
+/* 229 */     MCD_OPC_CheckPredicate, 3, 60, 4, // Skip to: 1317
+/* 233 */     MCD_OPC_Decode, 185, 9, 17, // Opcode: NOR_MM
 /* 237 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 249
-/* 241 */     MCD_OPC_CheckPredicate, 1, 41, 4, // Skip to: 1310
-/* 245 */     MCD_OPC_Decode, 195, 11, 14, // Opcode: XOR_MM
+/* 241 */     MCD_OPC_CheckPredicate, 3, 48, 4, // Skip to: 1317
+/* 245 */     MCD_OPC_Decode, 132, 13, 17, // Opcode: XOR_MM
 /* 249 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 261
-/* 253 */     MCD_OPC_CheckPredicate, 1, 29, 4, // Skip to: 1310
-/* 257 */     MCD_OPC_Decode, 224, 9, 14, // Opcode: SLT_MM
-/* 261 */     MCD_OPC_FilterValue, 14, 21, 4, // Skip to: 1310
-/* 265 */     MCD_OPC_CheckPredicate, 1, 17, 4, // Skip to: 1310
-/* 269 */     MCD_OPC_Decode, 233, 9, 14, // Opcode: SLTu_MM
+/* 253 */     MCD_OPC_CheckPredicate, 3, 36, 4, // Skip to: 1317
+/* 257 */     MCD_OPC_Decode, 149, 11, 17, // Opcode: SLT_MM
+/* 261 */     MCD_OPC_FilterValue, 14, 28, 4, // Skip to: 1317
+/* 265 */     MCD_OPC_CheckPredicate, 3, 24, 4, // Skip to: 1317
+/* 269 */     MCD_OPC_Decode, 158, 11, 17, // Opcode: SLTu_MM
 /* 273 */     MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 304
 /* 277 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 280 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 292
-/* 284 */     MCD_OPC_CheckPredicate, 1, 254, 3, // Skip to: 1310
-/* 288 */     MCD_OPC_Decode, 171, 7, 15, // Opcode: MOVN_I_MM
-/* 292 */     MCD_OPC_FilterValue, 1, 246, 3, // Skip to: 1310
-/* 296 */     MCD_OPC_CheckPredicate, 1, 242, 3, // Skip to: 1310
-/* 300 */     MCD_OPC_Decode, 191, 7, 15, // Opcode: MOVZ_I_MM
+/* 284 */     MCD_OPC_CheckPredicate, 3, 5, 4, // Skip to: 1317
+/* 288 */     MCD_OPC_Decode, 185, 8, 18, // Opcode: MOVN_I_MM
+/* 292 */     MCD_OPC_FilterValue, 1, 253, 3, // Skip to: 1317
+/* 296 */     MCD_OPC_CheckPredicate, 3, 249, 3, // Skip to: 1317
+/* 300 */     MCD_OPC_Decode, 205, 8, 18, // Opcode: MOVZ_I_MM
 /* 304 */     MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 316
-/* 308 */     MCD_OPC_CheckPredicate, 1, 230, 3, // Skip to: 1310
-/* 312 */     MCD_OPC_Decode, 142, 4, 16, // Opcode: EXT_MM
-/* 316 */     MCD_OPC_FilterValue, 60, 222, 3, // Skip to: 1310
+/* 308 */     MCD_OPC_CheckPredicate, 3, 237, 3, // Skip to: 1317
+/* 312 */     MCD_OPC_Decode, 241, 4, 19, // Opcode: EXT_MM
+/* 316 */     MCD_OPC_FilterValue, 60, 229, 3, // Skip to: 1317
 /* 320 */     MCD_OPC_ExtractField, 6, 6,  // Inst{11-6} ...
 /* 323 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 335
-/* 327 */     MCD_OPC_CheckPredicate, 1, 211, 3, // Skip to: 1310
-/* 331 */     MCD_OPC_Decode, 152, 11, 17, // Opcode: TEQ_MM
+/* 327 */     MCD_OPC_CheckPredicate, 3, 218, 3, // Skip to: 1317
+/* 331 */     MCD_OPC_Decode, 210, 12, 20, // Opcode: TEQ_MM
 /* 335 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 347
-/* 339 */     MCD_OPC_CheckPredicate, 1, 199, 3, // Skip to: 1310
-/* 343 */     MCD_OPC_Decode, 160, 11, 17, // Opcode: TGE_MM
-/* 347 */     MCD_OPC_FilterValue, 13, 39, 0, // Skip to: 390
-/* 351 */     MCD_OPC_ExtractField, 12, 14,  // Inst{25-12} ...
+/* 339 */     MCD_OPC_CheckPredicate, 3, 206, 3, // Skip to: 1317
+/* 343 */     MCD_OPC_Decode, 218, 12, 20, // Opcode: TGE_MM
+/* 347 */     MCD_OPC_FilterValue, 13, 51, 0, // Skip to: 402
+/* 351 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
 /* 354 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 366
-/* 358 */     MCD_OPC_CheckPredicate, 1, 180, 3, // Skip to: 1310
-/* 362 */     MCD_OPC_Decode, 188, 11, 0, // Opcode: WAIT_MM
-/* 366 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 378
-/* 370 */     MCD_OPC_CheckPredicate, 1, 168, 3, // Skip to: 1310
-/* 374 */     MCD_OPC_Decode, 173, 3, 0, // Opcode: DERET_MM
-/* 378 */     MCD_OPC_FilterValue, 15, 160, 3, // Skip to: 1310
-/* 382 */     MCD_OPC_CheckPredicate, 1, 156, 3, // Skip to: 1310
-/* 386 */     MCD_OPC_Decode, 128, 4, 0, // Opcode: ERET_MM
-/* 390 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 402
-/* 394 */     MCD_OPC_CheckPredicate, 1, 144, 3, // Skip to: 1310
-/* 398 */     MCD_OPC_Decode, 159, 11, 17, // Opcode: TGEU_MM
-/* 402 */     MCD_OPC_FilterValue, 29, 39, 0, // Skip to: 445
-/* 406 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 409 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 427
-/* 413 */     MCD_OPC_CheckPredicate, 1, 125, 3, // Skip to: 1310
-/* 417 */     MCD_OPC_CheckField, 21, 5, 0, 119, 3, // Skip to: 1310
-/* 423 */     MCD_OPC_Decode, 189, 3, 18, // Opcode: DI_MM
-/* 427 */     MCD_OPC_FilterValue, 5, 111, 3, // Skip to: 1310
-/* 431 */     MCD_OPC_CheckPredicate, 1, 107, 3, // Skip to: 1310
-/* 435 */     MCD_OPC_CheckField, 21, 5, 0, 101, 3, // Skip to: 1310
-/* 441 */     MCD_OPC_Decode, 254, 3, 18, // Opcode: EI_MM
-/* 445 */     MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 457
-/* 449 */     MCD_OPC_CheckPredicate, 1, 89, 3, // Skip to: 1310
-/* 453 */     MCD_OPC_Decode, 167, 11, 17, // Opcode: TLT_MM
-/* 457 */     MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 469
-/* 461 */     MCD_OPC_CheckPredicate, 1, 77, 3, // Skip to: 1310
-/* 465 */     MCD_OPC_Decode, 166, 11, 17, // Opcode: TLTU_MM
-/* 469 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 487
-/* 473 */     MCD_OPC_CheckPredicate, 1, 65, 3, // Skip to: 1310
-/* 477 */     MCD_OPC_CheckField, 12, 4, 8, 59, 3, // Skip to: 1310
-/* 483 */     MCD_OPC_Decode, 228, 10, 19, // Opcode: SYSCALL_MM
-/* 487 */     MCD_OPC_FilterValue, 44, 159, 0, // Skip to: 650
-/* 491 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 494 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 506
-/* 498 */     MCD_OPC_CheckPredicate, 1, 40, 3, // Skip to: 1310
-/* 502 */     MCD_OPC_Decode, 166, 9, 20, // Opcode: SEB_MM
-/* 506 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 518
-/* 510 */     MCD_OPC_CheckPredicate, 1, 28, 3, // Skip to: 1310
-/* 514 */     MCD_OPC_Decode, 169, 9, 20, // Opcode: SEH_MM
-/* 518 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 530
-/* 522 */     MCD_OPC_CheckPredicate, 1, 16, 3, // Skip to: 1310
-/* 526 */     MCD_OPC_Decode, 175, 2, 20, // Opcode: CLO_MM
-/* 530 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 542
-/* 534 */     MCD_OPC_CheckPredicate, 1, 4, 3, // Skip to: 1310
-/* 538 */     MCD_OPC_Decode, 193, 2, 20, // Opcode: CLZ_MM
-/* 542 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 554
-/* 546 */     MCD_OPC_CheckPredicate, 1, 248, 2, // Skip to: 1310
-/* 550 */     MCD_OPC_Decode, 191, 11, 20, // Opcode: WSBH_MM
-/* 554 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 566
-/* 558 */     MCD_OPC_CheckPredicate, 1, 236, 2, // Skip to: 1310
-/* 562 */     MCD_OPC_Decode, 244, 7, 21, // Opcode: MULT_MM
-/* 566 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 578
-/* 570 */     MCD_OPC_CheckPredicate, 1, 224, 2, // Skip to: 1310
-/* 574 */     MCD_OPC_Decode, 246, 7, 21, // Opcode: MULTu_MM
-/* 578 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 590
-/* 582 */     MCD_OPC_CheckPredicate, 1, 212, 2, // Skip to: 1310
-/* 586 */     MCD_OPC_Decode, 159, 9, 21, // Opcode: SDIV_MM
-/* 590 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 602
-/* 594 */     MCD_OPC_CheckPredicate, 1, 200, 2, // Skip to: 1310
-/* 598 */     MCD_OPC_Decode, 182, 11, 21, // Opcode: UDIV_MM
-/* 602 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 614
-/* 606 */     MCD_OPC_CheckPredicate, 1, 188, 2, // Skip to: 1310
-/* 610 */     MCD_OPC_Decode, 207, 6, 21, // Opcode: MADD_MM
-/* 614 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 626
-/* 618 */     MCD_OPC_CheckPredicate, 1, 176, 2, // Skip to: 1310
-/* 622 */     MCD_OPC_Decode, 198, 6, 21, // Opcode: MADDU_MM
-/* 626 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 638
-/* 630 */     MCD_OPC_CheckPredicate, 1, 164, 2, // Skip to: 1310
-/* 634 */     MCD_OPC_Decode, 208, 7, 21, // Opcode: MSUB_MM
-/* 638 */     MCD_OPC_FilterValue, 15, 156, 2, // Skip to: 1310
-/* 642 */     MCD_OPC_CheckPredicate, 1, 152, 2, // Skip to: 1310
-/* 646 */     MCD_OPC_Decode, 199, 7, 21, // Opcode: MSUBU_MM
-/* 650 */     MCD_OPC_FilterValue, 45, 20, 0, // Skip to: 674
-/* 654 */     MCD_OPC_CheckPredicate, 1, 140, 2, // Skip to: 1310
-/* 658 */     MCD_OPC_CheckField, 21, 5, 0, 134, 2, // Skip to: 1310
-/* 664 */     MCD_OPC_CheckField, 12, 4, 6, 128, 2, // Skip to: 1310
-/* 670 */     MCD_OPC_Decode, 226, 10, 22, // Opcode: SYNC_MM
-/* 674 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 686
-/* 678 */     MCD_OPC_CheckPredicate, 1, 116, 2, // Skip to: 1310
-/* 682 */     MCD_OPC_Decode, 171, 11, 17, // Opcode: TNE_MM
-/* 686 */     MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 765
-/* 690 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 693 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 711
-/* 697 */     MCD_OPC_CheckPredicate, 1, 97, 2, // Skip to: 1310
-/* 701 */     MCD_OPC_CheckField, 21, 5, 0, 91, 2, // Skip to: 1310
-/* 707 */     MCD_OPC_Decode, 245, 6, 18, // Opcode: MFHI_MM
-/* 711 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 729
-/* 715 */     MCD_OPC_CheckPredicate, 1, 79, 2, // Skip to: 1310
-/* 719 */     MCD_OPC_CheckField, 21, 5, 0, 73, 2, // Skip to: 1310
-/* 725 */     MCD_OPC_Decode, 249, 6, 18, // Opcode: MFLO_MM
-/* 729 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 747
-/* 733 */     MCD_OPC_CheckPredicate, 1, 61, 2, // Skip to: 1310
-/* 737 */     MCD_OPC_CheckField, 21, 5, 0, 55, 2, // Skip to: 1310
-/* 743 */     MCD_OPC_Decode, 222, 7, 18, // Opcode: MTHI_MM
-/* 747 */     MCD_OPC_FilterValue, 3, 47, 2, // Skip to: 1310
-/* 751 */     MCD_OPC_CheckPredicate, 1, 43, 2, // Skip to: 1310
-/* 755 */     MCD_OPC_CheckField, 21, 5, 0, 37, 2, // Skip to: 1310
-/* 761 */     MCD_OPC_Decode, 227, 7, 18, // Opcode: MTLO_MM
-/* 765 */     MCD_OPC_FilterValue, 60, 29, 2, // Skip to: 1310
-/* 769 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 772 */     MCD_OPC_FilterValue, 0, 22, 2, // Skip to: 1310
-/* 776 */     MCD_OPC_CheckPredicate, 1, 10, 0, // Skip to: 790
-/* 780 */     MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 790
-/* 786 */     MCD_OPC_Decode, 233, 5, 18, // Opcode: JR_MM
-/* 790 */     MCD_OPC_CheckPredicate, 1, 4, 2, // Skip to: 1310
-/* 794 */     MCD_OPC_Decode, 229, 5, 20, // Opcode: JALR_MM
-/* 798 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 809
-/* 802 */     MCD_OPC_CheckPredicate, 1, 248, 1, // Skip to: 1310
-/* 806 */     MCD_OPC_Decode, 64, 23, // Opcode: ADDi_MM
-/* 809 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 821
-/* 813 */     MCD_OPC_CheckPredicate, 1, 237, 1, // Skip to: 1310
-/* 817 */     MCD_OPC_Decode, 247, 5, 24, // Opcode: LBu_MM
-/* 821 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 833
-/* 825 */     MCD_OPC_CheckPredicate, 1, 225, 1, // Skip to: 1310
-/* 829 */     MCD_OPC_Decode, 149, 9, 24, // Opcode: SB_MM
-/* 833 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 845
-/* 837 */     MCD_OPC_CheckPredicate, 1, 213, 1, // Skip to: 1310
-/* 841 */     MCD_OPC_Decode, 244, 5, 24, // Opcode: LB_MM
-/* 845 */     MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 856
-/* 849 */     MCD_OPC_CheckPredicate, 1, 201, 1, // Skip to: 1310
-/* 853 */     MCD_OPC_Decode, 66, 23, // Opcode: ADDiu_MM
-/* 856 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 868
-/* 860 */     MCD_OPC_CheckPredicate, 1, 190, 1, // Skip to: 1310
-/* 864 */     MCD_OPC_Decode, 146, 6, 24, // Opcode: LHu_MM
-/* 868 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 880
-/* 872 */     MCD_OPC_CheckPredicate, 1, 178, 1, // Skip to: 1310
-/* 876 */     MCD_OPC_Decode, 199, 9, 24, // Opcode: SH_MM
-/* 880 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 892
-/* 884 */     MCD_OPC_CheckPredicate, 1, 166, 1, // Skip to: 1310
-/* 888 */     MCD_OPC_Decode, 143, 6, 24, // Opcode: LH_MM
-/* 892 */     MCD_OPC_FilterValue, 16, 159, 0, // Skip to: 1055
-/* 896 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 899 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 911
-/* 903 */     MCD_OPC_CheckPredicate, 1, 147, 1, // Skip to: 1310
-/* 907 */     MCD_OPC_Decode, 198, 1, 25, // Opcode: BLTZ_MM
-/* 911 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 923
-/* 915 */     MCD_OPC_CheckPredicate, 1, 135, 1, // Skip to: 1310
-/* 919 */     MCD_OPC_Decode, 197, 1, 25, // Opcode: BLTZAL_MM
-/* 923 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 935
-/* 927 */     MCD_OPC_CheckPredicate, 1, 123, 1, // Skip to: 1310
-/* 931 */     MCD_OPC_Decode, 170, 1, 25, // Opcode: BGEZ_MM
-/* 935 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 947
-/* 939 */     MCD_OPC_CheckPredicate, 1, 111, 1, // Skip to: 1310
-/* 943 */     MCD_OPC_Decode, 169, 1, 25, // Opcode: BGEZAL_MM
-/* 947 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 959
-/* 951 */     MCD_OPC_CheckPredicate, 1, 99, 1, // Skip to: 1310
-/* 955 */     MCD_OPC_Decode, 193, 1, 25, // Opcode: BLEZ_MM
-/* 959 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 971
-/* 963 */     MCD_OPC_CheckPredicate, 1, 87, 1, // Skip to: 1310
-/* 967 */     MCD_OPC_Decode, 173, 1, 25, // Opcode: BGTZ_MM
-/* 971 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 983
-/* 975 */     MCD_OPC_CheckPredicate, 1, 75, 1, // Skip to: 1310
-/* 979 */     MCD_OPC_Decode, 164, 11, 26, // Opcode: TLTI_MM
-/* 983 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 995
-/* 987 */     MCD_OPC_CheckPredicate, 1, 63, 1, // Skip to: 1310
-/* 991 */     MCD_OPC_Decode, 157, 11, 26, // Opcode: TGEI_MM
-/* 995 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1007
-/* 999 */     MCD_OPC_CheckPredicate, 1, 51, 1, // Skip to: 1310
-/* 1003 */    MCD_OPC_Decode, 163, 11, 26, // Opcode: TLTIU_MM
-/* 1007 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1019
-/* 1011 */    MCD_OPC_CheckPredicate, 1, 39, 1, // Skip to: 1310
-/* 1015 */    MCD_OPC_Decode, 156, 11, 26, // Opcode: TGEIU_MM
-/* 1019 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1031
-/* 1023 */    MCD_OPC_CheckPredicate, 1, 27, 1, // Skip to: 1310
-/* 1027 */    MCD_OPC_Decode, 170, 11, 26, // Opcode: TNEI_MM
-/* 1031 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1043
-/* 1035 */    MCD_OPC_CheckPredicate, 1, 15, 1, // Skip to: 1310
-/* 1039 */    MCD_OPC_Decode, 160, 6, 26, // Opcode: LUi_MM
-/* 1043 */    MCD_OPC_FilterValue, 14, 7, 1, // Skip to: 1310
-/* 1047 */    MCD_OPC_CheckPredicate, 1, 3, 1, // Skip to: 1310
-/* 1051 */    MCD_OPC_Decode, 151, 11, 26, // Opcode: TEQI_MM
-/* 1055 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 1067
-/* 1059 */    MCD_OPC_CheckPredicate, 1, 247, 0, // Skip to: 1310
-/* 1063 */    MCD_OPC_Decode, 175, 8, 27, // Opcode: ORi_MM
-/* 1067 */    MCD_OPC_FilterValue, 21, 29, 0, // Skip to: 1100
-/* 1071 */    MCD_OPC_ExtractField, 0, 13,  // Inst{12-0} ...
-/* 1074 */    MCD_OPC_FilterValue, 251, 2, 8, 0, // Skip to: 1087
-/* 1079 */    MCD_OPC_CheckPredicate, 1, 227, 0, // Skip to: 1310
-/* 1083 */    MCD_OPC_Decode, 159, 7, 28, // Opcode: MOVF_I_MM
-/* 1087 */    MCD_OPC_FilterValue, 251, 18, 218, 0, // Skip to: 1310
-/* 1092 */    MCD_OPC_CheckPredicate, 1, 214, 0, // Skip to: 1310
-/* 1096 */    MCD_OPC_Decode, 179, 7, 28, // Opcode: MOVT_I_MM
-/* 1100 */    MCD_OPC_FilterValue, 24, 87, 0, // Skip to: 1191
-/* 1104 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 1107 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1119
-/* 1111 */    MCD_OPC_CheckPredicate, 1, 195, 0, // Skip to: 1310
-/* 1115 */    MCD_OPC_Decode, 168, 6, 29, // Opcode: LWL_MM
-/* 1119 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1131
-/* 1123 */    MCD_OPC_CheckPredicate, 1, 183, 0, // Skip to: 1310
-/* 1127 */    MCD_OPC_Decode, 171, 6, 29, // Opcode: LWR_MM
-/* 1131 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1143
-/* 1135 */    MCD_OPC_CheckPredicate, 1, 171, 0, // Skip to: 1310
-/* 1139 */    MCD_OPC_Decode, 149, 6, 29, // Opcode: LL_MM
-/* 1143 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1155
-/* 1147 */    MCD_OPC_CheckPredicate, 1, 159, 0, // Skip to: 1310
-/* 1151 */    MCD_OPC_Decode, 218, 10, 29, // Opcode: SWL_MM
-/* 1155 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1167
-/* 1159 */    MCD_OPC_CheckPredicate, 1, 147, 0, // Skip to: 1310
-/* 1163 */    MCD_OPC_Decode, 221, 10, 29, // Opcode: SWR_MM
-/* 1167 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1179
-/* 1171 */    MCD_OPC_CheckPredicate, 1, 135, 0, // Skip to: 1310
-/* 1175 */    MCD_OPC_Decode, 152, 9, 29, // Opcode: SC_MM
-/* 1179 */    MCD_OPC_FilterValue, 14, 127, 0, // Skip to: 1310
-/* 1183 */    MCD_OPC_CheckPredicate, 1, 123, 0, // Skip to: 1310
-/* 1187 */    MCD_OPC_Decode, 172, 6, 29, // Opcode: LWU_MM
-/* 1191 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1203
-/* 1195 */    MCD_OPC_CheckPredicate, 1, 111, 0, // Skip to: 1310
-/* 1199 */    MCD_OPC_Decode, 202, 11, 27, // Opcode: XORi_MM
-/* 1203 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 1215
-/* 1207 */    MCD_OPC_CheckPredicate, 1, 99, 0, // Skip to: 1310
-/* 1211 */    MCD_OPC_Decode, 227, 9, 23, // Opcode: SLTi_MM
-/* 1215 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 1227
-/* 1219 */    MCD_OPC_CheckPredicate, 1, 87, 0, // Skip to: 1310
-/* 1223 */    MCD_OPC_Decode, 165, 1, 30, // Opcode: BEQ_MM
-/* 1227 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 1239
-/* 1231 */    MCD_OPC_CheckPredicate, 1, 75, 0, // Skip to: 1310
-/* 1235 */    MCD_OPC_Decode, 230, 9, 23, // Opcode: SLTiu_MM
-/* 1239 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 1251
-/* 1243 */    MCD_OPC_CheckPredicate, 1, 63, 0, // Skip to: 1310
-/* 1247 */    MCD_OPC_Decode, 213, 1, 30, // Opcode: BNE_MM
-/* 1251 */    MCD_OPC_FilterValue, 52, 7, 0, // Skip to: 1262
-/* 1255 */    MCD_OPC_CheckPredicate, 1, 51, 0, // Skip to: 1310
-/* 1259 */    MCD_OPC_Decode, 81, 27, // Opcode: ANDi_MM
-/* 1262 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 1274
-/* 1266 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 1310
-/* 1270 */    MCD_OPC_Decode, 234, 5, 31, // Opcode: J_MM
-/* 1274 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 1286
-/* 1278 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 1310
-/* 1282 */    MCD_OPC_Decode, 230, 5, 31, // Opcode: JAL_MM
-/* 1286 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 1298
-/* 1290 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 1310
-/* 1294 */    MCD_OPC_Decode, 224, 10, 24, // Opcode: SW_MM
-/* 1298 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 1310
-/* 1302 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 1310
-/* 1306 */    MCD_OPC_Decode, 176, 6, 24, // Opcode: LW_MM
-/* 1310 */    MCD_OPC_Fail,
+/* 358 */     MCD_OPC_CheckPredicate, 3, 187, 3, // Skip to: 1317
+/* 362 */     MCD_OPC_Decode, 253, 12, 21, // Opcode: WAIT_MM
+/* 366 */     MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 384
+/* 370 */     MCD_OPC_CheckPredicate, 3, 175, 3, // Skip to: 1317
+/* 374 */     MCD_OPC_CheckField, 16, 10, 0, 169, 3, // Skip to: 1317
+/* 380 */     MCD_OPC_Decode, 129, 4, 0, // Opcode: DERET_MM
+/* 384 */     MCD_OPC_FilterValue, 15, 161, 3, // Skip to: 1317
+/* 388 */     MCD_OPC_CheckPredicate, 3, 157, 3, // Skip to: 1317
+/* 392 */     MCD_OPC_CheckField, 16, 10, 0, 151, 3, // Skip to: 1317
+/* 398 */     MCD_OPC_Decode, 225, 4, 0, // Opcode: ERET_MM
+/* 402 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 414
+/* 406 */     MCD_OPC_CheckPredicate, 3, 139, 3, // Skip to: 1317
+/* 410 */     MCD_OPC_Decode, 217, 12, 20, // Opcode: TGEU_MM
+/* 414 */     MCD_OPC_FilterValue, 29, 39, 0, // Skip to: 457
+/* 418 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 421 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 439
+/* 425 */     MCD_OPC_CheckPredicate, 3, 120, 3, // Skip to: 1317
+/* 429 */     MCD_OPC_CheckField, 21, 5, 0, 114, 3, // Skip to: 1317
+/* 435 */     MCD_OPC_Decode, 147, 4, 22, // Opcode: DI_MM
+/* 439 */     MCD_OPC_FilterValue, 5, 106, 3, // Skip to: 1317
+/* 443 */     MCD_OPC_CheckPredicate, 3, 102, 3, // Skip to: 1317
+/* 447 */     MCD_OPC_CheckField, 21, 5, 0, 96, 3, // Skip to: 1317
+/* 453 */     MCD_OPC_Decode, 223, 4, 22, // Opcode: EI_MM
+/* 457 */     MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 469
+/* 461 */     MCD_OPC_CheckPredicate, 3, 84, 3, // Skip to: 1317
+/* 465 */     MCD_OPC_Decode, 229, 12, 20, // Opcode: TLT_MM
+/* 469 */     MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 481
+/* 473 */     MCD_OPC_CheckPredicate, 3, 72, 3, // Skip to: 1317
+/* 477 */     MCD_OPC_Decode, 228, 12, 20, // Opcode: TLTU_MM
+/* 481 */     MCD_OPC_FilterValue, 44, 159, 0, // Skip to: 644
+/* 485 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 488 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 500
+/* 492 */     MCD_OPC_CheckPredicate, 3, 53, 3, // Skip to: 1317
+/* 496 */     MCD_OPC_Decode, 207, 10, 23, // Opcode: SEB_MM
+/* 500 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 512
+/* 504 */     MCD_OPC_CheckPredicate, 3, 41, 3, // Skip to: 1317
+/* 508 */     MCD_OPC_Decode, 210, 10, 23, // Opcode: SEH_MM
+/* 512 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 524
+/* 516 */     MCD_OPC_CheckPredicate, 3, 29, 3, // Skip to: 1317
+/* 520 */     MCD_OPC_Decode, 216, 2, 23, // Opcode: CLO_MM
+/* 524 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 536
+/* 528 */     MCD_OPC_CheckPredicate, 3, 17, 3, // Skip to: 1317
+/* 532 */     MCD_OPC_Decode, 235, 2, 23, // Opcode: CLZ_MM
+/* 536 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 548
+/* 540 */     MCD_OPC_CheckPredicate, 3, 5, 3, // Skip to: 1317
+/* 544 */     MCD_OPC_Decode, 128, 13, 23, // Opcode: WSBH_MM
+/* 548 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 560
+/* 552 */     MCD_OPC_CheckPredicate, 3, 249, 2, // Skip to: 1317
+/* 556 */     MCD_OPC_Decode, 141, 9, 24, // Opcode: MULT_MM
+/* 560 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 572
+/* 564 */     MCD_OPC_CheckPredicate, 3, 237, 2, // Skip to: 1317
+/* 568 */     MCD_OPC_Decode, 143, 9, 24, // Opcode: MULTu_MM
+/* 572 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 584
+/* 576 */     MCD_OPC_CheckPredicate, 3, 225, 2, // Skip to: 1317
+/* 580 */     MCD_OPC_Decode, 200, 10, 24, // Opcode: SDIV_MM
+/* 584 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 596
+/* 588 */     MCD_OPC_CheckPredicate, 3, 213, 2, // Skip to: 1317
+/* 592 */     MCD_OPC_Decode, 244, 12, 24, // Opcode: UDIV_MM
+/* 596 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 608
+/* 600 */     MCD_OPC_CheckPredicate, 3, 201, 2, // Skip to: 1317
+/* 604 */     MCD_OPC_Decode, 207, 7, 24, // Opcode: MADD_MM
+/* 608 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 620
+/* 612 */     MCD_OPC_CheckPredicate, 3, 189, 2, // Skip to: 1317
+/* 616 */     MCD_OPC_Decode, 198, 7, 24, // Opcode: MADDU_MM
+/* 620 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 632
+/* 624 */     MCD_OPC_CheckPredicate, 3, 177, 2, // Skip to: 1317
+/* 628 */     MCD_OPC_Decode, 224, 8, 24, // Opcode: MSUB_MM
+/* 632 */     MCD_OPC_FilterValue, 15, 169, 2, // Skip to: 1317
+/* 636 */     MCD_OPC_CheckPredicate, 3, 165, 2, // Skip to: 1317
+/* 640 */     MCD_OPC_Decode, 215, 8, 24, // Opcode: MSUBU_MM
+/* 644 */     MCD_OPC_FilterValue, 45, 33, 0, // Skip to: 681
+/* 648 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 651 */     MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 669
+/* 655 */     MCD_OPC_CheckPredicate, 3, 146, 2, // Skip to: 1317
+/* 659 */     MCD_OPC_CheckField, 21, 5, 0, 140, 2, // Skip to: 1317
+/* 665 */     MCD_OPC_Decode, 156, 12, 25, // Opcode: SYNC_MM
+/* 669 */     MCD_OPC_FilterValue, 8, 132, 2, // Skip to: 1317
+/* 673 */     MCD_OPC_CheckPredicate, 3, 128, 2, // Skip to: 1317
+/* 677 */     MCD_OPC_Decode, 158, 12, 21, // Opcode: SYSCALL_MM
+/* 681 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 693
+/* 685 */     MCD_OPC_CheckPredicate, 3, 116, 2, // Skip to: 1317
+/* 689 */     MCD_OPC_Decode, 233, 12, 20, // Opcode: TNE_MM
+/* 693 */     MCD_OPC_FilterValue, 53, 75, 0, // Skip to: 772
+/* 697 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 700 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 718
+/* 704 */     MCD_OPC_CheckPredicate, 3, 97, 2, // Skip to: 1317
+/* 708 */     MCD_OPC_CheckField, 21, 5, 0, 91, 2, // Skip to: 1317
+/* 714 */     MCD_OPC_Decode, 251, 7, 22, // Opcode: MFHI_MM
+/* 718 */     MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 736
+/* 722 */     MCD_OPC_CheckPredicate, 3, 79, 2, // Skip to: 1317
+/* 726 */     MCD_OPC_CheckField, 21, 5, 0, 73, 2, // Skip to: 1317
+/* 732 */     MCD_OPC_Decode, 128, 8, 22, // Opcode: MFLO_MM
+/* 736 */     MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 754
+/* 740 */     MCD_OPC_CheckPredicate, 3, 61, 2, // Skip to: 1317
+/* 744 */     MCD_OPC_CheckField, 21, 5, 0, 55, 2, // Skip to: 1317
+/* 750 */     MCD_OPC_Decode, 239, 8, 22, // Opcode: MTHI_MM
+/* 754 */     MCD_OPC_FilterValue, 3, 47, 2, // Skip to: 1317
+/* 758 */     MCD_OPC_CheckPredicate, 3, 43, 2, // Skip to: 1317
+/* 762 */     MCD_OPC_CheckField, 21, 5, 0, 37, 2, // Skip to: 1317
+/* 768 */     MCD_OPC_Decode, 244, 8, 22, // Opcode: MTLO_MM
+/* 772 */     MCD_OPC_FilterValue, 60, 29, 2, // Skip to: 1317
+/* 776 */     MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 779 */     MCD_OPC_FilterValue, 0, 22, 2, // Skip to: 1317
+/* 783 */     MCD_OPC_CheckPredicate, 3, 10, 0, // Skip to: 797
+/* 787 */     MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 797
+/* 793 */     MCD_OPC_Decode, 217, 6, 22, // Opcode: JR_MM
+/* 797 */     MCD_OPC_CheckPredicate, 3, 4, 2, // Skip to: 1317
+/* 801 */     MCD_OPC_Decode, 208, 6, 23, // Opcode: JALR_MM
+/* 805 */     MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 816
+/* 809 */     MCD_OPC_CheckPredicate, 3, 248, 1, // Skip to: 1317
+/* 813 */     MCD_OPC_Decode, 66, 26, // Opcode: ADDi_MM
+/* 816 */     MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 828
+/* 820 */     MCD_OPC_CheckPredicate, 3, 237, 1, // Skip to: 1317
+/* 824 */     MCD_OPC_Decode, 231, 6, 27, // Opcode: LBu_MM
+/* 828 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 840
+/* 832 */     MCD_OPC_CheckPredicate, 3, 225, 1, // Skip to: 1317
+/* 836 */     MCD_OPC_Decode, 184, 10, 27, // Opcode: SB_MM
+/* 840 */     MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 852
+/* 844 */     MCD_OPC_CheckPredicate, 3, 213, 1, // Skip to: 1317
+/* 848 */     MCD_OPC_Decode, 228, 6, 27, // Opcode: LB_MM
+/* 852 */     MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 863
+/* 856 */     MCD_OPC_CheckPredicate, 3, 201, 1, // Skip to: 1317
+/* 860 */     MCD_OPC_Decode, 68, 26, // Opcode: ADDiu_MM
+/* 863 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 875
+/* 867 */     MCD_OPC_CheckPredicate, 3, 190, 1, // Skip to: 1317
+/* 871 */     MCD_OPC_Decode, 133, 7, 27, // Opcode: LHu_MM
+/* 875 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 887
+/* 879 */     MCD_OPC_CheckPredicate, 3, 178, 1, // Skip to: 1317
+/* 883 */     MCD_OPC_Decode, 252, 10, 27, // Opcode: SH_MM
+/* 887 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 899
+/* 891 */     MCD_OPC_CheckPredicate, 3, 166, 1, // Skip to: 1317
+/* 895 */     MCD_OPC_Decode, 130, 7, 27, // Opcode: LH_MM
+/* 899 */     MCD_OPC_FilterValue, 16, 159, 0, // Skip to: 1062
+/* 903 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 906 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 918
+/* 910 */     MCD_OPC_CheckPredicate, 3, 147, 1, // Skip to: 1317
+/* 914 */     MCD_OPC_Decode, 228, 1, 28, // Opcode: BLTZ_MM
+/* 918 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 930
+/* 922 */     MCD_OPC_CheckPredicate, 3, 135, 1, // Skip to: 1317
+/* 926 */     MCD_OPC_Decode, 226, 1, 28, // Opcode: BLTZAL_MM
+/* 930 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 942
+/* 934 */     MCD_OPC_CheckPredicate, 3, 123, 1, // Skip to: 1317
+/* 938 */     MCD_OPC_Decode, 191, 1, 28, // Opcode: BGEZ_MM
+/* 942 */     MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 954
+/* 946 */     MCD_OPC_CheckPredicate, 3, 111, 1, // Skip to: 1317
+/* 950 */     MCD_OPC_Decode, 189, 1, 28, // Opcode: BGEZAL_MM
+/* 954 */     MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 966
+/* 958 */     MCD_OPC_CheckPredicate, 3, 99, 1, // Skip to: 1317
+/* 962 */     MCD_OPC_Decode, 219, 1, 28, // Opcode: BLEZ_MM
+/* 966 */     MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 978
+/* 970 */     MCD_OPC_CheckPredicate, 3, 87, 1, // Skip to: 1317
+/* 974 */     MCD_OPC_Decode, 196, 1, 28, // Opcode: BGTZ_MM
+/* 978 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 990
+/* 982 */     MCD_OPC_CheckPredicate, 3, 75, 1, // Skip to: 1317
+/* 986 */     MCD_OPC_Decode, 226, 12, 29, // Opcode: TLTI_MM
+/* 990 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1002
+/* 994 */     MCD_OPC_CheckPredicate, 3, 63, 1, // Skip to: 1317
+/* 998 */     MCD_OPC_Decode, 215, 12, 29, // Opcode: TGEI_MM
+/* 1002 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1014
+/* 1006 */    MCD_OPC_CheckPredicate, 3, 51, 1, // Skip to: 1317
+/* 1010 */    MCD_OPC_Decode, 225, 12, 29, // Opcode: TLTIU_MM
+/* 1014 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1026
+/* 1018 */    MCD_OPC_CheckPredicate, 3, 39, 1, // Skip to: 1317
+/* 1022 */    MCD_OPC_Decode, 214, 12, 29, // Opcode: TGEIU_MM
+/* 1026 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1038
+/* 1030 */    MCD_OPC_CheckPredicate, 3, 27, 1, // Skip to: 1317
+/* 1034 */    MCD_OPC_Decode, 232, 12, 29, // Opcode: TNEI_MM
+/* 1038 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1050
+/* 1042 */    MCD_OPC_CheckPredicate, 3, 15, 1, // Skip to: 1317
+/* 1046 */    MCD_OPC_Decode, 153, 7, 29, // Opcode: LUi_MM
+/* 1050 */    MCD_OPC_FilterValue, 14, 7, 1, // Skip to: 1317
+/* 1054 */    MCD_OPC_CheckPredicate, 3, 3, 1, // Skip to: 1317
+/* 1058 */    MCD_OPC_Decode, 209, 12, 29, // Opcode: TEQI_MM
+/* 1062 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 1074
+/* 1066 */    MCD_OPC_CheckPredicate, 3, 247, 0, // Skip to: 1317
+/* 1070 */    MCD_OPC_Decode, 202, 9, 30, // Opcode: ORi_MM
+/* 1074 */    MCD_OPC_FilterValue, 21, 29, 0, // Skip to: 1107
+/* 1078 */    MCD_OPC_ExtractField, 0, 13,  // Inst{12-0} ...
+/* 1081 */    MCD_OPC_FilterValue, 251, 2, 8, 0, // Skip to: 1094
+/* 1086 */    MCD_OPC_CheckPredicate, 3, 227, 0, // Skip to: 1317
+/* 1090 */    MCD_OPC_Decode, 173, 8, 31, // Opcode: MOVF_I_MM
+/* 1094 */    MCD_OPC_FilterValue, 251, 18, 218, 0, // Skip to: 1317
+/* 1099 */    MCD_OPC_CheckPredicate, 3, 214, 0, // Skip to: 1317
+/* 1103 */    MCD_OPC_Decode, 193, 8, 31, // Opcode: MOVT_I_MM
+/* 1107 */    MCD_OPC_FilterValue, 24, 87, 0, // Skip to: 1198
+/* 1111 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 1114 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1126
+/* 1118 */    MCD_OPC_CheckPredicate, 3, 195, 0, // Skip to: 1317
+/* 1122 */    MCD_OPC_Decode, 163, 7, 32, // Opcode: LWL_MM
+/* 1126 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1138
+/* 1130 */    MCD_OPC_CheckPredicate, 3, 183, 0, // Skip to: 1317
+/* 1134 */    MCD_OPC_Decode, 167, 7, 32, // Opcode: LWR_MM
+/* 1138 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1150
+/* 1142 */    MCD_OPC_CheckPredicate, 3, 171, 0, // Skip to: 1317
+/* 1146 */    MCD_OPC_Decode, 137, 7, 32, // Opcode: LL_MM
+/* 1150 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1162
+/* 1154 */    MCD_OPC_CheckPredicate, 3, 159, 0, // Skip to: 1317
+/* 1158 */    MCD_OPC_Decode, 148, 12, 32, // Opcode: SWL_MM
+/* 1162 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1174
+/* 1166 */    MCD_OPC_CheckPredicate, 3, 147, 0, // Skip to: 1317
+/* 1170 */    MCD_OPC_Decode, 151, 12, 32, // Opcode: SWR_MM
+/* 1174 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1186
+/* 1178 */    MCD_OPC_CheckPredicate, 3, 135, 0, // Skip to: 1317
+/* 1182 */    MCD_OPC_Decode, 188, 10, 32, // Opcode: SC_MM
+/* 1186 */    MCD_OPC_FilterValue, 14, 127, 0, // Skip to: 1317
+/* 1190 */    MCD_OPC_CheckPredicate, 3, 123, 0, // Skip to: 1317
+/* 1194 */    MCD_OPC_Decode, 169, 7, 32, // Opcode: LWU_MM
+/* 1198 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 1210
+/* 1202 */    MCD_OPC_CheckPredicate, 3, 111, 0, // Skip to: 1317
+/* 1206 */    MCD_OPC_Decode, 139, 13, 30, // Opcode: XORi_MM
+/* 1210 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 1222
+/* 1214 */    MCD_OPC_CheckPredicate, 3, 99, 0, // Skip to: 1317
+/* 1218 */    MCD_OPC_Decode, 152, 11, 26, // Opcode: SLTi_MM
+/* 1222 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 1234
+/* 1226 */    MCD_OPC_CheckPredicate, 3, 87, 0, // Skip to: 1317
+/* 1230 */    MCD_OPC_Decode, 182, 1, 33, // Opcode: BEQ_MM
+/* 1234 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 1246
+/* 1238 */    MCD_OPC_CheckPredicate, 3, 75, 0, // Skip to: 1317
+/* 1242 */    MCD_OPC_Decode, 155, 11, 26, // Opcode: SLTiu_MM
+/* 1246 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 1258
+/* 1250 */    MCD_OPC_CheckPredicate, 3, 63, 0, // Skip to: 1317
+/* 1254 */    MCD_OPC_Decode, 246, 1, 33, // Opcode: BNE_MM
+/* 1258 */    MCD_OPC_FilterValue, 52, 7, 0, // Skip to: 1269
+/* 1262 */    MCD_OPC_CheckPredicate, 3, 51, 0, // Skip to: 1317
+/* 1266 */    MCD_OPC_Decode, 85, 30, // Opcode: ANDi_MM
+/* 1269 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 1281
+/* 1273 */    MCD_OPC_CheckPredicate, 3, 40, 0, // Skip to: 1317
+/* 1277 */    MCD_OPC_Decode, 218, 6, 34, // Opcode: J_MM
+/* 1281 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 1293
+/* 1285 */    MCD_OPC_CheckPredicate, 3, 28, 0, // Skip to: 1317
+/* 1289 */    MCD_OPC_Decode, 210, 6, 34, // Opcode: JAL_MM
+/* 1293 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 1305
+/* 1297 */    MCD_OPC_CheckPredicate, 3, 16, 0, // Skip to: 1317
+/* 1301 */    MCD_OPC_Decode, 154, 12, 27, // Opcode: SW_MM
+/* 1305 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 1317
+/* 1309 */    MCD_OPC_CheckPredicate, 3, 4, 0, // Skip to: 1317
+/* 1313 */    MCD_OPC_Decode, 173, 7, 27, // Opcode: LW_MM
+/* 1317 */    MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableMips32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 101, 3, // Skip to: 876
+/* 3 */       MCD_OPC_FilterValue, 0, 173, 3, // Skip to: 948
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 10 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 28
-/* 14 */      MCD_OPC_CheckPredicate, 2, 11, 50, // Skip to: 12829
-/* 18 */      MCD_OPC_CheckField, 21, 5, 0, 5, 50, // Skip to: 12829
-/* 24 */      MCD_OPC_Decode, 208, 9, 32, // Opcode: SLL
-/* 28 */      MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 71
-/* 32 */      MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 35 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 53
-/* 39 */      MCD_OPC_CheckPredicate, 2, 242, 49, // Skip to: 12829
-/* 43 */      MCD_OPC_CheckField, 6, 5, 0, 236, 49, // Skip to: 12829
-/* 49 */      MCD_OPC_Decode, 157, 7, 33, // Opcode: MOVF_I
-/* 53 */      MCD_OPC_FilterValue, 1, 228, 49, // Skip to: 12829
-/* 57 */      MCD_OPC_CheckPredicate, 2, 224, 49, // Skip to: 12829
-/* 61 */      MCD_OPC_CheckField, 6, 5, 0, 218, 49, // Skip to: 12829
-/* 67 */      MCD_OPC_Decode, 177, 7, 33, // Opcode: MOVT_I
-/* 71 */      MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 102
-/* 75 */      MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 78 */      MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 90
-/* 82 */      MCD_OPC_CheckPredicate, 2, 199, 49, // Skip to: 12829
-/* 86 */      MCD_OPC_Decode, 139, 10, 32, // Opcode: SRL
-/* 90 */      MCD_OPC_FilterValue, 1, 191, 49, // Skip to: 12829
-/* 94 */      MCD_OPC_CheckPredicate, 3, 187, 49, // Skip to: 12829
-/* 98 */      MCD_OPC_Decode, 252, 8, 32, // Opcode: ROTR
-/* 102 */     MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 120
-/* 106 */     MCD_OPC_CheckPredicate, 2, 175, 49, // Skip to: 12829
-/* 110 */     MCD_OPC_CheckField, 21, 5, 0, 169, 49, // Skip to: 12829
-/* 116 */     MCD_OPC_Decode, 247, 9, 32, // Opcode: SRA
-/* 120 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 138
-/* 124 */     MCD_OPC_CheckPredicate, 2, 157, 49, // Skip to: 12829
-/* 128 */     MCD_OPC_CheckField, 6, 5, 0, 151, 49, // Skip to: 12829
-/* 134 */     MCD_OPC_Decode, 215, 9, 14, // Opcode: SLLV
-/* 138 */     MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 156
-/* 142 */     MCD_OPC_CheckPredicate, 4, 139, 49, // Skip to: 12829
-/* 146 */     MCD_OPC_CheckField, 8, 3, 0, 133, 49, // Skip to: 12829
-/* 152 */     MCD_OPC_Decode, 154, 6, 34, // Opcode: LSA
-/* 156 */     MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 187
-/* 160 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 163 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 175
-/* 167 */     MCD_OPC_CheckPredicate, 2, 114, 49, // Skip to: 12829
-/* 171 */     MCD_OPC_Decode, 152, 10, 14, // Opcode: SRLV
-/* 175 */     MCD_OPC_FilterValue, 1, 106, 49, // Skip to: 12829
-/* 179 */     MCD_OPC_CheckPredicate, 3, 102, 49, // Skip to: 12829
-/* 183 */     MCD_OPC_Decode, 253, 8, 14, // Opcode: ROTRV
-/* 187 */     MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 205
-/* 191 */     MCD_OPC_CheckPredicate, 2, 90, 49, // Skip to: 12829
-/* 195 */     MCD_OPC_CheckField, 6, 5, 0, 84, 49, // Skip to: 12829
-/* 201 */     MCD_OPC_Decode, 132, 10, 14, // Opcode: SRAV
-/* 205 */     MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 223
-/* 209 */     MCD_OPC_CheckPredicate, 2, 72, 49, // Skip to: 12829
-/* 213 */     MCD_OPC_CheckField, 6, 15, 0, 66, 49, // Skip to: 12829
-/* 219 */     MCD_OPC_Decode, 231, 5, 35, // Opcode: JR
-/* 223 */     MCD_OPC_FilterValue, 9, 20, 0, // Skip to: 247
-/* 227 */     MCD_OPC_CheckPredicate, 2, 54, 49, // Skip to: 12829
-/* 231 */     MCD_OPC_CheckField, 16, 5, 0, 48, 49, // Skip to: 12829
-/* 237 */     MCD_OPC_CheckField, 6, 5, 0, 42, 49, // Skip to: 12829
-/* 243 */     MCD_OPC_Decode, 225, 5, 36, // Opcode: JALR
-/* 247 */     MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 265
-/* 251 */     MCD_OPC_CheckPredicate, 2, 30, 49, // Skip to: 12829
-/* 255 */     MCD_OPC_CheckField, 6, 5, 0, 24, 49, // Skip to: 12829
-/* 261 */     MCD_OPC_Decode, 189, 7, 37, // Opcode: MOVZ_I_I
-/* 265 */     MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 283
-/* 269 */     MCD_OPC_CheckPredicate, 2, 12, 49, // Skip to: 12829
-/* 273 */     MCD_OPC_CheckField, 6, 5, 0, 6, 49, // Skip to: 12829
-/* 279 */     MCD_OPC_Decode, 169, 7, 37, // Opcode: MOVN_I_I
-/* 283 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 295
-/* 287 */     MCD_OPC_CheckPredicate, 2, 250, 48, // Skip to: 12829
-/* 291 */     MCD_OPC_Decode, 227, 10, 38, // Opcode: SYSCALL
-/* 295 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 307
-/* 299 */     MCD_OPC_CheckPredicate, 2, 238, 48, // Skip to: 12829
-/* 303 */     MCD_OPC_Decode, 221, 1, 11, // Opcode: BREAK
-/* 307 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 319
-/* 311 */     MCD_OPC_CheckPredicate, 2, 226, 48, // Skip to: 12829
-/* 315 */     MCD_OPC_Decode, 225, 10, 39, // Opcode: SYNC
-/* 319 */     MCD_OPC_FilterValue, 16, 43, 0, // Skip to: 366
-/* 323 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 326 */     MCD_OPC_FilterValue, 0, 211, 48, // Skip to: 12829
-/* 330 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 333 */     MCD_OPC_FilterValue, 0, 204, 48, // Skip to: 12829
-/* 337 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
-/* 340 */     MCD_OPC_FilterValue, 0, 197, 48, // Skip to: 12829
-/* 344 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 358
-/* 348 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 358
-/* 354 */     MCD_OPC_Decode, 242, 6, 40, // Opcode: MFHI
-/* 358 */     MCD_OPC_CheckPredicate, 5, 179, 48, // Skip to: 12829
-/* 362 */     MCD_OPC_Decode, 244, 6, 41, // Opcode: MFHI_DSP
-/* 366 */     MCD_OPC_FilterValue, 17, 36, 0, // Skip to: 406
+/* 10 */      MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 68
+/* 14 */      MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 17 */      MCD_OPC_FilterValue, 0, 51, 51, // Skip to: 13128
+/* 21 */      MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
+/* 24 */      MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 36
+/* 28 */      MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 60
+/* 32 */      MCD_OPC_Decode, 214, 11, 0, // Opcode: SSNOP
+/* 36 */      MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 48
+/* 40 */      MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 60
+/* 44 */      MCD_OPC_Decode, 221, 4, 0, // Opcode: EHB
+/* 48 */      MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 60
+/* 52 */      MCD_OPC_CheckPredicate, 4, 4, 0, // Skip to: 60
+/* 56 */      MCD_OPC_Decode, 205, 9, 0, // Opcode: PAUSE
+/* 60 */      MCD_OPC_CheckPredicate, 1, 8, 51, // Skip to: 13128
+/* 64 */      MCD_OPC_Decode, 133, 11, 35, // Opcode: SLL
+/* 68 */      MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 111
+/* 72 */      MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 75 */      MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 93
+/* 79 */      MCD_OPC_CheckPredicate, 5, 245, 50, // Skip to: 13128
+/* 83 */      MCD_OPC_CheckField, 6, 5, 0, 239, 50, // Skip to: 13128
+/* 89 */      MCD_OPC_Decode, 171, 8, 36, // Opcode: MOVF_I
+/* 93 */      MCD_OPC_FilterValue, 1, 231, 50, // Skip to: 13128
+/* 97 */      MCD_OPC_CheckPredicate, 5, 227, 50, // Skip to: 13128
+/* 101 */     MCD_OPC_CheckField, 6, 5, 0, 221, 50, // Skip to: 13128
+/* 107 */     MCD_OPC_Decode, 191, 8, 36, // Opcode: MOVT_I
+/* 111 */     MCD_OPC_FilterValue, 2, 27, 0, // Skip to: 142
+/* 115 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 118 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 130
+/* 122 */     MCD_OPC_CheckPredicate, 1, 202, 50, // Skip to: 13128
+/* 126 */     MCD_OPC_Decode, 194, 11, 35, // Opcode: SRL
+/* 130 */     MCD_OPC_FilterValue, 1, 194, 50, // Skip to: 13128
+/* 134 */     MCD_OPC_CheckPredicate, 4, 190, 50, // Skip to: 13128
+/* 138 */     MCD_OPC_Decode, 159, 10, 35, // Opcode: ROTR
+/* 142 */     MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 160
+/* 146 */     MCD_OPC_CheckPredicate, 1, 178, 50, // Skip to: 13128
+/* 150 */     MCD_OPC_CheckField, 21, 5, 0, 172, 50, // Skip to: 13128
+/* 156 */     MCD_OPC_Decode, 174, 11, 35, // Opcode: SRA
+/* 160 */     MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 178
+/* 164 */     MCD_OPC_CheckPredicate, 1, 160, 50, // Skip to: 13128
+/* 168 */     MCD_OPC_CheckField, 6, 5, 0, 154, 50, // Skip to: 13128
+/* 174 */     MCD_OPC_Decode, 140, 11, 17, // Opcode: SLLV
+/* 178 */     MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 196
+/* 182 */     MCD_OPC_CheckPredicate, 6, 142, 50, // Skip to: 13128
+/* 186 */     MCD_OPC_CheckField, 8, 3, 0, 136, 50, // Skip to: 13128
+/* 192 */     MCD_OPC_Decode, 146, 7, 37, // Opcode: LSA
+/* 196 */     MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 227
+/* 200 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 203 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 215
+/* 207 */     MCD_OPC_CheckPredicate, 1, 117, 50, // Skip to: 13128
+/* 211 */     MCD_OPC_Decode, 207, 11, 17, // Opcode: SRLV
+/* 215 */     MCD_OPC_FilterValue, 1, 109, 50, // Skip to: 13128
+/* 219 */     MCD_OPC_CheckPredicate, 4, 105, 50, // Skip to: 13128
+/* 223 */     MCD_OPC_Decode, 160, 10, 17, // Opcode: ROTRV
+/* 227 */     MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 245
+/* 231 */     MCD_OPC_CheckPredicate, 1, 93, 50, // Skip to: 13128
+/* 235 */     MCD_OPC_CheckField, 6, 5, 0, 87, 50, // Skip to: 13128
+/* 241 */     MCD_OPC_Decode, 187, 11, 17, // Opcode: SRAV
+/* 245 */     MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 276
+/* 249 */     MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
+/* 252 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 264
+/* 256 */     MCD_OPC_CheckPredicate, 1, 68, 50, // Skip to: 13128
+/* 260 */     MCD_OPC_Decode, 213, 6, 38, // Opcode: JR
+/* 264 */     MCD_OPC_FilterValue, 16, 60, 50, // Skip to: 13128
+/* 268 */     MCD_OPC_CheckPredicate, 7, 56, 50, // Skip to: 13128
+/* 272 */     MCD_OPC_Decode, 215, 6, 38, // Opcode: JR_HB
+/* 276 */     MCD_OPC_FilterValue, 9, 39, 0, // Skip to: 319
+/* 280 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 283 */     MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 301
+/* 287 */     MCD_OPC_CheckPredicate, 8, 37, 50, // Skip to: 13128
+/* 291 */     MCD_OPC_CheckField, 16, 5, 0, 31, 50, // Skip to: 13128
+/* 297 */     MCD_OPC_Decode, 202, 6, 39, // Opcode: JALR
+/* 301 */     MCD_OPC_FilterValue, 16, 23, 50, // Skip to: 13128
+/* 305 */     MCD_OPC_CheckPredicate, 9, 19, 50, // Skip to: 13128
+/* 309 */     MCD_OPC_CheckField, 16, 5, 0, 13, 50, // Skip to: 13128
+/* 315 */     MCD_OPC_Decode, 207, 6, 39, // Opcode: JALR_HB
+/* 319 */     MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 337
+/* 323 */     MCD_OPC_CheckPredicate, 5, 1, 50, // Skip to: 13128
+/* 327 */     MCD_OPC_CheckField, 6, 5, 0, 251, 49, // Skip to: 13128
+/* 333 */     MCD_OPC_Decode, 203, 8, 40, // Opcode: MOVZ_I_I
+/* 337 */     MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 355
+/* 341 */     MCD_OPC_CheckPredicate, 5, 239, 49, // Skip to: 13128
+/* 345 */     MCD_OPC_CheckField, 6, 5, 0, 233, 49, // Skip to: 13128
+/* 351 */     MCD_OPC_Decode, 183, 8, 40, // Opcode: MOVN_I_I
+/* 355 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 367
+/* 359 */     MCD_OPC_CheckPredicate, 1, 221, 49, // Skip to: 13128
+/* 363 */     MCD_OPC_Decode, 157, 12, 41, // Opcode: SYSCALL
+/* 367 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 379
+/* 371 */     MCD_OPC_CheckPredicate, 1, 209, 49, // Skip to: 13128
+/* 375 */     MCD_OPC_Decode, 128, 2, 14, // Opcode: BREAK
+/* 379 */     MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 391
+/* 383 */     MCD_OPC_CheckPredicate, 9, 197, 49, // Skip to: 13128
+/* 387 */     MCD_OPC_Decode, 155, 12, 42, // Opcode: SYNC
+/* 391 */     MCD_OPC_FilterValue, 16, 43, 0, // Skip to: 438
+/* 395 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 398 */     MCD_OPC_FilterValue, 0, 182, 49, // Skip to: 13128
+/* 402 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 405 */     MCD_OPC_FilterValue, 0, 175, 49, // Skip to: 13128
+/* 409 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 412 */     MCD_OPC_FilterValue, 0, 168, 49, // Skip to: 13128
+/* 416 */     MCD_OPC_CheckPredicate, 10, 10, 0, // Skip to: 430
+/* 420 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 430
+/* 426 */     MCD_OPC_Decode, 247, 7, 43, // Opcode: MFHI
+/* 430 */     MCD_OPC_CheckPredicate, 11, 150, 49, // Skip to: 13128
+/* 434 */     MCD_OPC_Decode, 250, 7, 44, // Opcode: MFHI_DSP
+/* 438 */     MCD_OPC_FilterValue, 17, 36, 0, // Skip to: 478
+/* 442 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 445 */     MCD_OPC_FilterValue, 0, 135, 49, // Skip to: 13128
+/* 449 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
+/* 452 */     MCD_OPC_FilterValue, 0, 128, 49, // Skip to: 13128
+/* 456 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 470
+/* 460 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 470
+/* 466 */     MCD_OPC_Decode, 236, 8, 38, // Opcode: MTHI
+/* 470 */     MCD_OPC_CheckPredicate, 11, 110, 49, // Skip to: 13128
+/* 474 */     MCD_OPC_Decode, 238, 8, 45, // Opcode: MTHI_DSP
+/* 478 */     MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 525
+/* 482 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 485 */     MCD_OPC_FilterValue, 0, 95, 49, // Skip to: 13128
+/* 489 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 492 */     MCD_OPC_FilterValue, 0, 88, 49, // Skip to: 13128
+/* 496 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 499 */     MCD_OPC_FilterValue, 0, 81, 49, // Skip to: 13128
+/* 503 */     MCD_OPC_CheckPredicate, 10, 10, 0, // Skip to: 517
+/* 507 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 517
+/* 513 */     MCD_OPC_Decode, 252, 7, 43, // Opcode: MFLO
+/* 517 */     MCD_OPC_CheckPredicate, 11, 63, 49, // Skip to: 13128
+/* 521 */     MCD_OPC_Decode, 255, 7, 44, // Opcode: MFLO_DSP
+/* 525 */     MCD_OPC_FilterValue, 19, 36, 0, // Skip to: 565
+/* 529 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 532 */     MCD_OPC_FilterValue, 0, 48, 49, // Skip to: 13128
+/* 536 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
+/* 539 */     MCD_OPC_FilterValue, 0, 41, 49, // Skip to: 13128
+/* 543 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 557
+/* 547 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 557
+/* 553 */     MCD_OPC_Decode, 241, 8, 38, // Opcode: MTLO
+/* 557 */     MCD_OPC_CheckPredicate, 11, 23, 49, // Skip to: 13128
+/* 561 */     MCD_OPC_Decode, 243, 8, 46, // Opcode: MTLO_DSP
+/* 565 */     MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 583
+/* 569 */     MCD_OPC_CheckPredicate, 13, 11, 49, // Skip to: 13128
+/* 573 */     MCD_OPC_CheckField, 8, 3, 0, 5, 49, // Skip to: 13128
+/* 579 */     MCD_OPC_Decode, 148, 4, 47, // Opcode: DLSA
+/* 583 */     MCD_OPC_FilterValue, 24, 36, 0, // Skip to: 623
+/* 587 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 590 */     MCD_OPC_FilterValue, 0, 246, 48, // Skip to: 13128
+/* 594 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 597 */     MCD_OPC_FilterValue, 0, 239, 48, // Skip to: 13128
+/* 601 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 615
+/* 605 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 615
+/* 611 */     MCD_OPC_Decode, 138, 9, 23, // Opcode: MULT
+/* 615 */     MCD_OPC_CheckPredicate, 11, 221, 48, // Skip to: 13128
+/* 619 */     MCD_OPC_Decode, 140, 9, 48, // Opcode: MULT_DSP
+/* 623 */     MCD_OPC_FilterValue, 25, 36, 0, // Skip to: 663
+/* 627 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 630 */     MCD_OPC_FilterValue, 0, 206, 48, // Skip to: 13128
+/* 634 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 637 */     MCD_OPC_FilterValue, 0, 199, 48, // Skip to: 13128
+/* 641 */     MCD_OPC_CheckPredicate, 12, 10, 0, // Skip to: 655
+/* 645 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 655
+/* 651 */     MCD_OPC_Decode, 142, 9, 23, // Opcode: MULTu
+/* 655 */     MCD_OPC_CheckPredicate, 11, 181, 48, // Skip to: 13128
+/* 659 */     MCD_OPC_Decode, 139, 9, 48, // Opcode: MULTU_DSP
+/* 663 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 681
+/* 667 */     MCD_OPC_CheckPredicate, 12, 169, 48, // Skip to: 13128
+/* 671 */     MCD_OPC_CheckField, 6, 10, 0, 163, 48, // Skip to: 13128
+/* 677 */     MCD_OPC_Decode, 199, 10, 23, // Opcode: SDIV
+/* 681 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 699
+/* 685 */     MCD_OPC_CheckPredicate, 12, 151, 48, // Skip to: 13128
+/* 689 */     MCD_OPC_CheckField, 6, 10, 0, 145, 48, // Skip to: 13128
+/* 695 */     MCD_OPC_Decode, 243, 12, 23, // Opcode: UDIV
+/* 699 */     MCD_OPC_FilterValue, 32, 13, 0, // Skip to: 716
+/* 703 */     MCD_OPC_CheckPredicate, 1, 133, 48, // Skip to: 13128
+/* 707 */     MCD_OPC_CheckField, 6, 5, 0, 127, 48, // Skip to: 13128
+/* 713 */     MCD_OPC_Decode, 23, 16, // Opcode: ADD
+/* 716 */     MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 733
+/* 720 */     MCD_OPC_CheckPredicate, 1, 116, 48, // Skip to: 13128
+/* 724 */     MCD_OPC_CheckField, 6, 5, 0, 110, 48, // Skip to: 13128
+/* 730 */     MCD_OPC_Decode, 69, 16, // Opcode: ADDu
+/* 733 */     MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 751
+/* 737 */     MCD_OPC_CheckPredicate, 1, 99, 48, // Skip to: 13128
+/* 741 */     MCD_OPC_CheckField, 6, 5, 0, 93, 48, // Skip to: 13128
+/* 747 */     MCD_OPC_Decode, 223, 11, 16, // Opcode: SUB
+/* 751 */     MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 769
+/* 755 */     MCD_OPC_CheckPredicate, 1, 81, 48, // Skip to: 13128
+/* 759 */     MCD_OPC_CheckField, 6, 5, 0, 75, 48, // Skip to: 13128
+/* 765 */     MCD_OPC_Decode, 134, 12, 16, // Opcode: SUBu
+/* 769 */     MCD_OPC_FilterValue, 36, 13, 0, // Skip to: 786
+/* 773 */     MCD_OPC_CheckPredicate, 1, 63, 48, // Skip to: 13128
+/* 777 */     MCD_OPC_CheckField, 6, 5, 0, 57, 48, // Skip to: 13128
+/* 783 */     MCD_OPC_Decode, 75, 16, // Opcode: AND
+/* 786 */     MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 804
+/* 790 */     MCD_OPC_CheckPredicate, 1, 46, 48, // Skip to: 13128
+/* 794 */     MCD_OPC_CheckField, 6, 5, 0, 40, 48, // Skip to: 13128
+/* 800 */     MCD_OPC_Decode, 192, 9, 16, // Opcode: OR
+/* 804 */     MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 822
+/* 808 */     MCD_OPC_CheckPredicate, 1, 28, 48, // Skip to: 13128
+/* 812 */     MCD_OPC_CheckField, 6, 5, 0, 22, 48, // Skip to: 13128
+/* 818 */     MCD_OPC_Decode, 129, 13, 16, // Opcode: XOR
+/* 822 */     MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 840
+/* 826 */     MCD_OPC_CheckPredicate, 1, 10, 48, // Skip to: 13128
+/* 830 */     MCD_OPC_CheckField, 6, 5, 0, 4, 48, // Skip to: 13128
+/* 836 */     MCD_OPC_Decode, 182, 9, 16, // Opcode: NOR
+/* 840 */     MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 858
+/* 844 */     MCD_OPC_CheckPredicate, 1, 248, 47, // Skip to: 13128
+/* 848 */     MCD_OPC_CheckField, 6, 5, 0, 242, 47, // Skip to: 13128
+/* 854 */     MCD_OPC_Decode, 147, 11, 16, // Opcode: SLT
+/* 858 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 876
+/* 862 */     MCD_OPC_CheckPredicate, 1, 230, 47, // Skip to: 13128
+/* 866 */     MCD_OPC_CheckField, 6, 5, 0, 224, 47, // Skip to: 13128
+/* 872 */     MCD_OPC_Decode, 156, 11, 16, // Opcode: SLTu
+/* 876 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 888
+/* 880 */     MCD_OPC_CheckPredicate, 1, 212, 47, // Skip to: 13128
+/* 884 */     MCD_OPC_Decode, 211, 12, 49, // Opcode: TGE
+/* 888 */     MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 900
+/* 892 */     MCD_OPC_CheckPredicate, 1, 200, 47, // Skip to: 13128
+/* 896 */     MCD_OPC_Decode, 216, 12, 49, // Opcode: TGEU
+/* 900 */     MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 912
+/* 904 */     MCD_OPC_CheckPredicate, 1, 188, 47, // Skip to: 13128
+/* 908 */     MCD_OPC_Decode, 223, 12, 49, // Opcode: TLT
+/* 912 */     MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 924
+/* 916 */     MCD_OPC_CheckPredicate, 1, 176, 47, // Skip to: 13128
+/* 920 */     MCD_OPC_Decode, 227, 12, 49, // Opcode: TLTU
+/* 924 */     MCD_OPC_FilterValue, 52, 8, 0, // Skip to: 936
+/* 928 */     MCD_OPC_CheckPredicate, 1, 164, 47, // Skip to: 13128
+/* 932 */     MCD_OPC_Decode, 207, 12, 49, // Opcode: TEQ
+/* 936 */     MCD_OPC_FilterValue, 54, 156, 47, // Skip to: 13128
+/* 940 */     MCD_OPC_CheckPredicate, 1, 152, 47, // Skip to: 13128
+/* 944 */     MCD_OPC_Decode, 230, 12, 49, // Opcode: TNE
+/* 948 */     MCD_OPC_FilterValue, 1, 141, 0, // Skip to: 1093
+/* 952 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 955 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 967
+/* 959 */     MCD_OPC_CheckPredicate, 1, 133, 47, // Skip to: 13128
+/* 963 */     MCD_OPC_Decode, 222, 1, 50, // Opcode: BLTZ
+/* 967 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 979
+/* 971 */     MCD_OPC_CheckPredicate, 1, 121, 47, // Skip to: 13128
+/* 975 */     MCD_OPC_Decode, 185, 1, 50, // Opcode: BGEZ
+/* 979 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 991
+/* 983 */     MCD_OPC_CheckPredicate, 14, 109, 47, // Skip to: 13128
+/* 987 */     MCD_OPC_Decode, 212, 12, 51, // Opcode: TGEI
+/* 991 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1003
+/* 995 */     MCD_OPC_CheckPredicate, 14, 97, 47, // Skip to: 13128
+/* 999 */     MCD_OPC_Decode, 213, 12, 51, // Opcode: TGEIU
+/* 1003 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1015
+/* 1007 */    MCD_OPC_CheckPredicate, 14, 85, 47, // Skip to: 13128
+/* 1011 */    MCD_OPC_Decode, 224, 12, 51, // Opcode: TLTI
+/* 1015 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1027
+/* 1019 */    MCD_OPC_CheckPredicate, 14, 73, 47, // Skip to: 13128
+/* 1023 */    MCD_OPC_Decode, 242, 12, 51, // Opcode: TTLTIU
+/* 1027 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1039
+/* 1031 */    MCD_OPC_CheckPredicate, 14, 61, 47, // Skip to: 13128
+/* 1035 */    MCD_OPC_Decode, 208, 12, 51, // Opcode: TEQI
+/* 1039 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1051
+/* 1043 */    MCD_OPC_CheckPredicate, 14, 49, 47, // Skip to: 13128
+/* 1047 */    MCD_OPC_Decode, 231, 12, 51, // Opcode: TNEI
+/* 1051 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 1063
+/* 1055 */    MCD_OPC_CheckPredicate, 12, 37, 47, // Skip to: 13128
+/* 1059 */    MCD_OPC_Decode, 224, 1, 50, // Opcode: BLTZAL
+/* 1063 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1075
+/* 1067 */    MCD_OPC_CheckPredicate, 12, 25, 47, // Skip to: 13128
+/* 1071 */    MCD_OPC_Decode, 187, 1, 50, // Opcode: BGEZAL
+/* 1075 */    MCD_OPC_FilterValue, 28, 17, 47, // Skip to: 13128
+/* 1079 */    MCD_OPC_CheckPredicate, 11, 13, 47, // Skip to: 13128
+/* 1083 */    MCD_OPC_CheckField, 21, 5, 0, 7, 47, // Skip to: 13128
+/* 1089 */    MCD_OPC_Decode, 254, 1, 52, // Opcode: BPOSGE32
+/* 1093 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1105
+/* 1097 */    MCD_OPC_CheckPredicate, 9, 251, 46, // Skip to: 13128
+/* 1101 */    MCD_OPC_Decode, 200, 6, 53, // Opcode: J
+/* 1105 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1117
+/* 1109 */    MCD_OPC_CheckPredicate, 1, 239, 46, // Skip to: 13128
+/* 1113 */    MCD_OPC_Decode, 201, 6, 53, // Opcode: JAL
+/* 1117 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1129
+/* 1121 */    MCD_OPC_CheckPredicate, 1, 227, 46, // Skip to: 13128
+/* 1125 */    MCD_OPC_Decode, 177, 1, 54, // Opcode: BEQ
+/* 1129 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1141
+/* 1133 */    MCD_OPC_CheckPredicate, 1, 215, 46, // Skip to: 13128
+/* 1137 */    MCD_OPC_Decode, 233, 1, 54, // Opcode: BNE
+/* 1141 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1159
+/* 1145 */    MCD_OPC_CheckPredicate, 1, 203, 46, // Skip to: 13128
+/* 1149 */    MCD_OPC_CheckField, 16, 5, 0, 197, 46, // Skip to: 13128
+/* 1155 */    MCD_OPC_Decode, 215, 1, 50, // Opcode: BLEZ
+/* 1159 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1177
+/* 1163 */    MCD_OPC_CheckPredicate, 1, 185, 46, // Skip to: 13128
+/* 1167 */    MCD_OPC_CheckField, 16, 5, 0, 179, 46, // Skip to: 13128
+/* 1173 */    MCD_OPC_Decode, 192, 1, 50, // Opcode: BGTZ
+/* 1177 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 1188
+/* 1181 */    MCD_OPC_CheckPredicate, 12, 167, 46, // Skip to: 13128
+/* 1185 */    MCD_OPC_Decode, 65, 55, // Opcode: ADDi
+/* 1188 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 1199
+/* 1192 */    MCD_OPC_CheckPredicate, 1, 156, 46, // Skip to: 13128
+/* 1196 */    MCD_OPC_Decode, 67, 55, // Opcode: ADDiu
+/* 1199 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1211
+/* 1203 */    MCD_OPC_CheckPredicate, 1, 145, 46, // Skip to: 13128
+/* 1207 */    MCD_OPC_Decode, 150, 11, 55, // Opcode: SLTi
+/* 1211 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1223
+/* 1215 */    MCD_OPC_CheckPredicate, 1, 133, 46, // Skip to: 13128
+/* 1219 */    MCD_OPC_Decode, 153, 11, 55, // Opcode: SLTiu
+/* 1223 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 1234
+/* 1227 */    MCD_OPC_CheckPredicate, 1, 121, 46, // Skip to: 13128
+/* 1231 */    MCD_OPC_Decode, 83, 56, // Opcode: ANDi
+/* 1234 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1246
+/* 1238 */    MCD_OPC_CheckPredicate, 1, 110, 46, // Skip to: 13128
+/* 1242 */    MCD_OPC_Decode, 200, 9, 56, // Opcode: ORi
+/* 1246 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1258
+/* 1250 */    MCD_OPC_CheckPredicate, 1, 98, 46, // Skip to: 13128
+/* 1254 */    MCD_OPC_Decode, 137, 13, 56, // Opcode: XORi
+/* 1258 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1276
+/* 1262 */    MCD_OPC_CheckPredicate, 1, 86, 46, // Skip to: 13128
+/* 1266 */    MCD_OPC_CheckField, 21, 5, 0, 80, 46, // Skip to: 13128
+/* 1272 */    MCD_OPC_Decode, 151, 7, 29, // Opcode: LUi
+/* 1276 */    MCD_OPC_FilterValue, 16, 248, 0, // Skip to: 1528
+/* 1280 */    MCD_OPC_ExtractField, 3, 8,  // Inst{10-3} ...
+/* 1283 */    MCD_OPC_FilterValue, 0, 112, 0, // Skip to: 1399
+/* 1287 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1290 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1302
+/* 1294 */    MCD_OPC_CheckPredicate, 9, 54, 46, // Skip to: 13128
+/* 1298 */    MCD_OPC_Decode, 240, 7, 57, // Opcode: MFC0
+/* 1302 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1314
+/* 1306 */    MCD_OPC_CheckPredicate, 9, 42, 46, // Skip to: 13128
+/* 1310 */    MCD_OPC_Decode, 229, 8, 57, // Opcode: MTC0
+/* 1314 */    MCD_OPC_FilterValue, 11, 20, 0, // Skip to: 1338
+/* 1318 */    MCD_OPC_CheckPredicate, 4, 30, 46, // Skip to: 13128
+/* 1322 */    MCD_OPC_CheckField, 11, 5, 12, 24, 46, // Skip to: 13128
+/* 1328 */    MCD_OPC_CheckField, 0, 3, 0, 18, 46, // Skip to: 13128
+/* 1334 */    MCD_OPC_Decode, 133, 4, 22, // Opcode: DI
+/* 1338 */    MCD_OPC_FilterValue, 16, 10, 46, // Skip to: 13128
+/* 1342 */    MCD_OPC_ExtractField, 0, 3,  // Inst{2-0} ...
+/* 1345 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1363
+/* 1349 */    MCD_OPC_CheckPredicate, 1, 255, 45, // Skip to: 13128
+/* 1353 */    MCD_OPC_CheckField, 11, 10, 0, 249, 45, // Skip to: 13128
+/* 1359 */    MCD_OPC_Decode, 220, 12, 0, // Opcode: TLBR
+/* 1363 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1381
+/* 1367 */    MCD_OPC_CheckPredicate, 1, 237, 45, // Skip to: 13128
+/* 1371 */    MCD_OPC_CheckField, 11, 10, 0, 231, 45, // Skip to: 13128
+/* 1377 */    MCD_OPC_Decode, 221, 12, 0, // Opcode: TLBWI
+/* 1381 */    MCD_OPC_FilterValue, 6, 223, 45, // Skip to: 13128
+/* 1385 */    MCD_OPC_CheckPredicate, 1, 219, 45, // Skip to: 13128
+/* 1389 */    MCD_OPC_CheckField, 11, 10, 0, 213, 45, // Skip to: 13128
+/* 1395 */    MCD_OPC_Decode, 222, 12, 0, // Opcode: TLBWR
+/* 1399 */    MCD_OPC_FilterValue, 1, 22, 0, // Skip to: 1425
+/* 1403 */    MCD_OPC_CheckPredicate, 1, 201, 45, // Skip to: 13128
+/* 1407 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 193, 45, // Skip to: 13128
+/* 1415 */    MCD_OPC_CheckField, 0, 3, 0, 187, 45, // Skip to: 13128
+/* 1421 */    MCD_OPC_Decode, 219, 12, 0, // Opcode: TLBP
+/* 1425 */    MCD_OPC_FilterValue, 3, 43, 0, // Skip to: 1472
+/* 1429 */    MCD_OPC_ExtractField, 0, 3,  // Inst{2-0} ...
+/* 1432 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 1452
+/* 1436 */    MCD_OPC_CheckPredicate, 15, 168, 45, // Skip to: 13128
+/* 1440 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 160, 45, // Skip to: 13128
+/* 1448 */    MCD_OPC_Decode, 224, 4, 0, // Opcode: ERET
+/* 1452 */    MCD_OPC_FilterValue, 7, 152, 45, // Skip to: 13128
+/* 1456 */    MCD_OPC_CheckPredicate, 9, 148, 45, // Skip to: 13128
+/* 1460 */    MCD_OPC_CheckField, 11, 15, 128, 128, 1, 140, 45, // Skip to: 13128
+/* 1468 */    MCD_OPC_Decode, 128, 4, 0, // Opcode: DERET
+/* 1472 */    MCD_OPC_FilterValue, 4, 132, 45, // Skip to: 13128
+/* 1476 */    MCD_OPC_ExtractField, 11, 5,  // Inst{15-11} ...
+/* 1479 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1504
+/* 1483 */    MCD_OPC_CheckPredicate, 16, 121, 45, // Skip to: 13128
+/* 1487 */    MCD_OPC_CheckField, 16, 10, 128, 4, 114, 45, // Skip to: 13128
+/* 1494 */    MCD_OPC_CheckField, 0, 3, 0, 108, 45, // Skip to: 13128
+/* 1500 */    MCD_OPC_Decode, 252, 12, 0, // Opcode: WAIT
+/* 1504 */    MCD_OPC_FilterValue, 12, 100, 45, // Skip to: 13128
+/* 1508 */    MCD_OPC_CheckPredicate, 4, 96, 45, // Skip to: 13128
+/* 1512 */    MCD_OPC_CheckField, 21, 5, 11, 90, 45, // Skip to: 13128
+/* 1518 */    MCD_OPC_CheckField, 0, 3, 0, 84, 45, // Skip to: 13128
+/* 1524 */    MCD_OPC_Decode, 222, 4, 22, // Opcode: EI
+/* 1528 */    MCD_OPC_FilterValue, 17, 253, 5, // Skip to: 3065
+/* 1532 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1535 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1553
+/* 1539 */    MCD_OPC_CheckPredicate, 1, 65, 45, // Skip to: 13128
+/* 1543 */    MCD_OPC_CheckField, 0, 11, 0, 59, 45, // Skip to: 13128
+/* 1549 */    MCD_OPC_Decode, 241, 7, 58, // Opcode: MFC1
+/* 1553 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1571
+/* 1557 */    MCD_OPC_CheckPredicate, 17, 47, 45, // Skip to: 13128
+/* 1561 */    MCD_OPC_CheckField, 0, 11, 0, 41, 45, // Skip to: 13128
+/* 1567 */    MCD_OPC_Decode, 151, 4, 59, // Opcode: DMFC1
+/* 1571 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1589
+/* 1575 */    MCD_OPC_CheckPredicate, 1, 29, 45, // Skip to: 13128
+/* 1579 */    MCD_OPC_CheckField, 0, 11, 0, 23, 45, // Skip to: 13128
+/* 1585 */    MCD_OPC_Decode, 192, 2, 60, // Opcode: CFC1
+/* 1589 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 1607
+/* 1593 */    MCD_OPC_CheckPredicate, 18, 11, 45, // Skip to: 13128
+/* 1597 */    MCD_OPC_CheckField, 0, 11, 0, 5, 45, // Skip to: 13128
+/* 1603 */    MCD_OPC_Decode, 244, 7, 61, // Opcode: MFHC1_D32
+/* 1607 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1625
+/* 1611 */    MCD_OPC_CheckPredicate, 1, 249, 44, // Skip to: 13128
+/* 1615 */    MCD_OPC_CheckField, 0, 11, 0, 243, 44, // Skip to: 13128
+/* 1621 */    MCD_OPC_Decode, 230, 8, 62, // Opcode: MTC1
+/* 1625 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1643
+/* 1629 */    MCD_OPC_CheckPredicate, 17, 231, 44, // Skip to: 13128
+/* 1633 */    MCD_OPC_CheckField, 0, 11, 0, 225, 44, // Skip to: 13128
+/* 1639 */    MCD_OPC_Decode, 156, 4, 63, // Opcode: DMTC1
+/* 1643 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1661
+/* 1647 */    MCD_OPC_CheckPredicate, 1, 213, 44, // Skip to: 13128
+/* 1651 */    MCD_OPC_CheckField, 0, 11, 0, 207, 44, // Skip to: 13128
+/* 1657 */    MCD_OPC_Decode, 164, 3, 64, // Opcode: CTC1
+/* 1661 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1679
+/* 1665 */    MCD_OPC_CheckPredicate, 18, 195, 44, // Skip to: 13128
+/* 1669 */    MCD_OPC_CheckField, 0, 11, 0, 189, 44, // Skip to: 13128
+/* 1675 */    MCD_OPC_Decode, 233, 8, 65, // Opcode: MTHC1_D32
+/* 1679 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 1710
+/* 1683 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1686 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1698
+/* 1690 */    MCD_OPC_CheckPredicate, 12, 170, 44, // Skip to: 13128
+/* 1694 */    MCD_OPC_Decode, 162, 1, 66, // Opcode: BC1F
+/* 1698 */    MCD_OPC_FilterValue, 1, 162, 44, // Skip to: 13128
+/* 1702 */    MCD_OPC_CheckPredicate, 12, 158, 44, // Skip to: 13128
+/* 1706 */    MCD_OPC_Decode, 165, 1, 66, // Opcode: BC1T
+/* 1710 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1722
+/* 1714 */    MCD_OPC_CheckPredicate, 6, 146, 44, // Skip to: 13128
+/* 1718 */    MCD_OPC_Decode, 148, 2, 67, // Opcode: BZ_V
+/* 1722 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 1734
+/* 1726 */    MCD_OPC_CheckPredicate, 6, 134, 44, // Skip to: 13128
+/* 1730 */    MCD_OPC_Decode, 251, 1, 67, // Opcode: BNZ_V
+/* 1734 */    MCD_OPC_FilterValue, 16, 80, 2, // Skip to: 2330
+/* 1738 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1741 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1753
+/* 1745 */    MCD_OPC_CheckPredicate, 1, 115, 44, // Skip to: 13128
+/* 1749 */    MCD_OPC_Decode, 255, 4, 68, // Opcode: FADD_S
+/* 1753 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1765
+/* 1757 */    MCD_OPC_CheckPredicate, 1, 103, 44, // Skip to: 13128
+/* 1761 */    MCD_OPC_Decode, 129, 6, 68, // Opcode: FSUB_S
+/* 1765 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1777
+/* 1769 */    MCD_OPC_CheckPredicate, 1, 91, 44, // Skip to: 13128
+/* 1773 */    MCD_OPC_Decode, 220, 5, 68, // Opcode: FMUL_S
+/* 1777 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1789
+/* 1781 */    MCD_OPC_CheckPredicate, 1, 79, 44, // Skip to: 13128
+/* 1785 */    MCD_OPC_Decode, 163, 5, 68, // Opcode: FDIV_S
+/* 1789 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1807
+/* 1793 */    MCD_OPC_CheckPredicate, 2, 67, 44, // Skip to: 13128
+/* 1797 */    MCD_OPC_CheckField, 16, 5, 0, 61, 44, // Skip to: 13128
+/* 1803 */    MCD_OPC_Decode, 250, 5, 69, // Opcode: FSQRT_S
+/* 1807 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1825
+/* 1811 */    MCD_OPC_CheckPredicate, 1, 49, 44, // Skip to: 13128
+/* 1815 */    MCD_OPC_CheckField, 16, 5, 0, 43, 44, // Skip to: 13128
+/* 1821 */    MCD_OPC_Decode, 248, 4, 69, // Opcode: FABS_S
+/* 1825 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1843
+/* 1829 */    MCD_OPC_CheckPredicate, 1, 31, 44, // Skip to: 13128
+/* 1833 */    MCD_OPC_CheckField, 16, 5, 0, 25, 44, // Skip to: 13128
+/* 1839 */    MCD_OPC_Decode, 212, 5, 69, // Opcode: FMOV_S
+/* 1843 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1861
+/* 1847 */    MCD_OPC_CheckPredicate, 1, 13, 44, // Skip to: 13128
+/* 1851 */    MCD_OPC_CheckField, 16, 5, 0, 7, 44, // Skip to: 13128
+/* 1857 */    MCD_OPC_Decode, 226, 5, 69, // Opcode: FNEG_S
+/* 1861 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 1879
+/* 1865 */    MCD_OPC_CheckPredicate, 2, 251, 43, // Skip to: 13128
+/* 1869 */    MCD_OPC_CheckField, 16, 5, 0, 245, 43, // Skip to: 13128
+/* 1875 */    MCD_OPC_Decode, 168, 10, 69, // Opcode: ROUND_W_S
+/* 1879 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 1897
+/* 1883 */    MCD_OPC_CheckPredicate, 2, 233, 43, // Skip to: 13128
+/* 1887 */    MCD_OPC_CheckField, 16, 5, 0, 227, 43, // Skip to: 13128
+/* 1893 */    MCD_OPC_Decode, 240, 12, 69, // Opcode: TRUNC_W_S
+/* 1897 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 1915
+/* 1901 */    MCD_OPC_CheckPredicate, 2, 215, 43, // Skip to: 13128
+/* 1905 */    MCD_OPC_CheckField, 16, 5, 0, 209, 43, // Skip to: 13128
+/* 1911 */    MCD_OPC_Decode, 182, 2, 69, // Opcode: CEIL_W_S
+/* 1915 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1933
+/* 1919 */    MCD_OPC_CheckPredicate, 2, 197, 43, // Skip to: 13128
+/* 1923 */    MCD_OPC_CheckField, 16, 5, 0, 191, 43, // Skip to: 13128
+/* 1929 */    MCD_OPC_Decode, 197, 5, 69, // Opcode: FLOOR_W_S
+/* 1933 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 1964
+/* 1937 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1940 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1952
+/* 1944 */    MCD_OPC_CheckPredicate, 5, 172, 43, // Skip to: 13128
+/* 1948 */    MCD_OPC_Decode, 174, 8, 70, // Opcode: MOVF_S
+/* 1952 */    MCD_OPC_FilterValue, 1, 164, 43, // Skip to: 13128
+/* 1956 */    MCD_OPC_CheckPredicate, 5, 160, 43, // Skip to: 13128
+/* 1960 */    MCD_OPC_Decode, 194, 8, 70, // Opcode: MOVT_S
+/* 1964 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1976
+/* 1968 */    MCD_OPC_CheckPredicate, 5, 148, 43, // Skip to: 13128
+/* 1972 */    MCD_OPC_Decode, 206, 8, 71, // Opcode: MOVZ_I_S
+/* 1976 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1988
+/* 1980 */    MCD_OPC_CheckPredicate, 5, 136, 43, // Skip to: 13128
+/* 1984 */    MCD_OPC_Decode, 186, 8, 71, // Opcode: MOVN_I_S
+/* 1988 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 2006
+/* 1992 */    MCD_OPC_CheckPredicate, 19, 124, 43, // Skip to: 13128
+/* 1996 */    MCD_OPC_CheckField, 16, 5, 0, 118, 43, // Skip to: 13128
+/* 2002 */    MCD_OPC_Decode, 167, 3, 72, // Opcode: CVT_D32_S
+/* 2006 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2024
+/* 2010 */    MCD_OPC_CheckPredicate, 1, 106, 43, // Skip to: 13128
+/* 2014 */    MCD_OPC_CheckField, 16, 5, 0, 100, 43, // Skip to: 13128
+/* 2020 */    MCD_OPC_Decode, 187, 3, 69, // Opcode: CVT_W_S
+/* 2024 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2042
+/* 2028 */    MCD_OPC_CheckPredicate, 20, 88, 43, // Skip to: 13128
+/* 2032 */    MCD_OPC_CheckField, 16, 5, 0, 82, 43, // Skip to: 13128
+/* 2038 */    MCD_OPC_Decode, 176, 3, 73, // Opcode: CVT_L_S
+/* 2042 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2060
+/* 2046 */    MCD_OPC_CheckPredicate, 12, 70, 43, // Skip to: 13128
+/* 2050 */    MCD_OPC_CheckField, 6, 5, 0, 64, 43, // Skip to: 13128
+/* 2056 */    MCD_OPC_Decode, 194, 3, 74, // Opcode: C_F_S
+/* 2060 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2078
+/* 2064 */    MCD_OPC_CheckPredicate, 12, 52, 43, // Skip to: 13128
+/* 2068 */    MCD_OPC_CheckField, 6, 5, 0, 46, 43, // Skip to: 13128
+/* 2074 */    MCD_OPC_Decode, 236, 3, 74, // Opcode: C_UN_S
+/* 2078 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2096
+/* 2082 */    MCD_OPC_CheckPredicate, 12, 34, 43, // Skip to: 13128
+/* 2086 */    MCD_OPC_CheckField, 6, 5, 0, 28, 43, // Skip to: 13128
+/* 2092 */    MCD_OPC_Decode, 191, 3, 74, // Opcode: C_EQ_S
+/* 2096 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2114
+/* 2100 */    MCD_OPC_CheckPredicate, 12, 16, 43, // Skip to: 13128
+/* 2104 */    MCD_OPC_CheckField, 6, 5, 0, 10, 43, // Skip to: 13128
+/* 2110 */    MCD_OPC_Decode, 227, 3, 74, // Opcode: C_UEQ_S
+/* 2114 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2132
+/* 2118 */    MCD_OPC_CheckPredicate, 12, 254, 42, // Skip to: 13128
+/* 2122 */    MCD_OPC_CheckField, 6, 5, 0, 248, 42, // Skip to: 13128
+/* 2128 */    MCD_OPC_Decode, 218, 3, 74, // Opcode: C_OLT_S
+/* 2132 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2150
+/* 2136 */    MCD_OPC_CheckPredicate, 12, 236, 42, // Skip to: 13128
+/* 2140 */    MCD_OPC_CheckField, 6, 5, 0, 230, 42, // Skip to: 13128
+/* 2146 */    MCD_OPC_Decode, 233, 3, 74, // Opcode: C_ULT_S
+/* 2150 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2168
+/* 2154 */    MCD_OPC_CheckPredicate, 12, 218, 42, // Skip to: 13128
+/* 2158 */    MCD_OPC_CheckField, 6, 5, 0, 212, 42, // Skip to: 13128
+/* 2164 */    MCD_OPC_Decode, 215, 3, 74, // Opcode: C_OLE_S
+/* 2168 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2186
+/* 2172 */    MCD_OPC_CheckPredicate, 12, 200, 42, // Skip to: 13128
+/* 2176 */    MCD_OPC_CheckField, 6, 5, 0, 194, 42, // Skip to: 13128
+/* 2182 */    MCD_OPC_Decode, 230, 3, 74, // Opcode: C_ULE_S
+/* 2186 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2204
+/* 2190 */    MCD_OPC_CheckPredicate, 12, 182, 42, // Skip to: 13128
+/* 2194 */    MCD_OPC_CheckField, 6, 5, 0, 176, 42, // Skip to: 13128
+/* 2200 */    MCD_OPC_Decode, 224, 3, 74, // Opcode: C_SF_S
+/* 2204 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2222
+/* 2208 */    MCD_OPC_CheckPredicate, 12, 164, 42, // Skip to: 13128
+/* 2212 */    MCD_OPC_CheckField, 6, 5, 0, 158, 42, // Skip to: 13128
+/* 2218 */    MCD_OPC_Decode, 206, 3, 74, // Opcode: C_NGLE_S
+/* 2222 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2240
+/* 2226 */    MCD_OPC_CheckPredicate, 12, 146, 42, // Skip to: 13128
+/* 2230 */    MCD_OPC_CheckField, 6, 5, 0, 140, 42, // Skip to: 13128
+/* 2236 */    MCD_OPC_Decode, 221, 3, 74, // Opcode: C_SEQ_S
+/* 2240 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2258
+/* 2244 */    MCD_OPC_CheckPredicate, 12, 128, 42, // Skip to: 13128
+/* 2248 */    MCD_OPC_CheckField, 6, 5, 0, 122, 42, // Skip to: 13128
+/* 2254 */    MCD_OPC_Decode, 209, 3, 74, // Opcode: C_NGL_S
+/* 2258 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2276
+/* 2262 */    MCD_OPC_CheckPredicate, 12, 110, 42, // Skip to: 13128
+/* 2266 */    MCD_OPC_CheckField, 6, 5, 0, 104, 42, // Skip to: 13128
+/* 2272 */    MCD_OPC_Decode, 200, 3, 74, // Opcode: C_LT_S
+/* 2276 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2294
+/* 2280 */    MCD_OPC_CheckPredicate, 12, 92, 42, // Skip to: 13128
+/* 2284 */    MCD_OPC_CheckField, 6, 5, 0, 86, 42, // Skip to: 13128
+/* 2290 */    MCD_OPC_Decode, 203, 3, 74, // Opcode: C_NGE_S
+/* 2294 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2312
+/* 2298 */    MCD_OPC_CheckPredicate, 12, 74, 42, // Skip to: 13128
+/* 2302 */    MCD_OPC_CheckField, 6, 5, 0, 68, 42, // Skip to: 13128
+/* 2308 */    MCD_OPC_Decode, 197, 3, 74, // Opcode: C_LE_S
+/* 2312 */    MCD_OPC_FilterValue, 63, 60, 42, // Skip to: 13128
+/* 2316 */    MCD_OPC_CheckPredicate, 12, 56, 42, // Skip to: 13128
+/* 2320 */    MCD_OPC_CheckField, 6, 5, 0, 50, 42, // Skip to: 13128
+/* 2326 */    MCD_OPC_Decode, 212, 3, 74, // Opcode: C_NGT_S
+/* 2330 */    MCD_OPC_FilterValue, 17, 80, 2, // Skip to: 2926
+/* 2334 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2337 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2349
+/* 2341 */    MCD_OPC_CheckPredicate, 19, 31, 42, // Skip to: 13128
+/* 2345 */    MCD_OPC_Decode, 252, 4, 75, // Opcode: FADD_D32
+/* 2349 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2361
+/* 2353 */    MCD_OPC_CheckPredicate, 19, 19, 42, // Skip to: 13128
+/* 2357 */    MCD_OPC_Decode, 254, 5, 75, // Opcode: FSUB_D32
+/* 2361 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2373
+/* 2365 */    MCD_OPC_CheckPredicate, 19, 7, 42, // Skip to: 13128
+/* 2369 */    MCD_OPC_Decode, 217, 5, 75, // Opcode: FMUL_D32
+/* 2373 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2385
+/* 2377 */    MCD_OPC_CheckPredicate, 19, 251, 41, // Skip to: 13128
+/* 2381 */    MCD_OPC_Decode, 160, 5, 75, // Opcode: FDIV_D32
+/* 2385 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2403
+/* 2389 */    MCD_OPC_CheckPredicate, 21, 239, 41, // Skip to: 13128
+/* 2393 */    MCD_OPC_CheckField, 16, 5, 0, 233, 41, // Skip to: 13128
+/* 2399 */    MCD_OPC_Decode, 247, 5, 76, // Opcode: FSQRT_D32
+/* 2403 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2421
+/* 2407 */    MCD_OPC_CheckPredicate, 19, 221, 41, // Skip to: 13128
+/* 2411 */    MCD_OPC_CheckField, 16, 5, 0, 215, 41, // Skip to: 13128
+/* 2417 */    MCD_OPC_Decode, 245, 4, 76, // Opcode: FABS_D32
+/* 2421 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2439
+/* 2425 */    MCD_OPC_CheckPredicate, 19, 203, 41, // Skip to: 13128
+/* 2429 */    MCD_OPC_CheckField, 16, 5, 0, 197, 41, // Skip to: 13128
+/* 2435 */    MCD_OPC_Decode, 209, 5, 76, // Opcode: FMOV_D32
+/* 2439 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2457
+/* 2443 */    MCD_OPC_CheckPredicate, 19, 185, 41, // Skip to: 13128
+/* 2447 */    MCD_OPC_CheckField, 16, 5, 0, 179, 41, // Skip to: 13128
+/* 2453 */    MCD_OPC_Decode, 223, 5, 76, // Opcode: FNEG_D32
+/* 2457 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 2475
+/* 2461 */    MCD_OPC_CheckPredicate, 21, 167, 41, // Skip to: 13128
+/* 2465 */    MCD_OPC_CheckField, 16, 5, 0, 161, 41, // Skip to: 13128
+/* 2471 */    MCD_OPC_Decode, 165, 10, 77, // Opcode: ROUND_W_D32
+/* 2475 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 2493
+/* 2479 */    MCD_OPC_CheckPredicate, 21, 149, 41, // Skip to: 13128
+/* 2483 */    MCD_OPC_CheckField, 16, 5, 0, 143, 41, // Skip to: 13128
+/* 2489 */    MCD_OPC_Decode, 237, 12, 77, // Opcode: TRUNC_W_D32
+/* 2493 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 2511
+/* 2497 */    MCD_OPC_CheckPredicate, 21, 131, 41, // Skip to: 13128
+/* 2501 */    MCD_OPC_CheckField, 16, 5, 0, 125, 41, // Skip to: 13128
+/* 2507 */    MCD_OPC_Decode, 179, 2, 77, // Opcode: CEIL_W_D32
+/* 2511 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 2529
+/* 2515 */    MCD_OPC_CheckPredicate, 21, 113, 41, // Skip to: 13128
+/* 2519 */    MCD_OPC_CheckField, 16, 5, 0, 107, 41, // Skip to: 13128
+/* 2525 */    MCD_OPC_Decode, 194, 5, 77, // Opcode: FLOOR_W_D32
+/* 2529 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2560
+/* 2533 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 2536 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2548
+/* 2540 */    MCD_OPC_CheckPredicate, 22, 88, 41, // Skip to: 13128
+/* 2544 */    MCD_OPC_Decode, 168, 8, 78, // Opcode: MOVF_D32
+/* 2548 */    MCD_OPC_FilterValue, 1, 80, 41, // Skip to: 13128
+/* 2552 */    MCD_OPC_CheckPredicate, 22, 76, 41, // Skip to: 13128
+/* 2556 */    MCD_OPC_Decode, 188, 8, 78, // Opcode: MOVT_D32
+/* 2560 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2572
+/* 2564 */    MCD_OPC_CheckPredicate, 22, 64, 41, // Skip to: 13128
+/* 2568 */    MCD_OPC_Decode, 200, 8, 79, // Opcode: MOVZ_I_D32
+/* 2572 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2584
+/* 2576 */    MCD_OPC_CheckPredicate, 22, 52, 41, // Skip to: 13128
+/* 2580 */    MCD_OPC_Decode, 180, 8, 79, // Opcode: MOVN_I_D32
+/* 2584 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2602
+/* 2588 */    MCD_OPC_CheckPredicate, 19, 40, 41, // Skip to: 13128
+/* 2592 */    MCD_OPC_CheckField, 16, 5, 0, 34, 41, // Skip to: 13128
+/* 2598 */    MCD_OPC_Decode, 178, 3, 77, // Opcode: CVT_S_D32
+/* 2602 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2620
+/* 2606 */    MCD_OPC_CheckPredicate, 19, 22, 41, // Skip to: 13128
+/* 2610 */    MCD_OPC_CheckField, 16, 5, 0, 16, 41, // Skip to: 13128
+/* 2616 */    MCD_OPC_Decode, 184, 3, 77, // Opcode: CVT_W_D32
+/* 2620 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2638
+/* 2624 */    MCD_OPC_CheckPredicate, 20, 4, 41, // Skip to: 13128
+/* 2628 */    MCD_OPC_CheckField, 16, 5, 0, 254, 40, // Skip to: 13128
+/* 2634 */    MCD_OPC_Decode, 174, 3, 80, // Opcode: CVT_L_D64
+/* 2638 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2656
+/* 2642 */    MCD_OPC_CheckPredicate, 23, 242, 40, // Skip to: 13128
+/* 2646 */    MCD_OPC_CheckField, 6, 5, 0, 236, 40, // Skip to: 13128
+/* 2652 */    MCD_OPC_Decode, 192, 3, 81, // Opcode: C_F_D32
+/* 2656 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2674
+/* 2660 */    MCD_OPC_CheckPredicate, 23, 224, 40, // Skip to: 13128
+/* 2664 */    MCD_OPC_CheckField, 6, 5, 0, 218, 40, // Skip to: 13128
+/* 2670 */    MCD_OPC_Decode, 234, 3, 81, // Opcode: C_UN_D32
+/* 2674 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2692
+/* 2678 */    MCD_OPC_CheckPredicate, 23, 206, 40, // Skip to: 13128
+/* 2682 */    MCD_OPC_CheckField, 6, 5, 0, 200, 40, // Skip to: 13128
+/* 2688 */    MCD_OPC_Decode, 189, 3, 81, // Opcode: C_EQ_D32
+/* 2692 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2710
+/* 2696 */    MCD_OPC_CheckPredicate, 23, 188, 40, // Skip to: 13128
+/* 2700 */    MCD_OPC_CheckField, 6, 5, 0, 182, 40, // Skip to: 13128
+/* 2706 */    MCD_OPC_Decode, 225, 3, 81, // Opcode: C_UEQ_D32
+/* 2710 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2728
+/* 2714 */    MCD_OPC_CheckPredicate, 23, 170, 40, // Skip to: 13128
+/* 2718 */    MCD_OPC_CheckField, 6, 5, 0, 164, 40, // Skip to: 13128
+/* 2724 */    MCD_OPC_Decode, 216, 3, 81, // Opcode: C_OLT_D32
+/* 2728 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2746
+/* 2732 */    MCD_OPC_CheckPredicate, 23, 152, 40, // Skip to: 13128
+/* 2736 */    MCD_OPC_CheckField, 6, 5, 0, 146, 40, // Skip to: 13128
+/* 2742 */    MCD_OPC_Decode, 231, 3, 81, // Opcode: C_ULT_D32
+/* 2746 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2764
+/* 2750 */    MCD_OPC_CheckPredicate, 23, 134, 40, // Skip to: 13128
+/* 2754 */    MCD_OPC_CheckField, 6, 5, 0, 128, 40, // Skip to: 13128
+/* 2760 */    MCD_OPC_Decode, 213, 3, 81, // Opcode: C_OLE_D32
+/* 2764 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2782
+/* 2768 */    MCD_OPC_CheckPredicate, 23, 116, 40, // Skip to: 13128
+/* 2772 */    MCD_OPC_CheckField, 6, 5, 0, 110, 40, // Skip to: 13128
+/* 2778 */    MCD_OPC_Decode, 228, 3, 81, // Opcode: C_ULE_D32
+/* 2782 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2800
+/* 2786 */    MCD_OPC_CheckPredicate, 23, 98, 40, // Skip to: 13128
+/* 2790 */    MCD_OPC_CheckField, 6, 5, 0, 92, 40, // Skip to: 13128
+/* 2796 */    MCD_OPC_Decode, 222, 3, 81, // Opcode: C_SF_D32
+/* 2800 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2818
+/* 2804 */    MCD_OPC_CheckPredicate, 23, 80, 40, // Skip to: 13128
+/* 2808 */    MCD_OPC_CheckField, 6, 5, 0, 74, 40, // Skip to: 13128
+/* 2814 */    MCD_OPC_Decode, 204, 3, 81, // Opcode: C_NGLE_D32
+/* 2818 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2836
+/* 2822 */    MCD_OPC_CheckPredicate, 23, 62, 40, // Skip to: 13128
+/* 2826 */    MCD_OPC_CheckField, 6, 5, 0, 56, 40, // Skip to: 13128
+/* 2832 */    MCD_OPC_Decode, 219, 3, 81, // Opcode: C_SEQ_D32
+/* 2836 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2854
+/* 2840 */    MCD_OPC_CheckPredicate, 23, 44, 40, // Skip to: 13128
+/* 2844 */    MCD_OPC_CheckField, 6, 5, 0, 38, 40, // Skip to: 13128
+/* 2850 */    MCD_OPC_Decode, 207, 3, 81, // Opcode: C_NGL_D32
+/* 2854 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2872
+/* 2858 */    MCD_OPC_CheckPredicate, 23, 26, 40, // Skip to: 13128
+/* 2862 */    MCD_OPC_CheckField, 6, 5, 0, 20, 40, // Skip to: 13128
+/* 2868 */    MCD_OPC_Decode, 198, 3, 81, // Opcode: C_LT_D32
+/* 2872 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2890
+/* 2876 */    MCD_OPC_CheckPredicate, 23, 8, 40, // Skip to: 13128
+/* 2880 */    MCD_OPC_CheckField, 6, 5, 0, 2, 40, // Skip to: 13128
+/* 2886 */    MCD_OPC_Decode, 201, 3, 81, // Opcode: C_NGE_D32
+/* 2890 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2908
+/* 2894 */    MCD_OPC_CheckPredicate, 23, 246, 39, // Skip to: 13128
+/* 2898 */    MCD_OPC_CheckField, 6, 5, 0, 240, 39, // Skip to: 13128
+/* 2904 */    MCD_OPC_Decode, 195, 3, 81, // Opcode: C_LE_D32
+/* 2908 */    MCD_OPC_FilterValue, 63, 232, 39, // Skip to: 13128
+/* 2912 */    MCD_OPC_CheckPredicate, 23, 228, 39, // Skip to: 13128
+/* 2916 */    MCD_OPC_CheckField, 6, 5, 0, 222, 39, // Skip to: 13128
+/* 2922 */    MCD_OPC_Decode, 210, 3, 81, // Opcode: C_NGT_D32
+/* 2926 */    MCD_OPC_FilterValue, 20, 39, 0, // Skip to: 2969
+/* 2930 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2933 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2951
+/* 2937 */    MCD_OPC_CheckPredicate, 1, 203, 39, // Skip to: 13128
+/* 2941 */    MCD_OPC_CheckField, 16, 5, 0, 197, 39, // Skip to: 13128
+/* 2947 */    MCD_OPC_Decode, 182, 3, 69, // Opcode: CVT_S_W
+/* 2951 */    MCD_OPC_FilterValue, 33, 189, 39, // Skip to: 13128
+/* 2955 */    MCD_OPC_CheckPredicate, 19, 185, 39, // Skip to: 13128
+/* 2959 */    MCD_OPC_CheckField, 16, 5, 0, 179, 39, // Skip to: 13128
+/* 2965 */    MCD_OPC_Decode, 168, 3, 72, // Opcode: CVT_D32_W
+/* 2969 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 2981
+/* 2973 */    MCD_OPC_CheckPredicate, 6, 167, 39, // Skip to: 13128
+/* 2977 */    MCD_OPC_Decode, 145, 2, 67, // Opcode: BZ_B
+/* 2981 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 2993
+/* 2985 */    MCD_OPC_CheckPredicate, 6, 155, 39, // Skip to: 13128
+/* 2989 */    MCD_OPC_Decode, 147, 2, 82, // Opcode: BZ_H
+/* 2993 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 3005
+/* 2997 */    MCD_OPC_CheckPredicate, 6, 143, 39, // Skip to: 13128
+/* 3001 */    MCD_OPC_Decode, 149, 2, 83, // Opcode: BZ_W
+/* 3005 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 3017
+/* 3009 */    MCD_OPC_CheckPredicate, 6, 131, 39, // Skip to: 13128
+/* 3013 */    MCD_OPC_Decode, 146, 2, 84, // Opcode: BZ_D
+/* 3017 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 3029
+/* 3021 */    MCD_OPC_CheckPredicate, 6, 119, 39, // Skip to: 13128
+/* 3025 */    MCD_OPC_Decode, 248, 1, 67, // Opcode: BNZ_B
+/* 3029 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3041
+/* 3033 */    MCD_OPC_CheckPredicate, 6, 107, 39, // Skip to: 13128
+/* 3037 */    MCD_OPC_Decode, 250, 1, 82, // Opcode: BNZ_H
+/* 3041 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 3053
+/* 3045 */    MCD_OPC_CheckPredicate, 6, 95, 39, // Skip to: 13128
+/* 3049 */    MCD_OPC_Decode, 252, 1, 83, // Opcode: BNZ_W
+/* 3053 */    MCD_OPC_FilterValue, 31, 87, 39, // Skip to: 13128
+/* 3057 */    MCD_OPC_CheckPredicate, 6, 83, 39, // Skip to: 13128
+/* 3061 */    MCD_OPC_Decode, 249, 1, 84, // Opcode: BNZ_D
+/* 3065 */    MCD_OPC_FilterValue, 18, 39, 0, // Skip to: 3108
+/* 3069 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3072 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3090
+/* 3076 */    MCD_OPC_CheckPredicate, 1, 64, 39, // Skip to: 13128
+/* 3080 */    MCD_OPC_CheckField, 3, 8, 0, 58, 39, // Skip to: 13128
+/* 3086 */    MCD_OPC_Decode, 243, 7, 57, // Opcode: MFC2
+/* 3090 */    MCD_OPC_FilterValue, 4, 50, 39, // Skip to: 13128
+/* 3094 */    MCD_OPC_CheckPredicate, 1, 46, 39, // Skip to: 13128
+/* 3098 */    MCD_OPC_CheckField, 3, 8, 0, 40, 39, // Skip to: 13128
+/* 3104 */    MCD_OPC_Decode, 232, 8, 57, // Opcode: MTC2
+/* 3108 */    MCD_OPC_FilterValue, 19, 207, 0, // Skip to: 3319
+/* 3112 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3115 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3133
+/* 3119 */    MCD_OPC_CheckPredicate, 24, 21, 39, // Skip to: 13128
+/* 3123 */    MCD_OPC_CheckField, 11, 5, 0, 15, 39, // Skip to: 13128
+/* 3129 */    MCD_OPC_Decode, 171, 7, 85, // Opcode: LWXC1
+/* 3133 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 3151
+/* 3137 */    MCD_OPC_CheckPredicate, 25, 3, 39, // Skip to: 13128
+/* 3141 */    MCD_OPC_CheckField, 11, 5, 0, 253, 38, // Skip to: 13128
+/* 3147 */    MCD_OPC_Decode, 246, 6, 86, // Opcode: LDXC1
+/* 3151 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 3169
+/* 3155 */    MCD_OPC_CheckPredicate, 26, 241, 38, // Skip to: 13128
+/* 3159 */    MCD_OPC_CheckField, 11, 5, 0, 235, 38, // Skip to: 13128
+/* 3165 */    MCD_OPC_Decode, 148, 7, 86, // Opcode: LUXC1
+/* 3169 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 3187
+/* 3173 */    MCD_OPC_CheckPredicate, 24, 223, 38, // Skip to: 13128
+/* 3177 */    MCD_OPC_CheckField, 6, 5, 0, 217, 38, // Skip to: 13128
+/* 3183 */    MCD_OPC_Decode, 152, 12, 87, // Opcode: SWXC1
+/* 3187 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3205
+/* 3191 */    MCD_OPC_CheckPredicate, 25, 205, 38, // Skip to: 13128
+/* 3195 */    MCD_OPC_CheckField, 6, 5, 0, 199, 38, // Skip to: 13128
+/* 3201 */    MCD_OPC_Decode, 203, 10, 88, // Opcode: SDXC1
+/* 3205 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 3223
+/* 3209 */    MCD_OPC_CheckPredicate, 26, 187, 38, // Skip to: 13128
+/* 3213 */    MCD_OPC_CheckField, 6, 5, 0, 181, 38, // Skip to: 13128
+/* 3219 */    MCD_OPC_Decode, 136, 12, 88, // Opcode: SUXC1
+/* 3223 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3235
+/* 3227 */    MCD_OPC_CheckPredicate, 27, 169, 38, // Skip to: 13128
+/* 3231 */    MCD_OPC_Decode, 210, 7, 89, // Opcode: MADD_S
+/* 3235 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3247
+/* 3239 */    MCD_OPC_CheckPredicate, 28, 157, 38, // Skip to: 13128
+/* 3243 */    MCD_OPC_Decode, 203, 7, 90, // Opcode: MADD_D32
+/* 3247 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3259
+/* 3251 */    MCD_OPC_CheckPredicate, 27, 145, 38, // Skip to: 13128
+/* 3255 */    MCD_OPC_Decode, 227, 8, 89, // Opcode: MSUB_S
+/* 3259 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3271
+/* 3263 */    MCD_OPC_CheckPredicate, 28, 133, 38, // Skip to: 13128
+/* 3267 */    MCD_OPC_Decode, 220, 8, 90, // Opcode: MSUB_D32
+/* 3271 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 3283
+/* 3275 */    MCD_OPC_CheckPredicate, 27, 121, 38, // Skip to: 13128
+/* 3279 */    MCD_OPC_Decode, 174, 9, 89, // Opcode: NMADD_S
+/* 3283 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3295
+/* 3287 */    MCD_OPC_CheckPredicate, 28, 109, 38, // Skip to: 13128
+/* 3291 */    MCD_OPC_Decode, 171, 9, 90, // Opcode: NMADD_D32
+/* 3295 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 3307
+/* 3299 */    MCD_OPC_CheckPredicate, 27, 97, 38, // Skip to: 13128
+/* 3303 */    MCD_OPC_Decode, 179, 9, 89, // Opcode: NMSUB_S
+/* 3307 */    MCD_OPC_FilterValue, 57, 89, 38, // Skip to: 13128
+/* 3311 */    MCD_OPC_CheckPredicate, 28, 85, 38, // Skip to: 13128
+/* 3315 */    MCD_OPC_Decode, 176, 9, 90, // Opcode: NMSUB_D32
+/* 3319 */    MCD_OPC_FilterValue, 28, 229, 0, // Skip to: 3552
+/* 3323 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3326 */    MCD_OPC_FilterValue, 0, 36, 0, // Skip to: 3366
+/* 3330 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3333 */    MCD_OPC_FilterValue, 0, 63, 38, // Skip to: 13128
+/* 3337 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3340 */    MCD_OPC_FilterValue, 0, 56, 38, // Skip to: 13128
+/* 3344 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3358
+/* 3348 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3358
+/* 3354 */    MCD_OPC_Decode, 191, 7, 23, // Opcode: MADD
+/* 3358 */    MCD_OPC_CheckPredicate, 11, 38, 38, // Skip to: 13128
+/* 3362 */    MCD_OPC_Decode, 206, 7, 91, // Opcode: MADD_DSP
+/* 3366 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 3406
+/* 3370 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3373 */    MCD_OPC_FilterValue, 0, 23, 38, // Skip to: 13128
+/* 3377 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3380 */    MCD_OPC_FilterValue, 0, 16, 38, // Skip to: 13128
+/* 3384 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3398
+/* 3388 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3398
+/* 3394 */    MCD_OPC_Decode, 196, 7, 23, // Opcode: MADDU
+/* 3398 */    MCD_OPC_CheckPredicate, 11, 254, 37, // Skip to: 13128
+/* 3402 */    MCD_OPC_Decode, 197, 7, 91, // Opcode: MADDU_DSP
+/* 3406 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 3424
+/* 3410 */    MCD_OPC_CheckPredicate, 7, 242, 37, // Skip to: 13128
+/* 3414 */    MCD_OPC_CheckField, 6, 5, 0, 236, 37, // Skip to: 13128
+/* 3420 */    MCD_OPC_Decode, 253, 8, 16, // Opcode: MUL
+/* 3424 */    MCD_OPC_FilterValue, 4, 36, 0, // Skip to: 3464
+/* 3428 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3431 */    MCD_OPC_FilterValue, 0, 221, 37, // Skip to: 13128
+/* 3435 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3438 */    MCD_OPC_FilterValue, 0, 214, 37, // Skip to: 13128
+/* 3442 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3456
+/* 3446 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3456
+/* 3452 */    MCD_OPC_Decode, 208, 8, 23, // Opcode: MSUB
+/* 3456 */    MCD_OPC_CheckPredicate, 11, 196, 37, // Skip to: 13128
+/* 3460 */    MCD_OPC_Decode, 223, 8, 91, // Opcode: MSUB_DSP
+/* 3464 */    MCD_OPC_FilterValue, 5, 36, 0, // Skip to: 3504
+/* 3468 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3471 */    MCD_OPC_FilterValue, 0, 181, 37, // Skip to: 13128
+/* 3475 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 3478 */    MCD_OPC_FilterValue, 0, 174, 37, // Skip to: 13128
+/* 3482 */    MCD_OPC_CheckPredicate, 7, 10, 0, // Skip to: 3496
+/* 3486 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3496
+/* 3492 */    MCD_OPC_Decode, 213, 8, 23, // Opcode: MSUBU
+/* 3496 */    MCD_OPC_CheckPredicate, 11, 156, 37, // Skip to: 13128
+/* 3500 */    MCD_OPC_Decode, 214, 8, 91, // Opcode: MSUBU_DSP
+/* 3504 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 3522
+/* 3508 */    MCD_OPC_CheckPredicate, 7, 144, 37, // Skip to: 13128
+/* 3512 */    MCD_OPC_CheckField, 6, 5, 0, 138, 37, // Skip to: 13128
+/* 3518 */    MCD_OPC_Decode, 234, 2, 92, // Opcode: CLZ
+/* 3522 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 3540
+/* 3526 */    MCD_OPC_CheckPredicate, 7, 126, 37, // Skip to: 13128
+/* 3530 */    MCD_OPC_CheckField, 6, 5, 0, 120, 37, // Skip to: 13128
+/* 3536 */    MCD_OPC_Decode, 215, 2, 92, // Opcode: CLO
+/* 3540 */    MCD_OPC_FilterValue, 63, 112, 37, // Skip to: 13128
+/* 3544 */    MCD_OPC_CheckPredicate, 7, 108, 37, // Skip to: 13128
+/* 3548 */    MCD_OPC_Decode, 191, 10, 41, // Opcode: SDBBP
+/* 3552 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 3564
+/* 3556 */    MCD_OPC_CheckPredicate, 7, 96, 37, // Skip to: 13128
+/* 3560 */    MCD_OPC_Decode, 209, 6, 53, // Opcode: JALX
+/* 3564 */    MCD_OPC_FilterValue, 30, 179, 26, // Skip to: 10403
+/* 3568 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 3571 */    MCD_OPC_FilterValue, 0, 50, 0, // Skip to: 3625
+/* 3575 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3578 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3589
+/* 3582 */    MCD_OPC_CheckPredicate, 6, 70, 37, // Skip to: 13128
+/* 3586 */    MCD_OPC_Decode, 77, 93, // Opcode: ANDI_B
+/* 3589 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3601
+/* 3593 */    MCD_OPC_CheckPredicate, 6, 59, 37, // Skip to: 13128
+/* 3597 */    MCD_OPC_Decode, 194, 9, 93, // Opcode: ORI_B
+/* 3601 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3613
+/* 3605 */    MCD_OPC_CheckPredicate, 6, 47, 37, // Skip to: 13128
+/* 3609 */    MCD_OPC_Decode, 184, 9, 93, // Opcode: NORI_B
+/* 3613 */    MCD_OPC_FilterValue, 3, 39, 37, // Skip to: 13128
+/* 3617 */    MCD_OPC_CheckPredicate, 6, 35, 37, // Skip to: 13128
+/* 3621 */    MCD_OPC_Decode, 131, 13, 93, // Opcode: XORI_B
+/* 3625 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 3668
+/* 3629 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3632 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3644
+/* 3636 */    MCD_OPC_CheckPredicate, 6, 16, 37, // Skip to: 13128
+/* 3640 */    MCD_OPC_Decode, 229, 1, 94, // Opcode: BMNZI_B
+/* 3644 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3656
+/* 3648 */    MCD_OPC_CheckPredicate, 6, 4, 37, // Skip to: 13128
+/* 3652 */    MCD_OPC_Decode, 231, 1, 94, // Opcode: BMZI_B
+/* 3656 */    MCD_OPC_FilterValue, 2, 252, 36, // Skip to: 13128
+/* 3660 */    MCD_OPC_CheckPredicate, 6, 248, 36, // Skip to: 13128
+/* 3664 */    MCD_OPC_Decode, 130, 2, 94, // Opcode: BSELI_B
+/* 3668 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 3711
+/* 3672 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
+/* 3675 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3687
+/* 3679 */    MCD_OPC_CheckPredicate, 6, 229, 36, // Skip to: 13128
+/* 3683 */    MCD_OPC_Decode, 225, 10, 93, // Opcode: SHF_B
+/* 3687 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3699
+/* 3691 */    MCD_OPC_CheckPredicate, 6, 217, 36, // Skip to: 13128
+/* 3695 */    MCD_OPC_Decode, 226, 10, 95, // Opcode: SHF_H
+/* 3699 */    MCD_OPC_FilterValue, 2, 209, 36, // Skip to: 13128
+/* 3703 */    MCD_OPC_CheckPredicate, 6, 205, 36, // Skip to: 13128
+/* 3707 */    MCD_OPC_Decode, 227, 10, 96, // Opcode: SHF_W
+/* 3711 */    MCD_OPC_FilterValue, 6, 31, 1, // Skip to: 4002
+/* 3715 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 3718 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3729
+/* 3722 */    MCD_OPC_CheckPredicate, 6, 186, 36, // Skip to: 13128
+/* 3726 */    MCD_OPC_Decode, 51, 97, // Opcode: ADDVI_B
+/* 3729 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 3740
+/* 3733 */    MCD_OPC_CheckPredicate, 6, 175, 36, // Skip to: 13128
+/* 3737 */    MCD_OPC_Decode, 53, 98, // Opcode: ADDVI_H
+/* 3740 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3751
+/* 3744 */    MCD_OPC_CheckPredicate, 6, 164, 36, // Skip to: 13128
+/* 3748 */    MCD_OPC_Decode, 54, 99, // Opcode: ADDVI_W
+/* 3751 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 3762
+/* 3755 */    MCD_OPC_CheckPredicate, 6, 153, 36, // Skip to: 13128
+/* 3759 */    MCD_OPC_Decode, 52, 100, // Opcode: ADDVI_D
+/* 3762 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 3774
+/* 3766 */    MCD_OPC_CheckPredicate, 6, 142, 36, // Skip to: 13128
+/* 3770 */    MCD_OPC_Decode, 253, 11, 97, // Opcode: SUBVI_B
+/* 3774 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 3786
+/* 3778 */    MCD_OPC_CheckPredicate, 6, 130, 36, // Skip to: 13128
+/* 3782 */    MCD_OPC_Decode, 255, 11, 98, // Opcode: SUBVI_H
+/* 3786 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 3798
+/* 3790 */    MCD_OPC_CheckPredicate, 6, 118, 36, // Skip to: 13128
+/* 3794 */    MCD_OPC_Decode, 128, 12, 99, // Opcode: SUBVI_W
+/* 3798 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 3810
+/* 3802 */    MCD_OPC_CheckPredicate, 6, 106, 36, // Skip to: 13128
+/* 3806 */    MCD_OPC_Decode, 254, 11, 100, // Opcode: SUBVI_D
+/* 3810 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3822
+/* 3814 */    MCD_OPC_CheckPredicate, 6, 94, 36, // Skip to: 13128
+/* 3818 */    MCD_OPC_Decode, 218, 7, 97, // Opcode: MAXI_S_B
+/* 3822 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3834
+/* 3826 */    MCD_OPC_CheckPredicate, 6, 82, 36, // Skip to: 13128
+/* 3830 */    MCD_OPC_Decode, 220, 7, 98, // Opcode: MAXI_S_H
+/* 3834 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3846
+/* 3838 */    MCD_OPC_CheckPredicate, 6, 70, 36, // Skip to: 13128
+/* 3842 */    MCD_OPC_Decode, 221, 7, 99, // Opcode: MAXI_S_W
+/* 3846 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3858
+/* 3850 */    MCD_OPC_CheckPredicate, 6, 58, 36, // Skip to: 13128
+/* 3854 */    MCD_OPC_Decode, 219, 7, 100, // Opcode: MAXI_S_D
+/* 3858 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3870
+/* 3862 */    MCD_OPC_CheckPredicate, 6, 46, 36, // Skip to: 13128
+/* 3866 */    MCD_OPC_Decode, 222, 7, 97, // Opcode: MAXI_U_B
+/* 3870 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3882
+/* 3874 */    MCD_OPC_CheckPredicate, 6, 34, 36, // Skip to: 13128
+/* 3878 */    MCD_OPC_Decode, 224, 7, 98, // Opcode: MAXI_U_H
+/* 3882 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3894
+/* 3886 */    MCD_OPC_CheckPredicate, 6, 22, 36, // Skip to: 13128
+/* 3890 */    MCD_OPC_Decode, 225, 7, 99, // Opcode: MAXI_U_W
+/* 3894 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3906
+/* 3898 */    MCD_OPC_CheckPredicate, 6, 10, 36, // Skip to: 13128
+/* 3902 */    MCD_OPC_Decode, 223, 7, 100, // Opcode: MAXI_U_D
+/* 3906 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3918
+/* 3910 */    MCD_OPC_CheckPredicate, 6, 254, 35, // Skip to: 13128
+/* 3914 */    MCD_OPC_Decode, 131, 8, 97, // Opcode: MINI_S_B
+/* 3918 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3930
+/* 3922 */    MCD_OPC_CheckPredicate, 6, 242, 35, // Skip to: 13128
+/* 3926 */    MCD_OPC_Decode, 133, 8, 98, // Opcode: MINI_S_H
+/* 3930 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3942
+/* 3934 */    MCD_OPC_CheckPredicate, 6, 230, 35, // Skip to: 13128
+/* 3938 */    MCD_OPC_Decode, 134, 8, 99, // Opcode: MINI_S_W
+/* 3942 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3954
+/* 3946 */    MCD_OPC_CheckPredicate, 6, 218, 35, // Skip to: 13128
+/* 3950 */    MCD_OPC_Decode, 132, 8, 100, // Opcode: MINI_S_D
+/* 3954 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3966
+/* 3958 */    MCD_OPC_CheckPredicate, 6, 206, 35, // Skip to: 13128
+/* 3962 */    MCD_OPC_Decode, 135, 8, 97, // Opcode: MINI_U_B
+/* 3966 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3978
+/* 3970 */    MCD_OPC_CheckPredicate, 6, 194, 35, // Skip to: 13128
+/* 3974 */    MCD_OPC_Decode, 137, 8, 98, // Opcode: MINI_U_H
+/* 3978 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3990
+/* 3982 */    MCD_OPC_CheckPredicate, 6, 182, 35, // Skip to: 13128
+/* 3986 */    MCD_OPC_Decode, 138, 8, 99, // Opcode: MINI_U_W
+/* 3990 */    MCD_OPC_FilterValue, 23, 174, 35, // Skip to: 13128
+/* 3994 */    MCD_OPC_CheckPredicate, 6, 170, 35, // Skip to: 13128
+/* 3998 */    MCD_OPC_Decode, 136, 8, 100, // Opcode: MINI_U_D
+/* 4002 */    MCD_OPC_FilterValue, 7, 35, 1, // Skip to: 4297
+/* 4006 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 4009 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4021
+/* 4013 */    MCD_OPC_CheckPredicate, 6, 151, 35, // Skip to: 13128
+/* 4017 */    MCD_OPC_Decode, 184, 2, 97, // Opcode: CEQI_B
+/* 4021 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4033
+/* 4025 */    MCD_OPC_CheckPredicate, 6, 139, 35, // Skip to: 13128
+/* 4029 */    MCD_OPC_Decode, 186, 2, 98, // Opcode: CEQI_H
+/* 4033 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4045
+/* 4037 */    MCD_OPC_CheckPredicate, 6, 127, 35, // Skip to: 13128
+/* 4041 */    MCD_OPC_Decode, 187, 2, 99, // Opcode: CEQI_W
+/* 4045 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4057
+/* 4049 */    MCD_OPC_CheckPredicate, 6, 115, 35, // Skip to: 13128
+/* 4053 */    MCD_OPC_Decode, 185, 2, 100, // Opcode: CEQI_D
+/* 4057 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4069
+/* 4061 */    MCD_OPC_CheckPredicate, 6, 103, 35, // Skip to: 13128
+/* 4065 */    MCD_OPC_Decode, 218, 2, 97, // Opcode: CLTI_S_B
+/* 4069 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4081
+/* 4073 */    MCD_OPC_CheckPredicate, 6, 91, 35, // Skip to: 13128
+/* 4077 */    MCD_OPC_Decode, 220, 2, 98, // Opcode: CLTI_S_H
+/* 4081 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4093
+/* 4085 */    MCD_OPC_CheckPredicate, 6, 79, 35, // Skip to: 13128
+/* 4089 */    MCD_OPC_Decode, 221, 2, 99, // Opcode: CLTI_S_W
+/* 4093 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 4105
+/* 4097 */    MCD_OPC_CheckPredicate, 6, 67, 35, // Skip to: 13128
+/* 4101 */    MCD_OPC_Decode, 219, 2, 100, // Opcode: CLTI_S_D
+/* 4105 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4117
+/* 4109 */    MCD_OPC_CheckPredicate, 6, 55, 35, // Skip to: 13128
+/* 4113 */    MCD_OPC_Decode, 222, 2, 97, // Opcode: CLTI_U_B
+/* 4117 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 4129
+/* 4121 */    MCD_OPC_CheckPredicate, 6, 43, 35, // Skip to: 13128
+/* 4125 */    MCD_OPC_Decode, 224, 2, 98, // Opcode: CLTI_U_H
+/* 4129 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4141
+/* 4133 */    MCD_OPC_CheckPredicate, 6, 31, 35, // Skip to: 13128
+/* 4137 */    MCD_OPC_Decode, 225, 2, 99, // Opcode: CLTI_U_W
+/* 4141 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 4153
+/* 4145 */    MCD_OPC_CheckPredicate, 6, 19, 35, // Skip to: 13128
+/* 4149 */    MCD_OPC_Decode, 223, 2, 100, // Opcode: CLTI_U_D
+/* 4153 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 4165
+/* 4157 */    MCD_OPC_CheckPredicate, 6, 7, 35, // Skip to: 13128
+/* 4161 */    MCD_OPC_Decode, 199, 2, 97, // Opcode: CLEI_S_B
+/* 4165 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 4177
+/* 4169 */    MCD_OPC_CheckPredicate, 6, 251, 34, // Skip to: 13128
+/* 4173 */    MCD_OPC_Decode, 201, 2, 98, // Opcode: CLEI_S_H
+/* 4177 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 4189
+/* 4181 */    MCD_OPC_CheckPredicate, 6, 239, 34, // Skip to: 13128
+/* 4185 */    MCD_OPC_Decode, 202, 2, 99, // Opcode: CLEI_S_W
+/* 4189 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 4201
+/* 4193 */    MCD_OPC_CheckPredicate, 6, 227, 34, // Skip to: 13128
+/* 4197 */    MCD_OPC_Decode, 200, 2, 100, // Opcode: CLEI_S_D
+/* 4201 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 4213
+/* 4205 */    MCD_OPC_CheckPredicate, 6, 215, 34, // Skip to: 13128
+/* 4209 */    MCD_OPC_Decode, 203, 2, 97, // Opcode: CLEI_U_B
+/* 4213 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 4225
+/* 4217 */    MCD_OPC_CheckPredicate, 6, 203, 34, // Skip to: 13128
+/* 4221 */    MCD_OPC_Decode, 205, 2, 98, // Opcode: CLEI_U_H
+/* 4225 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 4237
+/* 4229 */    MCD_OPC_CheckPredicate, 6, 191, 34, // Skip to: 13128
+/* 4233 */    MCD_OPC_Decode, 206, 2, 99, // Opcode: CLEI_U_W
+/* 4237 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 4249
+/* 4241 */    MCD_OPC_CheckPredicate, 6, 179, 34, // Skip to: 13128
+/* 4245 */    MCD_OPC_Decode, 204, 2, 100, // Opcode: CLEI_U_D
+/* 4249 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 4261
+/* 4253 */    MCD_OPC_CheckPredicate, 6, 167, 34, // Skip to: 13128
+/* 4257 */    MCD_OPC_Decode, 239, 6, 101, // Opcode: LDI_B
+/* 4261 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4273
+/* 4265 */    MCD_OPC_CheckPredicate, 6, 155, 34, // Skip to: 13128
+/* 4269 */    MCD_OPC_Decode, 241, 6, 102, // Opcode: LDI_H
+/* 4273 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4285
+/* 4277 */    MCD_OPC_CheckPredicate, 6, 143, 34, // Skip to: 13128
+/* 4281 */    MCD_OPC_Decode, 242, 6, 103, // Opcode: LDI_W
+/* 4285 */    MCD_OPC_FilterValue, 27, 135, 34, // Skip to: 13128
+/* 4289 */    MCD_OPC_CheckPredicate, 6, 131, 34, // Skip to: 13128
+/* 4293 */    MCD_OPC_Decode, 240, 6, 104, // Opcode: LDI_D
+/* 4297 */    MCD_OPC_FilterValue, 9, 35, 2, // Skip to: 4848
+/* 4301 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 4304 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4316
+/* 4308 */    MCD_OPC_CheckPredicate, 6, 112, 34, // Skip to: 13128
+/* 4312 */    MCD_OPC_Decode, 137, 11, 105, // Opcode: SLLI_D
+/* 4316 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4372
+/* 4320 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4323 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4335
+/* 4327 */    MCD_OPC_CheckPredicate, 6, 93, 34, // Skip to: 13128
+/* 4331 */    MCD_OPC_Decode, 139, 11, 99, // Opcode: SLLI_W
+/* 4335 */    MCD_OPC_FilterValue, 1, 85, 34, // Skip to: 13128
+/* 4339 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4342 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4354
+/* 4346 */    MCD_OPC_CheckPredicate, 6, 74, 34, // Skip to: 13128
+/* 4350 */    MCD_OPC_Decode, 138, 11, 106, // Opcode: SLLI_H
+/* 4354 */    MCD_OPC_FilterValue, 1, 66, 34, // Skip to: 13128
+/* 4358 */    MCD_OPC_CheckPredicate, 6, 62, 34, // Skip to: 13128
+/* 4362 */    MCD_OPC_CheckField, 19, 1, 0, 56, 34, // Skip to: 13128
+/* 4368 */    MCD_OPC_Decode, 136, 11, 107, // Opcode: SLLI_B
+/* 4372 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4384
+/* 4376 */    MCD_OPC_CheckPredicate, 6, 44, 34, // Skip to: 13128
+/* 4380 */    MCD_OPC_Decode, 176, 11, 105, // Opcode: SRAI_D
+/* 4384 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4440
+/* 4388 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4391 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4403
+/* 4395 */    MCD_OPC_CheckPredicate, 6, 25, 34, // Skip to: 13128
+/* 4399 */    MCD_OPC_Decode, 178, 11, 99, // Opcode: SRAI_W
+/* 4403 */    MCD_OPC_FilterValue, 1, 17, 34, // Skip to: 13128
+/* 4407 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4410 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4422
+/* 4414 */    MCD_OPC_CheckPredicate, 6, 6, 34, // Skip to: 13128
+/* 4418 */    MCD_OPC_Decode, 177, 11, 106, // Opcode: SRAI_H
+/* 4422 */    MCD_OPC_FilterValue, 1, 254, 33, // Skip to: 13128
+/* 4426 */    MCD_OPC_CheckPredicate, 6, 250, 33, // Skip to: 13128
+/* 4430 */    MCD_OPC_CheckField, 19, 1, 0, 244, 33, // Skip to: 13128
+/* 4436 */    MCD_OPC_Decode, 175, 11, 107, // Opcode: SRAI_B
+/* 4440 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4452
+/* 4444 */    MCD_OPC_CheckPredicate, 6, 232, 33, // Skip to: 13128
+/* 4448 */    MCD_OPC_Decode, 196, 11, 105, // Opcode: SRLI_D
+/* 4452 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4508
+/* 4456 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4459 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4471
+/* 4463 */    MCD_OPC_CheckPredicate, 6, 213, 33, // Skip to: 13128
+/* 4467 */    MCD_OPC_Decode, 198, 11, 99, // Opcode: SRLI_W
+/* 4471 */    MCD_OPC_FilterValue, 1, 205, 33, // Skip to: 13128
+/* 4475 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4478 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4490
+/* 4482 */    MCD_OPC_CheckPredicate, 6, 194, 33, // Skip to: 13128
+/* 4486 */    MCD_OPC_Decode, 197, 11, 106, // Opcode: SRLI_H
+/* 4490 */    MCD_OPC_FilterValue, 1, 186, 33, // Skip to: 13128
+/* 4494 */    MCD_OPC_CheckPredicate, 6, 182, 33, // Skip to: 13128
+/* 4498 */    MCD_OPC_CheckField, 19, 1, 0, 176, 33, // Skip to: 13128
+/* 4504 */    MCD_OPC_Decode, 195, 11, 107, // Opcode: SRLI_B
+/* 4508 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4520
+/* 4512 */    MCD_OPC_CheckPredicate, 6, 164, 33, // Skip to: 13128
+/* 4516 */    MCD_OPC_Decode, 170, 1, 105, // Opcode: BCLRI_D
+/* 4520 */    MCD_OPC_FilterValue, 7, 52, 0, // Skip to: 4576
+/* 4524 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4527 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4539
+/* 4531 */    MCD_OPC_CheckPredicate, 6, 145, 33, // Skip to: 13128
+/* 4535 */    MCD_OPC_Decode, 172, 1, 99, // Opcode: BCLRI_W
+/* 4539 */    MCD_OPC_FilterValue, 1, 137, 33, // Skip to: 13128
+/* 4543 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4546 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4558
+/* 4550 */    MCD_OPC_CheckPredicate, 6, 126, 33, // Skip to: 13128
+/* 4554 */    MCD_OPC_Decode, 171, 1, 106, // Opcode: BCLRI_H
+/* 4558 */    MCD_OPC_FilterValue, 1, 118, 33, // Skip to: 13128
+/* 4562 */    MCD_OPC_CheckPredicate, 6, 114, 33, // Skip to: 13128
+/* 4566 */    MCD_OPC_CheckField, 19, 1, 0, 108, 33, // Skip to: 13128
+/* 4572 */    MCD_OPC_Decode, 169, 1, 107, // Opcode: BCLRI_B
+/* 4576 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4588
+/* 4580 */    MCD_OPC_CheckPredicate, 6, 96, 33, // Skip to: 13128
+/* 4584 */    MCD_OPC_Decode, 138, 2, 105, // Opcode: BSETI_D
+/* 4588 */    MCD_OPC_FilterValue, 9, 52, 0, // Skip to: 4644
+/* 4592 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4595 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4607
+/* 4599 */    MCD_OPC_CheckPredicate, 6, 77, 33, // Skip to: 13128
+/* 4603 */    MCD_OPC_Decode, 140, 2, 99, // Opcode: BSETI_W
+/* 4607 */    MCD_OPC_FilterValue, 1, 69, 33, // Skip to: 13128
+/* 4611 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4614 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4626
+/* 4618 */    MCD_OPC_CheckPredicate, 6, 58, 33, // Skip to: 13128
+/* 4622 */    MCD_OPC_Decode, 139, 2, 106, // Opcode: BSETI_H
+/* 4626 */    MCD_OPC_FilterValue, 1, 50, 33, // Skip to: 13128
+/* 4630 */    MCD_OPC_CheckPredicate, 6, 46, 33, // Skip to: 13128
+/* 4634 */    MCD_OPC_CheckField, 19, 1, 0, 40, 33, // Skip to: 13128
+/* 4640 */    MCD_OPC_Decode, 137, 2, 107, // Opcode: BSETI_B
+/* 4644 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4656
+/* 4648 */    MCD_OPC_CheckPredicate, 6, 28, 33, // Skip to: 13128
+/* 4652 */    MCD_OPC_Decode, 237, 1, 105, // Opcode: BNEGI_D
+/* 4656 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 4712
+/* 4660 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4663 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4675
+/* 4667 */    MCD_OPC_CheckPredicate, 6, 9, 33, // Skip to: 13128
+/* 4671 */    MCD_OPC_Decode, 239, 1, 99, // Opcode: BNEGI_W
+/* 4675 */    MCD_OPC_FilterValue, 1, 1, 33, // Skip to: 13128
+/* 4679 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4682 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4694
+/* 4686 */    MCD_OPC_CheckPredicate, 6, 246, 32, // Skip to: 13128
+/* 4690 */    MCD_OPC_Decode, 238, 1, 106, // Opcode: BNEGI_H
+/* 4694 */    MCD_OPC_FilterValue, 1, 238, 32, // Skip to: 13128
+/* 4698 */    MCD_OPC_CheckPredicate, 6, 234, 32, // Skip to: 13128
+/* 4702 */    MCD_OPC_CheckField, 19, 1, 0, 228, 32, // Skip to: 13128
+/* 4708 */    MCD_OPC_Decode, 236, 1, 107, // Opcode: BNEGI_B
+/* 4712 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4724
+/* 4716 */    MCD_OPC_CheckPredicate, 6, 216, 32, // Skip to: 13128
+/* 4720 */    MCD_OPC_Decode, 198, 1, 108, // Opcode: BINSLI_D
+/* 4724 */    MCD_OPC_FilterValue, 13, 52, 0, // Skip to: 4780
+/* 4728 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4731 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4743
+/* 4735 */    MCD_OPC_CheckPredicate, 6, 197, 32, // Skip to: 13128
+/* 4739 */    MCD_OPC_Decode, 200, 1, 109, // Opcode: BINSLI_W
+/* 4743 */    MCD_OPC_FilterValue, 1, 189, 32, // Skip to: 13128
+/* 4747 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4750 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4762
+/* 4754 */    MCD_OPC_CheckPredicate, 6, 178, 32, // Skip to: 13128
+/* 4758 */    MCD_OPC_Decode, 199, 1, 110, // Opcode: BINSLI_H
+/* 4762 */    MCD_OPC_FilterValue, 1, 170, 32, // Skip to: 13128
+/* 4766 */    MCD_OPC_CheckPredicate, 6, 166, 32, // Skip to: 13128
+/* 4770 */    MCD_OPC_CheckField, 19, 1, 0, 160, 32, // Skip to: 13128
+/* 4776 */    MCD_OPC_Decode, 197, 1, 111, // Opcode: BINSLI_B
+/* 4780 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4792
+/* 4784 */    MCD_OPC_CheckPredicate, 6, 148, 32, // Skip to: 13128
+/* 4788 */    MCD_OPC_Decode, 206, 1, 108, // Opcode: BINSRI_D
+/* 4792 */    MCD_OPC_FilterValue, 15, 140, 32, // Skip to: 13128
+/* 4796 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4799 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4811
+/* 4803 */    MCD_OPC_CheckPredicate, 6, 129, 32, // Skip to: 13128
+/* 4807 */    MCD_OPC_Decode, 208, 1, 109, // Opcode: BINSRI_W
+/* 4811 */    MCD_OPC_FilterValue, 1, 121, 32, // Skip to: 13128
+/* 4815 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4818 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4830
+/* 4822 */    MCD_OPC_CheckPredicate, 6, 110, 32, // Skip to: 13128
+/* 4826 */    MCD_OPC_Decode, 207, 1, 110, // Opcode: BINSRI_H
+/* 4830 */    MCD_OPC_FilterValue, 1, 102, 32, // Skip to: 13128
+/* 4834 */    MCD_OPC_CheckPredicate, 6, 98, 32, // Skip to: 13128
+/* 4838 */    MCD_OPC_CheckField, 19, 1, 0, 92, 32, // Skip to: 13128
+/* 4844 */    MCD_OPC_Decode, 205, 1, 111, // Opcode: BINSRI_B
+/* 4848 */    MCD_OPC_FilterValue, 10, 19, 1, // Skip to: 5127
+/* 4852 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 4855 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4867
+/* 4859 */    MCD_OPC_CheckPredicate, 6, 73, 32, // Skip to: 13128
+/* 4863 */    MCD_OPC_Decode, 175, 10, 105, // Opcode: SAT_S_D
+/* 4867 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4923
+/* 4871 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4874 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4886
+/* 4878 */    MCD_OPC_CheckPredicate, 6, 54, 32, // Skip to: 13128
+/* 4882 */    MCD_OPC_Decode, 177, 10, 99, // Opcode: SAT_S_W
+/* 4886 */    MCD_OPC_FilterValue, 1, 46, 32, // Skip to: 13128
+/* 4890 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4893 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4905
+/* 4897 */    MCD_OPC_CheckPredicate, 6, 35, 32, // Skip to: 13128
+/* 4901 */    MCD_OPC_Decode, 176, 10, 106, // Opcode: SAT_S_H
+/* 4905 */    MCD_OPC_FilterValue, 1, 27, 32, // Skip to: 13128
+/* 4909 */    MCD_OPC_CheckPredicate, 6, 23, 32, // Skip to: 13128
+/* 4913 */    MCD_OPC_CheckField, 19, 1, 0, 17, 32, // Skip to: 13128
+/* 4919 */    MCD_OPC_Decode, 174, 10, 107, // Opcode: SAT_S_B
+/* 4923 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4935
+/* 4927 */    MCD_OPC_CheckPredicate, 6, 5, 32, // Skip to: 13128
+/* 4931 */    MCD_OPC_Decode, 179, 10, 105, // Opcode: SAT_U_D
+/* 4935 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4991
+/* 4939 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 4942 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4954
+/* 4946 */    MCD_OPC_CheckPredicate, 6, 242, 31, // Skip to: 13128
+/* 4950 */    MCD_OPC_Decode, 181, 10, 99, // Opcode: SAT_U_W
+/* 4954 */    MCD_OPC_FilterValue, 1, 234, 31, // Skip to: 13128
+/* 4958 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 4961 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4973
+/* 4965 */    MCD_OPC_CheckPredicate, 6, 223, 31, // Skip to: 13128
+/* 4969 */    MCD_OPC_Decode, 180, 10, 106, // Opcode: SAT_U_H
+/* 4973 */    MCD_OPC_FilterValue, 1, 215, 31, // Skip to: 13128
+/* 4977 */    MCD_OPC_CheckPredicate, 6, 211, 31, // Skip to: 13128
+/* 4981 */    MCD_OPC_CheckField, 19, 1, 0, 205, 31, // Skip to: 13128
+/* 4987 */    MCD_OPC_Decode, 178, 10, 107, // Opcode: SAT_U_B
+/* 4991 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5003
+/* 4995 */    MCD_OPC_CheckPredicate, 6, 193, 31, // Skip to: 13128
+/* 4999 */    MCD_OPC_Decode, 180, 11, 105, // Opcode: SRARI_D
+/* 5003 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 5059
+/* 5007 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5010 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5022
+/* 5014 */    MCD_OPC_CheckPredicate, 6, 174, 31, // Skip to: 13128
+/* 5018 */    MCD_OPC_Decode, 182, 11, 99, // Opcode: SRARI_W
+/* 5022 */    MCD_OPC_FilterValue, 1, 166, 31, // Skip to: 13128
+/* 5026 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5029 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5041
+/* 5033 */    MCD_OPC_CheckPredicate, 6, 155, 31, // Skip to: 13128
+/* 5037 */    MCD_OPC_Decode, 181, 11, 106, // Opcode: SRARI_H
+/* 5041 */    MCD_OPC_FilterValue, 1, 147, 31, // Skip to: 13128
+/* 5045 */    MCD_OPC_CheckPredicate, 6, 143, 31, // Skip to: 13128
+/* 5049 */    MCD_OPC_CheckField, 19, 1, 0, 137, 31, // Skip to: 13128
+/* 5055 */    MCD_OPC_Decode, 179, 11, 107, // Opcode: SRARI_B
+/* 5059 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5071
+/* 5063 */    MCD_OPC_CheckPredicate, 6, 125, 31, // Skip to: 13128
+/* 5067 */    MCD_OPC_Decode, 200, 11, 105, // Opcode: SRLRI_D
+/* 5071 */    MCD_OPC_FilterValue, 7, 117, 31, // Skip to: 13128
+/* 5075 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
+/* 5078 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5090
+/* 5082 */    MCD_OPC_CheckPredicate, 6, 106, 31, // Skip to: 13128
+/* 5086 */    MCD_OPC_Decode, 202, 11, 99, // Opcode: SRLRI_W
+/* 5090 */    MCD_OPC_FilterValue, 1, 98, 31, // Skip to: 13128
+/* 5094 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 5097 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5109
+/* 5101 */    MCD_OPC_CheckPredicate, 6, 87, 31, // Skip to: 13128
+/* 5105 */    MCD_OPC_Decode, 201, 11, 106, // Opcode: SRLRI_H
+/* 5109 */    MCD_OPC_FilterValue, 1, 79, 31, // Skip to: 13128
+/* 5113 */    MCD_OPC_CheckPredicate, 6, 75, 31, // Skip to: 13128
+/* 5117 */    MCD_OPC_CheckField, 19, 1, 0, 69, 31, // Skip to: 13128
+/* 5123 */    MCD_OPC_Decode, 199, 11, 107, // Opcode: SRLRI_B
+/* 5127 */    MCD_OPC_FilterValue, 13, 131, 1, // Skip to: 5518
+/* 5131 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5134 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5146
+/* 5138 */    MCD_OPC_CheckPredicate, 6, 50, 31, // Skip to: 13128
+/* 5142 */    MCD_OPC_Decode, 142, 11, 112, // Opcode: SLL_B
+/* 5146 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5158
+/* 5150 */    MCD_OPC_CheckPredicate, 6, 38, 31, // Skip to: 13128
+/* 5154 */    MCD_OPC_Decode, 144, 11, 113, // Opcode: SLL_H
+/* 5158 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5170
+/* 5162 */    MCD_OPC_CheckPredicate, 6, 26, 31, // Skip to: 13128
+/* 5166 */    MCD_OPC_Decode, 146, 11, 114, // Opcode: SLL_W
+/* 5170 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5182
+/* 5174 */    MCD_OPC_CheckPredicate, 6, 14, 31, // Skip to: 13128
+/* 5178 */    MCD_OPC_Decode, 143, 11, 115, // Opcode: SLL_D
+/* 5182 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5194
+/* 5186 */    MCD_OPC_CheckPredicate, 6, 2, 31, // Skip to: 13128
+/* 5190 */    MCD_OPC_Decode, 189, 11, 112, // Opcode: SRA_B
+/* 5194 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5206
+/* 5198 */    MCD_OPC_CheckPredicate, 6, 246, 30, // Skip to: 13128
+/* 5202 */    MCD_OPC_Decode, 191, 11, 113, // Opcode: SRA_H
+/* 5206 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5218
+/* 5210 */    MCD_OPC_CheckPredicate, 6, 234, 30, // Skip to: 13128
+/* 5214 */    MCD_OPC_Decode, 193, 11, 114, // Opcode: SRA_W
+/* 5218 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5230
+/* 5222 */    MCD_OPC_CheckPredicate, 6, 222, 30, // Skip to: 13128
+/* 5226 */    MCD_OPC_Decode, 190, 11, 115, // Opcode: SRA_D
+/* 5230 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5242
+/* 5234 */    MCD_OPC_CheckPredicate, 6, 210, 30, // Skip to: 13128
+/* 5238 */    MCD_OPC_Decode, 209, 11, 112, // Opcode: SRL_B
+/* 5242 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5254
+/* 5246 */    MCD_OPC_CheckPredicate, 6, 198, 30, // Skip to: 13128
+/* 5250 */    MCD_OPC_Decode, 211, 11, 113, // Opcode: SRL_H
+/* 5254 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5266
+/* 5258 */    MCD_OPC_CheckPredicate, 6, 186, 30, // Skip to: 13128
+/* 5262 */    MCD_OPC_Decode, 213, 11, 114, // Opcode: SRL_W
+/* 5266 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5278
+/* 5270 */    MCD_OPC_CheckPredicate, 6, 174, 30, // Skip to: 13128
+/* 5274 */    MCD_OPC_Decode, 210, 11, 115, // Opcode: SRL_D
+/* 5278 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5290
+/* 5282 */    MCD_OPC_CheckPredicate, 6, 162, 30, // Skip to: 13128
+/* 5286 */    MCD_OPC_Decode, 173, 1, 112, // Opcode: BCLR_B
+/* 5290 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5302
+/* 5294 */    MCD_OPC_CheckPredicate, 6, 150, 30, // Skip to: 13128
+/* 5298 */    MCD_OPC_Decode, 175, 1, 113, // Opcode: BCLR_H
+/* 5302 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5314
+/* 5306 */    MCD_OPC_CheckPredicate, 6, 138, 30, // Skip to: 13128
+/* 5310 */    MCD_OPC_Decode, 176, 1, 114, // Opcode: BCLR_W
+/* 5314 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5326
+/* 5318 */    MCD_OPC_CheckPredicate, 6, 126, 30, // Skip to: 13128
+/* 5322 */    MCD_OPC_Decode, 174, 1, 115, // Opcode: BCLR_D
+/* 5326 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5338
+/* 5330 */    MCD_OPC_CheckPredicate, 6, 114, 30, // Skip to: 13128
+/* 5334 */    MCD_OPC_Decode, 141, 2, 112, // Opcode: BSET_B
+/* 5338 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5350
+/* 5342 */    MCD_OPC_CheckPredicate, 6, 102, 30, // Skip to: 13128
+/* 5346 */    MCD_OPC_Decode, 143, 2, 113, // Opcode: BSET_H
+/* 5350 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5362
+/* 5354 */    MCD_OPC_CheckPredicate, 6, 90, 30, // Skip to: 13128
+/* 5358 */    MCD_OPC_Decode, 144, 2, 114, // Opcode: BSET_W
+/* 5362 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5374
+/* 5366 */    MCD_OPC_CheckPredicate, 6, 78, 30, // Skip to: 13128
+/* 5370 */    MCD_OPC_Decode, 142, 2, 115, // Opcode: BSET_D
+/* 5374 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5386
+/* 5378 */    MCD_OPC_CheckPredicate, 6, 66, 30, // Skip to: 13128
+/* 5382 */    MCD_OPC_Decode, 240, 1, 112, // Opcode: BNEG_B
+/* 5386 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5398
+/* 5390 */    MCD_OPC_CheckPredicate, 6, 54, 30, // Skip to: 13128
+/* 5394 */    MCD_OPC_Decode, 242, 1, 113, // Opcode: BNEG_H
+/* 5398 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5410
+/* 5402 */    MCD_OPC_CheckPredicate, 6, 42, 30, // Skip to: 13128
+/* 5406 */    MCD_OPC_Decode, 243, 1, 114, // Opcode: BNEG_W
+/* 5410 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5422
+/* 5414 */    MCD_OPC_CheckPredicate, 6, 30, 30, // Skip to: 13128
+/* 5418 */    MCD_OPC_Decode, 241, 1, 115, // Opcode: BNEG_D
+/* 5422 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5434
+/* 5426 */    MCD_OPC_CheckPredicate, 6, 18, 30, // Skip to: 13128
+/* 5430 */    MCD_OPC_Decode, 201, 1, 116, // Opcode: BINSL_B
+/* 5434 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5446
+/* 5438 */    MCD_OPC_CheckPredicate, 6, 6, 30, // Skip to: 13128
+/* 5442 */    MCD_OPC_Decode, 203, 1, 117, // Opcode: BINSL_H
+/* 5446 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5458
+/* 5450 */    MCD_OPC_CheckPredicate, 6, 250, 29, // Skip to: 13128
+/* 5454 */    MCD_OPC_Decode, 204, 1, 118, // Opcode: BINSL_W
+/* 5458 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5470
+/* 5462 */    MCD_OPC_CheckPredicate, 6, 238, 29, // Skip to: 13128
+/* 5466 */    MCD_OPC_Decode, 202, 1, 119, // Opcode: BINSL_D
+/* 5470 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5482
+/* 5474 */    MCD_OPC_CheckPredicate, 6, 226, 29, // Skip to: 13128
+/* 5478 */    MCD_OPC_Decode, 209, 1, 116, // Opcode: BINSR_B
+/* 5482 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5494
+/* 5486 */    MCD_OPC_CheckPredicate, 6, 214, 29, // Skip to: 13128
+/* 5490 */    MCD_OPC_Decode, 211, 1, 117, // Opcode: BINSR_H
+/* 5494 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5506
+/* 5498 */    MCD_OPC_CheckPredicate, 6, 202, 29, // Skip to: 13128
+/* 5502 */    MCD_OPC_Decode, 212, 1, 118, // Opcode: BINSR_W
+/* 5506 */    MCD_OPC_FilterValue, 31, 194, 29, // Skip to: 13128
+/* 5510 */    MCD_OPC_CheckPredicate, 6, 190, 29, // Skip to: 13128
+/* 5514 */    MCD_OPC_Decode, 210, 1, 119, // Opcode: BINSR_D
+/* 5518 */    MCD_OPC_FilterValue, 14, 127, 1, // Skip to: 5905
+/* 5522 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5525 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5536
+/* 5529 */    MCD_OPC_CheckPredicate, 6, 171, 29, // Skip to: 13128
+/* 5533 */    MCD_OPC_Decode, 55, 112, // Opcode: ADDV_B
+/* 5536 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5547
+/* 5540 */    MCD_OPC_CheckPredicate, 6, 160, 29, // Skip to: 13128
+/* 5544 */    MCD_OPC_Decode, 57, 113, // Opcode: ADDV_H
+/* 5547 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5558
+/* 5551 */    MCD_OPC_CheckPredicate, 6, 149, 29, // Skip to: 13128
+/* 5555 */    MCD_OPC_Decode, 58, 114, // Opcode: ADDV_W
+/* 5558 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5569
+/* 5562 */    MCD_OPC_CheckPredicate, 6, 138, 29, // Skip to: 13128
+/* 5566 */    MCD_OPC_Decode, 56, 115, // Opcode: ADDV_D
+/* 5569 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5581
+/* 5573 */    MCD_OPC_CheckPredicate, 6, 127, 29, // Skip to: 13128
+/* 5577 */    MCD_OPC_Decode, 129, 12, 112, // Opcode: SUBV_B
+/* 5581 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5593
+/* 5585 */    MCD_OPC_CheckPredicate, 6, 115, 29, // Skip to: 13128
+/* 5589 */    MCD_OPC_Decode, 131, 12, 113, // Opcode: SUBV_H
+/* 5593 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5605
+/* 5597 */    MCD_OPC_CheckPredicate, 6, 103, 29, // Skip to: 13128
+/* 5601 */    MCD_OPC_Decode, 132, 12, 114, // Opcode: SUBV_W
+/* 5605 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5617
+/* 5609 */    MCD_OPC_CheckPredicate, 6, 91, 29, // Skip to: 13128
+/* 5613 */    MCD_OPC_Decode, 130, 12, 115, // Opcode: SUBV_D
+/* 5617 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5629
+/* 5621 */    MCD_OPC_CheckPredicate, 6, 79, 29, // Skip to: 13128
+/* 5625 */    MCD_OPC_Decode, 232, 7, 112, // Opcode: MAX_S_B
+/* 5629 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5641
+/* 5633 */    MCD_OPC_CheckPredicate, 6, 67, 29, // Skip to: 13128
+/* 5637 */    MCD_OPC_Decode, 234, 7, 113, // Opcode: MAX_S_H
+/* 5641 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5653
+/* 5645 */    MCD_OPC_CheckPredicate, 6, 55, 29, // Skip to: 13128
+/* 5649 */    MCD_OPC_Decode, 235, 7, 114, // Opcode: MAX_S_W
+/* 5653 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5665
+/* 5657 */    MCD_OPC_CheckPredicate, 6, 43, 29, // Skip to: 13128
+/* 5661 */    MCD_OPC_Decode, 233, 7, 115, // Opcode: MAX_S_D
+/* 5665 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5677
+/* 5669 */    MCD_OPC_CheckPredicate, 6, 31, 29, // Skip to: 13128
+/* 5673 */    MCD_OPC_Decode, 236, 7, 112, // Opcode: MAX_U_B
+/* 5677 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5689
+/* 5681 */    MCD_OPC_CheckPredicate, 6, 19, 29, // Skip to: 13128
+/* 5685 */    MCD_OPC_Decode, 238, 7, 113, // Opcode: MAX_U_H
+/* 5689 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5701
+/* 5693 */    MCD_OPC_CheckPredicate, 6, 7, 29, // Skip to: 13128
+/* 5697 */    MCD_OPC_Decode, 239, 7, 114, // Opcode: MAX_U_W
+/* 5701 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5713
+/* 5705 */    MCD_OPC_CheckPredicate, 6, 251, 28, // Skip to: 13128
+/* 5709 */    MCD_OPC_Decode, 237, 7, 115, // Opcode: MAX_U_D
+/* 5713 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5725
+/* 5717 */    MCD_OPC_CheckPredicate, 6, 239, 28, // Skip to: 13128
+/* 5721 */    MCD_OPC_Decode, 145, 8, 112, // Opcode: MIN_S_B
+/* 5725 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5737
+/* 5729 */    MCD_OPC_CheckPredicate, 6, 227, 28, // Skip to: 13128
+/* 5733 */    MCD_OPC_Decode, 147, 8, 113, // Opcode: MIN_S_H
+/* 5737 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5749
+/* 5741 */    MCD_OPC_CheckPredicate, 6, 215, 28, // Skip to: 13128
+/* 5745 */    MCD_OPC_Decode, 148, 8, 114, // Opcode: MIN_S_W
+/* 5749 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5761
+/* 5753 */    MCD_OPC_CheckPredicate, 6, 203, 28, // Skip to: 13128
+/* 5757 */    MCD_OPC_Decode, 146, 8, 115, // Opcode: MIN_S_D
+/* 5761 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5773
+/* 5765 */    MCD_OPC_CheckPredicate, 6, 191, 28, // Skip to: 13128
+/* 5769 */    MCD_OPC_Decode, 149, 8, 112, // Opcode: MIN_U_B
+/* 5773 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5785
+/* 5777 */    MCD_OPC_CheckPredicate, 6, 179, 28, // Skip to: 13128
+/* 5781 */    MCD_OPC_Decode, 151, 8, 113, // Opcode: MIN_U_H
+/* 5785 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5797
+/* 5789 */    MCD_OPC_CheckPredicate, 6, 167, 28, // Skip to: 13128
+/* 5793 */    MCD_OPC_Decode, 152, 8, 114, // Opcode: MIN_U_W
+/* 5797 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5809
+/* 5801 */    MCD_OPC_CheckPredicate, 6, 155, 28, // Skip to: 13128
+/* 5805 */    MCD_OPC_Decode, 150, 8, 115, // Opcode: MIN_U_D
+/* 5809 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5821
+/* 5813 */    MCD_OPC_CheckPredicate, 6, 143, 28, // Skip to: 13128
+/* 5817 */    MCD_OPC_Decode, 226, 7, 112, // Opcode: MAX_A_B
+/* 5821 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5833
+/* 5825 */    MCD_OPC_CheckPredicate, 6, 131, 28, // Skip to: 13128
+/* 5829 */    MCD_OPC_Decode, 228, 7, 113, // Opcode: MAX_A_H
+/* 5833 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5845
+/* 5837 */    MCD_OPC_CheckPredicate, 6, 119, 28, // Skip to: 13128
+/* 5841 */    MCD_OPC_Decode, 229, 7, 114, // Opcode: MAX_A_W
+/* 5845 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5857
+/* 5849 */    MCD_OPC_CheckPredicate, 6, 107, 28, // Skip to: 13128
+/* 5853 */    MCD_OPC_Decode, 227, 7, 115, // Opcode: MAX_A_D
+/* 5857 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5869
+/* 5861 */    MCD_OPC_CheckPredicate, 6, 95, 28, // Skip to: 13128
+/* 5865 */    MCD_OPC_Decode, 139, 8, 112, // Opcode: MIN_A_B
+/* 5869 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5881
+/* 5873 */    MCD_OPC_CheckPredicate, 6, 83, 28, // Skip to: 13128
+/* 5877 */    MCD_OPC_Decode, 141, 8, 113, // Opcode: MIN_A_H
+/* 5881 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5893
+/* 5885 */    MCD_OPC_CheckPredicate, 6, 71, 28, // Skip to: 13128
+/* 5889 */    MCD_OPC_Decode, 142, 8, 114, // Opcode: MIN_A_W
+/* 5893 */    MCD_OPC_FilterValue, 31, 63, 28, // Skip to: 13128
+/* 5897 */    MCD_OPC_CheckPredicate, 6, 59, 28, // Skip to: 13128
+/* 5901 */    MCD_OPC_Decode, 140, 8, 115, // Opcode: MIN_A_D
+/* 5905 */    MCD_OPC_FilterValue, 15, 243, 0, // Skip to: 6152
+/* 5909 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5912 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5924
+/* 5916 */    MCD_OPC_CheckPredicate, 6, 40, 28, // Skip to: 13128
+/* 5920 */    MCD_OPC_Decode, 188, 2, 112, // Opcode: CEQ_B
+/* 5924 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5936
+/* 5928 */    MCD_OPC_CheckPredicate, 6, 28, 28, // Skip to: 13128
+/* 5932 */    MCD_OPC_Decode, 190, 2, 113, // Opcode: CEQ_H
+/* 5936 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5948
+/* 5940 */    MCD_OPC_CheckPredicate, 6, 16, 28, // Skip to: 13128
+/* 5944 */    MCD_OPC_Decode, 191, 2, 114, // Opcode: CEQ_W
+/* 5948 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5960
+/* 5952 */    MCD_OPC_CheckPredicate, 6, 4, 28, // Skip to: 13128
+/* 5956 */    MCD_OPC_Decode, 189, 2, 115, // Opcode: CEQ_D
+/* 5960 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5972
+/* 5964 */    MCD_OPC_CheckPredicate, 6, 248, 27, // Skip to: 13128
+/* 5968 */    MCD_OPC_Decode, 226, 2, 112, // Opcode: CLT_S_B
+/* 5972 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5984
+/* 5976 */    MCD_OPC_CheckPredicate, 6, 236, 27, // Skip to: 13128
+/* 5980 */    MCD_OPC_Decode, 228, 2, 113, // Opcode: CLT_S_H
+/* 5984 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5996
+/* 5988 */    MCD_OPC_CheckPredicate, 6, 224, 27, // Skip to: 13128
+/* 5992 */    MCD_OPC_Decode, 229, 2, 114, // Opcode: CLT_S_W
+/* 5996 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6008
+/* 6000 */    MCD_OPC_CheckPredicate, 6, 212, 27, // Skip to: 13128
+/* 6004 */    MCD_OPC_Decode, 227, 2, 115, // Opcode: CLT_S_D
+/* 6008 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6020
+/* 6012 */    MCD_OPC_CheckPredicate, 6, 200, 27, // Skip to: 13128
+/* 6016 */    MCD_OPC_Decode, 230, 2, 112, // Opcode: CLT_U_B
+/* 6020 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6032
+/* 6024 */    MCD_OPC_CheckPredicate, 6, 188, 27, // Skip to: 13128
+/* 6028 */    MCD_OPC_Decode, 232, 2, 113, // Opcode: CLT_U_H
+/* 6032 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6044
+/* 6036 */    MCD_OPC_CheckPredicate, 6, 176, 27, // Skip to: 13128
+/* 6040 */    MCD_OPC_Decode, 233, 2, 114, // Opcode: CLT_U_W
+/* 6044 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6056
+/* 6048 */    MCD_OPC_CheckPredicate, 6, 164, 27, // Skip to: 13128
+/* 6052 */    MCD_OPC_Decode, 231, 2, 115, // Opcode: CLT_U_D
+/* 6056 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6068
+/* 6060 */    MCD_OPC_CheckPredicate, 6, 152, 27, // Skip to: 13128
+/* 6064 */    MCD_OPC_Decode, 207, 2, 112, // Opcode: CLE_S_B
+/* 6068 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6080
+/* 6072 */    MCD_OPC_CheckPredicate, 6, 140, 27, // Skip to: 13128
+/* 6076 */    MCD_OPC_Decode, 209, 2, 113, // Opcode: CLE_S_H
+/* 6080 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6092
+/* 6084 */    MCD_OPC_CheckPredicate, 6, 128, 27, // Skip to: 13128
+/* 6088 */    MCD_OPC_Decode, 210, 2, 114, // Opcode: CLE_S_W
+/* 6092 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6104
+/* 6096 */    MCD_OPC_CheckPredicate, 6, 116, 27, // Skip to: 13128
+/* 6100 */    MCD_OPC_Decode, 208, 2, 115, // Opcode: CLE_S_D
+/* 6104 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6116
+/* 6108 */    MCD_OPC_CheckPredicate, 6, 104, 27, // Skip to: 13128
+/* 6112 */    MCD_OPC_Decode, 211, 2, 112, // Opcode: CLE_U_B
+/* 6116 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6128
+/* 6120 */    MCD_OPC_CheckPredicate, 6, 92, 27, // Skip to: 13128
+/* 6124 */    MCD_OPC_Decode, 213, 2, 113, // Opcode: CLE_U_H
+/* 6128 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6140
+/* 6132 */    MCD_OPC_CheckPredicate, 6, 80, 27, // Skip to: 13128
+/* 6136 */    MCD_OPC_Decode, 214, 2, 114, // Opcode: CLE_U_W
+/* 6140 */    MCD_OPC_FilterValue, 23, 72, 27, // Skip to: 13128
+/* 6144 */    MCD_OPC_CheckPredicate, 6, 68, 27, // Skip to: 13128
+/* 6148 */    MCD_OPC_Decode, 212, 2, 115, // Opcode: CLE_U_D
+/* 6152 */    MCD_OPC_FilterValue, 16, 115, 1, // Skip to: 6527
+/* 6156 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6159 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 6170
+/* 6163 */    MCD_OPC_CheckPredicate, 6, 49, 27, // Skip to: 13128
+/* 6167 */    MCD_OPC_Decode, 60, 112, // Opcode: ADD_A_B
+/* 6170 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 6181
+/* 6174 */    MCD_OPC_CheckPredicate, 6, 38, 27, // Skip to: 13128
+/* 6178 */    MCD_OPC_Decode, 62, 113, // Opcode: ADD_A_H
+/* 6181 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 6192
+/* 6185 */    MCD_OPC_CheckPredicate, 6, 27, 27, // Skip to: 13128
+/* 6189 */    MCD_OPC_Decode, 63, 114, // Opcode: ADD_A_W
+/* 6192 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 6203
+/* 6196 */    MCD_OPC_CheckPredicate, 6, 16, 27, // Skip to: 13128
+/* 6200 */    MCD_OPC_Decode, 61, 115, // Opcode: ADD_A_D
+/* 6203 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 6214
+/* 6207 */    MCD_OPC_CheckPredicate, 6, 5, 27, // Skip to: 13128
+/* 6211 */    MCD_OPC_Decode, 33, 112, // Opcode: ADDS_A_B
+/* 6214 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 6225
+/* 6218 */    MCD_OPC_CheckPredicate, 6, 250, 26, // Skip to: 13128
+/* 6222 */    MCD_OPC_Decode, 35, 113, // Opcode: ADDS_A_H
+/* 6225 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 6236
+/* 6229 */    MCD_OPC_CheckPredicate, 6, 239, 26, // Skip to: 13128
+/* 6233 */    MCD_OPC_Decode, 36, 114, // Opcode: ADDS_A_W
+/* 6236 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 6247
+/* 6240 */    MCD_OPC_CheckPredicate, 6, 228, 26, // Skip to: 13128
+/* 6244 */    MCD_OPC_Decode, 34, 115, // Opcode: ADDS_A_D
+/* 6247 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 6258
+/* 6251 */    MCD_OPC_CheckPredicate, 6, 217, 26, // Skip to: 13128
+/* 6255 */    MCD_OPC_Decode, 37, 112, // Opcode: ADDS_S_B
+/* 6258 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 6269
+/* 6262 */    MCD_OPC_CheckPredicate, 6, 206, 26, // Skip to: 13128
+/* 6266 */    MCD_OPC_Decode, 39, 113, // Opcode: ADDS_S_H
+/* 6269 */    MCD_OPC_FilterValue, 10, 7, 0, // Skip to: 6280
+/* 6273 */    MCD_OPC_CheckPredicate, 6, 195, 26, // Skip to: 13128
+/* 6277 */    MCD_OPC_Decode, 40, 114, // Opcode: ADDS_S_W
+/* 6280 */    MCD_OPC_FilterValue, 11, 7, 0, // Skip to: 6291
+/* 6284 */    MCD_OPC_CheckPredicate, 6, 184, 26, // Skip to: 13128
+/* 6288 */    MCD_OPC_Decode, 38, 115, // Opcode: ADDS_S_D
+/* 6291 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 6302
+/* 6295 */    MCD_OPC_CheckPredicate, 6, 173, 26, // Skip to: 13128
+/* 6299 */    MCD_OPC_Decode, 41, 112, // Opcode: ADDS_U_B
+/* 6302 */    MCD_OPC_FilterValue, 13, 7, 0, // Skip to: 6313
+/* 6306 */    MCD_OPC_CheckPredicate, 6, 162, 26, // Skip to: 13128
+/* 6310 */    MCD_OPC_Decode, 43, 113, // Opcode: ADDS_U_H
+/* 6313 */    MCD_OPC_FilterValue, 14, 7, 0, // Skip to: 6324
+/* 6317 */    MCD_OPC_CheckPredicate, 6, 151, 26, // Skip to: 13128
+/* 6321 */    MCD_OPC_Decode, 44, 114, // Opcode: ADDS_U_W
+/* 6324 */    MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 6335
+/* 6328 */    MCD_OPC_CheckPredicate, 6, 140, 26, // Skip to: 13128
+/* 6332 */    MCD_OPC_Decode, 42, 115, // Opcode: ADDS_U_D
+/* 6335 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6347
+/* 6339 */    MCD_OPC_CheckPredicate, 6, 129, 26, // Skip to: 13128
+/* 6343 */    MCD_OPC_Decode, 137, 1, 112, // Opcode: AVE_S_B
+/* 6347 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6359
+/* 6351 */    MCD_OPC_CheckPredicate, 6, 117, 26, // Skip to: 13128
+/* 6355 */    MCD_OPC_Decode, 139, 1, 113, // Opcode: AVE_S_H
+/* 6359 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6371
+/* 6363 */    MCD_OPC_CheckPredicate, 6, 105, 26, // Skip to: 13128
+/* 6367 */    MCD_OPC_Decode, 140, 1, 114, // Opcode: AVE_S_W
+/* 6371 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6383
+/* 6375 */    MCD_OPC_CheckPredicate, 6, 93, 26, // Skip to: 13128
+/* 6379 */    MCD_OPC_Decode, 138, 1, 115, // Opcode: AVE_S_D
+/* 6383 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6395
+/* 6387 */    MCD_OPC_CheckPredicate, 6, 81, 26, // Skip to: 13128
+/* 6391 */    MCD_OPC_Decode, 141, 1, 112, // Opcode: AVE_U_B
+/* 6395 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6407
+/* 6399 */    MCD_OPC_CheckPredicate, 6, 69, 26, // Skip to: 13128
+/* 6403 */    MCD_OPC_Decode, 143, 1, 113, // Opcode: AVE_U_H
+/* 6407 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6419
+/* 6411 */    MCD_OPC_CheckPredicate, 6, 57, 26, // Skip to: 13128
+/* 6415 */    MCD_OPC_Decode, 144, 1, 114, // Opcode: AVE_U_W
+/* 6419 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6431
+/* 6423 */    MCD_OPC_CheckPredicate, 6, 45, 26, // Skip to: 13128
+/* 6427 */    MCD_OPC_Decode, 142, 1, 115, // Opcode: AVE_U_D
+/* 6431 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6443
+/* 6435 */    MCD_OPC_CheckPredicate, 6, 33, 26, // Skip to: 13128
+/* 6439 */    MCD_OPC_Decode, 129, 1, 112, // Opcode: AVER_S_B
+/* 6443 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6455
+/* 6447 */    MCD_OPC_CheckPredicate, 6, 21, 26, // Skip to: 13128
+/* 6451 */    MCD_OPC_Decode, 131, 1, 113, // Opcode: AVER_S_H
+/* 6455 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6467
+/* 6459 */    MCD_OPC_CheckPredicate, 6, 9, 26, // Skip to: 13128
+/* 6463 */    MCD_OPC_Decode, 132, 1, 114, // Opcode: AVER_S_W
+/* 6467 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6479
+/* 6471 */    MCD_OPC_CheckPredicate, 6, 253, 25, // Skip to: 13128
+/* 6475 */    MCD_OPC_Decode, 130, 1, 115, // Opcode: AVER_S_D
+/* 6479 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6491
+/* 6483 */    MCD_OPC_CheckPredicate, 6, 241, 25, // Skip to: 13128
+/* 6487 */    MCD_OPC_Decode, 133, 1, 112, // Opcode: AVER_U_B
+/* 6491 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6503
+/* 6495 */    MCD_OPC_CheckPredicate, 6, 229, 25, // Skip to: 13128
+/* 6499 */    MCD_OPC_Decode, 135, 1, 113, // Opcode: AVER_U_H
+/* 6503 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6515
+/* 6507 */    MCD_OPC_CheckPredicate, 6, 217, 25, // Skip to: 13128
+/* 6511 */    MCD_OPC_Decode, 136, 1, 114, // Opcode: AVER_U_W
+/* 6515 */    MCD_OPC_FilterValue, 31, 209, 25, // Skip to: 13128
+/* 6519 */    MCD_OPC_CheckPredicate, 6, 205, 25, // Skip to: 13128
+/* 6523 */    MCD_OPC_Decode, 134, 1, 115, // Opcode: AVER_U_D
+/* 6527 */    MCD_OPC_FilterValue, 17, 27, 1, // Skip to: 6814
+/* 6531 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6534 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6546
+/* 6538 */    MCD_OPC_CheckPredicate, 6, 186, 25, // Skip to: 13128
+/* 6542 */    MCD_OPC_Decode, 239, 11, 112, // Opcode: SUBS_S_B
+/* 6546 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6558
+/* 6550 */    MCD_OPC_CheckPredicate, 6, 174, 25, // Skip to: 13128
+/* 6554 */    MCD_OPC_Decode, 241, 11, 113, // Opcode: SUBS_S_H
+/* 6558 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6570
+/* 6562 */    MCD_OPC_CheckPredicate, 6, 162, 25, // Skip to: 13128
+/* 6566 */    MCD_OPC_Decode, 242, 11, 114, // Opcode: SUBS_S_W
+/* 6570 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6582
+/* 6574 */    MCD_OPC_CheckPredicate, 6, 150, 25, // Skip to: 13128
+/* 6578 */    MCD_OPC_Decode, 240, 11, 115, // Opcode: SUBS_S_D
+/* 6582 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6594
+/* 6586 */    MCD_OPC_CheckPredicate, 6, 138, 25, // Skip to: 13128
+/* 6590 */    MCD_OPC_Decode, 243, 11, 112, // Opcode: SUBS_U_B
+/* 6594 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6606
+/* 6598 */    MCD_OPC_CheckPredicate, 6, 126, 25, // Skip to: 13128
+/* 6602 */    MCD_OPC_Decode, 245, 11, 113, // Opcode: SUBS_U_H
+/* 6606 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6618
+/* 6610 */    MCD_OPC_CheckPredicate, 6, 114, 25, // Skip to: 13128
+/* 6614 */    MCD_OPC_Decode, 246, 11, 114, // Opcode: SUBS_U_W
+/* 6618 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6630
+/* 6622 */    MCD_OPC_CheckPredicate, 6, 102, 25, // Skip to: 13128
+/* 6626 */    MCD_OPC_Decode, 244, 11, 115, // Opcode: SUBS_U_D
+/* 6630 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6642
+/* 6634 */    MCD_OPC_CheckPredicate, 6, 90, 25, // Skip to: 13128
+/* 6638 */    MCD_OPC_Decode, 231, 11, 112, // Opcode: SUBSUS_U_B
+/* 6642 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6654
+/* 6646 */    MCD_OPC_CheckPredicate, 6, 78, 25, // Skip to: 13128
+/* 6650 */    MCD_OPC_Decode, 233, 11, 113, // Opcode: SUBSUS_U_H
+/* 6654 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6666
+/* 6658 */    MCD_OPC_CheckPredicate, 6, 66, 25, // Skip to: 13128
+/* 6662 */    MCD_OPC_Decode, 234, 11, 114, // Opcode: SUBSUS_U_W
+/* 6666 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6678
+/* 6670 */    MCD_OPC_CheckPredicate, 6, 54, 25, // Skip to: 13128
+/* 6674 */    MCD_OPC_Decode, 232, 11, 115, // Opcode: SUBSUS_U_D
+/* 6678 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6690
+/* 6682 */    MCD_OPC_CheckPredicate, 6, 42, 25, // Skip to: 13128
+/* 6686 */    MCD_OPC_Decode, 235, 11, 112, // Opcode: SUBSUU_S_B
+/* 6690 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6702
+/* 6694 */    MCD_OPC_CheckPredicate, 6, 30, 25, // Skip to: 13128
+/* 6698 */    MCD_OPC_Decode, 237, 11, 113, // Opcode: SUBSUU_S_H
+/* 6702 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6714
+/* 6706 */    MCD_OPC_CheckPredicate, 6, 18, 25, // Skip to: 13128
+/* 6710 */    MCD_OPC_Decode, 238, 11, 114, // Opcode: SUBSUU_S_W
+/* 6714 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6726
+/* 6718 */    MCD_OPC_CheckPredicate, 6, 6, 25, // Skip to: 13128
+/* 6722 */    MCD_OPC_Decode, 236, 11, 115, // Opcode: SUBSUU_S_D
+/* 6726 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 6737
+/* 6730 */    MCD_OPC_CheckPredicate, 6, 250, 24, // Skip to: 13128
+/* 6734 */    MCD_OPC_Decode, 87, 112, // Opcode: ASUB_S_B
+/* 6737 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 6748
+/* 6741 */    MCD_OPC_CheckPredicate, 6, 239, 24, // Skip to: 13128
+/* 6745 */    MCD_OPC_Decode, 89, 113, // Opcode: ASUB_S_H
+/* 6748 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 6759
+/* 6752 */    MCD_OPC_CheckPredicate, 6, 228, 24, // Skip to: 13128
+/* 6756 */    MCD_OPC_Decode, 90, 114, // Opcode: ASUB_S_W
+/* 6759 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 6770
+/* 6763 */    MCD_OPC_CheckPredicate, 6, 217, 24, // Skip to: 13128
+/* 6767 */    MCD_OPC_Decode, 88, 115, // Opcode: ASUB_S_D
+/* 6770 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 6781
+/* 6774 */    MCD_OPC_CheckPredicate, 6, 206, 24, // Skip to: 13128
+/* 6778 */    MCD_OPC_Decode, 91, 112, // Opcode: ASUB_U_B
+/* 6781 */    MCD_OPC_FilterValue, 21, 7, 0, // Skip to: 6792
+/* 6785 */    MCD_OPC_CheckPredicate, 6, 195, 24, // Skip to: 13128
+/* 6789 */    MCD_OPC_Decode, 93, 113, // Opcode: ASUB_U_H
+/* 6792 */    MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 6803
+/* 6796 */    MCD_OPC_CheckPredicate, 6, 184, 24, // Skip to: 13128
+/* 6800 */    MCD_OPC_Decode, 94, 114, // Opcode: ASUB_U_W
+/* 6803 */    MCD_OPC_FilterValue, 23, 177, 24, // Skip to: 13128
+/* 6807 */    MCD_OPC_CheckPredicate, 6, 173, 24, // Skip to: 13128
+/* 6811 */    MCD_OPC_Decode, 92, 115, // Opcode: ASUB_U_D
+/* 6814 */    MCD_OPC_FilterValue, 18, 83, 1, // Skip to: 7157
+/* 6818 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 6821 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6833
+/* 6825 */    MCD_OPC_CheckPredicate, 6, 155, 24, // Skip to: 13128
+/* 6829 */    MCD_OPC_Decode, 145, 9, 112, // Opcode: MULV_B
+/* 6833 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6845
+/* 6837 */    MCD_OPC_CheckPredicate, 6, 143, 24, // Skip to: 13128
+/* 6841 */    MCD_OPC_Decode, 147, 9, 113, // Opcode: MULV_H
+/* 6845 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6857
+/* 6849 */    MCD_OPC_CheckPredicate, 6, 131, 24, // Skip to: 13128
+/* 6853 */    MCD_OPC_Decode, 148, 9, 114, // Opcode: MULV_W
+/* 6857 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6869
+/* 6861 */    MCD_OPC_CheckPredicate, 6, 119, 24, // Skip to: 13128
+/* 6865 */    MCD_OPC_Decode, 146, 9, 115, // Opcode: MULV_D
+/* 6869 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6881
+/* 6873 */    MCD_OPC_CheckPredicate, 6, 107, 24, // Skip to: 13128
+/* 6877 */    MCD_OPC_Decode, 199, 7, 116, // Opcode: MADDV_B
+/* 6881 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6893
+/* 6885 */    MCD_OPC_CheckPredicate, 6, 95, 24, // Skip to: 13128
+/* 6889 */    MCD_OPC_Decode, 201, 7, 117, // Opcode: MADDV_H
+/* 6893 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6905
+/* 6897 */    MCD_OPC_CheckPredicate, 6, 83, 24, // Skip to: 13128
+/* 6901 */    MCD_OPC_Decode, 202, 7, 118, // Opcode: MADDV_W
+/* 6905 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6917
+/* 6909 */    MCD_OPC_CheckPredicate, 6, 71, 24, // Skip to: 13128
+/* 6913 */    MCD_OPC_Decode, 200, 7, 119, // Opcode: MADDV_D
+/* 6917 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6929
+/* 6921 */    MCD_OPC_CheckPredicate, 6, 59, 24, // Skip to: 13128
+/* 6925 */    MCD_OPC_Decode, 216, 8, 116, // Opcode: MSUBV_B
+/* 6929 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6941
+/* 6933 */    MCD_OPC_CheckPredicate, 6, 47, 24, // Skip to: 13128
+/* 6937 */    MCD_OPC_Decode, 218, 8, 117, // Opcode: MSUBV_H
+/* 6941 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6953
+/* 6945 */    MCD_OPC_CheckPredicate, 6, 35, 24, // Skip to: 13128
+/* 6949 */    MCD_OPC_Decode, 219, 8, 118, // Opcode: MSUBV_W
+/* 6953 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6965
+/* 6957 */    MCD_OPC_CheckPredicate, 6, 23, 24, // Skip to: 13128
+/* 6961 */    MCD_OPC_Decode, 217, 8, 119, // Opcode: MSUBV_D
+/* 6965 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6977
+/* 6969 */    MCD_OPC_CheckPredicate, 6, 11, 24, // Skip to: 13128
+/* 6973 */    MCD_OPC_Decode, 139, 4, 112, // Opcode: DIV_S_B
+/* 6977 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6989
+/* 6981 */    MCD_OPC_CheckPredicate, 6, 255, 23, // Skip to: 13128
+/* 6985 */    MCD_OPC_Decode, 141, 4, 113, // Opcode: DIV_S_H
+/* 6989 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7001
+/* 6993 */    MCD_OPC_CheckPredicate, 6, 243, 23, // Skip to: 13128
+/* 6997 */    MCD_OPC_Decode, 142, 4, 114, // Opcode: DIV_S_W
+/* 7001 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7013
+/* 7005 */    MCD_OPC_CheckPredicate, 6, 231, 23, // Skip to: 13128
+/* 7009 */    MCD_OPC_Decode, 140, 4, 115, // Opcode: DIV_S_D
+/* 7013 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7025
+/* 7017 */    MCD_OPC_CheckPredicate, 6, 219, 23, // Skip to: 13128
+/* 7021 */    MCD_OPC_Decode, 143, 4, 112, // Opcode: DIV_U_B
+/* 7025 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7037
+/* 7029 */    MCD_OPC_CheckPredicate, 6, 207, 23, // Skip to: 13128
+/* 7033 */    MCD_OPC_Decode, 145, 4, 113, // Opcode: DIV_U_H
+/* 7037 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7049
+/* 7041 */    MCD_OPC_CheckPredicate, 6, 195, 23, // Skip to: 13128
+/* 7045 */    MCD_OPC_Decode, 146, 4, 114, // Opcode: DIV_U_W
+/* 7049 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7061
+/* 7053 */    MCD_OPC_CheckPredicate, 6, 183, 23, // Skip to: 13128
+/* 7057 */    MCD_OPC_Decode, 144, 4, 115, // Opcode: DIV_U_D
+/* 7061 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7073
+/* 7065 */    MCD_OPC_CheckPredicate, 6, 171, 23, // Skip to: 13128
+/* 7069 */    MCD_OPC_Decode, 158, 8, 112, // Opcode: MOD_S_B
+/* 7073 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7085
+/* 7077 */    MCD_OPC_CheckPredicate, 6, 159, 23, // Skip to: 13128
+/* 7081 */    MCD_OPC_Decode, 160, 8, 113, // Opcode: MOD_S_H
+/* 7085 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7097
+/* 7089 */    MCD_OPC_CheckPredicate, 6, 147, 23, // Skip to: 13128
+/* 7093 */    MCD_OPC_Decode, 161, 8, 114, // Opcode: MOD_S_W
+/* 7097 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7109
+/* 7101 */    MCD_OPC_CheckPredicate, 6, 135, 23, // Skip to: 13128
+/* 7105 */    MCD_OPC_Decode, 159, 8, 115, // Opcode: MOD_S_D
+/* 7109 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7121
+/* 7113 */    MCD_OPC_CheckPredicate, 6, 123, 23, // Skip to: 13128
+/* 7117 */    MCD_OPC_Decode, 162, 8, 112, // Opcode: MOD_U_B
+/* 7121 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7133
+/* 7125 */    MCD_OPC_CheckPredicate, 6, 111, 23, // Skip to: 13128
+/* 7129 */    MCD_OPC_Decode, 164, 8, 113, // Opcode: MOD_U_H
+/* 7133 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7145
+/* 7137 */    MCD_OPC_CheckPredicate, 6, 99, 23, // Skip to: 13128
+/* 7141 */    MCD_OPC_Decode, 165, 8, 114, // Opcode: MOD_U_W
+/* 7145 */    MCD_OPC_FilterValue, 31, 91, 23, // Skip to: 13128
+/* 7149 */    MCD_OPC_CheckPredicate, 6, 87, 23, // Skip to: 13128
+/* 7153 */    MCD_OPC_Decode, 163, 8, 115, // Opcode: MOD_U_D
+/* 7157 */    MCD_OPC_FilterValue, 19, 219, 0, // Skip to: 7380
+/* 7161 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7164 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7176
+/* 7168 */    MCD_OPC_CheckPredicate, 6, 68, 23, // Skip to: 13128
+/* 7172 */    MCD_OPC_Decode, 166, 4, 120, // Opcode: DOTP_S_H
+/* 7176 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7188
+/* 7180 */    MCD_OPC_CheckPredicate, 6, 56, 23, // Skip to: 13128
+/* 7184 */    MCD_OPC_Decode, 167, 4, 121, // Opcode: DOTP_S_W
+/* 7188 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7200
+/* 7192 */    MCD_OPC_CheckPredicate, 6, 44, 23, // Skip to: 13128
+/* 7196 */    MCD_OPC_Decode, 165, 4, 122, // Opcode: DOTP_S_D
+/* 7200 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7212
+/* 7204 */    MCD_OPC_CheckPredicate, 6, 32, 23, // Skip to: 13128
+/* 7208 */    MCD_OPC_Decode, 169, 4, 120, // Opcode: DOTP_U_H
+/* 7212 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7224
+/* 7216 */    MCD_OPC_CheckPredicate, 6, 20, 23, // Skip to: 13128
+/* 7220 */    MCD_OPC_Decode, 170, 4, 121, // Opcode: DOTP_U_W
+/* 7224 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7236
+/* 7228 */    MCD_OPC_CheckPredicate, 6, 8, 23, // Skip to: 13128
+/* 7232 */    MCD_OPC_Decode, 168, 4, 122, // Opcode: DOTP_U_D
+/* 7236 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7248
+/* 7240 */    MCD_OPC_CheckPredicate, 6, 252, 22, // Skip to: 13128
+/* 7244 */    MCD_OPC_Decode, 172, 4, 123, // Opcode: DPADD_S_H
+/* 7248 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7260
+/* 7252 */    MCD_OPC_CheckPredicate, 6, 240, 22, // Skip to: 13128
+/* 7256 */    MCD_OPC_Decode, 173, 4, 124, // Opcode: DPADD_S_W
+/* 7260 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7272
+/* 7264 */    MCD_OPC_CheckPredicate, 6, 228, 22, // Skip to: 13128
+/* 7268 */    MCD_OPC_Decode, 171, 4, 125, // Opcode: DPADD_S_D
+/* 7272 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7284
+/* 7276 */    MCD_OPC_CheckPredicate, 6, 216, 22, // Skip to: 13128
+/* 7280 */    MCD_OPC_Decode, 175, 4, 123, // Opcode: DPADD_U_H
+/* 7284 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7296
+/* 7288 */    MCD_OPC_CheckPredicate, 6, 204, 22, // Skip to: 13128
+/* 7292 */    MCD_OPC_Decode, 176, 4, 124, // Opcode: DPADD_U_W
+/* 7296 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7308
+/* 7300 */    MCD_OPC_CheckPredicate, 6, 192, 22, // Skip to: 13128
+/* 7304 */    MCD_OPC_Decode, 174, 4, 125, // Opcode: DPADD_U_D
+/* 7308 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7320
+/* 7312 */    MCD_OPC_CheckPredicate, 6, 180, 22, // Skip to: 13128
+/* 7316 */    MCD_OPC_Decode, 191, 4, 123, // Opcode: DPSUB_S_H
+/* 7320 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7332
+/* 7324 */    MCD_OPC_CheckPredicate, 6, 168, 22, // Skip to: 13128
+/* 7328 */    MCD_OPC_Decode, 192, 4, 124, // Opcode: DPSUB_S_W
+/* 7332 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7344
+/* 7336 */    MCD_OPC_CheckPredicate, 6, 156, 22, // Skip to: 13128
+/* 7340 */    MCD_OPC_Decode, 190, 4, 125, // Opcode: DPSUB_S_D
+/* 7344 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7356
+/* 7348 */    MCD_OPC_CheckPredicate, 6, 144, 22, // Skip to: 13128
+/* 7352 */    MCD_OPC_Decode, 194, 4, 123, // Opcode: DPSUB_U_H
+/* 7356 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7368
+/* 7360 */    MCD_OPC_CheckPredicate, 6, 132, 22, // Skip to: 13128
+/* 7364 */    MCD_OPC_Decode, 195, 4, 124, // Opcode: DPSUB_U_W
+/* 7368 */    MCD_OPC_FilterValue, 23, 124, 22, // Skip to: 13128
+/* 7372 */    MCD_OPC_CheckPredicate, 6, 120, 22, // Skip to: 13128
+/* 7376 */    MCD_OPC_Decode, 193, 4, 125, // Opcode: DPSUB_U_D
+/* 7380 */    MCD_OPC_FilterValue, 20, 137, 1, // Skip to: 7777
+/* 7384 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7387 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7399
+/* 7391 */    MCD_OPC_CheckPredicate, 6, 101, 22, // Skip to: 13128
+/* 7395 */    MCD_OPC_Decode, 129, 11, 126, // Opcode: SLD_B
+/* 7399 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7411
+/* 7403 */    MCD_OPC_CheckPredicate, 6, 89, 22, // Skip to: 13128
+/* 7407 */    MCD_OPC_Decode, 131, 11, 127, // Opcode: SLD_H
+/* 7411 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 7424
+/* 7415 */    MCD_OPC_CheckPredicate, 6, 77, 22, // Skip to: 13128
+/* 7419 */    MCD_OPC_Decode, 132, 11, 128, 1, // Opcode: SLD_W
+/* 7424 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 7437
+/* 7428 */    MCD_OPC_CheckPredicate, 6, 64, 22, // Skip to: 13128
+/* 7432 */    MCD_OPC_Decode, 130, 11, 129, 1, // Opcode: SLD_D
+/* 7437 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 7450
+/* 7441 */    MCD_OPC_CheckPredicate, 6, 51, 22, // Skip to: 13128
+/* 7445 */    MCD_OPC_Decode, 170, 11, 130, 1, // Opcode: SPLAT_B
+/* 7450 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 7463
+/* 7454 */    MCD_OPC_CheckPredicate, 6, 38, 22, // Skip to: 13128
+/* 7458 */    MCD_OPC_Decode, 172, 11, 131, 1, // Opcode: SPLAT_H
+/* 7463 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 7476
+/* 7467 */    MCD_OPC_CheckPredicate, 6, 25, 22, // Skip to: 13128
+/* 7471 */    MCD_OPC_Decode, 173, 11, 132, 1, // Opcode: SPLAT_W
+/* 7476 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 7489
+/* 7480 */    MCD_OPC_CheckPredicate, 6, 12, 22, // Skip to: 13128
+/* 7484 */    MCD_OPC_Decode, 171, 11, 133, 1, // Opcode: SPLAT_D
+/* 7489 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7501
+/* 7493 */    MCD_OPC_CheckPredicate, 6, 255, 21, // Skip to: 13128
+/* 7497 */    MCD_OPC_Decode, 206, 9, 112, // Opcode: PCKEV_B
+/* 7501 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7513
+/* 7505 */    MCD_OPC_CheckPredicate, 6, 243, 21, // Skip to: 13128
+/* 7509 */    MCD_OPC_Decode, 208, 9, 113, // Opcode: PCKEV_H
+/* 7513 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7525
+/* 7517 */    MCD_OPC_CheckPredicate, 6, 231, 21, // Skip to: 13128
+/* 7521 */    MCD_OPC_Decode, 209, 9, 114, // Opcode: PCKEV_W
+/* 7525 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7537
+/* 7529 */    MCD_OPC_CheckPredicate, 6, 219, 21, // Skip to: 13128
+/* 7533 */    MCD_OPC_Decode, 207, 9, 115, // Opcode: PCKEV_D
+/* 7537 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 7549
+/* 7541 */    MCD_OPC_CheckPredicate, 6, 207, 21, // Skip to: 13128
+/* 7545 */    MCD_OPC_Decode, 210, 9, 112, // Opcode: PCKOD_B
+/* 7549 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7561
+/* 7553 */    MCD_OPC_CheckPredicate, 6, 195, 21, // Skip to: 13128
+/* 7557 */    MCD_OPC_Decode, 212, 9, 113, // Opcode: PCKOD_H
+/* 7561 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7573
+/* 7565 */    MCD_OPC_CheckPredicate, 6, 183, 21, // Skip to: 13128
+/* 7569 */    MCD_OPC_Decode, 213, 9, 114, // Opcode: PCKOD_W
+/* 7573 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7585
+/* 7577 */    MCD_OPC_CheckPredicate, 6, 171, 21, // Skip to: 13128
+/* 7581 */    MCD_OPC_Decode, 211, 9, 115, // Opcode: PCKOD_D
+/* 7585 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7597
+/* 7589 */    MCD_OPC_CheckPredicate, 6, 159, 21, // Skip to: 13128
+/* 7593 */    MCD_OPC_Decode, 169, 6, 112, // Opcode: ILVL_B
+/* 7597 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7609
+/* 7601 */    MCD_OPC_CheckPredicate, 6, 147, 21, // Skip to: 13128
+/* 7605 */    MCD_OPC_Decode, 171, 6, 113, // Opcode: ILVL_H
+/* 7609 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7621
+/* 7613 */    MCD_OPC_CheckPredicate, 6, 135, 21, // Skip to: 13128
+/* 7617 */    MCD_OPC_Decode, 172, 6, 114, // Opcode: ILVL_W
+/* 7621 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7633
+/* 7625 */    MCD_OPC_CheckPredicate, 6, 123, 21, // Skip to: 13128
+/* 7629 */    MCD_OPC_Decode, 170, 6, 115, // Opcode: ILVL_D
+/* 7633 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7645
+/* 7637 */    MCD_OPC_CheckPredicate, 6, 111, 21, // Skip to: 13128
+/* 7641 */    MCD_OPC_Decode, 177, 6, 112, // Opcode: ILVR_B
+/* 7645 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7657
+/* 7649 */    MCD_OPC_CheckPredicate, 6, 99, 21, // Skip to: 13128
+/* 7653 */    MCD_OPC_Decode, 179, 6, 113, // Opcode: ILVR_H
+/* 7657 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7669
+/* 7661 */    MCD_OPC_CheckPredicate, 6, 87, 21, // Skip to: 13128
+/* 7665 */    MCD_OPC_Decode, 180, 6, 114, // Opcode: ILVR_W
+/* 7669 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7681
+/* 7673 */    MCD_OPC_CheckPredicate, 6, 75, 21, // Skip to: 13128
+/* 7677 */    MCD_OPC_Decode, 178, 6, 115, // Opcode: ILVR_D
+/* 7681 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7693
+/* 7685 */    MCD_OPC_CheckPredicate, 6, 63, 21, // Skip to: 13128
+/* 7689 */    MCD_OPC_Decode, 165, 6, 112, // Opcode: ILVEV_B
+/* 7693 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7705
+/* 7697 */    MCD_OPC_CheckPredicate, 6, 51, 21, // Skip to: 13128
+/* 7701 */    MCD_OPC_Decode, 167, 6, 113, // Opcode: ILVEV_H
+/* 7705 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7717
+/* 7709 */    MCD_OPC_CheckPredicate, 6, 39, 21, // Skip to: 13128
+/* 7713 */    MCD_OPC_Decode, 168, 6, 114, // Opcode: ILVEV_W
+/* 7717 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7729
+/* 7721 */    MCD_OPC_CheckPredicate, 6, 27, 21, // Skip to: 13128
+/* 7725 */    MCD_OPC_Decode, 166, 6, 115, // Opcode: ILVEV_D
+/* 7729 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7741
+/* 7733 */    MCD_OPC_CheckPredicate, 6, 15, 21, // Skip to: 13128
+/* 7737 */    MCD_OPC_Decode, 173, 6, 112, // Opcode: ILVOD_B
+/* 7741 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7753
+/* 7745 */    MCD_OPC_CheckPredicate, 6, 3, 21, // Skip to: 13128
+/* 7749 */    MCD_OPC_Decode, 175, 6, 113, // Opcode: ILVOD_H
+/* 7753 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7765
+/* 7757 */    MCD_OPC_CheckPredicate, 6, 247, 20, // Skip to: 13128
+/* 7761 */    MCD_OPC_Decode, 176, 6, 114, // Opcode: ILVOD_W
+/* 7765 */    MCD_OPC_FilterValue, 31, 239, 20, // Skip to: 13128
+/* 7769 */    MCD_OPC_CheckPredicate, 6, 235, 20, // Skip to: 13128
+/* 7773 */    MCD_OPC_Decode, 174, 6, 115, // Opcode: ILVOD_D
+/* 7777 */    MCD_OPC_FilterValue, 21, 35, 1, // Skip to: 8072
+/* 7781 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 7784 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7796
+/* 7788 */    MCD_OPC_CheckPredicate, 6, 216, 20, // Skip to: 13128
+/* 7792 */    MCD_OPC_Decode, 248, 12, 116, // Opcode: VSHF_B
+/* 7796 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7808
+/* 7800 */    MCD_OPC_CheckPredicate, 6, 204, 20, // Skip to: 13128
+/* 7804 */    MCD_OPC_Decode, 250, 12, 117, // Opcode: VSHF_H
+/* 7808 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7820
+/* 7812 */    MCD_OPC_CheckPredicate, 6, 192, 20, // Skip to: 13128
+/* 7816 */    MCD_OPC_Decode, 251, 12, 118, // Opcode: VSHF_W
+/* 7820 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7832
+/* 7824 */    MCD_OPC_CheckPredicate, 6, 180, 20, // Skip to: 13128
+/* 7828 */    MCD_OPC_Decode, 249, 12, 119, // Opcode: VSHF_D
+/* 7832 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7844
+/* 7836 */    MCD_OPC_CheckPredicate, 6, 168, 20, // Skip to: 13128
+/* 7840 */    MCD_OPC_Decode, 183, 11, 112, // Opcode: SRAR_B
+/* 7844 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7856
+/* 7848 */    MCD_OPC_CheckPredicate, 6, 156, 20, // Skip to: 13128
+/* 7852 */    MCD_OPC_Decode, 185, 11, 113, // Opcode: SRAR_H
+/* 7856 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7868
+/* 7860 */    MCD_OPC_CheckPredicate, 6, 144, 20, // Skip to: 13128
+/* 7864 */    MCD_OPC_Decode, 186, 11, 114, // Opcode: SRAR_W
+/* 7868 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7880
+/* 7872 */    MCD_OPC_CheckPredicate, 6, 132, 20, // Skip to: 13128
+/* 7876 */    MCD_OPC_Decode, 184, 11, 115, // Opcode: SRAR_D
+/* 7880 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7892
+/* 7884 */    MCD_OPC_CheckPredicate, 6, 120, 20, // Skip to: 13128
+/* 7888 */    MCD_OPC_Decode, 203, 11, 112, // Opcode: SRLR_B
+/* 7892 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7904
+/* 7896 */    MCD_OPC_CheckPredicate, 6, 108, 20, // Skip to: 13128
+/* 7900 */    MCD_OPC_Decode, 205, 11, 113, // Opcode: SRLR_H
+/* 7904 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7916
+/* 7908 */    MCD_OPC_CheckPredicate, 6, 96, 20, // Skip to: 13128
+/* 7912 */    MCD_OPC_Decode, 206, 11, 114, // Opcode: SRLR_W
+/* 7916 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7928
+/* 7920 */    MCD_OPC_CheckPredicate, 6, 84, 20, // Skip to: 13128
+/* 7924 */    MCD_OPC_Decode, 204, 11, 115, // Opcode: SRLR_D
+/* 7928 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7940
+/* 7932 */    MCD_OPC_CheckPredicate, 6, 72, 20, // Skip to: 13128
+/* 7936 */    MCD_OPC_Decode, 154, 6, 120, // Opcode: HADD_S_H
+/* 7940 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7952
+/* 7944 */    MCD_OPC_CheckPredicate, 6, 60, 20, // Skip to: 13128
+/* 7948 */    MCD_OPC_Decode, 155, 6, 121, // Opcode: HADD_S_W
+/* 7952 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7964
+/* 7956 */    MCD_OPC_CheckPredicate, 6, 48, 20, // Skip to: 13128
+/* 7960 */    MCD_OPC_Decode, 153, 6, 122, // Opcode: HADD_S_D
+/* 7964 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7976
+/* 7968 */    MCD_OPC_CheckPredicate, 6, 36, 20, // Skip to: 13128
+/* 7972 */    MCD_OPC_Decode, 157, 6, 120, // Opcode: HADD_U_H
+/* 7976 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7988
+/* 7980 */    MCD_OPC_CheckPredicate, 6, 24, 20, // Skip to: 13128
+/* 7984 */    MCD_OPC_Decode, 158, 6, 121, // Opcode: HADD_U_W
+/* 7988 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8000
+/* 7992 */    MCD_OPC_CheckPredicate, 6, 12, 20, // Skip to: 13128
+/* 7996 */    MCD_OPC_Decode, 156, 6, 122, // Opcode: HADD_U_D
+/* 8000 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8012
+/* 8004 */    MCD_OPC_CheckPredicate, 6, 0, 20, // Skip to: 13128
+/* 8008 */    MCD_OPC_Decode, 160, 6, 120, // Opcode: HSUB_S_H
+/* 8012 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8024
+/* 8016 */    MCD_OPC_CheckPredicate, 6, 244, 19, // Skip to: 13128
+/* 8020 */    MCD_OPC_Decode, 161, 6, 121, // Opcode: HSUB_S_W
+/* 8024 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8036
+/* 8028 */    MCD_OPC_CheckPredicate, 6, 232, 19, // Skip to: 13128
+/* 8032 */    MCD_OPC_Decode, 159, 6, 122, // Opcode: HSUB_S_D
+/* 8036 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8048
+/* 8040 */    MCD_OPC_CheckPredicate, 6, 220, 19, // Skip to: 13128
+/* 8044 */    MCD_OPC_Decode, 163, 6, 120, // Opcode: HSUB_U_H
+/* 8048 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8060
+/* 8052 */    MCD_OPC_CheckPredicate, 6, 208, 19, // Skip to: 13128
+/* 8056 */    MCD_OPC_Decode, 164, 6, 121, // Opcode: HSUB_U_W
+/* 8060 */    MCD_OPC_FilterValue, 31, 200, 19, // Skip to: 13128
+/* 8064 */    MCD_OPC_CheckPredicate, 6, 196, 19, // Skip to: 13128
+/* 8068 */    MCD_OPC_Decode, 162, 6, 122, // Opcode: HSUB_U_D
+/* 8072 */    MCD_OPC_FilterValue, 25, 230, 1, // Skip to: 8562
+/* 8076 */    MCD_OPC_ExtractField, 20, 6,  // Inst{25-20} ...
+/* 8079 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8092
+/* 8083 */    MCD_OPC_CheckPredicate, 6, 177, 19, // Skip to: 13128
+/* 8087 */    MCD_OPC_Decode, 253, 10, 134, 1, // Opcode: SLDI_B
+/* 8092 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8111
+/* 8096 */    MCD_OPC_CheckPredicate, 6, 164, 19, // Skip to: 13128
+/* 8100 */    MCD_OPC_CheckField, 19, 1, 0, 158, 19, // Skip to: 13128
+/* 8106 */    MCD_OPC_Decode, 255, 10, 135, 1, // Opcode: SLDI_H
+/* 8111 */    MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 8169
+/* 8115 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8118 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8131
+/* 8122 */    MCD_OPC_CheckPredicate, 6, 138, 19, // Skip to: 13128
+/* 8126 */    MCD_OPC_Decode, 128, 11, 136, 1, // Opcode: SLDI_W
+/* 8131 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8150
+/* 8135 */    MCD_OPC_CheckPredicate, 6, 125, 19, // Skip to: 13128
+/* 8139 */    MCD_OPC_CheckField, 17, 1, 0, 119, 19, // Skip to: 13128
+/* 8145 */    MCD_OPC_Decode, 254, 10, 137, 1, // Opcode: SLDI_D
+/* 8150 */    MCD_OPC_FilterValue, 3, 110, 19, // Skip to: 13128
+/* 8154 */    MCD_OPC_CheckPredicate, 6, 106, 19, // Skip to: 13128
+/* 8158 */    MCD_OPC_CheckField, 16, 2, 2, 100, 19, // Skip to: 13128
+/* 8164 */    MCD_OPC_Decode, 166, 3, 138, 1, // Opcode: CTCMSA
+/* 8169 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 8182
+/* 8173 */    MCD_OPC_CheckPredicate, 6, 87, 19, // Skip to: 13128
+/* 8177 */    MCD_OPC_Decode, 166, 11, 139, 1, // Opcode: SPLATI_B
+/* 8182 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 8201
+/* 8186 */    MCD_OPC_CheckPredicate, 6, 74, 19, // Skip to: 13128
+/* 8190 */    MCD_OPC_CheckField, 19, 1, 0, 68, 19, // Skip to: 13128
+/* 8196 */    MCD_OPC_Decode, 168, 11, 140, 1, // Opcode: SPLATI_H
+/* 8201 */    MCD_OPC_FilterValue, 7, 54, 0, // Skip to: 8259
+/* 8205 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8208 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8221
+/* 8212 */    MCD_OPC_CheckPredicate, 6, 48, 19, // Skip to: 13128
+/* 8216 */    MCD_OPC_Decode, 169, 11, 141, 1, // Opcode: SPLATI_W
+/* 8221 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8240
+/* 8225 */    MCD_OPC_CheckPredicate, 6, 35, 19, // Skip to: 13128
+/* 8229 */    MCD_OPC_CheckField, 17, 1, 0, 29, 19, // Skip to: 13128
+/* 8235 */    MCD_OPC_Decode, 167, 11, 142, 1, // Opcode: SPLATI_D
+/* 8240 */    MCD_OPC_FilterValue, 3, 20, 19, // Skip to: 13128
+/* 8244 */    MCD_OPC_CheckPredicate, 6, 16, 19, // Skip to: 13128
+/* 8248 */    MCD_OPC_CheckField, 16, 2, 2, 10, 19, // Skip to: 13128
+/* 8254 */    MCD_OPC_Decode, 194, 2, 143, 1, // Opcode: CFCMSA
+/* 8259 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 8272
+/* 8263 */    MCD_OPC_CheckPredicate, 6, 253, 18, // Skip to: 13128
+/* 8267 */    MCD_OPC_Decode, 156, 3, 144, 1, // Opcode: COPY_S_B
+/* 8272 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 8291
+/* 8276 */    MCD_OPC_CheckPredicate, 6, 240, 18, // Skip to: 13128
+/* 8280 */    MCD_OPC_CheckField, 19, 1, 0, 234, 18, // Skip to: 13128
+/* 8286 */    MCD_OPC_Decode, 158, 3, 145, 1, // Opcode: COPY_S_H
+/* 8291 */    MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 8349
+/* 8295 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8298 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8311
+/* 8302 */    MCD_OPC_CheckPredicate, 6, 214, 18, // Skip to: 13128
+/* 8306 */    MCD_OPC_Decode, 159, 3, 146, 1, // Opcode: COPY_S_W
+/* 8311 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8330
+/* 8315 */    MCD_OPC_CheckPredicate, 13, 201, 18, // Skip to: 13128
+/* 8319 */    MCD_OPC_CheckField, 17, 1, 0, 195, 18, // Skip to: 13128
+/* 8325 */    MCD_OPC_Decode, 157, 3, 147, 1, // Opcode: COPY_S_D
+/* 8330 */    MCD_OPC_FilterValue, 3, 186, 18, // Skip to: 13128
+/* 8334 */    MCD_OPC_CheckPredicate, 6, 182, 18, // Skip to: 13128
+/* 8338 */    MCD_OPC_CheckField, 16, 2, 2, 176, 18, // Skip to: 13128
+/* 8344 */    MCD_OPC_Decode, 167, 8, 148, 1, // Opcode: MOVE_V
+/* 8349 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 8362
+/* 8353 */    MCD_OPC_CheckPredicate, 6, 163, 18, // Skip to: 13128
+/* 8357 */    MCD_OPC_Decode, 160, 3, 144, 1, // Opcode: COPY_U_B
+/* 8362 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 8381
+/* 8366 */    MCD_OPC_CheckPredicate, 6, 150, 18, // Skip to: 13128
+/* 8370 */    MCD_OPC_CheckField, 19, 1, 0, 144, 18, // Skip to: 13128
+/* 8376 */    MCD_OPC_Decode, 162, 3, 145, 1, // Opcode: COPY_U_H
+/* 8381 */    MCD_OPC_FilterValue, 15, 35, 0, // Skip to: 8420
+/* 8385 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8388 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8401
+/* 8392 */    MCD_OPC_CheckPredicate, 6, 124, 18, // Skip to: 13128
+/* 8396 */    MCD_OPC_Decode, 163, 3, 146, 1, // Opcode: COPY_U_W
+/* 8401 */    MCD_OPC_FilterValue, 2, 115, 18, // Skip to: 13128
+/* 8405 */    MCD_OPC_CheckPredicate, 13, 111, 18, // Skip to: 13128
+/* 8409 */    MCD_OPC_CheckField, 17, 1, 0, 105, 18, // Skip to: 13128
+/* 8415 */    MCD_OPC_Decode, 161, 3, 147, 1, // Opcode: COPY_U_D
+/* 8420 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8433
+/* 8424 */    MCD_OPC_CheckPredicate, 6, 92, 18, // Skip to: 13128
+/* 8428 */    MCD_OPC_Decode, 182, 6, 149, 1, // Opcode: INSERT_B
+/* 8433 */    MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 8452
+/* 8437 */    MCD_OPC_CheckPredicate, 6, 79, 18, // Skip to: 13128
+/* 8441 */    MCD_OPC_CheckField, 19, 1, 0, 73, 18, // Skip to: 13128
+/* 8447 */    MCD_OPC_Decode, 190, 6, 150, 1, // Opcode: INSERT_H
+/* 8452 */    MCD_OPC_FilterValue, 19, 35, 0, // Skip to: 8491
+/* 8456 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8459 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8472
+/* 8463 */    MCD_OPC_CheckPredicate, 6, 53, 18, // Skip to: 13128
+/* 8467 */    MCD_OPC_Decode, 192, 6, 151, 1, // Opcode: INSERT_W
+/* 8472 */    MCD_OPC_FilterValue, 2, 44, 18, // Skip to: 13128
+/* 8476 */    MCD_OPC_CheckPredicate, 13, 40, 18, // Skip to: 13128
+/* 8480 */    MCD_OPC_CheckField, 17, 1, 0, 34, 18, // Skip to: 13128
+/* 8486 */    MCD_OPC_Decode, 184, 6, 152, 1, // Opcode: INSERT_D
+/* 8491 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8504
+/* 8495 */    MCD_OPC_CheckPredicate, 6, 21, 18, // Skip to: 13128
+/* 8499 */    MCD_OPC_Decode, 195, 6, 153, 1, // Opcode: INSVE_B
+/* 8504 */    MCD_OPC_FilterValue, 22, 15, 0, // Skip to: 8523
+/* 8508 */    MCD_OPC_CheckPredicate, 6, 8, 18, // Skip to: 13128
+/* 8512 */    MCD_OPC_CheckField, 19, 1, 0, 2, 18, // Skip to: 13128
+/* 8518 */    MCD_OPC_Decode, 197, 6, 153, 1, // Opcode: INSVE_H
+/* 8523 */    MCD_OPC_FilterValue, 23, 249, 17, // Skip to: 13128
+/* 8527 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
+/* 8530 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8543
+/* 8534 */    MCD_OPC_CheckPredicate, 6, 238, 17, // Skip to: 13128
+/* 8538 */    MCD_OPC_Decode, 198, 6, 153, 1, // Opcode: INSVE_W
+/* 8543 */    MCD_OPC_FilterValue, 2, 229, 17, // Skip to: 13128
+/* 8547 */    MCD_OPC_CheckPredicate, 6, 225, 17, // Skip to: 13128
+/* 8551 */    MCD_OPC_CheckField, 17, 1, 0, 219, 17, // Skip to: 13128
+/* 8557 */    MCD_OPC_Decode, 196, 6, 153, 1, // Opcode: INSVE_D
+/* 8562 */    MCD_OPC_FilterValue, 26, 131, 1, // Skip to: 8953
+/* 8566 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 8569 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8581
+/* 8573 */    MCD_OPC_CheckPredicate, 6, 199, 17, // Skip to: 13128
+/* 8577 */    MCD_OPC_Decode, 131, 5, 114, // Opcode: FCAF_W
+/* 8581 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8593
+/* 8585 */    MCD_OPC_CheckPredicate, 6, 187, 17, // Skip to: 13128
+/* 8589 */    MCD_OPC_Decode, 130, 5, 115, // Opcode: FCAF_D
+/* 8593 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8605
+/* 8597 */    MCD_OPC_CheckPredicate, 6, 175, 17, // Skip to: 13128
+/* 8601 */    MCD_OPC_Decode, 158, 5, 114, // Opcode: FCUN_W
+/* 8605 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8617
+/* 8609 */    MCD_OPC_CheckPredicate, 6, 163, 17, // Skip to: 13128
+/* 8613 */    MCD_OPC_Decode, 157, 5, 115, // Opcode: FCUN_D
+/* 8617 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8629
+/* 8621 */    MCD_OPC_CheckPredicate, 6, 151, 17, // Skip to: 13128
+/* 8625 */    MCD_OPC_Decode, 133, 5, 114, // Opcode: FCEQ_W
+/* 8629 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8641
+/* 8633 */    MCD_OPC_CheckPredicate, 6, 139, 17, // Skip to: 13128
+/* 8637 */    MCD_OPC_Decode, 132, 5, 115, // Opcode: FCEQ_D
+/* 8641 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8653
+/* 8645 */    MCD_OPC_CheckPredicate, 6, 127, 17, // Skip to: 13128
+/* 8649 */    MCD_OPC_Decode, 150, 5, 114, // Opcode: FCUEQ_W
+/* 8653 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8665
+/* 8657 */    MCD_OPC_CheckPredicate, 6, 115, 17, // Skip to: 13128
+/* 8661 */    MCD_OPC_Decode, 149, 5, 115, // Opcode: FCUEQ_D
+/* 8665 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8677
+/* 8669 */    MCD_OPC_CheckPredicate, 6, 103, 17, // Skip to: 13128
+/* 8673 */    MCD_OPC_Decode, 139, 5, 114, // Opcode: FCLT_W
+/* 8677 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8689
+/* 8681 */    MCD_OPC_CheckPredicate, 6, 91, 17, // Skip to: 13128
+/* 8685 */    MCD_OPC_Decode, 138, 5, 115, // Opcode: FCLT_D
+/* 8689 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8701
+/* 8693 */    MCD_OPC_CheckPredicate, 6, 79, 17, // Skip to: 13128
+/* 8697 */    MCD_OPC_Decode, 154, 5, 114, // Opcode: FCULT_W
+/* 8701 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8713
+/* 8705 */    MCD_OPC_CheckPredicate, 6, 67, 17, // Skip to: 13128
+/* 8709 */    MCD_OPC_Decode, 153, 5, 115, // Opcode: FCULT_D
+/* 8713 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 8725
+/* 8717 */    MCD_OPC_CheckPredicate, 6, 55, 17, // Skip to: 13128
+/* 8721 */    MCD_OPC_Decode, 137, 5, 114, // Opcode: FCLE_W
+/* 8725 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 8737
+/* 8729 */    MCD_OPC_CheckPredicate, 6, 43, 17, // Skip to: 13128
+/* 8733 */    MCD_OPC_Decode, 136, 5, 115, // Opcode: FCLE_D
+/* 8737 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8749
+/* 8741 */    MCD_OPC_CheckPredicate, 6, 31, 17, // Skip to: 13128
+/* 8745 */    MCD_OPC_Decode, 152, 5, 114, // Opcode: FCULE_W
+/* 8749 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8761
+/* 8753 */    MCD_OPC_CheckPredicate, 6, 19, 17, // Skip to: 13128
+/* 8757 */    MCD_OPC_Decode, 151, 5, 115, // Opcode: FCULE_D
+/* 8761 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 8773
+/* 8765 */    MCD_OPC_CheckPredicate, 6, 7, 17, // Skip to: 13128
+/* 8769 */    MCD_OPC_Decode, 235, 5, 114, // Opcode: FSAF_W
+/* 8773 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 8785
+/* 8777 */    MCD_OPC_CheckPredicate, 6, 251, 16, // Skip to: 13128
+/* 8781 */    MCD_OPC_Decode, 234, 5, 115, // Opcode: FSAF_D
+/* 8785 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 8797
+/* 8789 */    MCD_OPC_CheckPredicate, 6, 239, 16, // Skip to: 13128
+/* 8793 */    MCD_OPC_Decode, 141, 6, 114, // Opcode: FSUN_W
+/* 8797 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 8809
+/* 8801 */    MCD_OPC_CheckPredicate, 6, 227, 16, // Skip to: 13128
+/* 8805 */    MCD_OPC_Decode, 140, 6, 115, // Opcode: FSUN_D
+/* 8809 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 8821
+/* 8813 */    MCD_OPC_CheckPredicate, 6, 215, 16, // Skip to: 13128
+/* 8817 */    MCD_OPC_Decode, 237, 5, 114, // Opcode: FSEQ_W
+/* 8821 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 8833
+/* 8825 */    MCD_OPC_CheckPredicate, 6, 203, 16, // Skip to: 13128
+/* 8829 */    MCD_OPC_Decode, 236, 5, 115, // Opcode: FSEQ_D
+/* 8833 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 8845
+/* 8837 */    MCD_OPC_CheckPredicate, 6, 191, 16, // Skip to: 13128
+/* 8841 */    MCD_OPC_Decode, 133, 6, 114, // Opcode: FSUEQ_W
+/* 8845 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8857
+/* 8849 */    MCD_OPC_CheckPredicate, 6, 179, 16, // Skip to: 13128
+/* 8853 */    MCD_OPC_Decode, 132, 6, 115, // Opcode: FSUEQ_D
+/* 8857 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8869
+/* 8861 */    MCD_OPC_CheckPredicate, 6, 167, 16, // Skip to: 13128
+/* 8865 */    MCD_OPC_Decode, 241, 5, 114, // Opcode: FSLT_W
+/* 8869 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8881
+/* 8873 */    MCD_OPC_CheckPredicate, 6, 155, 16, // Skip to: 13128
+/* 8877 */    MCD_OPC_Decode, 240, 5, 115, // Opcode: FSLT_D
+/* 8881 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8893
+/* 8885 */    MCD_OPC_CheckPredicate, 6, 143, 16, // Skip to: 13128
+/* 8889 */    MCD_OPC_Decode, 137, 6, 114, // Opcode: FSULT_W
+/* 8893 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8905
+/* 8897 */    MCD_OPC_CheckPredicate, 6, 131, 16, // Skip to: 13128
+/* 8901 */    MCD_OPC_Decode, 136, 6, 115, // Opcode: FSULT_D
+/* 8905 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8917
+/* 8909 */    MCD_OPC_CheckPredicate, 6, 119, 16, // Skip to: 13128
+/* 8913 */    MCD_OPC_Decode, 239, 5, 114, // Opcode: FSLE_W
+/* 8917 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8929
+/* 8921 */    MCD_OPC_CheckPredicate, 6, 107, 16, // Skip to: 13128
+/* 8925 */    MCD_OPC_Decode, 238, 5, 115, // Opcode: FSLE_D
+/* 8929 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8941
+/* 8933 */    MCD_OPC_CheckPredicate, 6, 95, 16, // Skip to: 13128
+/* 8937 */    MCD_OPC_Decode, 135, 6, 114, // Opcode: FSULE_W
+/* 8941 */    MCD_OPC_FilterValue, 31, 87, 16, // Skip to: 13128
+/* 8945 */    MCD_OPC_CheckPredicate, 6, 83, 16, // Skip to: 13128
+/* 8949 */    MCD_OPC_Decode, 134, 6, 115, // Opcode: FSULE_D
+/* 8953 */    MCD_OPC_FilterValue, 27, 63, 1, // Skip to: 9276
+/* 8957 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 8960 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8972
+/* 8964 */    MCD_OPC_CheckPredicate, 6, 64, 16, // Skip to: 13128
+/* 8968 */    MCD_OPC_Decode, 129, 5, 114, // Opcode: FADD_W
+/* 8972 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8984
+/* 8976 */    MCD_OPC_CheckPredicate, 6, 52, 16, // Skip to: 13128
+/* 8980 */    MCD_OPC_Decode, 251, 4, 115, // Opcode: FADD_D
+/* 8984 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8996
+/* 8988 */    MCD_OPC_CheckPredicate, 6, 40, 16, // Skip to: 13128
+/* 8992 */    MCD_OPC_Decode, 131, 6, 114, // Opcode: FSUB_W
+/* 8996 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9008
+/* 9000 */    MCD_OPC_CheckPredicate, 6, 28, 16, // Skip to: 13128
+/* 9004 */    MCD_OPC_Decode, 253, 5, 115, // Opcode: FSUB_D
+/* 9008 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9020
+/* 9012 */    MCD_OPC_CheckPredicate, 6, 16, 16, // Skip to: 13128
+/* 9016 */    MCD_OPC_Decode, 222, 5, 114, // Opcode: FMUL_W
+/* 9020 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9032
+/* 9024 */    MCD_OPC_CheckPredicate, 6, 4, 16, // Skip to: 13128
+/* 9028 */    MCD_OPC_Decode, 216, 5, 115, // Opcode: FMUL_D
+/* 9032 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9044
+/* 9036 */    MCD_OPC_CheckPredicate, 6, 248, 15, // Skip to: 13128
+/* 9040 */    MCD_OPC_Decode, 165, 5, 114, // Opcode: FDIV_W
+/* 9044 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9056
+/* 9048 */    MCD_OPC_CheckPredicate, 6, 236, 15, // Skip to: 13128
+/* 9052 */    MCD_OPC_Decode, 159, 5, 115, // Opcode: FDIV_D
+/* 9056 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9068
+/* 9060 */    MCD_OPC_CheckPredicate, 6, 224, 15, // Skip to: 13128
+/* 9064 */    MCD_OPC_Decode, 200, 5, 118, // Opcode: FMADD_W
+/* 9068 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9080
+/* 9072 */    MCD_OPC_CheckPredicate, 6, 212, 15, // Skip to: 13128
+/* 9076 */    MCD_OPC_Decode, 199, 5, 119, // Opcode: FMADD_D
+/* 9080 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9092
+/* 9084 */    MCD_OPC_CheckPredicate, 6, 200, 15, // Skip to: 13128
+/* 9088 */    MCD_OPC_Decode, 215, 5, 118, // Opcode: FMSUB_W
+/* 9092 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9104
+/* 9096 */    MCD_OPC_CheckPredicate, 6, 188, 15, // Skip to: 13128
+/* 9100 */    MCD_OPC_Decode, 214, 5, 119, // Opcode: FMSUB_D
+/* 9104 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 9116
+/* 9108 */    MCD_OPC_CheckPredicate, 6, 176, 15, // Skip to: 13128
+/* 9112 */    MCD_OPC_Decode, 170, 5, 114, // Opcode: FEXP2_W
+/* 9116 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 9128
+/* 9120 */    MCD_OPC_CheckPredicate, 6, 164, 15, // Skip to: 13128
+/* 9124 */    MCD_OPC_Decode, 168, 5, 115, // Opcode: FEXP2_D
+/* 9128 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 9141
+/* 9132 */    MCD_OPC_CheckPredicate, 6, 152, 15, // Skip to: 13128
+/* 9136 */    MCD_OPC_Decode, 166, 5, 154, 1, // Opcode: FEXDO_H
+/* 9141 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 9154
+/* 9145 */    MCD_OPC_CheckPredicate, 6, 139, 15, // Skip to: 13128
+/* 9149 */    MCD_OPC_Decode, 167, 5, 155, 1, // Opcode: FEXDO_W
+/* 9154 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 9167
+/* 9158 */    MCD_OPC_CheckPredicate, 6, 126, 15, // Skip to: 13128
+/* 9162 */    MCD_OPC_Decode, 146, 6, 154, 1, // Opcode: FTQ_H
+/* 9167 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 9180
+/* 9171 */    MCD_OPC_CheckPredicate, 6, 113, 15, // Skip to: 13128
+/* 9175 */    MCD_OPC_Decode, 147, 6, 155, 1, // Opcode: FTQ_W
+/* 9180 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9192
+/* 9184 */    MCD_OPC_CheckPredicate, 6, 100, 15, // Skip to: 13128
+/* 9188 */    MCD_OPC_Decode, 208, 5, 114, // Opcode: FMIN_W
+/* 9192 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9204
+/* 9196 */    MCD_OPC_CheckPredicate, 6, 88, 15, // Skip to: 13128
+/* 9200 */    MCD_OPC_Decode, 207, 5, 115, // Opcode: FMIN_D
+/* 9204 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9216
+/* 9208 */    MCD_OPC_CheckPredicate, 6, 76, 15, // Skip to: 13128
+/* 9212 */    MCD_OPC_Decode, 206, 5, 114, // Opcode: FMIN_A_W
+/* 9216 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9228
+/* 9220 */    MCD_OPC_CheckPredicate, 6, 64, 15, // Skip to: 13128
+/* 9224 */    MCD_OPC_Decode, 205, 5, 115, // Opcode: FMIN_A_D
+/* 9228 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9240
+/* 9232 */    MCD_OPC_CheckPredicate, 6, 52, 15, // Skip to: 13128
+/* 9236 */    MCD_OPC_Decode, 204, 5, 114, // Opcode: FMAX_W
+/* 9240 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 9252
+/* 9244 */    MCD_OPC_CheckPredicate, 6, 40, 15, // Skip to: 13128
+/* 9248 */    MCD_OPC_Decode, 203, 5, 115, // Opcode: FMAX_D
+/* 9252 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 9264
+/* 9256 */    MCD_OPC_CheckPredicate, 6, 28, 15, // Skip to: 13128
+/* 9260 */    MCD_OPC_Decode, 202, 5, 114, // Opcode: FMAX_A_W
+/* 9264 */    MCD_OPC_FilterValue, 31, 20, 15, // Skip to: 13128
+/* 9268 */    MCD_OPC_CheckPredicate, 6, 16, 15, // Skip to: 13128
+/* 9272 */    MCD_OPC_Decode, 201, 5, 115, // Opcode: FMAX_A_D
+/* 9276 */    MCD_OPC_FilterValue, 28, 35, 1, // Skip to: 9571
+/* 9280 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9283 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9295
+/* 9287 */    MCD_OPC_CheckPredicate, 6, 253, 14, // Skip to: 13128
+/* 9291 */    MCD_OPC_Decode, 148, 5, 114, // Opcode: FCOR_W
+/* 9295 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9307
+/* 9299 */    MCD_OPC_CheckPredicate, 6, 241, 14, // Skip to: 13128
+/* 9303 */    MCD_OPC_Decode, 147, 5, 115, // Opcode: FCOR_D
+/* 9307 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9319
+/* 9311 */    MCD_OPC_CheckPredicate, 6, 229, 14, // Skip to: 13128
+/* 9315 */    MCD_OPC_Decode, 156, 5, 114, // Opcode: FCUNE_W
+/* 9319 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9331
+/* 9323 */    MCD_OPC_CheckPredicate, 6, 217, 14, // Skip to: 13128
+/* 9327 */    MCD_OPC_Decode, 155, 5, 115, // Opcode: FCUNE_D
+/* 9331 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9343
+/* 9335 */    MCD_OPC_CheckPredicate, 6, 205, 14, // Skip to: 13128
+/* 9339 */    MCD_OPC_Decode, 146, 5, 114, // Opcode: FCNE_W
+/* 9343 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9355
+/* 9347 */    MCD_OPC_CheckPredicate, 6, 193, 14, // Skip to: 13128
+/* 9351 */    MCD_OPC_Decode, 145, 5, 115, // Opcode: FCNE_D
+/* 9355 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9367
+/* 9359 */    MCD_OPC_CheckPredicate, 6, 181, 14, // Skip to: 13128
+/* 9363 */    MCD_OPC_Decode, 151, 9, 113, // Opcode: MUL_Q_H
+/* 9367 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9379
+/* 9371 */    MCD_OPC_CheckPredicate, 6, 169, 14, // Skip to: 13128
+/* 9375 */    MCD_OPC_Decode, 152, 9, 114, // Opcode: MUL_Q_W
+/* 9379 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9391
+/* 9383 */    MCD_OPC_CheckPredicate, 6, 157, 14, // Skip to: 13128
+/* 9387 */    MCD_OPC_Decode, 208, 7, 117, // Opcode: MADD_Q_H
+/* 9391 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9403
+/* 9395 */    MCD_OPC_CheckPredicate, 6, 145, 14, // Skip to: 13128
+/* 9399 */    MCD_OPC_Decode, 209, 7, 118, // Opcode: MADD_Q_W
+/* 9403 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 9415
+/* 9407 */    MCD_OPC_CheckPredicate, 6, 133, 14, // Skip to: 13128
+/* 9411 */    MCD_OPC_Decode, 225, 8, 117, // Opcode: MSUB_Q_H
+/* 9415 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 9427
+/* 9419 */    MCD_OPC_CheckPredicate, 6, 121, 14, // Skip to: 13128
+/* 9423 */    MCD_OPC_Decode, 226, 8, 118, // Opcode: MSUB_Q_W
+/* 9427 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9439
+/* 9431 */    MCD_OPC_CheckPredicate, 6, 109, 14, // Skip to: 13128
+/* 9435 */    MCD_OPC_Decode, 245, 5, 114, // Opcode: FSOR_W
+/* 9439 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9451
+/* 9443 */    MCD_OPC_CheckPredicate, 6, 97, 14, // Skip to: 13128
+/* 9447 */    MCD_OPC_Decode, 244, 5, 115, // Opcode: FSOR_D
+/* 9451 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9463
+/* 9455 */    MCD_OPC_CheckPredicate, 6, 85, 14, // Skip to: 13128
+/* 9459 */    MCD_OPC_Decode, 139, 6, 114, // Opcode: FSUNE_W
+/* 9463 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9475
+/* 9467 */    MCD_OPC_CheckPredicate, 6, 73, 14, // Skip to: 13128
+/* 9471 */    MCD_OPC_Decode, 138, 6, 115, // Opcode: FSUNE_D
+/* 9475 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9487
+/* 9479 */    MCD_OPC_CheckPredicate, 6, 61, 14, // Skip to: 13128
+/* 9483 */    MCD_OPC_Decode, 243, 5, 114, // Opcode: FSNE_W
+/* 9487 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9499
+/* 9491 */    MCD_OPC_CheckPredicate, 6, 49, 14, // Skip to: 13128
+/* 9495 */    MCD_OPC_Decode, 242, 5, 115, // Opcode: FSNE_D
+/* 9499 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9511
+/* 9503 */    MCD_OPC_CheckPredicate, 6, 37, 14, // Skip to: 13128
+/* 9507 */    MCD_OPC_Decode, 134, 9, 113, // Opcode: MULR_Q_H
+/* 9511 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9523
+/* 9515 */    MCD_OPC_CheckPredicate, 6, 25, 14, // Skip to: 13128
+/* 9519 */    MCD_OPC_Decode, 135, 9, 114, // Opcode: MULR_Q_W
+/* 9523 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9535
+/* 9527 */    MCD_OPC_CheckPredicate, 6, 13, 14, // Skip to: 13128
+/* 9531 */    MCD_OPC_Decode, 194, 7, 117, // Opcode: MADDR_Q_H
+/* 9535 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9547
+/* 9539 */    MCD_OPC_CheckPredicate, 6, 1, 14, // Skip to: 13128
+/* 9543 */    MCD_OPC_Decode, 195, 7, 118, // Opcode: MADDR_Q_W
+/* 9547 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9559
+/* 9551 */    MCD_OPC_CheckPredicate, 6, 245, 13, // Skip to: 13128
+/* 9555 */    MCD_OPC_Decode, 211, 8, 117, // Opcode: MSUBR_Q_H
+/* 9559 */    MCD_OPC_FilterValue, 29, 237, 13, // Skip to: 13128
+/* 9563 */    MCD_OPC_CheckPredicate, 6, 233, 13, // Skip to: 13128
+/* 9567 */    MCD_OPC_Decode, 212, 8, 118, // Opcode: MSUBR_Q_W
+/* 9571 */    MCD_OPC_FilterValue, 30, 212, 2, // Skip to: 10299
+/* 9575 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 9578 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 9589
+/* 9582 */    MCD_OPC_CheckPredicate, 6, 214, 13, // Skip to: 13128
+/* 9586 */    MCD_OPC_Decode, 79, 112, // Opcode: AND_V
+/* 9589 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9601
+/* 9593 */    MCD_OPC_CheckPredicate, 6, 203, 13, // Skip to: 13128
+/* 9597 */    MCD_OPC_Decode, 196, 9, 112, // Opcode: OR_V
+/* 9601 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9613
+/* 9605 */    MCD_OPC_CheckPredicate, 6, 191, 13, // Skip to: 13128
+/* 9609 */    MCD_OPC_Decode, 186, 9, 112, // Opcode: NOR_V
+/* 9613 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9625
+/* 9617 */    MCD_OPC_CheckPredicate, 6, 179, 13, // Skip to: 13128
+/* 9621 */    MCD_OPC_Decode, 133, 13, 112, // Opcode: XOR_V
+/* 9625 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9637
+/* 9629 */    MCD_OPC_CheckPredicate, 6, 167, 13, // Skip to: 13128
+/* 9633 */    MCD_OPC_Decode, 230, 1, 116, // Opcode: BMNZ_V
+/* 9637 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9649
+/* 9641 */    MCD_OPC_CheckPredicate, 6, 155, 13, // Skip to: 13128
+/* 9645 */    MCD_OPC_Decode, 232, 1, 116, // Opcode: BMZ_V
+/* 9649 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9661
+/* 9653 */    MCD_OPC_CheckPredicate, 6, 143, 13, // Skip to: 13128
+/* 9657 */    MCD_OPC_Decode, 135, 2, 116, // Opcode: BSEL_V
+/* 9661 */    MCD_OPC_FilterValue, 24, 211, 0, // Skip to: 9876
+/* 9665 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 9668 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9681
+/* 9672 */    MCD_OPC_CheckPredicate, 6, 124, 13, // Skip to: 13128
+/* 9676 */    MCD_OPC_Decode, 184, 5, 156, 1, // Opcode: FILL_B
+/* 9681 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9694
+/* 9685 */    MCD_OPC_CheckPredicate, 6, 111, 13, // Skip to: 13128
+/* 9689 */    MCD_OPC_Decode, 188, 5, 157, 1, // Opcode: FILL_H
+/* 9694 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9707
+/* 9698 */    MCD_OPC_CheckPredicate, 6, 98, 13, // Skip to: 13128
+/* 9702 */    MCD_OPC_Decode, 189, 5, 158, 1, // Opcode: FILL_W
+/* 9707 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9720
+/* 9711 */    MCD_OPC_CheckPredicate, 13, 85, 13, // Skip to: 13128
+/* 9715 */    MCD_OPC_Decode, 185, 5, 159, 1, // Opcode: FILL_D
+/* 9720 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9733
+/* 9724 */    MCD_OPC_CheckPredicate, 6, 72, 13, // Skip to: 13128
+/* 9728 */    MCD_OPC_Decode, 214, 9, 148, 1, // Opcode: PCNT_B
+/* 9733 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9746
+/* 9737 */    MCD_OPC_CheckPredicate, 6, 59, 13, // Skip to: 13128
+/* 9741 */    MCD_OPC_Decode, 216, 9, 160, 1, // Opcode: PCNT_H
+/* 9746 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9759
+/* 9750 */    MCD_OPC_CheckPredicate, 6, 46, 13, // Skip to: 13128
+/* 9754 */    MCD_OPC_Decode, 217, 9, 161, 1, // Opcode: PCNT_W
+/* 9759 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9772
+/* 9763 */    MCD_OPC_CheckPredicate, 6, 33, 13, // Skip to: 13128
+/* 9767 */    MCD_OPC_Decode, 215, 9, 162, 1, // Opcode: PCNT_D
+/* 9772 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9785
+/* 9776 */    MCD_OPC_CheckPredicate, 6, 20, 13, // Skip to: 13128
+/* 9780 */    MCD_OPC_Decode, 163, 9, 148, 1, // Opcode: NLOC_B
+/* 9785 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9798
+/* 9789 */    MCD_OPC_CheckPredicate, 6, 7, 13, // Skip to: 13128
+/* 9793 */    MCD_OPC_Decode, 165, 9, 160, 1, // Opcode: NLOC_H
+/* 9798 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9811
+/* 9802 */    MCD_OPC_CheckPredicate, 6, 250, 12, // Skip to: 13128
+/* 9806 */    MCD_OPC_Decode, 166, 9, 161, 1, // Opcode: NLOC_W
+/* 9811 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9824
+/* 9815 */    MCD_OPC_CheckPredicate, 6, 237, 12, // Skip to: 13128
+/* 9819 */    MCD_OPC_Decode, 164, 9, 162, 1, // Opcode: NLOC_D
+/* 9824 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9837
+/* 9828 */    MCD_OPC_CheckPredicate, 6, 224, 12, // Skip to: 13128
+/* 9832 */    MCD_OPC_Decode, 167, 9, 148, 1, // Opcode: NLZC_B
+/* 9837 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9850
+/* 9841 */    MCD_OPC_CheckPredicate, 6, 211, 12, // Skip to: 13128
+/* 9845 */    MCD_OPC_Decode, 169, 9, 160, 1, // Opcode: NLZC_H
+/* 9850 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9863
+/* 9854 */    MCD_OPC_CheckPredicate, 6, 198, 12, // Skip to: 13128
+/* 9858 */    MCD_OPC_Decode, 170, 9, 161, 1, // Opcode: NLZC_W
+/* 9863 */    MCD_OPC_FilterValue, 15, 189, 12, // Skip to: 13128
+/* 9867 */    MCD_OPC_CheckPredicate, 6, 185, 12, // Skip to: 13128
+/* 9871 */    MCD_OPC_Decode, 168, 9, 162, 1, // Opcode: NLZC_D
+/* 9876 */    MCD_OPC_FilterValue, 25, 176, 12, // Skip to: 13128
+/* 9880 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 9883 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9896
+/* 9887 */    MCD_OPC_CheckPredicate, 6, 165, 12, // Skip to: 13128
+/* 9891 */    MCD_OPC_Decode, 135, 5, 161, 1, // Opcode: FCLASS_W
+/* 9896 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9909
+/* 9900 */    MCD_OPC_CheckPredicate, 6, 152, 12, // Skip to: 13128
+/* 9904 */    MCD_OPC_Decode, 134, 5, 162, 1, // Opcode: FCLASS_D
+/* 9909 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9922
+/* 9913 */    MCD_OPC_CheckPredicate, 6, 139, 12, // Skip to: 13128
+/* 9917 */    MCD_OPC_Decode, 149, 6, 161, 1, // Opcode: FTRUNC_S_W
+/* 9922 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9935
+/* 9926 */    MCD_OPC_CheckPredicate, 6, 126, 12, // Skip to: 13128
+/* 9930 */    MCD_OPC_Decode, 148, 6, 162, 1, // Opcode: FTRUNC_S_D
+/* 9935 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9948
+/* 9939 */    MCD_OPC_CheckPredicate, 6, 113, 12, // Skip to: 13128
+/* 9943 */    MCD_OPC_Decode, 151, 6, 161, 1, // Opcode: FTRUNC_U_W
+/* 9948 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9961
+/* 9952 */    MCD_OPC_CheckPredicate, 6, 100, 12, // Skip to: 13128
+/* 9956 */    MCD_OPC_Decode, 150, 6, 162, 1, // Opcode: FTRUNC_U_D
+/* 9961 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9974
+/* 9965 */    MCD_OPC_CheckPredicate, 6, 87, 12, // Skip to: 13128
+/* 9969 */    MCD_OPC_Decode, 252, 5, 161, 1, // Opcode: FSQRT_W
+/* 9974 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9987
+/* 9978 */    MCD_OPC_CheckPredicate, 6, 74, 12, // Skip to: 13128
+/* 9982 */    MCD_OPC_Decode, 246, 5, 162, 1, // Opcode: FSQRT_D
+/* 9987 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 10000
+/* 9991 */    MCD_OPC_CheckPredicate, 6, 61, 12, // Skip to: 13128
+/* 9995 */    MCD_OPC_Decode, 233, 5, 161, 1, // Opcode: FRSQRT_W
+/* 10000 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10013
+/* 10004 */   MCD_OPC_CheckPredicate, 6, 48, 12, // Skip to: 13128
+/* 10008 */   MCD_OPC_Decode, 232, 5, 162, 1, // Opcode: FRSQRT_D
+/* 10013 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 10026
+/* 10017 */   MCD_OPC_CheckPredicate, 6, 35, 12, // Skip to: 13128
+/* 10021 */   MCD_OPC_Decode, 229, 5, 161, 1, // Opcode: FRCP_W
+/* 10026 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10039
+/* 10030 */   MCD_OPC_CheckPredicate, 6, 22, 12, // Skip to: 13128
+/* 10034 */   MCD_OPC_Decode, 228, 5, 162, 1, // Opcode: FRCP_D
+/* 10039 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10052
+/* 10043 */   MCD_OPC_CheckPredicate, 6, 9, 12, // Skip to: 13128
+/* 10047 */   MCD_OPC_Decode, 231, 5, 161, 1, // Opcode: FRINT_W
+/* 10052 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10065
+/* 10056 */   MCD_OPC_CheckPredicate, 6, 252, 11, // Skip to: 13128
+/* 10060 */   MCD_OPC_Decode, 230, 5, 162, 1, // Opcode: FRINT_D
+/* 10065 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10078
+/* 10069 */   MCD_OPC_CheckPredicate, 6, 239, 11, // Skip to: 13128
+/* 10073 */   MCD_OPC_Decode, 191, 5, 161, 1, // Opcode: FLOG2_W
+/* 10078 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10091
+/* 10082 */   MCD_OPC_CheckPredicate, 6, 226, 11, // Skip to: 13128
+/* 10086 */   MCD_OPC_Decode, 190, 5, 162, 1, // Opcode: FLOG2_D
+/* 10091 */   MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 10104
+/* 10095 */   MCD_OPC_CheckPredicate, 6, 213, 11, // Skip to: 13128
+/* 10099 */   MCD_OPC_Decode, 173, 5, 163, 1, // Opcode: FEXUPL_W
+/* 10104 */   MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 10117
+/* 10108 */   MCD_OPC_CheckPredicate, 6, 200, 11, // Skip to: 13128
+/* 10112 */   MCD_OPC_Decode, 172, 5, 164, 1, // Opcode: FEXUPL_D
+/* 10117 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 10130
+/* 10121 */   MCD_OPC_CheckPredicate, 6, 187, 11, // Skip to: 13128
+/* 10125 */   MCD_OPC_Decode, 175, 5, 163, 1, // Opcode: FEXUPR_W
+/* 10130 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 10143
+/* 10134 */   MCD_OPC_CheckPredicate, 6, 174, 11, // Skip to: 13128
+/* 10138 */   MCD_OPC_Decode, 174, 5, 164, 1, // Opcode: FEXUPR_D
+/* 10143 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 10156
+/* 10147 */   MCD_OPC_CheckPredicate, 6, 161, 11, // Skip to: 13128
+/* 10151 */   MCD_OPC_Decode, 181, 5, 163, 1, // Opcode: FFQL_W
+/* 10156 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 10169
+/* 10160 */   MCD_OPC_CheckPredicate, 6, 148, 11, // Skip to: 13128
+/* 10164 */   MCD_OPC_Decode, 180, 5, 164, 1, // Opcode: FFQL_D
+/* 10169 */   MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 10182
+/* 10173 */   MCD_OPC_CheckPredicate, 6, 135, 11, // Skip to: 13128
+/* 10177 */   MCD_OPC_Decode, 183, 5, 163, 1, // Opcode: FFQR_W
+/* 10182 */   MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 10195
+/* 10186 */   MCD_OPC_CheckPredicate, 6, 122, 11, // Skip to: 13128
+/* 10190 */   MCD_OPC_Decode, 182, 5, 164, 1, // Opcode: FFQR_D
+/* 10195 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 10208
+/* 10199 */   MCD_OPC_CheckPredicate, 6, 109, 11, // Skip to: 13128
+/* 10203 */   MCD_OPC_Decode, 143, 6, 161, 1, // Opcode: FTINT_S_W
+/* 10208 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 10221
+/* 10212 */   MCD_OPC_CheckPredicate, 6, 96, 11, // Skip to: 13128
+/* 10216 */   MCD_OPC_Decode, 142, 6, 162, 1, // Opcode: FTINT_S_D
+/* 10221 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 10234
+/* 10225 */   MCD_OPC_CheckPredicate, 6, 83, 11, // Skip to: 13128
+/* 10229 */   MCD_OPC_Decode, 145, 6, 161, 1, // Opcode: FTINT_U_W
+/* 10234 */   MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 10247
+/* 10238 */   MCD_OPC_CheckPredicate, 6, 70, 11, // Skip to: 13128
+/* 10242 */   MCD_OPC_Decode, 144, 6, 162, 1, // Opcode: FTINT_U_D
+/* 10247 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10260
+/* 10251 */   MCD_OPC_CheckPredicate, 6, 57, 11, // Skip to: 13128
+/* 10255 */   MCD_OPC_Decode, 177, 5, 161, 1, // Opcode: FFINT_S_W
+/* 10260 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10273
+/* 10264 */   MCD_OPC_CheckPredicate, 6, 44, 11, // Skip to: 13128
+/* 10268 */   MCD_OPC_Decode, 176, 5, 162, 1, // Opcode: FFINT_S_D
+/* 10273 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10286
+/* 10277 */   MCD_OPC_CheckPredicate, 6, 31, 11, // Skip to: 13128
+/* 10281 */   MCD_OPC_Decode, 179, 5, 161, 1, // Opcode: FFINT_U_W
+/* 10286 */   MCD_OPC_FilterValue, 31, 22, 11, // Skip to: 13128
+/* 10290 */   MCD_OPC_CheckPredicate, 6, 18, 11, // Skip to: 13128
+/* 10294 */   MCD_OPC_Decode, 178, 5, 162, 1, // Opcode: FFINT_U_D
+/* 10299 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 10312
+/* 10303 */   MCD_OPC_CheckPredicate, 6, 5, 11, // Skip to: 13128
+/* 10307 */   MCD_OPC_Decode, 248, 6, 165, 1, // Opcode: LD_B
+/* 10312 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 10325
+/* 10316 */   MCD_OPC_CheckPredicate, 6, 248, 10, // Skip to: 13128
+/* 10320 */   MCD_OPC_Decode, 250, 6, 165, 1, // Opcode: LD_H
+/* 10325 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 10338
+/* 10329 */   MCD_OPC_CheckPredicate, 6, 235, 10, // Skip to: 13128
+/* 10333 */   MCD_OPC_Decode, 251, 6, 165, 1, // Opcode: LD_W
+/* 10338 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 10351
+/* 10342 */   MCD_OPC_CheckPredicate, 6, 222, 10, // Skip to: 13128
+/* 10346 */   MCD_OPC_Decode, 249, 6, 165, 1, // Opcode: LD_D
+/* 10351 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 10364
+/* 10355 */   MCD_OPC_CheckPredicate, 6, 209, 10, // Skip to: 13128
+/* 10359 */   MCD_OPC_Decode, 219, 11, 165, 1, // Opcode: ST_B
+/* 10364 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 10377
+/* 10368 */   MCD_OPC_CheckPredicate, 6, 196, 10, // Skip to: 13128
+/* 10372 */   MCD_OPC_Decode, 221, 11, 165, 1, // Opcode: ST_H
+/* 10377 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 10390
+/* 10381 */   MCD_OPC_CheckPredicate, 6, 183, 10, // Skip to: 13128
+/* 10385 */   MCD_OPC_Decode, 222, 11, 165, 1, // Opcode: ST_W
+/* 10390 */   MCD_OPC_FilterValue, 39, 174, 10, // Skip to: 13128
+/* 10394 */   MCD_OPC_CheckPredicate, 6, 170, 10, // Skip to: 13128
+/* 10398 */   MCD_OPC_Decode, 220, 11, 165, 1, // Opcode: ST_D
+/* 10403 */   MCD_OPC_FilterValue, 31, 113, 9, // Skip to: 12824
+/* 10407 */   MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 10410 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10423
+/* 10414 */   MCD_OPC_CheckPredicate, 4, 150, 10, // Skip to: 13128
+/* 10418 */   MCD_OPC_Decode, 226, 4, 166, 1, // Opcode: EXT
+/* 10423 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10436
+/* 10427 */   MCD_OPC_CheckPredicate, 4, 137, 10, // Skip to: 13128
+/* 10431 */   MCD_OPC_Decode, 181, 6, 167, 1, // Opcode: INS
+/* 10436 */   MCD_OPC_FilterValue, 10, 42, 0, // Skip to: 10482
+/* 10440 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10443 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10456
+/* 10447 */   MCD_OPC_CheckPredicate, 11, 117, 10, // Skip to: 13128
+/* 10451 */   MCD_OPC_Decode, 170, 7, 168, 1, // Opcode: LWX
+/* 10456 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10469
+/* 10460 */   MCD_OPC_CheckPredicate, 11, 104, 10, // Skip to: 13128
+/* 10464 */   MCD_OPC_Decode, 129, 7, 168, 1, // Opcode: LHX
+/* 10469 */   MCD_OPC_FilterValue, 6, 95, 10, // Skip to: 13128
+/* 10473 */   MCD_OPC_CheckPredicate, 11, 91, 10, // Skip to: 13128
+/* 10477 */   MCD_OPC_Decode, 227, 6, 168, 1, // Opcode: LBUX
+/* 10482 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 10501
+/* 10486 */   MCD_OPC_CheckPredicate, 11, 78, 10, // Skip to: 13128
+/* 10490 */   MCD_OPC_CheckField, 6, 10, 0, 72, 10, // Skip to: 13128
+/* 10496 */   MCD_OPC_Decode, 194, 6, 169, 1, // Opcode: INSV
+/* 10501 */   MCD_OPC_FilterValue, 16, 51, 1, // Skip to: 10812
+/* 10505 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10508 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10520
+/* 10512 */   MCD_OPC_CheckPredicate, 11, 52, 10, // Skip to: 13128
+/* 10516 */   MCD_OPC_Decode, 48, 170, 1, // Opcode: ADDU_QB
+/* 10520 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10533
+/* 10524 */   MCD_OPC_CheckPredicate, 11, 40, 10, // Skip to: 13128
+/* 10528 */   MCD_OPC_Decode, 250, 11, 170, 1, // Opcode: SUBU_QB
+/* 10533 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10545
+/* 10537 */   MCD_OPC_CheckPredicate, 11, 27, 10, // Skip to: 13128
+/* 10541 */   MCD_OPC_Decode, 50, 170, 1, // Opcode: ADDU_S_QB
+/* 10545 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10558
+/* 10549 */   MCD_OPC_CheckPredicate, 11, 15, 10, // Skip to: 13128
+/* 10553 */   MCD_OPC_Decode, 252, 11, 170, 1, // Opcode: SUBU_S_QB
+/* 10558 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10571
+/* 10562 */   MCD_OPC_CheckPredicate, 11, 2, 10, // Skip to: 13128
+/* 10566 */   MCD_OPC_Decode, 128, 9, 170, 1, // Opcode: MULEU_S_PH_QBL
+/* 10571 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10584
+/* 10575 */   MCD_OPC_CheckPredicate, 11, 245, 9, // Skip to: 13128
+/* 10579 */   MCD_OPC_Decode, 129, 9, 170, 1, // Opcode: MULEU_S_PH_QBR
+/* 10584 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 10596
+/* 10588 */   MCD_OPC_CheckPredicate, 29, 232, 9, // Skip to: 13128
+/* 10592 */   MCD_OPC_Decode, 47, 170, 1, // Opcode: ADDU_PH
+/* 10596 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10609
+/* 10600 */   MCD_OPC_CheckPredicate, 29, 220, 9, // Skip to: 13128
+/* 10604 */   MCD_OPC_Decode, 249, 11, 170, 1, // Opcode: SUBU_PH
+/* 10609 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 10621
+/* 10613 */   MCD_OPC_CheckPredicate, 11, 207, 9, // Skip to: 13128
+/* 10617 */   MCD_OPC_Decode, 29, 170, 1, // Opcode: ADDQ_PH
+/* 10621 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10634
+/* 10625 */   MCD_OPC_CheckPredicate, 11, 195, 9, // Skip to: 13128
+/* 10629 */   MCD_OPC_Decode, 228, 11, 170, 1, // Opcode: SUBQ_PH
+/* 10634 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 10646
+/* 10638 */   MCD_OPC_CheckPredicate, 29, 182, 9, // Skip to: 13128
+/* 10642 */   MCD_OPC_Decode, 49, 170, 1, // Opcode: ADDU_S_PH
+/* 10646 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10659
+/* 10650 */   MCD_OPC_CheckPredicate, 29, 170, 9, // Skip to: 13128
+/* 10654 */   MCD_OPC_Decode, 251, 11, 170, 1, // Opcode: SUBU_S_PH
+/* 10659 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 10671
+/* 10663 */   MCD_OPC_CheckPredicate, 11, 157, 9, // Skip to: 13128
+/* 10667 */   MCD_OPC_Decode, 30, 170, 1, // Opcode: ADDQ_S_PH
+/* 10671 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10684
+/* 10675 */   MCD_OPC_CheckPredicate, 11, 145, 9, // Skip to: 13128
+/* 10679 */   MCD_OPC_Decode, 229, 11, 170, 1, // Opcode: SUBQ_S_PH
+/* 10684 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 10695
+/* 10688 */   MCD_OPC_CheckPredicate, 11, 132, 9, // Skip to: 13128
+/* 10692 */   MCD_OPC_Decode, 32, 16, // Opcode: ADDSC
+/* 10695 */   MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 10706
+/* 10699 */   MCD_OPC_CheckPredicate, 11, 121, 9, // Skip to: 13128
+/* 10703 */   MCD_OPC_Decode, 59, 16, // Opcode: ADDWC
+/* 10706 */   MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 10718
+/* 10710 */   MCD_OPC_CheckPredicate, 11, 110, 9, // Skip to: 13128
+/* 10714 */   MCD_OPC_Decode, 156, 8, 16, // Opcode: MODSUB
+/* 10718 */   MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 10737
+/* 10722 */   MCD_OPC_CheckPredicate, 11, 98, 9, // Skip to: 13128
+/* 10726 */   MCD_OPC_CheckField, 16, 5, 0, 92, 9, // Skip to: 13128
+/* 10732 */   MCD_OPC_Decode, 149, 10, 171, 1, // Opcode: RADDU_W_QB
+/* 10737 */   MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 10748
+/* 10741 */   MCD_OPC_CheckPredicate, 11, 79, 9, // Skip to: 13128
+/* 10745 */   MCD_OPC_Decode, 31, 16, // Opcode: ADDQ_S_W
+/* 10748 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 10760
+/* 10752 */   MCD_OPC_CheckPredicate, 11, 68, 9, // Skip to: 13128
+/* 10756 */   MCD_OPC_Decode, 230, 11, 16, // Opcode: SUBQ_S_W
+/* 10760 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10773
+/* 10764 */   MCD_OPC_CheckPredicate, 11, 56, 9, // Skip to: 13128
+/* 10768 */   MCD_OPC_Decode, 254, 8, 172, 1, // Opcode: MULEQ_S_W_PHL
+/* 10773 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10786
+/* 10777 */   MCD_OPC_CheckPredicate, 11, 43, 9, // Skip to: 13128
+/* 10781 */   MCD_OPC_Decode, 255, 8, 172, 1, // Opcode: MULEQ_S_W_PHR
+/* 10786 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10799
+/* 10790 */   MCD_OPC_CheckPredicate, 29, 30, 9, // Skip to: 13128
+/* 10794 */   MCD_OPC_Decode, 132, 9, 170, 1, // Opcode: MULQ_S_PH
+/* 10799 */   MCD_OPC_FilterValue, 31, 21, 9, // Skip to: 13128
+/* 10803 */   MCD_OPC_CheckPredicate, 11, 17, 9, // Skip to: 13128
+/* 10807 */   MCD_OPC_Decode, 130, 9, 170, 1, // Opcode: MULQ_RS_PH
+/* 10812 */   MCD_OPC_FilterValue, 17, 69, 1, // Skip to: 11141
+/* 10816 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 10819 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10838
+/* 10823 */   MCD_OPC_CheckPredicate, 11, 253, 8, // Skip to: 13128
+/* 10827 */   MCD_OPC_CheckField, 11, 5, 0, 247, 8, // Skip to: 13128
+/* 10833 */   MCD_OPC_Decode, 243, 2, 173, 1, // Opcode: CMPU_EQ_QB
+/* 10838 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10857
+/* 10842 */   MCD_OPC_CheckPredicate, 11, 234, 8, // Skip to: 13128
+/* 10846 */   MCD_OPC_CheckField, 11, 5, 0, 228, 8, // Skip to: 13128
+/* 10852 */   MCD_OPC_Decode, 245, 2, 173, 1, // Opcode: CMPU_LT_QB
+/* 10857 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 10876
+/* 10861 */   MCD_OPC_CheckPredicate, 11, 215, 8, // Skip to: 13128
+/* 10865 */   MCD_OPC_CheckField, 11, 5, 0, 209, 8, // Skip to: 13128
+/* 10871 */   MCD_OPC_Decode, 244, 2, 173, 1, // Opcode: CMPU_LE_QB
+/* 10876 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 10889
+/* 10880 */   MCD_OPC_CheckPredicate, 11, 196, 8, // Skip to: 13128
+/* 10884 */   MCD_OPC_Decode, 219, 9, 170, 1, // Opcode: PICK_QB
+/* 10889 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10902
+/* 10893 */   MCD_OPC_CheckPredicate, 11, 183, 8, // Skip to: 13128
+/* 10897 */   MCD_OPC_Decode, 240, 2, 172, 1, // Opcode: CMPGU_EQ_QB
+/* 10902 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10915
+/* 10906 */   MCD_OPC_CheckPredicate, 11, 170, 8, // Skip to: 13128
+/* 10910 */   MCD_OPC_Decode, 242, 2, 172, 1, // Opcode: CMPGU_LT_QB
+/* 10915 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10928
+/* 10919 */   MCD_OPC_CheckPredicate, 11, 157, 8, // Skip to: 13128
+/* 10923 */   MCD_OPC_Decode, 241, 2, 172, 1, // Opcode: CMPGU_LE_QB
+/* 10928 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 10947
+/* 10932 */   MCD_OPC_CheckPredicate, 11, 144, 8, // Skip to: 13128
+/* 10936 */   MCD_OPC_CheckField, 11, 5, 0, 138, 8, // Skip to: 13128
+/* 10942 */   MCD_OPC_Decode, 247, 2, 173, 1, // Opcode: CMP_EQ_PH
+/* 10947 */   MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 10966
+/* 10951 */   MCD_OPC_CheckPredicate, 11, 125, 8, // Skip to: 13128
+/* 10955 */   MCD_OPC_CheckField, 11, 5, 0, 119, 8, // Skip to: 13128
+/* 10961 */   MCD_OPC_Decode, 255, 2, 173, 1, // Opcode: CMP_LT_PH
+/* 10966 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 10985
+/* 10970 */   MCD_OPC_CheckPredicate, 11, 106, 8, // Skip to: 13128
+/* 10974 */   MCD_OPC_CheckField, 11, 5, 0, 100, 8, // Skip to: 13128
+/* 10980 */   MCD_OPC_Decode, 252, 2, 173, 1, // Opcode: CMP_LE_PH
+/* 10985 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10998
+/* 10989 */   MCD_OPC_CheckPredicate, 11, 87, 8, // Skip to: 13128
+/* 10993 */   MCD_OPC_Decode, 218, 9, 170, 1, // Opcode: PICK_PH
+/* 10998 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11011
+/* 11002 */   MCD_OPC_CheckPredicate, 11, 74, 8, // Skip to: 13128
+/* 11006 */   MCD_OPC_Decode, 233, 9, 170, 1, // Opcode: PRECRQ_QB_PH
+/* 11011 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11024
+/* 11015 */   MCD_OPC_CheckPredicate, 29, 61, 8, // Skip to: 13128
+/* 11019 */   MCD_OPC_Decode, 235, 9, 170, 1, // Opcode: PRECR_QB_PH
+/* 11024 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11037
+/* 11028 */   MCD_OPC_CheckPredicate, 11, 48, 8, // Skip to: 13128
+/* 11032 */   MCD_OPC_Decode, 204, 9, 170, 1, // Opcode: PACKRL_PH
+/* 11037 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11050
+/* 11041 */   MCD_OPC_CheckPredicate, 11, 35, 8, // Skip to: 13128
+/* 11045 */   MCD_OPC_Decode, 231, 9, 170, 1, // Opcode: PRECRQU_S_QB_PH
+/* 11050 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11063
+/* 11054 */   MCD_OPC_CheckPredicate, 11, 22, 8, // Skip to: 13128
+/* 11058 */   MCD_OPC_Decode, 232, 9, 174, 1, // Opcode: PRECRQ_PH_W
+/* 11063 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11076
+/* 11067 */   MCD_OPC_CheckPredicate, 11, 9, 8, // Skip to: 13128
+/* 11071 */   MCD_OPC_Decode, 234, 9, 174, 1, // Opcode: PRECRQ_RS_PH_W
+/* 11076 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 11089
+/* 11080 */   MCD_OPC_CheckPredicate, 29, 252, 7, // Skip to: 13128
+/* 11084 */   MCD_OPC_Decode, 237, 2, 172, 1, // Opcode: CMPGDU_EQ_QB
+/* 11089 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11102
+/* 11093 */   MCD_OPC_CheckPredicate, 29, 239, 7, // Skip to: 13128
+/* 11097 */   MCD_OPC_Decode, 239, 2, 172, 1, // Opcode: CMPGDU_LT_QB
+/* 11102 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 11115
+/* 11106 */   MCD_OPC_CheckPredicate, 29, 226, 7, // Skip to: 13128
+/* 11110 */   MCD_OPC_Decode, 238, 2, 172, 1, // Opcode: CMPGDU_LE_QB
+/* 11115 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 11128
+/* 11119 */   MCD_OPC_CheckPredicate, 29, 213, 7, // Skip to: 13128
+/* 11123 */   MCD_OPC_Decode, 236, 9, 175, 1, // Opcode: PRECR_SRA_PH_W
+/* 11128 */   MCD_OPC_FilterValue, 31, 204, 7, // Skip to: 13128
+/* 11132 */   MCD_OPC_CheckPredicate, 29, 200, 7, // Skip to: 13128
+/* 11136 */   MCD_OPC_Decode, 237, 9, 175, 1, // Opcode: PRECR_SRA_R_PH_W
+/* 11141 */   MCD_OPC_FilterValue, 18, 74, 1, // Skip to: 11475
+/* 11145 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11148 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11166
+/* 11152 */   MCD_OPC_CheckPredicate, 29, 180, 7, // Skip to: 13128
+/* 11156 */   MCD_OPC_CheckField, 21, 5, 0, 174, 7, // Skip to: 13128
+/* 11162 */   MCD_OPC_Decode, 21, 176, 1, // Opcode: ABSQ_S_QB
+/* 11166 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11179
+/* 11170 */   MCD_OPC_CheckPredicate, 11, 162, 7, // Skip to: 13128
+/* 11174 */   MCD_OPC_Decode, 156, 10, 177, 1, // Opcode: REPL_QB
+/* 11179 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 11198
+/* 11183 */   MCD_OPC_CheckPredicate, 11, 149, 7, // Skip to: 13128
+/* 11187 */   MCD_OPC_CheckField, 21, 5, 0, 143, 7, // Skip to: 13128
+/* 11193 */   MCD_OPC_Decode, 154, 10, 178, 1, // Opcode: REPLV_QB
+/* 11198 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 11217
+/* 11202 */   MCD_OPC_CheckPredicate, 11, 130, 7, // Skip to: 13128
+/* 11206 */   MCD_OPC_CheckField, 21, 5, 0, 124, 7, // Skip to: 13128
+/* 11212 */   MCD_OPC_Decode, 221, 9, 176, 1, // Opcode: PRECEQU_PH_QBL
+/* 11217 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 11236
+/* 11221 */   MCD_OPC_CheckPredicate, 11, 111, 7, // Skip to: 13128
+/* 11225 */   MCD_OPC_CheckField, 21, 5, 0, 105, 7, // Skip to: 13128
+/* 11231 */   MCD_OPC_Decode, 223, 9, 176, 1, // Opcode: PRECEQU_PH_QBR
+/* 11236 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 11255
+/* 11240 */   MCD_OPC_CheckPredicate, 11, 92, 7, // Skip to: 13128
+/* 11244 */   MCD_OPC_CheckField, 21, 5, 0, 86, 7, // Skip to: 13128
+/* 11250 */   MCD_OPC_Decode, 222, 9, 176, 1, // Opcode: PRECEQU_PH_QBLA
+/* 11255 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 11274
+/* 11259 */   MCD_OPC_CheckPredicate, 11, 73, 7, // Skip to: 13128
+/* 11263 */   MCD_OPC_CheckField, 21, 5, 0, 67, 7, // Skip to: 13128
+/* 11269 */   MCD_OPC_Decode, 224, 9, 176, 1, // Opcode: PRECEQU_PH_QBRA
+/* 11274 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11292
+/* 11278 */   MCD_OPC_CheckPredicate, 11, 54, 7, // Skip to: 13128
+/* 11282 */   MCD_OPC_CheckField, 21, 5, 0, 48, 7, // Skip to: 13128
+/* 11288 */   MCD_OPC_Decode, 20, 176, 1, // Opcode: ABSQ_S_PH
+/* 11292 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11305
+/* 11296 */   MCD_OPC_CheckPredicate, 11, 36, 7, // Skip to: 13128
+/* 11300 */   MCD_OPC_Decode, 155, 10, 177, 1, // Opcode: REPL_PH
+/* 11305 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 11324
+/* 11309 */   MCD_OPC_CheckPredicate, 11, 23, 7, // Skip to: 13128
+/* 11313 */   MCD_OPC_CheckField, 21, 5, 0, 17, 7, // Skip to: 13128
+/* 11319 */   MCD_OPC_Decode, 153, 10, 178, 1, // Opcode: REPLV_PH
+/* 11324 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 11343
+/* 11328 */   MCD_OPC_CheckPredicate, 11, 4, 7, // Skip to: 13128
+/* 11332 */   MCD_OPC_CheckField, 21, 5, 0, 254, 6, // Skip to: 13128
+/* 11338 */   MCD_OPC_Decode, 225, 9, 179, 1, // Opcode: PRECEQ_W_PHL
+/* 11343 */   MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 11362
+/* 11347 */   MCD_OPC_CheckPredicate, 11, 241, 6, // Skip to: 13128
+/* 11351 */   MCD_OPC_CheckField, 21, 5, 0, 235, 6, // Skip to: 13128
+/* 11357 */   MCD_OPC_Decode, 226, 9, 179, 1, // Opcode: PRECEQ_W_PHR
+/* 11362 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 11380
+/* 11366 */   MCD_OPC_CheckPredicate, 11, 222, 6, // Skip to: 13128
+/* 11370 */   MCD_OPC_CheckField, 21, 5, 0, 216, 6, // Skip to: 13128
+/* 11376 */   MCD_OPC_Decode, 22, 180, 1, // Opcode: ABSQ_S_W
+/* 11380 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 11399
+/* 11384 */   MCD_OPC_CheckPredicate, 11, 204, 6, // Skip to: 13128
+/* 11388 */   MCD_OPC_CheckField, 21, 5, 0, 198, 6, // Skip to: 13128
+/* 11394 */   MCD_OPC_Decode, 213, 1, 180, 1, // Opcode: BITREV
+/* 11399 */   MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 11418
+/* 11403 */   MCD_OPC_CheckPredicate, 11, 185, 6, // Skip to: 13128
+/* 11407 */   MCD_OPC_CheckField, 21, 5, 0, 179, 6, // Skip to: 13128
+/* 11413 */   MCD_OPC_Decode, 227, 9, 176, 1, // Opcode: PRECEU_PH_QBL
+/* 11418 */   MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 11437
+/* 11422 */   MCD_OPC_CheckPredicate, 11, 166, 6, // Skip to: 13128
+/* 11426 */   MCD_OPC_CheckField, 21, 5, 0, 160, 6, // Skip to: 13128
+/* 11432 */   MCD_OPC_Decode, 229, 9, 176, 1, // Opcode: PRECEU_PH_QBR
+/* 11437 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 11456
+/* 11441 */   MCD_OPC_CheckPredicate, 11, 147, 6, // Skip to: 13128
+/* 11445 */   MCD_OPC_CheckField, 21, 5, 0, 141, 6, // Skip to: 13128
+/* 11451 */   MCD_OPC_Decode, 228, 9, 176, 1, // Opcode: PRECEU_PH_QBLA
+/* 11456 */   MCD_OPC_FilterValue, 31, 132, 6, // Skip to: 13128
+/* 11460 */   MCD_OPC_CheckPredicate, 11, 128, 6, // Skip to: 13128
+/* 11464 */   MCD_OPC_CheckField, 21, 5, 0, 122, 6, // Skip to: 13128
+/* 11470 */   MCD_OPC_Decode, 230, 9, 176, 1, // Opcode: PRECEU_PH_QBRA
+/* 11475 */   MCD_OPC_FilterValue, 19, 31, 1, // Skip to: 11766
+/* 11479 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11482 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11495
+/* 11486 */   MCD_OPC_CheckPredicate, 11, 102, 6, // Skip to: 13128
+/* 11490 */   MCD_OPC_Decode, 235, 10, 181, 1, // Opcode: SHLL_QB
+/* 11495 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11508
+/* 11499 */   MCD_OPC_CheckPredicate, 11, 89, 6, // Skip to: 13128
+/* 11503 */   MCD_OPC_Decode, 251, 10, 181, 1, // Opcode: SHRL_QB
+/* 11508 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11521
+/* 11512 */   MCD_OPC_CheckPredicate, 11, 76, 6, // Skip to: 13128
+/* 11516 */   MCD_OPC_Decode, 231, 10, 182, 1, // Opcode: SHLLV_QB
+/* 11521 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11534
+/* 11525 */   MCD_OPC_CheckPredicate, 11, 63, 6, // Skip to: 13128
+/* 11529 */   MCD_OPC_Decode, 249, 10, 182, 1, // Opcode: SHRLV_QB
+/* 11534 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11547
+/* 11538 */   MCD_OPC_CheckPredicate, 29, 50, 6, // Skip to: 13128
+/* 11542 */   MCD_OPC_Decode, 244, 10, 181, 1, // Opcode: SHRA_QB
+/* 11547 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11560
+/* 11551 */   MCD_OPC_CheckPredicate, 29, 37, 6, // Skip to: 13128
+/* 11555 */   MCD_OPC_Decode, 246, 10, 181, 1, // Opcode: SHRA_R_QB
+/* 11560 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11573
+/* 11564 */   MCD_OPC_CheckPredicate, 29, 24, 6, // Skip to: 13128
+/* 11568 */   MCD_OPC_Decode, 239, 10, 182, 1, // Opcode: SHRAV_QB
+/* 11573 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 11586
+/* 11577 */   MCD_OPC_CheckPredicate, 29, 11, 6, // Skip to: 13128
+/* 11581 */   MCD_OPC_Decode, 241, 10, 182, 1, // Opcode: SHRAV_R_QB
+/* 11586 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 11599
+/* 11590 */   MCD_OPC_CheckPredicate, 11, 254, 5, // Skip to: 13128
+/* 11594 */   MCD_OPC_Decode, 234, 10, 181, 1, // Opcode: SHLL_PH
+/* 11599 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11612
+/* 11603 */   MCD_OPC_CheckPredicate, 11, 241, 5, // Skip to: 13128
+/* 11607 */   MCD_OPC_Decode, 243, 10, 181, 1, // Opcode: SHRA_PH
+/* 11612 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11625
+/* 11616 */   MCD_OPC_CheckPredicate, 11, 228, 5, // Skip to: 13128
+/* 11620 */   MCD_OPC_Decode, 230, 10, 182, 1, // Opcode: SHLLV_PH
+/* 11625 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11638
+/* 11629 */   MCD_OPC_CheckPredicate, 11, 215, 5, // Skip to: 13128
+/* 11633 */   MCD_OPC_Decode, 238, 10, 182, 1, // Opcode: SHRAV_PH
+/* 11638 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11651
+/* 11642 */   MCD_OPC_CheckPredicate, 11, 202, 5, // Skip to: 13128
+/* 11646 */   MCD_OPC_Decode, 236, 10, 181, 1, // Opcode: SHLL_S_PH
+/* 11651 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11664
+/* 11655 */   MCD_OPC_CheckPredicate, 11, 189, 5, // Skip to: 13128
+/* 11659 */   MCD_OPC_Decode, 245, 10, 181, 1, // Opcode: SHRA_R_PH
+/* 11664 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11677
+/* 11668 */   MCD_OPC_CheckPredicate, 11, 176, 5, // Skip to: 13128
+/* 11672 */   MCD_OPC_Decode, 232, 10, 182, 1, // Opcode: SHLLV_S_PH
+/* 11677 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11690
+/* 11681 */   MCD_OPC_CheckPredicate, 11, 163, 5, // Skip to: 13128
+/* 11685 */   MCD_OPC_Decode, 240, 10, 182, 1, // Opcode: SHRAV_R_PH
+/* 11690 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11703
+/* 11694 */   MCD_OPC_CheckPredicate, 11, 150, 5, // Skip to: 13128
+/* 11698 */   MCD_OPC_Decode, 237, 10, 183, 1, // Opcode: SHLL_S_W
+/* 11703 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11716
+/* 11707 */   MCD_OPC_CheckPredicate, 11, 137, 5, // Skip to: 13128
+/* 11711 */   MCD_OPC_Decode, 247, 10, 183, 1, // Opcode: SHRA_R_W
+/* 11716 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11728
+/* 11720 */   MCD_OPC_CheckPredicate, 11, 124, 5, // Skip to: 13128
+/* 11724 */   MCD_OPC_Decode, 233, 10, 17, // Opcode: SHLLV_S_W
+/* 11728 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 11740
+/* 11732 */   MCD_OPC_CheckPredicate, 11, 112, 5, // Skip to: 13128
+/* 11736 */   MCD_OPC_Decode, 242, 10, 17, // Opcode: SHRAV_R_W
+/* 11740 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11753
+/* 11744 */   MCD_OPC_CheckPredicate, 29, 100, 5, // Skip to: 13128
+/* 11748 */   MCD_OPC_Decode, 250, 10, 181, 1, // Opcode: SHRL_PH
+/* 11753 */   MCD_OPC_FilterValue, 27, 91, 5, // Skip to: 13128
+/* 11757 */   MCD_OPC_CheckPredicate, 29, 87, 5, // Skip to: 13128
+/* 11761 */   MCD_OPC_Decode, 248, 10, 182, 1, // Opcode: SHRLV_PH
+/* 11766 */   MCD_OPC_FilterValue, 24, 199, 0, // Skip to: 11969
+/* 11770 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11773 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11785
+/* 11777 */   MCD_OPC_CheckPredicate, 29, 67, 5, // Skip to: 13128
+/* 11781 */   MCD_OPC_Decode, 45, 170, 1, // Opcode: ADDUH_QB
+/* 11785 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11798
+/* 11789 */   MCD_OPC_CheckPredicate, 29, 55, 5, // Skip to: 13128
+/* 11793 */   MCD_OPC_Decode, 247, 11, 170, 1, // Opcode: SUBUH_QB
+/* 11798 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11810
+/* 11802 */   MCD_OPC_CheckPredicate, 29, 42, 5, // Skip to: 13128
+/* 11806 */   MCD_OPC_Decode, 46, 170, 1, // Opcode: ADDUH_R_QB
+/* 11810 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11823
+/* 11814 */   MCD_OPC_CheckPredicate, 29, 30, 5, // Skip to: 13128
+/* 11818 */   MCD_OPC_Decode, 248, 11, 170, 1, // Opcode: SUBUH_R_QB
+/* 11823 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 11835
+/* 11827 */   MCD_OPC_CheckPredicate, 29, 17, 5, // Skip to: 13128
+/* 11831 */   MCD_OPC_Decode, 25, 170, 1, // Opcode: ADDQH_PH
+/* 11835 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11848
+/* 11839 */   MCD_OPC_CheckPredicate, 29, 5, 5, // Skip to: 13128
+/* 11843 */   MCD_OPC_Decode, 224, 11, 170, 1, // Opcode: SUBQH_PH
+/* 11848 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 11860
+/* 11852 */   MCD_OPC_CheckPredicate, 29, 248, 4, // Skip to: 13128
+/* 11856 */   MCD_OPC_Decode, 26, 170, 1, // Opcode: ADDQH_R_PH
+/* 11860 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11873
+/* 11864 */   MCD_OPC_CheckPredicate, 29, 236, 4, // Skip to: 13128
+/* 11868 */   MCD_OPC_Decode, 225, 11, 170, 1, // Opcode: SUBQH_R_PH
+/* 11873 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11886
+/* 11877 */   MCD_OPC_CheckPredicate, 29, 223, 4, // Skip to: 13128
+/* 11881 */   MCD_OPC_Decode, 150, 9, 170, 1, // Opcode: MUL_PH
+/* 11886 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11899
+/* 11890 */   MCD_OPC_CheckPredicate, 29, 210, 4, // Skip to: 13128
+/* 11894 */   MCD_OPC_Decode, 154, 9, 170, 1, // Opcode: MUL_S_PH
+/* 11899 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 11910
+/* 11903 */   MCD_OPC_CheckPredicate, 29, 197, 4, // Skip to: 13128
+/* 11907 */   MCD_OPC_Decode, 28, 16, // Opcode: ADDQH_W
+/* 11910 */   MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 11922
+/* 11914 */   MCD_OPC_CheckPredicate, 29, 186, 4, // Skip to: 13128
+/* 11918 */   MCD_OPC_Decode, 227, 11, 16, // Opcode: SUBQH_W
+/* 11922 */   MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 11933
+/* 11926 */   MCD_OPC_CheckPredicate, 29, 174, 4, // Skip to: 13128
+/* 11930 */   MCD_OPC_Decode, 27, 16, // Opcode: ADDQH_R_W
+/* 11933 */   MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 11945
+/* 11937 */   MCD_OPC_CheckPredicate, 29, 163, 4, // Skip to: 13128
+/* 11941 */   MCD_OPC_Decode, 226, 11, 16, // Opcode: SUBQH_R_W
+/* 11945 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11957
+/* 11949 */   MCD_OPC_CheckPredicate, 29, 151, 4, // Skip to: 13128
+/* 11953 */   MCD_OPC_Decode, 133, 9, 16, // Opcode: MULQ_S_W
+/* 11957 */   MCD_OPC_FilterValue, 23, 143, 4, // Skip to: 13128
+/* 11961 */   MCD_OPC_CheckPredicate, 29, 139, 4, // Skip to: 13128
+/* 11965 */   MCD_OPC_Decode, 131, 9, 16, // Opcode: MULQ_RS_W
+/* 11969 */   MCD_OPC_FilterValue, 32, 60, 0, // Skip to: 12033
+/* 11973 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 11976 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 11995
+/* 11980 */   MCD_OPC_CheckPredicate, 4, 120, 4, // Skip to: 13128
+/* 11984 */   MCD_OPC_CheckField, 21, 5, 0, 114, 4, // Skip to: 13128
+/* 11990 */   MCD_OPC_Decode, 255, 12, 180, 1, // Opcode: WSBH
+/* 11995 */   MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 12014
+/* 11999 */   MCD_OPC_CheckPredicate, 4, 101, 4, // Skip to: 13128
+/* 12003 */   MCD_OPC_CheckField, 21, 5, 0, 95, 4, // Skip to: 13128
+/* 12009 */   MCD_OPC_Decode, 205, 10, 180, 1, // Opcode: SEB
+/* 12014 */   MCD_OPC_FilterValue, 24, 86, 4, // Skip to: 13128
+/* 12018 */   MCD_OPC_CheckPredicate, 4, 82, 4, // Skip to: 13128
+/* 12022 */   MCD_OPC_CheckField, 21, 5, 0, 76, 4, // Skip to: 13128
+/* 12028 */   MCD_OPC_Decode, 208, 10, 180, 1, // Opcode: SEH
+/* 12033 */   MCD_OPC_FilterValue, 48, 143, 1, // Skip to: 12436
+/* 12037 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12040 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 12058
+/* 12044 */   MCD_OPC_CheckPredicate, 29, 56, 4, // Skip to: 13128
+/* 12048 */   MCD_OPC_CheckField, 13, 3, 0, 50, 4, // Skip to: 13128
+/* 12054 */   MCD_OPC_Decode, 184, 4, 91, // Opcode: DPA_W_PH
+/* 12058 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 12076
+/* 12062 */   MCD_OPC_CheckPredicate, 29, 38, 4, // Skip to: 13128
+/* 12066 */   MCD_OPC_CheckField, 13, 3, 0, 32, 4, // Skip to: 13128
+/* 12072 */   MCD_OPC_Decode, 199, 4, 91, // Opcode: DPS_W_PH
+/* 12076 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 12094
+/* 12080 */   MCD_OPC_CheckPredicate, 29, 20, 4, // Skip to: 13128
+/* 12084 */   MCD_OPC_CheckField, 13, 3, 0, 14, 4, // Skip to: 13128
+/* 12090 */   MCD_OPC_Decode, 137, 9, 91, // Opcode: MULSA_W_PH
+/* 12094 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 12112
+/* 12098 */   MCD_OPC_CheckPredicate, 11, 2, 4, // Skip to: 13128
+/* 12102 */   MCD_OPC_CheckField, 13, 3, 0, 252, 3, // Skip to: 13128
+/* 12108 */   MCD_OPC_Decode, 181, 4, 91, // Opcode: DPAU_H_QBL
+/* 12112 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 12130
+/* 12116 */   MCD_OPC_CheckPredicate, 11, 240, 3, // Skip to: 13128
+/* 12120 */   MCD_OPC_CheckField, 13, 3, 0, 234, 3, // Skip to: 13128
+/* 12126 */   MCD_OPC_Decode, 180, 4, 91, // Opcode: DPAQ_S_W_PH
+/* 12130 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 12148
+/* 12134 */   MCD_OPC_CheckPredicate, 11, 222, 3, // Skip to: 13128
+/* 12138 */   MCD_OPC_CheckField, 13, 3, 0, 216, 3, // Skip to: 13128
+/* 12144 */   MCD_OPC_Decode, 189, 4, 91, // Opcode: DPSQ_S_W_PH
+/* 12148 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 12166
+/* 12152 */   MCD_OPC_CheckPredicate, 11, 204, 3, // Skip to: 13128
+/* 12156 */   MCD_OPC_CheckField, 13, 3, 0, 198, 3, // Skip to: 13128
+/* 12162 */   MCD_OPC_Decode, 136, 9, 91, // Opcode: MULSAQ_S_W_PH
+/* 12166 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 12184
+/* 12170 */   MCD_OPC_CheckPredicate, 11, 186, 3, // Skip to: 13128
+/* 12174 */   MCD_OPC_CheckField, 13, 3, 0, 180, 3, // Skip to: 13128
+/* 12180 */   MCD_OPC_Decode, 182, 4, 91, // Opcode: DPAU_H_QBR
+/* 12184 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 12202
+/* 12188 */   MCD_OPC_CheckPredicate, 29, 168, 3, // Skip to: 13128
+/* 12192 */   MCD_OPC_CheckField, 13, 3, 0, 162, 3, // Skip to: 13128
+/* 12198 */   MCD_OPC_Decode, 183, 4, 91, // Opcode: DPAX_W_PH
+/* 12202 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 12220
+/* 12206 */   MCD_OPC_CheckPredicate, 29, 150, 3, // Skip to: 13128
+/* 12210 */   MCD_OPC_CheckField, 13, 3, 0, 144, 3, // Skip to: 13128
+/* 12216 */   MCD_OPC_Decode, 198, 4, 91, // Opcode: DPSX_W_PH
+/* 12220 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 12238
+/* 12224 */   MCD_OPC_CheckPredicate, 11, 132, 3, // Skip to: 13128
+/* 12228 */   MCD_OPC_CheckField, 13, 3, 0, 126, 3, // Skip to: 13128
+/* 12234 */   MCD_OPC_Decode, 196, 4, 91, // Opcode: DPSU_H_QBL
+/* 12238 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 12256
+/* 12242 */   MCD_OPC_CheckPredicate, 11, 114, 3, // Skip to: 13128
+/* 12246 */   MCD_OPC_CheckField, 13, 3, 0, 108, 3, // Skip to: 13128
+/* 12252 */   MCD_OPC_Decode, 179, 4, 91, // Opcode: DPAQ_SA_L_W
+/* 12256 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 12274
+/* 12260 */   MCD_OPC_CheckPredicate, 11, 96, 3, // Skip to: 13128
+/* 12264 */   MCD_OPC_CheckField, 13, 3, 0, 90, 3, // Skip to: 13128
+/* 12270 */   MCD_OPC_Decode, 188, 4, 91, // Opcode: DPSQ_SA_L_W
+/* 12274 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 12292
+/* 12278 */   MCD_OPC_CheckPredicate, 11, 78, 3, // Skip to: 13128
+/* 12282 */   MCD_OPC_CheckField, 13, 3, 0, 72, 3, // Skip to: 13128
+/* 12288 */   MCD_OPC_Decode, 197, 4, 91, // Opcode: DPSU_H_QBR
+/* 12292 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 12310
+/* 12296 */   MCD_OPC_CheckPredicate, 11, 60, 3, // Skip to: 13128
+/* 12300 */   MCD_OPC_CheckField, 13, 3, 0, 54, 3, // Skip to: 13128
+/* 12306 */   MCD_OPC_Decode, 212, 7, 91, // Opcode: MAQ_SA_W_PHL
+/* 12310 */   MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 12328
+/* 12314 */   MCD_OPC_CheckPredicate, 11, 42, 3, // Skip to: 13128
+/* 12318 */   MCD_OPC_CheckField, 13, 3, 0, 36, 3, // Skip to: 13128
+/* 12324 */   MCD_OPC_Decode, 213, 7, 91, // Opcode: MAQ_SA_W_PHR
+/* 12328 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 12346
+/* 12332 */   MCD_OPC_CheckPredicate, 11, 24, 3, // Skip to: 13128
+/* 12336 */   MCD_OPC_CheckField, 13, 3, 0, 18, 3, // Skip to: 13128
+/* 12342 */   MCD_OPC_Decode, 214, 7, 91, // Opcode: MAQ_S_W_PHL
+/* 12346 */   MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 12364
+/* 12350 */   MCD_OPC_CheckPredicate, 11, 6, 3, // Skip to: 13128
+/* 12354 */   MCD_OPC_CheckField, 13, 3, 0, 0, 3, // Skip to: 13128
+/* 12360 */   MCD_OPC_Decode, 215, 7, 91, // Opcode: MAQ_S_W_PHR
+/* 12364 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 12382
+/* 12368 */   MCD_OPC_CheckPredicate, 29, 244, 2, // Skip to: 13128
+/* 12372 */   MCD_OPC_CheckField, 13, 3, 0, 238, 2, // Skip to: 13128
+/* 12378 */   MCD_OPC_Decode, 178, 4, 91, // Opcode: DPAQX_S_W_PH
+/* 12382 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12400
+/* 12386 */   MCD_OPC_CheckPredicate, 29, 226, 2, // Skip to: 13128
+/* 12390 */   MCD_OPC_CheckField, 13, 3, 0, 220, 2, // Skip to: 13128
+/* 12396 */   MCD_OPC_Decode, 187, 4, 91, // Opcode: DPSQX_S_W_PH
+/* 12400 */   MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 12418
+/* 12404 */   MCD_OPC_CheckPredicate, 29, 208, 2, // Skip to: 13128
+/* 12408 */   MCD_OPC_CheckField, 13, 3, 0, 202, 2, // Skip to: 13128
+/* 12414 */   MCD_OPC_Decode, 177, 4, 91, // Opcode: DPAQX_SA_W_PH
+/* 12418 */   MCD_OPC_FilterValue, 27, 194, 2, // Skip to: 13128
+/* 12422 */   MCD_OPC_CheckPredicate, 29, 190, 2, // Skip to: 13128
+/* 12426 */   MCD_OPC_CheckField, 13, 3, 0, 184, 2, // Skip to: 13128
+/* 12432 */   MCD_OPC_Decode, 186, 4, 91, // Opcode: DPSQX_SA_W_PH
+/* 12436 */   MCD_OPC_FilterValue, 49, 41, 0, // Skip to: 12481
+/* 12440 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12443 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12455
+/* 12447 */   MCD_OPC_CheckPredicate, 29, 165, 2, // Skip to: 13128
+/* 12451 */   MCD_OPC_Decode, 86, 184, 1, // Opcode: APPEND
+/* 12455 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12468
+/* 12459 */   MCD_OPC_CheckPredicate, 29, 153, 2, // Skip to: 13128
+/* 12463 */   MCD_OPC_Decode, 240, 9, 184, 1, // Opcode: PREPEND
+/* 12468 */   MCD_OPC_FilterValue, 16, 144, 2, // Skip to: 13128
+/* 12472 */   MCD_OPC_CheckPredicate, 29, 140, 2, // Skip to: 13128
+/* 12476 */   MCD_OPC_Decode, 158, 1, 184, 1, // Opcode: BALIGN
+/* 12481 */   MCD_OPC_FilterValue, 56, 58, 1, // Skip to: 12799
+/* 12485 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 12488 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12507
+/* 12492 */   MCD_OPC_CheckPredicate, 11, 120, 2, // Skip to: 13128
+/* 12496 */   MCD_OPC_CheckField, 13, 3, 0, 114, 2, // Skip to: 13128
+/* 12502 */   MCD_OPC_Decode, 238, 4, 185, 1, // Opcode: EXTR_W
+/* 12507 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12526
+/* 12511 */   MCD_OPC_CheckPredicate, 11, 101, 2, // Skip to: 13128
+/* 12515 */   MCD_OPC_CheckField, 13, 3, 0, 95, 2, // Skip to: 13128
+/* 12521 */   MCD_OPC_Decode, 234, 4, 186, 1, // Opcode: EXTRV_W
+/* 12526 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12545
+/* 12530 */   MCD_OPC_CheckPredicate, 11, 82, 2, // Skip to: 13128
+/* 12534 */   MCD_OPC_CheckField, 13, 3, 0, 76, 2, // Skip to: 13128
+/* 12540 */   MCD_OPC_Decode, 227, 4, 185, 1, // Opcode: EXTP
+/* 12545 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 12564
+/* 12549 */   MCD_OPC_CheckPredicate, 11, 63, 2, // Skip to: 13128
+/* 12553 */   MCD_OPC_CheckField, 13, 3, 0, 57, 2, // Skip to: 13128
+/* 12559 */   MCD_OPC_Decode, 230, 4, 186, 1, // Opcode: EXTPV
+/* 12564 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 12583
+/* 12568 */   MCD_OPC_CheckPredicate, 11, 44, 2, // Skip to: 13128
+/* 12572 */   MCD_OPC_CheckField, 13, 3, 0, 38, 2, // Skip to: 13128
+/* 12578 */   MCD_OPC_Decode, 236, 4, 185, 1, // Opcode: EXTR_R_W
+/* 12583 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 12602
+/* 12587 */   MCD_OPC_CheckPredicate, 11, 25, 2, // Skip to: 13128
+/* 12591 */   MCD_OPC_CheckField, 13, 3, 0, 19, 2, // Skip to: 13128
+/* 12597 */   MCD_OPC_Decode, 232, 4, 186, 1, // Opcode: EXTRV_R_W
+/* 12602 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 12621
+/* 12606 */   MCD_OPC_CheckPredicate, 11, 6, 2, // Skip to: 13128
+/* 12610 */   MCD_OPC_CheckField, 13, 3, 0, 0, 2, // Skip to: 13128
+/* 12616 */   MCD_OPC_Decode, 235, 4, 185, 1, // Opcode: EXTR_RS_W
+/* 12621 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 12640
+/* 12625 */   MCD_OPC_CheckPredicate, 11, 243, 1, // Skip to: 13128
+/* 12629 */   MCD_OPC_CheckField, 13, 3, 0, 237, 1, // Skip to: 13128
+/* 12635 */   MCD_OPC_Decode, 231, 4, 186, 1, // Opcode: EXTRV_RS_W
+/* 12640 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 12659
+/* 12644 */   MCD_OPC_CheckPredicate, 11, 224, 1, // Skip to: 13128
+/* 12648 */   MCD_OPC_CheckField, 13, 3, 0, 218, 1, // Skip to: 13128
+/* 12654 */   MCD_OPC_Decode, 228, 4, 185, 1, // Opcode: EXTPDP
+/* 12659 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 12678
+/* 12663 */   MCD_OPC_CheckPredicate, 11, 205, 1, // Skip to: 13128
+/* 12667 */   MCD_OPC_CheckField, 13, 3, 0, 199, 1, // Skip to: 13128
+/* 12673 */   MCD_OPC_Decode, 229, 4, 186, 1, // Opcode: EXTPDPV
+/* 12678 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 12697
+/* 12682 */   MCD_OPC_CheckPredicate, 11, 186, 1, // Skip to: 13128
+/* 12686 */   MCD_OPC_CheckField, 13, 3, 0, 180, 1, // Skip to: 13128
+/* 12692 */   MCD_OPC_Decode, 237, 4, 185, 1, // Opcode: EXTR_S_H
+/* 12697 */   MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 12716
+/* 12701 */   MCD_OPC_CheckPredicate, 11, 167, 1, // Skip to: 13128
+/* 12705 */   MCD_OPC_CheckField, 13, 3, 0, 161, 1, // Skip to: 13128
+/* 12711 */   MCD_OPC_Decode, 233, 4, 186, 1, // Opcode: EXTRV_S_H
+/* 12716 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 12729
+/* 12720 */   MCD_OPC_CheckPredicate, 11, 148, 1, // Skip to: 13128
+/* 12724 */   MCD_OPC_Decode, 150, 10, 187, 1, // Opcode: RDDSP
+/* 12729 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 12742
+/* 12733 */   MCD_OPC_CheckPredicate, 11, 135, 1, // Skip to: 13128
+/* 12737 */   MCD_OPC_Decode, 254, 12, 188, 1, // Opcode: WRDSP
+/* 12742 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 12761
+/* 12746 */   MCD_OPC_CheckPredicate, 11, 122, 1, // Skip to: 13128
+/* 12750 */   MCD_OPC_CheckField, 13, 7, 0, 116, 1, // Skip to: 13128
+/* 12756 */   MCD_OPC_Decode, 228, 10, 189, 1, // Opcode: SHILO
+/* 12761 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 12780
+/* 12765 */   MCD_OPC_CheckPredicate, 11, 103, 1, // Skip to: 13128
+/* 12769 */   MCD_OPC_CheckField, 13, 8, 0, 97, 1, // Skip to: 13128
+/* 12775 */   MCD_OPC_Decode, 229, 10, 190, 1, // Opcode: SHILOV
+/* 12780 */   MCD_OPC_FilterValue, 31, 88, 1, // Skip to: 13128
+/* 12784 */   MCD_OPC_CheckPredicate, 11, 84, 1, // Skip to: 13128
+/* 12788 */   MCD_OPC_CheckField, 13, 8, 0, 78, 1, // Skip to: 13128
+/* 12794 */   MCD_OPC_Decode, 240, 8, 190, 1, // Opcode: MTHLIP
+/* 12799 */   MCD_OPC_FilterValue, 59, 69, 1, // Skip to: 13128
+/* 12803 */   MCD_OPC_CheckPredicate, 1, 65, 1, // Skip to: 13128
+/* 12807 */   MCD_OPC_CheckField, 21, 5, 0, 59, 1, // Skip to: 13128
+/* 12813 */   MCD_OPC_CheckField, 6, 5, 0, 53, 1, // Skip to: 13128
+/* 12819 */   MCD_OPC_Decode, 151, 10, 191, 1, // Opcode: RDHWR
+/* 12824 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 12837
+/* 12828 */   MCD_OPC_CheckPredicate, 1, 40, 1, // Skip to: 13128
+/* 12832 */   MCD_OPC_Decode, 225, 6, 192, 1, // Opcode: LB
+/* 12837 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 12850
+/* 12841 */   MCD_OPC_CheckPredicate, 1, 27, 1, // Skip to: 13128
+/* 12845 */   MCD_OPC_Decode, 255, 6, 192, 1, // Opcode: LH
+/* 12850 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 12863
+/* 12854 */   MCD_OPC_CheckPredicate, 10, 14, 1, // Skip to: 13128
+/* 12858 */   MCD_OPC_Decode, 161, 7, 192, 1, // Opcode: LWL
+/* 12863 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 12876
+/* 12867 */   MCD_OPC_CheckPredicate, 1, 1, 1, // Skip to: 13128
+/* 12871 */   MCD_OPC_Decode, 154, 7, 192, 1, // Opcode: LW
+/* 12876 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 12889
+/* 12880 */   MCD_OPC_CheckPredicate, 1, 244, 0, // Skip to: 13128
+/* 12884 */   MCD_OPC_Decode, 229, 6, 192, 1, // Opcode: LBu
+/* 12889 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 12902
+/* 12893 */   MCD_OPC_CheckPredicate, 1, 231, 0, // Skip to: 13128
+/* 12897 */   MCD_OPC_Decode, 131, 7, 192, 1, // Opcode: LHu
+/* 12902 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 12915
+/* 12906 */   MCD_OPC_CheckPredicate, 10, 218, 0, // Skip to: 13128
+/* 12910 */   MCD_OPC_Decode, 165, 7, 192, 1, // Opcode: LWR
+/* 12915 */   MCD_OPC_FilterValue, 40, 9, 0, // Skip to: 12928
+/* 12919 */   MCD_OPC_CheckPredicate, 1, 205, 0, // Skip to: 13128
+/* 12923 */   MCD_OPC_Decode, 182, 10, 192, 1, // Opcode: SB
+/* 12928 */   MCD_OPC_FilterValue, 41, 9, 0, // Skip to: 12941
+/* 12932 */   MCD_OPC_CheckPredicate, 1, 192, 0, // Skip to: 13128
+/* 12936 */   MCD_OPC_Decode, 223, 10, 192, 1, // Opcode: SH
+/* 12941 */   MCD_OPC_FilterValue, 42, 9, 0, // Skip to: 12954
+/* 12945 */   MCD_OPC_CheckPredicate, 10, 179, 0, // Skip to: 13128
+/* 12949 */   MCD_OPC_Decode, 146, 12, 192, 1, // Opcode: SWL
+/* 12954 */   MCD_OPC_FilterValue, 43, 9, 0, // Skip to: 12967
+/* 12958 */   MCD_OPC_CheckPredicate, 1, 166, 0, // Skip to: 13128
+/* 12962 */   MCD_OPC_Decode, 139, 12, 192, 1, // Opcode: SW
+/* 12967 */   MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 12980
+/* 12971 */   MCD_OPC_CheckPredicate, 10, 153, 0, // Skip to: 13128
+/* 12975 */   MCD_OPC_Decode, 149, 12, 192, 1, // Opcode: SWR
+/* 12980 */   MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 12993
+/* 12984 */   MCD_OPC_CheckPredicate, 30, 140, 0, // Skip to: 13128
+/* 12988 */   MCD_OPC_Decode, 175, 2, 193, 1, // Opcode: CACHE
+/* 12993 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 13006
+/* 12997 */   MCD_OPC_CheckPredicate, 31, 127, 0, // Skip to: 13128
+/* 13001 */   MCD_OPC_Decode, 134, 7, 192, 1, // Opcode: LL
+/* 13006 */   MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 13018
+/* 13010 */   MCD_OPC_CheckPredicate, 1, 114, 0, // Skip to: 13128
+/* 13014 */   MCD_OPC_Decode, 156, 7, 10, // Opcode: LWC1
+/* 13018 */   MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 13030
+/* 13022 */   MCD_OPC_CheckPredicate, 12, 102, 0, // Skip to: 13128
+/* 13026 */   MCD_OPC_Decode, 158, 7, 10, // Opcode: LWC2
+/* 13030 */   MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 13043
+/* 13034 */   MCD_OPC_CheckPredicate, 30, 90, 0, // Skip to: 13128
+/* 13038 */   MCD_OPC_Decode, 238, 9, 193, 1, // Opcode: PREF
+/* 13043 */   MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 13055
+/* 13047 */   MCD_OPC_CheckPredicate, 32, 77, 0, // Skip to: 13128
+/* 13051 */   MCD_OPC_Decode, 233, 6, 10, // Opcode: LDC1
+/* 13055 */   MCD_OPC_FilterValue, 54, 8, 0, // Skip to: 13067
+/* 13059 */   MCD_OPC_CheckPredicate, 14, 65, 0, // Skip to: 13128
+/* 13063 */   MCD_OPC_Decode, 236, 6, 10, // Opcode: LDC2
+/* 13067 */   MCD_OPC_FilterValue, 56, 9, 0, // Skip to: 13080
+/* 13071 */   MCD_OPC_CheckPredicate, 31, 53, 0, // Skip to: 13128
+/* 13075 */   MCD_OPC_Decode, 185, 10, 192, 1, // Opcode: SC
+/* 13080 */   MCD_OPC_FilterValue, 57, 8, 0, // Skip to: 13092
+/* 13084 */   MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 13128
+/* 13088 */   MCD_OPC_Decode, 141, 12, 10, // Opcode: SWC1
+/* 13092 */   MCD_OPC_FilterValue, 58, 8, 0, // Skip to: 13104
+/* 13096 */   MCD_OPC_CheckPredicate, 12, 28, 0, // Skip to: 13128
+/* 13100 */   MCD_OPC_Decode, 143, 12, 10, // Opcode: SWC2
+/* 13104 */   MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 13116
+/* 13108 */   MCD_OPC_CheckPredicate, 32, 16, 0, // Skip to: 13128
+/* 13112 */   MCD_OPC_Decode, 193, 10, 10, // Opcode: SDC1
+/* 13116 */   MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 13128
+/* 13120 */   MCD_OPC_CheckPredicate, 14, 4, 0, // Skip to: 13128
+/* 13124 */   MCD_OPC_Decode, 196, 10, 10, // Opcode: SDC2
+/* 13128 */   MCD_OPC_Fail,
+  0
+};
+
+static uint8_t DecoderTableMips32r6_64r632[] = {
+/* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
+/* 3 */       MCD_OPC_FilterValue, 0, 205, 1, // Skip to: 468
+/* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 10 */      MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 29
+/* 14 */      MCD_OPC_CheckPredicate, 33, 38, 7, // Skip to: 1848
+/* 18 */      MCD_OPC_CheckField, 8, 3, 0, 32, 7, // Skip to: 1848
+/* 24 */      MCD_OPC_Decode, 147, 7, 194, 1, // Opcode: LSA_R6
+/* 29 */      MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 47
+/* 33 */      MCD_OPC_CheckPredicate, 33, 19, 7, // Skip to: 1848
+/* 37 */      MCD_OPC_CheckField, 6, 15, 16, 13, 7, // Skip to: 1848
+/* 43 */      MCD_OPC_Decode, 216, 6, 38, // Opcode: JR_HB_R6
+/* 47 */      MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 59
+/* 51 */      MCD_OPC_CheckPredicate, 33, 1, 7, // Skip to: 1848
+/* 55 */      MCD_OPC_Decode, 192, 10, 41, // Opcode: SDBBP_R6
+/* 59 */      MCD_OPC_FilterValue, 16, 20, 0, // Skip to: 83
+/* 63 */      MCD_OPC_CheckPredicate, 33, 245, 6, // Skip to: 1848
+/* 67 */      MCD_OPC_CheckField, 16, 5, 0, 239, 6, // Skip to: 1848
+/* 73 */      MCD_OPC_CheckField, 6, 5, 1, 233, 6, // Skip to: 1848
+/* 79 */      MCD_OPC_Decode, 236, 2, 39, // Opcode: CLZ_R6
+/* 83 */      MCD_OPC_FilterValue, 17, 20, 0, // Skip to: 107
+/* 87 */      MCD_OPC_CheckPredicate, 33, 221, 6, // Skip to: 1848
+/* 91 */      MCD_OPC_CheckField, 16, 5, 0, 215, 6, // Skip to: 1848
+/* 97 */      MCD_OPC_CheckField, 6, 5, 1, 209, 6, // Skip to: 1848
+/* 103 */     MCD_OPC_Decode, 217, 2, 39, // Opcode: CLO_R6
+/* 107 */     MCD_OPC_FilterValue, 18, 21, 0, // Skip to: 132
+/* 111 */     MCD_OPC_CheckPredicate, 34, 197, 6, // Skip to: 1848
+/* 115 */     MCD_OPC_CheckField, 16, 5, 0, 191, 6, // Skip to: 1848
+/* 121 */     MCD_OPC_CheckField, 6, 5, 1, 185, 6, // Skip to: 1848
+/* 127 */     MCD_OPC_Decode, 253, 3, 195, 1, // Opcode: DCLZ_R6
+/* 132 */     MCD_OPC_FilterValue, 19, 21, 0, // Skip to: 157
+/* 136 */     MCD_OPC_CheckPredicate, 34, 172, 6, // Skip to: 1848
+/* 140 */     MCD_OPC_CheckField, 16, 5, 0, 166, 6, // Skip to: 1848
+/* 146 */     MCD_OPC_CheckField, 6, 5, 1, 160, 6, // Skip to: 1848
+/* 152 */     MCD_OPC_Decode, 251, 3, 195, 1, // Opcode: DCLO_R6
+/* 157 */     MCD_OPC_FilterValue, 21, 15, 0, // Skip to: 176
+/* 161 */     MCD_OPC_CheckPredicate, 34, 147, 6, // Skip to: 1848
+/* 165 */     MCD_OPC_CheckField, 8, 3, 0, 141, 6, // Skip to: 1848
+/* 171 */     MCD_OPC_Decode, 149, 4, 196, 1, // Opcode: DLSA_R6
+/* 176 */     MCD_OPC_FilterValue, 24, 27, 0, // Skip to: 207
+/* 180 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 183 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 195
+/* 187 */     MCD_OPC_CheckPredicate, 33, 121, 6, // Skip to: 1848
+/* 191 */     MCD_OPC_Decode, 153, 9, 16, // Opcode: MUL_R6
+/* 195 */     MCD_OPC_FilterValue, 3, 113, 6, // Skip to: 1848
+/* 199 */     MCD_OPC_CheckPredicate, 33, 109, 6, // Skip to: 1848
+/* 203 */     MCD_OPC_Decode, 251, 8, 16, // Opcode: MUH
+/* 207 */     MCD_OPC_FilterValue, 25, 27, 0, // Skip to: 238
+/* 211 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 214 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 226
+/* 218 */     MCD_OPC_CheckPredicate, 33, 90, 6, // Skip to: 1848
+/* 222 */     MCD_OPC_Decode, 144, 9, 16, // Opcode: MULU
+/* 226 */     MCD_OPC_FilterValue, 3, 82, 6, // Skip to: 1848
+/* 230 */     MCD_OPC_CheckPredicate, 33, 78, 6, // Skip to: 1848
+/* 234 */     MCD_OPC_Decode, 252, 8, 16, // Opcode: MUHU
+/* 238 */     MCD_OPC_FilterValue, 26, 27, 0, // Skip to: 269
+/* 242 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 245 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 257
+/* 249 */     MCD_OPC_CheckPredicate, 33, 59, 6, // Skip to: 1848
+/* 253 */     MCD_OPC_Decode, 137, 4, 16, // Opcode: DIV
+/* 257 */     MCD_OPC_FilterValue, 3, 51, 6, // Skip to: 1848
+/* 261 */     MCD_OPC_CheckPredicate, 33, 47, 6, // Skip to: 1848
+/* 265 */     MCD_OPC_Decode, 155, 8, 16, // Opcode: MOD
+/* 269 */     MCD_OPC_FilterValue, 27, 27, 0, // Skip to: 300
+/* 273 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 276 */     MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 288
+/* 280 */     MCD_OPC_CheckPredicate, 33, 28, 6, // Skip to: 1848
+/* 284 */     MCD_OPC_Decode, 138, 4, 16, // Opcode: DIVU
+/* 288 */     MCD_OPC_FilterValue, 3, 20, 6, // Skip to: 1848
+/* 292 */     MCD_OPC_CheckPredicate, 33, 16, 6, // Skip to: 1848
+/* 296 */     MCD_OPC_Decode, 157, 8, 16, // Opcode: MODU
+/* 300 */     MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 333
+/* 304 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 307 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 320
+/* 311 */     MCD_OPC_CheckPredicate, 34, 253, 5, // Skip to: 1848
+/* 315 */     MCD_OPC_Decode, 164, 4, 197, 1, // Opcode: DMUL_R6
+/* 320 */     MCD_OPC_FilterValue, 3, 244, 5, // Skip to: 1848
+/* 324 */     MCD_OPC_CheckPredicate, 34, 240, 5, // Skip to: 1848
+/* 328 */     MCD_OPC_Decode, 158, 4, 197, 1, // Opcode: DMUH
+/* 333 */     MCD_OPC_FilterValue, 29, 29, 0, // Skip to: 366
+/* 337 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 340 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 353
+/* 344 */     MCD_OPC_CheckPredicate, 34, 220, 5, // Skip to: 1848
+/* 348 */     MCD_OPC_Decode, 163, 4, 197, 1, // Opcode: DMULU
+/* 353 */     MCD_OPC_FilterValue, 3, 211, 5, // Skip to: 1848
+/* 357 */     MCD_OPC_CheckPredicate, 34, 207, 5, // Skip to: 1848
+/* 361 */     MCD_OPC_Decode, 159, 4, 197, 1, // Opcode: DMUHU
+/* 366 */     MCD_OPC_FilterValue, 30, 29, 0, // Skip to: 399
 /* 370 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 373 */     MCD_OPC_FilterValue, 0, 164, 48, // Skip to: 12829
-/* 377 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
-/* 380 */     MCD_OPC_FilterValue, 0, 157, 48, // Skip to: 12829
-/* 384 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 398
-/* 388 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 398
-/* 394 */     MCD_OPC_Decode, 219, 7, 35, // Opcode: MTHI
-/* 398 */     MCD_OPC_CheckPredicate, 5, 139, 48, // Skip to: 12829
-/* 402 */     MCD_OPC_Decode, 221, 7, 42, // Opcode: MTHI_DSP
-/* 406 */     MCD_OPC_FilterValue, 18, 43, 0, // Skip to: 453
-/* 410 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 413 */     MCD_OPC_FilterValue, 0, 124, 48, // Skip to: 12829
-/* 417 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 420 */     MCD_OPC_FilterValue, 0, 117, 48, // Skip to: 12829
-/* 424 */     MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
-/* 427 */     MCD_OPC_FilterValue, 0, 110, 48, // Skip to: 12829
-/* 431 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 445
-/* 435 */     MCD_OPC_CheckField, 21, 2, 0, 4, 0, // Skip to: 445
-/* 441 */     MCD_OPC_Decode, 246, 6, 40, // Opcode: MFLO
-/* 445 */     MCD_OPC_CheckPredicate, 5, 92, 48, // Skip to: 12829
-/* 449 */     MCD_OPC_Decode, 248, 6, 41, // Opcode: MFLO_DSP
-/* 453 */     MCD_OPC_FilterValue, 19, 36, 0, // Skip to: 493
-/* 457 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 460 */     MCD_OPC_FilterValue, 0, 77, 48, // Skip to: 12829
-/* 464 */     MCD_OPC_ExtractField, 13, 8,  // Inst{20-13} ...
-/* 467 */     MCD_OPC_FilterValue, 0, 70, 48, // Skip to: 12829
-/* 471 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 485
-/* 475 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 485
-/* 481 */     MCD_OPC_Decode, 224, 7, 35, // Opcode: MTLO
-/* 485 */     MCD_OPC_CheckPredicate, 5, 52, 48, // Skip to: 12829
-/* 489 */     MCD_OPC_Decode, 226, 7, 43, // Opcode: MTLO_DSP
-/* 493 */     MCD_OPC_FilterValue, 21, 14, 0, // Skip to: 511
-/* 497 */     MCD_OPC_CheckPredicate, 6, 40, 48, // Skip to: 12829
-/* 501 */     MCD_OPC_CheckField, 8, 3, 0, 34, 48, // Skip to: 12829
-/* 507 */     MCD_OPC_Decode, 190, 3, 44, // Opcode: DLSA
-/* 511 */     MCD_OPC_FilterValue, 24, 36, 0, // Skip to: 551
-/* 515 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 518 */     MCD_OPC_FilterValue, 0, 19, 48, // Skip to: 12829
-/* 522 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 525 */     MCD_OPC_FilterValue, 0, 12, 48, // Skip to: 12829
-/* 529 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 543
-/* 533 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 543
-/* 539 */     MCD_OPC_Decode, 241, 7, 20, // Opcode: MULT
-/* 543 */     MCD_OPC_CheckPredicate, 5, 250, 47, // Skip to: 12829
-/* 547 */     MCD_OPC_Decode, 243, 7, 45, // Opcode: MULT_DSP
-/* 551 */     MCD_OPC_FilterValue, 25, 36, 0, // Skip to: 591
-/* 555 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 558 */     MCD_OPC_FilterValue, 0, 235, 47, // Skip to: 12829
-/* 562 */     MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 565 */     MCD_OPC_FilterValue, 0, 228, 47, // Skip to: 12829
-/* 569 */     MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 583
-/* 573 */     MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 583
-/* 579 */     MCD_OPC_Decode, 245, 7, 20, // Opcode: MULTu
-/* 583 */     MCD_OPC_CheckPredicate, 5, 210, 47, // Skip to: 12829
-/* 587 */     MCD_OPC_Decode, 242, 7, 45, // Opcode: MULTU_DSP
-/* 591 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 609
-/* 595 */     MCD_OPC_CheckPredicate, 2, 198, 47, // Skip to: 12829
-/* 599 */     MCD_OPC_CheckField, 6, 10, 0, 192, 47, // Skip to: 12829
-/* 605 */     MCD_OPC_Decode, 158, 9, 20, // Opcode: SDIV
-/* 609 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 627
-/* 613 */     MCD_OPC_CheckPredicate, 2, 180, 47, // Skip to: 12829
-/* 617 */     MCD_OPC_CheckField, 6, 10, 0, 174, 47, // Skip to: 12829
-/* 623 */     MCD_OPC_Decode, 181, 11, 20, // Opcode: UDIV
-/* 627 */     MCD_OPC_FilterValue, 32, 13, 0, // Skip to: 644
-/* 631 */     MCD_OPC_CheckPredicate, 2, 162, 47, // Skip to: 12829
-/* 635 */     MCD_OPC_CheckField, 6, 5, 0, 156, 47, // Skip to: 12829
-/* 641 */     MCD_OPC_Decode, 22, 13, // Opcode: ADD
-/* 644 */     MCD_OPC_FilterValue, 33, 13, 0, // Skip to: 661
-/* 648 */     MCD_OPC_CheckPredicate, 2, 145, 47, // Skip to: 12829
-/* 652 */     MCD_OPC_CheckField, 6, 5, 0, 139, 47, // Skip to: 12829
-/* 658 */     MCD_OPC_Decode, 67, 13, // Opcode: ADDu
-/* 661 */     MCD_OPC_FilterValue, 34, 14, 0, // Skip to: 679
-/* 665 */     MCD_OPC_CheckPredicate, 2, 128, 47, // Skip to: 12829
-/* 669 */     MCD_OPC_CheckField, 6, 5, 0, 122, 47, // Skip to: 12829
-/* 675 */     MCD_OPC_Decode, 167, 10, 13, // Opcode: SUB
-/* 679 */     MCD_OPC_FilterValue, 35, 14, 0, // Skip to: 697
-/* 683 */     MCD_OPC_CheckPredicate, 2, 110, 47, // Skip to: 12829
-/* 687 */     MCD_OPC_CheckField, 6, 5, 0, 104, 47, // Skip to: 12829
-/* 693 */     MCD_OPC_Decode, 206, 10, 13, // Opcode: SUBu
-/* 697 */     MCD_OPC_FilterValue, 36, 13, 0, // Skip to: 714
-/* 701 */     MCD_OPC_CheckPredicate, 2, 92, 47, // Skip to: 12829
-/* 705 */     MCD_OPC_CheckField, 6, 5, 0, 86, 47, // Skip to: 12829
-/* 711 */     MCD_OPC_Decode, 71, 13, // Opcode: AND
-/* 714 */     MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 732
-/* 718 */     MCD_OPC_CheckPredicate, 2, 75, 47, // Skip to: 12829
-/* 722 */     MCD_OPC_CheckField, 6, 5, 0, 69, 47, // Skip to: 12829
-/* 728 */     MCD_OPC_Decode, 165, 8, 13, // Opcode: OR
-/* 732 */     MCD_OPC_FilterValue, 38, 14, 0, // Skip to: 750
-/* 736 */     MCD_OPC_CheckPredicate, 2, 57, 47, // Skip to: 12829
-/* 740 */     MCD_OPC_CheckField, 6, 5, 0, 51, 47, // Skip to: 12829
-/* 746 */     MCD_OPC_Decode, 192, 11, 13, // Opcode: XOR
-/* 750 */     MCD_OPC_FilterValue, 39, 14, 0, // Skip to: 768
-/* 754 */     MCD_OPC_CheckPredicate, 2, 39, 47, // Skip to: 12829
-/* 758 */     MCD_OPC_CheckField, 6, 5, 0, 33, 47, // Skip to: 12829
-/* 764 */     MCD_OPC_Decode, 155, 8, 13, // Opcode: NOR
-/* 768 */     MCD_OPC_FilterValue, 42, 14, 0, // Skip to: 786
-/* 772 */     MCD_OPC_CheckPredicate, 2, 21, 47, // Skip to: 12829
-/* 776 */     MCD_OPC_CheckField, 6, 5, 0, 15, 47, // Skip to: 12829
-/* 782 */     MCD_OPC_Decode, 222, 9, 13, // Opcode: SLT
-/* 786 */     MCD_OPC_FilterValue, 43, 14, 0, // Skip to: 804
-/* 790 */     MCD_OPC_CheckPredicate, 2, 3, 47, // Skip to: 12829
-/* 794 */     MCD_OPC_CheckField, 6, 5, 0, 253, 46, // Skip to: 12829
-/* 800 */     MCD_OPC_Decode, 231, 9, 13, // Opcode: SLTu
-/* 804 */     MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 816
-/* 808 */     MCD_OPC_CheckPredicate, 2, 241, 46, // Skip to: 12829
-/* 812 */     MCD_OPC_Decode, 153, 11, 46, // Opcode: TGE
-/* 816 */     MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 828
-/* 820 */     MCD_OPC_CheckPredicate, 2, 229, 46, // Skip to: 12829
-/* 824 */     MCD_OPC_Decode, 158, 11, 46, // Opcode: TGEU
-/* 828 */     MCD_OPC_FilterValue, 50, 8, 0, // Skip to: 840
-/* 832 */     MCD_OPC_CheckPredicate, 2, 217, 46, // Skip to: 12829
-/* 836 */     MCD_OPC_Decode, 161, 11, 46, // Opcode: TLT
-/* 840 */     MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 852
-/* 844 */     MCD_OPC_CheckPredicate, 2, 205, 46, // Skip to: 12829
-/* 848 */     MCD_OPC_Decode, 165, 11, 46, // Opcode: TLTU
-/* 852 */     MCD_OPC_FilterValue, 52, 8, 0, // Skip to: 864
-/* 856 */     MCD_OPC_CheckPredicate, 2, 193, 46, // Skip to: 12829
-/* 860 */     MCD_OPC_Decode, 149, 11, 46, // Opcode: TEQ
-/* 864 */     MCD_OPC_FilterValue, 54, 185, 46, // Skip to: 12829
-/* 868 */     MCD_OPC_CheckPredicate, 2, 181, 46, // Skip to: 12829
-/* 872 */     MCD_OPC_Decode, 168, 11, 46, // Opcode: TNE
-/* 876 */     MCD_OPC_FilterValue, 1, 141, 0, // Skip to: 1021
-/* 880 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 883 */     MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 895
-/* 887 */     MCD_OPC_CheckPredicate, 2, 162, 46, // Skip to: 12829
-/* 891 */     MCD_OPC_Decode, 194, 1, 47, // Opcode: BLTZ
-/* 895 */     MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 907
-/* 899 */     MCD_OPC_CheckPredicate, 2, 150, 46, // Skip to: 12829
-/* 903 */     MCD_OPC_Decode, 166, 1, 47, // Opcode: BGEZ
-/* 907 */     MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 919
-/* 911 */     MCD_OPC_CheckPredicate, 2, 138, 46, // Skip to: 12829
-/* 915 */     MCD_OPC_Decode, 154, 11, 48, // Opcode: TGEI
-/* 919 */     MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 931
-/* 923 */     MCD_OPC_CheckPredicate, 2, 126, 46, // Skip to: 12829
-/* 927 */     MCD_OPC_Decode, 155, 11, 48, // Opcode: TGEIU
-/* 931 */     MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 943
-/* 935 */     MCD_OPC_CheckPredicate, 2, 114, 46, // Skip to: 12829
-/* 939 */     MCD_OPC_Decode, 162, 11, 48, // Opcode: TLTI
-/* 943 */     MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 955
-/* 947 */     MCD_OPC_CheckPredicate, 2, 102, 46, // Skip to: 12829
-/* 951 */     MCD_OPC_Decode, 180, 11, 48, // Opcode: TTLTIU
-/* 955 */     MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 967
-/* 959 */     MCD_OPC_CheckPredicate, 2, 90, 46, // Skip to: 12829
-/* 963 */     MCD_OPC_Decode, 150, 11, 48, // Opcode: TEQI
-/* 967 */     MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 979
-/* 971 */     MCD_OPC_CheckPredicate, 2, 78, 46, // Skip to: 12829
-/* 975 */     MCD_OPC_Decode, 169, 11, 48, // Opcode: TNEI
-/* 979 */     MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 991
-/* 983 */     MCD_OPC_CheckPredicate, 2, 66, 46, // Skip to: 12829
-/* 987 */     MCD_OPC_Decode, 196, 1, 47, // Opcode: BLTZAL
-/* 991 */     MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 1003
-/* 995 */     MCD_OPC_CheckPredicate, 2, 54, 46, // Skip to: 12829
-/* 999 */     MCD_OPC_Decode, 168, 1, 47, // Opcode: BGEZAL
-/* 1003 */    MCD_OPC_FilterValue, 28, 46, 46, // Skip to: 12829
-/* 1007 */    MCD_OPC_CheckPredicate, 5, 42, 46, // Skip to: 12829
-/* 1011 */    MCD_OPC_CheckField, 21, 5, 0, 36, 46, // Skip to: 12829
-/* 1017 */    MCD_OPC_Decode, 219, 1, 49, // Opcode: BPOSGE32
-/* 1021 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1033
-/* 1025 */    MCD_OPC_CheckPredicate, 7, 24, 46, // Skip to: 12829
-/* 1029 */    MCD_OPC_Decode, 223, 5, 50, // Opcode: J
-/* 1033 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1045
-/* 1037 */    MCD_OPC_CheckPredicate, 2, 12, 46, // Skip to: 12829
-/* 1041 */    MCD_OPC_Decode, 224, 5, 50, // Opcode: JAL
-/* 1045 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1057
-/* 1049 */    MCD_OPC_CheckPredicate, 2, 0, 46, // Skip to: 12829
-/* 1053 */    MCD_OPC_Decode, 163, 1, 51, // Opcode: BEQ
-/* 1057 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1069
-/* 1061 */    MCD_OPC_CheckPredicate, 2, 244, 45, // Skip to: 12829
-/* 1065 */    MCD_OPC_Decode, 203, 1, 51, // Opcode: BNE
-/* 1069 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1087
-/* 1073 */    MCD_OPC_CheckPredicate, 2, 232, 45, // Skip to: 12829
-/* 1077 */    MCD_OPC_CheckField, 16, 5, 0, 226, 45, // Skip to: 12829
-/* 1083 */    MCD_OPC_Decode, 191, 1, 47, // Opcode: BLEZ
-/* 1087 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1105
-/* 1091 */    MCD_OPC_CheckPredicate, 2, 214, 45, // Skip to: 12829
-/* 1095 */    MCD_OPC_CheckField, 16, 5, 0, 208, 45, // Skip to: 12829
-/* 1101 */    MCD_OPC_Decode, 171, 1, 47, // Opcode: BGTZ
-/* 1105 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 1116
-/* 1109 */    MCD_OPC_CheckPredicate, 2, 196, 45, // Skip to: 12829
-/* 1113 */    MCD_OPC_Decode, 63, 52, // Opcode: ADDi
-/* 1116 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 1127
-/* 1120 */    MCD_OPC_CheckPredicate, 2, 185, 45, // Skip to: 12829
-/* 1124 */    MCD_OPC_Decode, 65, 52, // Opcode: ADDiu
-/* 1127 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1139
-/* 1131 */    MCD_OPC_CheckPredicate, 2, 174, 45, // Skip to: 12829
-/* 1135 */    MCD_OPC_Decode, 225, 9, 52, // Opcode: SLTi
-/* 1139 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1151
-/* 1143 */    MCD_OPC_CheckPredicate, 2, 162, 45, // Skip to: 12829
-/* 1147 */    MCD_OPC_Decode, 228, 9, 52, // Opcode: SLTiu
-/* 1151 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 1162
-/* 1155 */    MCD_OPC_CheckPredicate, 2, 150, 45, // Skip to: 12829
-/* 1159 */    MCD_OPC_Decode, 79, 53, // Opcode: ANDi
-/* 1162 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1174
-/* 1166 */    MCD_OPC_CheckPredicate, 2, 139, 45, // Skip to: 12829
-/* 1170 */    MCD_OPC_Decode, 173, 8, 53, // Opcode: ORi
-/* 1174 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1186
-/* 1178 */    MCD_OPC_CheckPredicate, 2, 127, 45, // Skip to: 12829
-/* 1182 */    MCD_OPC_Decode, 200, 11, 53, // Opcode: XORi
-/* 1186 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1204
-/* 1190 */    MCD_OPC_CheckPredicate, 2, 115, 45, // Skip to: 12829
-/* 1194 */    MCD_OPC_CheckField, 21, 5, 0, 109, 45, // Skip to: 12829
-/* 1200 */    MCD_OPC_Decode, 158, 6, 26, // Opcode: LUi
-/* 1204 */    MCD_OPC_FilterValue, 16, 117, 0, // Skip to: 1325
-/* 1208 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1211 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1229
-/* 1215 */    MCD_OPC_CheckPredicate, 2, 90, 45, // Skip to: 12829
-/* 1219 */    MCD_OPC_CheckField, 3, 8, 0, 84, 45, // Skip to: 12829
-/* 1225 */    MCD_OPC_Decode, 236, 6, 54, // Opcode: MFC0
-/* 1229 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1247
-/* 1233 */    MCD_OPC_CheckPredicate, 2, 72, 45, // Skip to: 12829
-/* 1237 */    MCD_OPC_CheckField, 3, 8, 0, 66, 45, // Skip to: 12829
-/* 1243 */    MCD_OPC_Decode, 213, 7, 54, // Opcode: MTC0
-/* 1247 */    MCD_OPC_FilterValue, 11, 31, 0, // Skip to: 1282
-/* 1251 */    MCD_OPC_ExtractField, 0, 16,  // Inst{15-0} ...
-/* 1254 */    MCD_OPC_FilterValue, 128, 192, 1, 8, 0, // Skip to: 1268
-/* 1260 */    MCD_OPC_CheckPredicate, 2, 45, 45, // Skip to: 12829
-/* 1264 */    MCD_OPC_Decode, 177, 3, 18, // Opcode: DI
-/* 1268 */    MCD_OPC_FilterValue, 160, 192, 1, 35, 45, // Skip to: 12829
-/* 1274 */    MCD_OPC_CheckPredicate, 2, 31, 45, // Skip to: 12829
-/* 1278 */    MCD_OPC_Decode, 253, 3, 18, // Opcode: EI
-/* 1282 */    MCD_OPC_FilterValue, 16, 23, 45, // Skip to: 12829
-/* 1286 */    MCD_OPC_ExtractField, 0, 21,  // Inst{20-0} ...
-/* 1289 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 1301
-/* 1293 */    MCD_OPC_CheckPredicate, 2, 12, 45, // Skip to: 12829
-/* 1297 */    MCD_OPC_Decode, 255, 3, 0, // Opcode: ERET
-/* 1301 */    MCD_OPC_FilterValue, 31, 8, 0, // Skip to: 1313
-/* 1305 */    MCD_OPC_CheckPredicate, 2, 0, 45, // Skip to: 12829
-/* 1309 */    MCD_OPC_Decode, 172, 3, 0, // Opcode: DERET
-/* 1313 */    MCD_OPC_FilterValue, 32, 248, 44, // Skip to: 12829
-/* 1317 */    MCD_OPC_CheckPredicate, 2, 244, 44, // Skip to: 12829
-/* 1321 */    MCD_OPC_Decode, 187, 11, 0, // Opcode: WAIT
-/* 1325 */    MCD_OPC_FilterValue, 17, 253, 5, // Skip to: 2862
-/* 1329 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1332 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 1350
-/* 1336 */    MCD_OPC_CheckPredicate, 2, 225, 44, // Skip to: 12829
-/* 1340 */    MCD_OPC_CheckField, 0, 11, 0, 219, 44, // Skip to: 12829
-/* 1346 */    MCD_OPC_Decode, 237, 6, 55, // Opcode: MFC1
-/* 1350 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 1368
-/* 1354 */    MCD_OPC_CheckPredicate, 2, 207, 44, // Skip to: 12829
-/* 1358 */    MCD_OPC_CheckField, 0, 11, 0, 201, 44, // Skip to: 12829
-/* 1364 */    MCD_OPC_Decode, 192, 3, 56, // Opcode: DMFC1
-/* 1368 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 1386
-/* 1372 */    MCD_OPC_CheckPredicate, 2, 189, 44, // Skip to: 12829
-/* 1376 */    MCD_OPC_CheckField, 0, 11, 0, 183, 44, // Skip to: 12829
-/* 1382 */    MCD_OPC_Decode, 155, 2, 57, // Opcode: CFC1
-/* 1386 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 1404
-/* 1390 */    MCD_OPC_CheckPredicate, 2, 171, 44, // Skip to: 12829
-/* 1394 */    MCD_OPC_CheckField, 0, 11, 0, 165, 44, // Skip to: 12829
-/* 1400 */    MCD_OPC_Decode, 240, 6, 58, // Opcode: MFHC1
-/* 1404 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1422
-/* 1408 */    MCD_OPC_CheckPredicate, 2, 153, 44, // Skip to: 12829
-/* 1412 */    MCD_OPC_CheckField, 0, 11, 0, 147, 44, // Skip to: 12829
-/* 1418 */    MCD_OPC_Decode, 214, 7, 59, // Opcode: MTC1
-/* 1422 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1440
-/* 1426 */    MCD_OPC_CheckPredicate, 2, 135, 44, // Skip to: 12829
-/* 1430 */    MCD_OPC_CheckField, 0, 11, 0, 129, 44, // Skip to: 12829
-/* 1436 */    MCD_OPC_Decode, 195, 3, 60, // Opcode: DMTC1
-/* 1440 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1458
-/* 1444 */    MCD_OPC_CheckPredicate, 2, 117, 44, // Skip to: 12829
-/* 1448 */    MCD_OPC_CheckField, 0, 11, 0, 111, 44, // Skip to: 12829
-/* 1454 */    MCD_OPC_Decode, 217, 2, 61, // Opcode: CTC1
-/* 1458 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1476
-/* 1462 */    MCD_OPC_CheckPredicate, 2, 99, 44, // Skip to: 12829
-/* 1466 */    MCD_OPC_CheckField, 0, 11, 0, 93, 44, // Skip to: 12829
-/* 1472 */    MCD_OPC_Decode, 217, 7, 62, // Opcode: MTHC1
-/* 1476 */    MCD_OPC_FilterValue, 8, 27, 0, // Skip to: 1507
-/* 1480 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 1483 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1495
-/* 1487 */    MCD_OPC_CheckPredicate, 2, 74, 44, // Skip to: 12829
-/* 1491 */    MCD_OPC_Decode, 151, 1, 63, // Opcode: BC1F
-/* 1495 */    MCD_OPC_FilterValue, 1, 66, 44, // Skip to: 12829
-/* 1499 */    MCD_OPC_CheckPredicate, 2, 62, 44, // Skip to: 12829
-/* 1503 */    MCD_OPC_Decode, 153, 1, 63, // Opcode: BC1T
-/* 1507 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1519
-/* 1511 */    MCD_OPC_CheckPredicate, 4, 50, 44, // Skip to: 12829
-/* 1515 */    MCD_OPC_Decode, 241, 1, 64, // Opcode: BZ_V
-/* 1519 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 1531
-/* 1523 */    MCD_OPC_CheckPredicate, 4, 38, 44, // Skip to: 12829
-/* 1527 */    MCD_OPC_Decode, 217, 1, 64, // Opcode: BNZ_V
-/* 1531 */    MCD_OPC_FilterValue, 16, 80, 2, // Skip to: 2127
-/* 1535 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1538 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1550
-/* 1542 */    MCD_OPC_CheckPredicate, 2, 19, 44, // Skip to: 12829
-/* 1546 */    MCD_OPC_Decode, 156, 4, 65, // Opcode: FADD_S
-/* 1550 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1562
-/* 1554 */    MCD_OPC_CheckPredicate, 2, 7, 44, // Skip to: 12829
-/* 1558 */    MCD_OPC_Decode, 158, 5, 65, // Opcode: FSUB_S
-/* 1562 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1574
-/* 1566 */    MCD_OPC_CheckPredicate, 2, 251, 43, // Skip to: 12829
-/* 1570 */    MCD_OPC_Decode, 249, 4, 65, // Opcode: FMUL_S
-/* 1574 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1586
-/* 1578 */    MCD_OPC_CheckPredicate, 2, 239, 43, // Skip to: 12829
-/* 1582 */    MCD_OPC_Decode, 192, 4, 65, // Opcode: FDIV_S
-/* 1586 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 1604
-/* 1590 */    MCD_OPC_CheckPredicate, 2, 227, 43, // Skip to: 12829
-/* 1594 */    MCD_OPC_CheckField, 16, 5, 0, 221, 43, // Skip to: 12829
-/* 1600 */    MCD_OPC_Decode, 151, 5, 66, // Opcode: FSQRT_S
-/* 1604 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 1622
-/* 1608 */    MCD_OPC_CheckPredicate, 7, 209, 43, // Skip to: 12829
-/* 1612 */    MCD_OPC_CheckField, 16, 5, 0, 203, 43, // Skip to: 12829
-/* 1618 */    MCD_OPC_Decode, 149, 4, 66, // Opcode: FABS_S
-/* 1622 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 1640
-/* 1626 */    MCD_OPC_CheckPredicate, 2, 191, 43, // Skip to: 12829
-/* 1630 */    MCD_OPC_CheckField, 16, 5, 0, 185, 43, // Skip to: 12829
-/* 1636 */    MCD_OPC_Decode, 241, 4, 66, // Opcode: FMOV_S
-/* 1640 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 1658
-/* 1644 */    MCD_OPC_CheckPredicate, 7, 173, 43, // Skip to: 12829
-/* 1648 */    MCD_OPC_CheckField, 16, 5, 0, 167, 43, // Skip to: 12829
-/* 1654 */    MCD_OPC_Decode, 255, 4, 66, // Opcode: FNEG_S
-/* 1658 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 1676
-/* 1662 */    MCD_OPC_CheckPredicate, 2, 155, 43, // Skip to: 12829
-/* 1666 */    MCD_OPC_CheckField, 16, 5, 0, 149, 43, // Skip to: 12829
-/* 1672 */    MCD_OPC_Decode, 133, 9, 66, // Opcode: ROUND_W_S
-/* 1676 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 1694
-/* 1680 */    MCD_OPC_CheckPredicate, 2, 137, 43, // Skip to: 12829
-/* 1684 */    MCD_OPC_CheckField, 16, 5, 0, 131, 43, // Skip to: 12829
-/* 1690 */    MCD_OPC_Decode, 178, 11, 66, // Opcode: TRUNC_W_S
-/* 1694 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 1712
-/* 1698 */    MCD_OPC_CheckPredicate, 2, 119, 43, // Skip to: 12829
-/* 1702 */    MCD_OPC_CheckField, 16, 5, 0, 113, 43, // Skip to: 12829
-/* 1708 */    MCD_OPC_Decode, 145, 2, 66, // Opcode: CEIL_W_S
-/* 1712 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 1730
-/* 1716 */    MCD_OPC_CheckPredicate, 2, 101, 43, // Skip to: 12829
-/* 1720 */    MCD_OPC_CheckField, 16, 5, 0, 95, 43, // Skip to: 12829
-/* 1726 */    MCD_OPC_Decode, 226, 4, 66, // Opcode: FLOOR_W_S
-/* 1730 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 1761
-/* 1734 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 1737 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1749
-/* 1741 */    MCD_OPC_CheckPredicate, 2, 76, 43, // Skip to: 12829
-/* 1745 */    MCD_OPC_Decode, 160, 7, 67, // Opcode: MOVF_S
-/* 1749 */    MCD_OPC_FilterValue, 1, 68, 43, // Skip to: 12829
-/* 1753 */    MCD_OPC_CheckPredicate, 2, 64, 43, // Skip to: 12829
-/* 1757 */    MCD_OPC_Decode, 180, 7, 67, // Opcode: MOVT_S
-/* 1761 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 1773
-/* 1765 */    MCD_OPC_CheckPredicate, 2, 52, 43, // Skip to: 12829
-/* 1769 */    MCD_OPC_Decode, 192, 7, 68, // Opcode: MOVZ_I_S
-/* 1773 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 1785
-/* 1777 */    MCD_OPC_CheckPredicate, 2, 40, 43, // Skip to: 12829
-/* 1781 */    MCD_OPC_Decode, 172, 7, 68, // Opcode: MOVN_I_S
-/* 1785 */    MCD_OPC_FilterValue, 33, 14, 0, // Skip to: 1803
-/* 1789 */    MCD_OPC_CheckPredicate, 8, 28, 43, // Skip to: 12829
-/* 1793 */    MCD_OPC_CheckField, 16, 5, 0, 22, 43, // Skip to: 12829
-/* 1799 */    MCD_OPC_Decode, 220, 2, 69, // Opcode: CVT_D32_S
-/* 1803 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 1821
-/* 1807 */    MCD_OPC_CheckPredicate, 2, 10, 43, // Skip to: 12829
-/* 1811 */    MCD_OPC_CheckField, 16, 5, 0, 4, 43, // Skip to: 12829
-/* 1817 */    MCD_OPC_Decode, 240, 2, 66, // Opcode: CVT_W_S
-/* 1821 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 1839
-/* 1825 */    MCD_OPC_CheckPredicate, 2, 248, 42, // Skip to: 12829
-/* 1829 */    MCD_OPC_CheckField, 16, 5, 0, 242, 42, // Skip to: 12829
-/* 1835 */    MCD_OPC_Decode, 229, 2, 70, // Opcode: CVT_L_S
-/* 1839 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 1857
-/* 1843 */    MCD_OPC_CheckPredicate, 2, 230, 42, // Skip to: 12829
-/* 1847 */    MCD_OPC_CheckField, 6, 5, 0, 224, 42, // Skip to: 12829
-/* 1853 */    MCD_OPC_Decode, 247, 2, 71, // Opcode: C_F_S
-/* 1857 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 1875
-/* 1861 */    MCD_OPC_CheckPredicate, 2, 212, 42, // Skip to: 12829
-/* 1865 */    MCD_OPC_CheckField, 6, 5, 0, 206, 42, // Skip to: 12829
-/* 1871 */    MCD_OPC_Decode, 161, 3, 71, // Opcode: C_UN_S
-/* 1875 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 1893
-/* 1879 */    MCD_OPC_CheckPredicate, 2, 194, 42, // Skip to: 12829
-/* 1883 */    MCD_OPC_CheckField, 6, 5, 0, 188, 42, // Skip to: 12829
-/* 1889 */    MCD_OPC_Decode, 244, 2, 71, // Opcode: C_EQ_S
-/* 1893 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 1911
-/* 1897 */    MCD_OPC_CheckPredicate, 2, 176, 42, // Skip to: 12829
-/* 1901 */    MCD_OPC_CheckField, 6, 5, 0, 170, 42, // Skip to: 12829
-/* 1907 */    MCD_OPC_Decode, 152, 3, 71, // Opcode: C_UEQ_S
-/* 1911 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 1929
-/* 1915 */    MCD_OPC_CheckPredicate, 2, 158, 42, // Skip to: 12829
-/* 1919 */    MCD_OPC_CheckField, 6, 5, 0, 152, 42, // Skip to: 12829
-/* 1925 */    MCD_OPC_Decode, 143, 3, 71, // Opcode: C_OLT_S
-/* 1929 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 1947
-/* 1933 */    MCD_OPC_CheckPredicate, 2, 140, 42, // Skip to: 12829
-/* 1937 */    MCD_OPC_CheckField, 6, 5, 0, 134, 42, // Skip to: 12829
-/* 1943 */    MCD_OPC_Decode, 158, 3, 71, // Opcode: C_ULT_S
-/* 1947 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 1965
-/* 1951 */    MCD_OPC_CheckPredicate, 2, 122, 42, // Skip to: 12829
-/* 1955 */    MCD_OPC_CheckField, 6, 5, 0, 116, 42, // Skip to: 12829
-/* 1961 */    MCD_OPC_Decode, 140, 3, 71, // Opcode: C_OLE_S
-/* 1965 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 1983
-/* 1969 */    MCD_OPC_CheckPredicate, 2, 104, 42, // Skip to: 12829
-/* 1973 */    MCD_OPC_CheckField, 6, 5, 0, 98, 42, // Skip to: 12829
-/* 1979 */    MCD_OPC_Decode, 155, 3, 71, // Opcode: C_ULE_S
-/* 1983 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2001
-/* 1987 */    MCD_OPC_CheckPredicate, 2, 86, 42, // Skip to: 12829
-/* 1991 */    MCD_OPC_CheckField, 6, 5, 0, 80, 42, // Skip to: 12829
-/* 1997 */    MCD_OPC_Decode, 149, 3, 71, // Opcode: C_SF_S
-/* 2001 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2019
-/* 2005 */    MCD_OPC_CheckPredicate, 2, 68, 42, // Skip to: 12829
-/* 2009 */    MCD_OPC_CheckField, 6, 5, 0, 62, 42, // Skip to: 12829
-/* 2015 */    MCD_OPC_Decode, 131, 3, 71, // Opcode: C_NGLE_S
-/* 2019 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2037
-/* 2023 */    MCD_OPC_CheckPredicate, 2, 50, 42, // Skip to: 12829
-/* 2027 */    MCD_OPC_CheckField, 6, 5, 0, 44, 42, // Skip to: 12829
-/* 2033 */    MCD_OPC_Decode, 146, 3, 71, // Opcode: C_SEQ_S
-/* 2037 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2055
-/* 2041 */    MCD_OPC_CheckPredicate, 2, 32, 42, // Skip to: 12829
-/* 2045 */    MCD_OPC_CheckField, 6, 5, 0, 26, 42, // Skip to: 12829
-/* 2051 */    MCD_OPC_Decode, 134, 3, 71, // Opcode: C_NGL_S
-/* 2055 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2073
-/* 2059 */    MCD_OPC_CheckPredicate, 2, 14, 42, // Skip to: 12829
-/* 2063 */    MCD_OPC_CheckField, 6, 5, 0, 8, 42, // Skip to: 12829
-/* 2069 */    MCD_OPC_Decode, 253, 2, 71, // Opcode: C_LT_S
-/* 2073 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2091
-/* 2077 */    MCD_OPC_CheckPredicate, 2, 252, 41, // Skip to: 12829
-/* 2081 */    MCD_OPC_CheckField, 6, 5, 0, 246, 41, // Skip to: 12829
-/* 2087 */    MCD_OPC_Decode, 128, 3, 71, // Opcode: C_NGE_S
-/* 2091 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2109
-/* 2095 */    MCD_OPC_CheckPredicate, 2, 234, 41, // Skip to: 12829
-/* 2099 */    MCD_OPC_CheckField, 6, 5, 0, 228, 41, // Skip to: 12829
-/* 2105 */    MCD_OPC_Decode, 250, 2, 71, // Opcode: C_LE_S
-/* 2109 */    MCD_OPC_FilterValue, 63, 220, 41, // Skip to: 12829
-/* 2113 */    MCD_OPC_CheckPredicate, 2, 216, 41, // Skip to: 12829
-/* 2117 */    MCD_OPC_CheckField, 6, 5, 0, 210, 41, // Skip to: 12829
-/* 2123 */    MCD_OPC_Decode, 137, 3, 71, // Opcode: C_NGT_S
-/* 2127 */    MCD_OPC_FilterValue, 17, 80, 2, // Skip to: 2723
-/* 2131 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2134 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2146
-/* 2138 */    MCD_OPC_CheckPredicate, 8, 191, 41, // Skip to: 12829
-/* 2142 */    MCD_OPC_Decode, 153, 4, 72, // Opcode: FADD_D32
-/* 2146 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2158
-/* 2150 */    MCD_OPC_CheckPredicate, 8, 179, 41, // Skip to: 12829
-/* 2154 */    MCD_OPC_Decode, 155, 5, 72, // Opcode: FSUB_D32
-/* 2158 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2170
-/* 2162 */    MCD_OPC_CheckPredicate, 8, 167, 41, // Skip to: 12829
-/* 2166 */    MCD_OPC_Decode, 246, 4, 72, // Opcode: FMUL_D32
-/* 2170 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2182
-/* 2174 */    MCD_OPC_CheckPredicate, 8, 155, 41, // Skip to: 12829
-/* 2178 */    MCD_OPC_Decode, 189, 4, 72, // Opcode: FDIV_D32
-/* 2182 */    MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 2200
-/* 2186 */    MCD_OPC_CheckPredicate, 8, 143, 41, // Skip to: 12829
-/* 2190 */    MCD_OPC_CheckField, 16, 5, 0, 137, 41, // Skip to: 12829
-/* 2196 */    MCD_OPC_Decode, 148, 5, 73, // Opcode: FSQRT_D32
-/* 2200 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2218
-/* 2204 */    MCD_OPC_CheckPredicate, 7, 125, 41, // Skip to: 12829
-/* 2208 */    MCD_OPC_CheckField, 16, 5, 0, 119, 41, // Skip to: 12829
-/* 2214 */    MCD_OPC_Decode, 146, 4, 73, // Opcode: FABS_D32
-/* 2218 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2236
-/* 2222 */    MCD_OPC_CheckPredicate, 8, 107, 41, // Skip to: 12829
-/* 2226 */    MCD_OPC_CheckField, 16, 5, 0, 101, 41, // Skip to: 12829
-/* 2232 */    MCD_OPC_Decode, 238, 4, 73, // Opcode: FMOV_D32
-/* 2236 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2254
-/* 2240 */    MCD_OPC_CheckPredicate, 7, 89, 41, // Skip to: 12829
-/* 2244 */    MCD_OPC_CheckField, 16, 5, 0, 83, 41, // Skip to: 12829
-/* 2250 */    MCD_OPC_Decode, 252, 4, 73, // Opcode: FNEG_D32
-/* 2254 */    MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 2272
-/* 2258 */    MCD_OPC_CheckPredicate, 8, 71, 41, // Skip to: 12829
-/* 2262 */    MCD_OPC_CheckField, 16, 5, 0, 65, 41, // Skip to: 12829
-/* 2268 */    MCD_OPC_Decode, 130, 9, 74, // Opcode: ROUND_W_D32
-/* 2272 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 2290
-/* 2276 */    MCD_OPC_CheckPredicate, 8, 53, 41, // Skip to: 12829
-/* 2280 */    MCD_OPC_CheckField, 16, 5, 0, 47, 41, // Skip to: 12829
-/* 2286 */    MCD_OPC_Decode, 175, 11, 74, // Opcode: TRUNC_W_D32
-/* 2290 */    MCD_OPC_FilterValue, 14, 14, 0, // Skip to: 2308
-/* 2294 */    MCD_OPC_CheckPredicate, 8, 35, 41, // Skip to: 12829
-/* 2298 */    MCD_OPC_CheckField, 16, 5, 0, 29, 41, // Skip to: 12829
-/* 2304 */    MCD_OPC_Decode, 142, 2, 74, // Opcode: CEIL_W_D32
-/* 2308 */    MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 2326
-/* 2312 */    MCD_OPC_CheckPredicate, 8, 17, 41, // Skip to: 12829
-/* 2316 */    MCD_OPC_CheckField, 16, 5, 0, 11, 41, // Skip to: 12829
-/* 2322 */    MCD_OPC_Decode, 223, 4, 74, // Opcode: FLOOR_W_D32
-/* 2326 */    MCD_OPC_FilterValue, 17, 27, 0, // Skip to: 2357
-/* 2330 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 2333 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 2345
-/* 2337 */    MCD_OPC_CheckPredicate, 8, 248, 40, // Skip to: 12829
-/* 2341 */    MCD_OPC_Decode, 154, 7, 75, // Opcode: MOVF_D32
-/* 2345 */    MCD_OPC_FilterValue, 1, 240, 40, // Skip to: 12829
-/* 2349 */    MCD_OPC_CheckPredicate, 8, 236, 40, // Skip to: 12829
-/* 2353 */    MCD_OPC_Decode, 174, 7, 75, // Opcode: MOVT_D32
-/* 2357 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 2369
-/* 2361 */    MCD_OPC_CheckPredicate, 8, 224, 40, // Skip to: 12829
-/* 2365 */    MCD_OPC_Decode, 186, 7, 76, // Opcode: MOVZ_I_D32
-/* 2369 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 2381
-/* 2373 */    MCD_OPC_CheckPredicate, 8, 212, 40, // Skip to: 12829
-/* 2377 */    MCD_OPC_Decode, 166, 7, 76, // Opcode: MOVN_I_D32
-/* 2381 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2399
-/* 2385 */    MCD_OPC_CheckPredicate, 8, 200, 40, // Skip to: 12829
-/* 2389 */    MCD_OPC_CheckField, 16, 5, 0, 194, 40, // Skip to: 12829
-/* 2395 */    MCD_OPC_Decode, 231, 2, 74, // Opcode: CVT_S_D32
-/* 2399 */    MCD_OPC_FilterValue, 36, 14, 0, // Skip to: 2417
-/* 2403 */    MCD_OPC_CheckPredicate, 8, 182, 40, // Skip to: 12829
-/* 2407 */    MCD_OPC_CheckField, 16, 5, 0, 176, 40, // Skip to: 12829
-/* 2413 */    MCD_OPC_Decode, 237, 2, 74, // Opcode: CVT_W_D32
-/* 2417 */    MCD_OPC_FilterValue, 37, 14, 0, // Skip to: 2435
-/* 2421 */    MCD_OPC_CheckPredicate, 2, 164, 40, // Skip to: 12829
-/* 2425 */    MCD_OPC_CheckField, 16, 5, 0, 158, 40, // Skip to: 12829
-/* 2431 */    MCD_OPC_Decode, 227, 2, 77, // Opcode: CVT_L_D64
-/* 2435 */    MCD_OPC_FilterValue, 48, 14, 0, // Skip to: 2453
-/* 2439 */    MCD_OPC_CheckPredicate, 8, 146, 40, // Skip to: 12829
-/* 2443 */    MCD_OPC_CheckField, 6, 5, 0, 140, 40, // Skip to: 12829
-/* 2449 */    MCD_OPC_Decode, 245, 2, 78, // Opcode: C_F_D32
-/* 2453 */    MCD_OPC_FilterValue, 49, 14, 0, // Skip to: 2471
-/* 2457 */    MCD_OPC_CheckPredicate, 8, 128, 40, // Skip to: 12829
-/* 2461 */    MCD_OPC_CheckField, 6, 5, 0, 122, 40, // Skip to: 12829
-/* 2467 */    MCD_OPC_Decode, 159, 3, 78, // Opcode: C_UN_D32
-/* 2471 */    MCD_OPC_FilterValue, 50, 14, 0, // Skip to: 2489
-/* 2475 */    MCD_OPC_CheckPredicate, 8, 110, 40, // Skip to: 12829
-/* 2479 */    MCD_OPC_CheckField, 6, 5, 0, 104, 40, // Skip to: 12829
-/* 2485 */    MCD_OPC_Decode, 242, 2, 78, // Opcode: C_EQ_D32
-/* 2489 */    MCD_OPC_FilterValue, 51, 14, 0, // Skip to: 2507
-/* 2493 */    MCD_OPC_CheckPredicate, 8, 92, 40, // Skip to: 12829
-/* 2497 */    MCD_OPC_CheckField, 6, 5, 0, 86, 40, // Skip to: 12829
-/* 2503 */    MCD_OPC_Decode, 150, 3, 78, // Opcode: C_UEQ_D32
-/* 2507 */    MCD_OPC_FilterValue, 52, 14, 0, // Skip to: 2525
-/* 2511 */    MCD_OPC_CheckPredicate, 8, 74, 40, // Skip to: 12829
-/* 2515 */    MCD_OPC_CheckField, 6, 5, 0, 68, 40, // Skip to: 12829
-/* 2521 */    MCD_OPC_Decode, 141, 3, 78, // Opcode: C_OLT_D32
-/* 2525 */    MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 2543
-/* 2529 */    MCD_OPC_CheckPredicate, 8, 56, 40, // Skip to: 12829
-/* 2533 */    MCD_OPC_CheckField, 6, 5, 0, 50, 40, // Skip to: 12829
-/* 2539 */    MCD_OPC_Decode, 156, 3, 78, // Opcode: C_ULT_D32
-/* 2543 */    MCD_OPC_FilterValue, 54, 14, 0, // Skip to: 2561
-/* 2547 */    MCD_OPC_CheckPredicate, 8, 38, 40, // Skip to: 12829
-/* 2551 */    MCD_OPC_CheckField, 6, 5, 0, 32, 40, // Skip to: 12829
-/* 2557 */    MCD_OPC_Decode, 138, 3, 78, // Opcode: C_OLE_D32
-/* 2561 */    MCD_OPC_FilterValue, 55, 14, 0, // Skip to: 2579
-/* 2565 */    MCD_OPC_CheckPredicate, 8, 20, 40, // Skip to: 12829
-/* 2569 */    MCD_OPC_CheckField, 6, 5, 0, 14, 40, // Skip to: 12829
-/* 2575 */    MCD_OPC_Decode, 153, 3, 78, // Opcode: C_ULE_D32
-/* 2579 */    MCD_OPC_FilterValue, 56, 14, 0, // Skip to: 2597
-/* 2583 */    MCD_OPC_CheckPredicate, 8, 2, 40, // Skip to: 12829
-/* 2587 */    MCD_OPC_CheckField, 6, 5, 0, 252, 39, // Skip to: 12829
-/* 2593 */    MCD_OPC_Decode, 147, 3, 78, // Opcode: C_SF_D32
-/* 2597 */    MCD_OPC_FilterValue, 57, 14, 0, // Skip to: 2615
-/* 2601 */    MCD_OPC_CheckPredicate, 8, 240, 39, // Skip to: 12829
-/* 2605 */    MCD_OPC_CheckField, 6, 5, 0, 234, 39, // Skip to: 12829
-/* 2611 */    MCD_OPC_Decode, 129, 3, 78, // Opcode: C_NGLE_D32
-/* 2615 */    MCD_OPC_FilterValue, 58, 14, 0, // Skip to: 2633
-/* 2619 */    MCD_OPC_CheckPredicate, 8, 222, 39, // Skip to: 12829
-/* 2623 */    MCD_OPC_CheckField, 6, 5, 0, 216, 39, // Skip to: 12829
-/* 2629 */    MCD_OPC_Decode, 144, 3, 78, // Opcode: C_SEQ_D32
-/* 2633 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 2651
-/* 2637 */    MCD_OPC_CheckPredicate, 8, 204, 39, // Skip to: 12829
-/* 2641 */    MCD_OPC_CheckField, 6, 5, 0, 198, 39, // Skip to: 12829
-/* 2647 */    MCD_OPC_Decode, 132, 3, 78, // Opcode: C_NGL_D32
-/* 2651 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 2669
-/* 2655 */    MCD_OPC_CheckPredicate, 8, 186, 39, // Skip to: 12829
-/* 2659 */    MCD_OPC_CheckField, 6, 5, 0, 180, 39, // Skip to: 12829
-/* 2665 */    MCD_OPC_Decode, 251, 2, 78, // Opcode: C_LT_D32
-/* 2669 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 2687
-/* 2673 */    MCD_OPC_CheckPredicate, 8, 168, 39, // Skip to: 12829
-/* 2677 */    MCD_OPC_CheckField, 6, 5, 0, 162, 39, // Skip to: 12829
-/* 2683 */    MCD_OPC_Decode, 254, 2, 78, // Opcode: C_NGE_D32
-/* 2687 */    MCD_OPC_FilterValue, 62, 14, 0, // Skip to: 2705
-/* 2691 */    MCD_OPC_CheckPredicate, 8, 150, 39, // Skip to: 12829
-/* 2695 */    MCD_OPC_CheckField, 6, 5, 0, 144, 39, // Skip to: 12829
-/* 2701 */    MCD_OPC_Decode, 248, 2, 78, // Opcode: C_LE_D32
-/* 2705 */    MCD_OPC_FilterValue, 63, 136, 39, // Skip to: 12829
-/* 2709 */    MCD_OPC_CheckPredicate, 8, 132, 39, // Skip to: 12829
-/* 2713 */    MCD_OPC_CheckField, 6, 5, 0, 126, 39, // Skip to: 12829
-/* 2719 */    MCD_OPC_Decode, 135, 3, 78, // Opcode: C_NGT_D32
-/* 2723 */    MCD_OPC_FilterValue, 20, 39, 0, // Skip to: 2766
-/* 2727 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2730 */    MCD_OPC_FilterValue, 32, 14, 0, // Skip to: 2748
-/* 2734 */    MCD_OPC_CheckPredicate, 2, 107, 39, // Skip to: 12829
-/* 2738 */    MCD_OPC_CheckField, 16, 5, 0, 101, 39, // Skip to: 12829
-/* 2744 */    MCD_OPC_Decode, 235, 2, 66, // Opcode: CVT_S_W
-/* 2748 */    MCD_OPC_FilterValue, 33, 93, 39, // Skip to: 12829
-/* 2752 */    MCD_OPC_CheckPredicate, 8, 89, 39, // Skip to: 12829
-/* 2756 */    MCD_OPC_CheckField, 16, 5, 0, 83, 39, // Skip to: 12829
-/* 2762 */    MCD_OPC_Decode, 221, 2, 69, // Opcode: CVT_D32_W
-/* 2766 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 2778
-/* 2770 */    MCD_OPC_CheckPredicate, 4, 71, 39, // Skip to: 12829
-/* 2774 */    MCD_OPC_Decode, 238, 1, 64, // Opcode: BZ_B
-/* 2778 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 2790
-/* 2782 */    MCD_OPC_CheckPredicate, 4, 59, 39, // Skip to: 12829
-/* 2786 */    MCD_OPC_Decode, 240, 1, 79, // Opcode: BZ_H
-/* 2790 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 2802
-/* 2794 */    MCD_OPC_CheckPredicate, 4, 47, 39, // Skip to: 12829
-/* 2798 */    MCD_OPC_Decode, 242, 1, 80, // Opcode: BZ_W
-/* 2802 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 2814
-/* 2806 */    MCD_OPC_CheckPredicate, 4, 35, 39, // Skip to: 12829
-/* 2810 */    MCD_OPC_Decode, 239, 1, 81, // Opcode: BZ_D
-/* 2814 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 2826
-/* 2818 */    MCD_OPC_CheckPredicate, 4, 23, 39, // Skip to: 12829
-/* 2822 */    MCD_OPC_Decode, 214, 1, 64, // Opcode: BNZ_B
-/* 2826 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 2838
-/* 2830 */    MCD_OPC_CheckPredicate, 4, 11, 39, // Skip to: 12829
-/* 2834 */    MCD_OPC_Decode, 216, 1, 79, // Opcode: BNZ_H
-/* 2838 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 2850
-/* 2842 */    MCD_OPC_CheckPredicate, 4, 255, 38, // Skip to: 12829
-/* 2846 */    MCD_OPC_Decode, 218, 1, 80, // Opcode: BNZ_W
-/* 2850 */    MCD_OPC_FilterValue, 31, 247, 38, // Skip to: 12829
-/* 2854 */    MCD_OPC_CheckPredicate, 4, 243, 38, // Skip to: 12829
-/* 2858 */    MCD_OPC_Decode, 215, 1, 81, // Opcode: BNZ_D
-/* 2862 */    MCD_OPC_FilterValue, 18, 39, 0, // Skip to: 2905
-/* 2866 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 2869 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2887
-/* 2873 */    MCD_OPC_CheckPredicate, 2, 224, 38, // Skip to: 12829
-/* 2877 */    MCD_OPC_CheckField, 3, 8, 0, 218, 38, // Skip to: 12829
-/* 2883 */    MCD_OPC_Decode, 239, 6, 54, // Opcode: MFC2
-/* 2887 */    MCD_OPC_FilterValue, 4, 210, 38, // Skip to: 12829
-/* 2891 */    MCD_OPC_CheckPredicate, 2, 206, 38, // Skip to: 12829
-/* 2895 */    MCD_OPC_CheckField, 3, 8, 0, 200, 38, // Skip to: 12829
-/* 2901 */    MCD_OPC_Decode, 216, 7, 54, // Opcode: MTC2
-/* 2905 */    MCD_OPC_FilterValue, 19, 207, 0, // Skip to: 3116
-/* 2909 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 2912 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2930
-/* 2916 */    MCD_OPC_CheckPredicate, 9, 181, 38, // Skip to: 12829
-/* 2920 */    MCD_OPC_CheckField, 11, 5, 0, 175, 38, // Skip to: 12829
-/* 2926 */    MCD_OPC_Decode, 174, 6, 82, // Opcode: LWXC1
-/* 2930 */    MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 2948
-/* 2934 */    MCD_OPC_CheckPredicate, 10, 163, 38, // Skip to: 12829
-/* 2938 */    MCD_OPC_CheckField, 11, 5, 0, 157, 38, // Skip to: 12829
-/* 2944 */    MCD_OPC_Decode, 131, 6, 83, // Opcode: LDXC1
-/* 2948 */    MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 2966
-/* 2952 */    MCD_OPC_CheckPredicate, 8, 145, 38, // Skip to: 12829
-/* 2956 */    MCD_OPC_CheckField, 11, 5, 0, 139, 38, // Skip to: 12829
-/* 2962 */    MCD_OPC_Decode, 155, 6, 83, // Opcode: LUXC1
-/* 2966 */    MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 2984
-/* 2970 */    MCD_OPC_CheckPredicate, 9, 127, 38, // Skip to: 12829
-/* 2974 */    MCD_OPC_CheckField, 6, 5, 0, 121, 38, // Skip to: 12829
-/* 2980 */    MCD_OPC_Decode, 222, 10, 84, // Opcode: SWXC1
-/* 2984 */    MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 3002
-/* 2988 */    MCD_OPC_CheckPredicate, 10, 109, 38, // Skip to: 12829
-/* 2992 */    MCD_OPC_CheckField, 6, 5, 0, 103, 38, // Skip to: 12829
-/* 2998 */    MCD_OPC_Decode, 162, 9, 85, // Opcode: SDXC1
-/* 3002 */    MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 3020
-/* 3006 */    MCD_OPC_CheckPredicate, 8, 91, 38, // Skip to: 12829
-/* 3010 */    MCD_OPC_CheckField, 6, 5, 0, 85, 38, // Skip to: 12829
-/* 3016 */    MCD_OPC_Decode, 208, 10, 85, // Opcode: SUXC1
-/* 3020 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3032
-/* 3024 */    MCD_OPC_CheckPredicate, 3, 73, 38, // Skip to: 12829
-/* 3028 */    MCD_OPC_Decode, 210, 6, 86, // Opcode: MADD_S
-/* 3032 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3044
-/* 3036 */    MCD_OPC_CheckPredicate, 11, 61, 38, // Skip to: 12829
-/* 3040 */    MCD_OPC_Decode, 203, 6, 87, // Opcode: MADD_D32
-/* 3044 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3056
-/* 3048 */    MCD_OPC_CheckPredicate, 3, 49, 38, // Skip to: 12829
-/* 3052 */    MCD_OPC_Decode, 211, 7, 86, // Opcode: MSUB_S
-/* 3056 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3068
-/* 3060 */    MCD_OPC_CheckPredicate, 11, 37, 38, // Skip to: 12829
-/* 3064 */    MCD_OPC_Decode, 204, 7, 87, // Opcode: MSUB_D32
-/* 3068 */    MCD_OPC_FilterValue, 48, 8, 0, // Skip to: 3080
-/* 3072 */    MCD_OPC_CheckPredicate, 12, 25, 38, // Skip to: 12829
-/* 3076 */    MCD_OPC_Decode, 147, 8, 86, // Opcode: NMADD_S
-/* 3080 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3092
-/* 3084 */    MCD_OPC_CheckPredicate, 13, 13, 38, // Skip to: 12829
-/* 3088 */    MCD_OPC_Decode, 144, 8, 87, // Opcode: NMADD_D32
-/* 3092 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 3104
-/* 3096 */    MCD_OPC_CheckPredicate, 12, 1, 38, // Skip to: 12829
-/* 3100 */    MCD_OPC_Decode, 152, 8, 86, // Opcode: NMSUB_S
-/* 3104 */    MCD_OPC_FilterValue, 57, 249, 37, // Skip to: 12829
-/* 3108 */    MCD_OPC_CheckPredicate, 13, 245, 37, // Skip to: 12829
-/* 3112 */    MCD_OPC_Decode, 149, 8, 87, // Opcode: NMSUB_D32
-/* 3116 */    MCD_OPC_FilterValue, 28, 171, 0, // Skip to: 3291
-/* 3120 */    MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
-/* 3123 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 3156
-/* 3127 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3130 */    MCD_OPC_FilterValue, 0, 223, 37, // Skip to: 12829
-/* 3134 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3148
-/* 3138 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3148
-/* 3144 */    MCD_OPC_Decode, 193, 6, 20, // Opcode: MADD
-/* 3148 */    MCD_OPC_CheckPredicate, 5, 205, 37, // Skip to: 12829
-/* 3152 */    MCD_OPC_Decode, 206, 6, 88, // Opcode: MADD_DSP
-/* 3156 */    MCD_OPC_FilterValue, 1, 29, 0, // Skip to: 3189
-/* 3160 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3163 */    MCD_OPC_FilterValue, 0, 190, 37, // Skip to: 12829
-/* 3167 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3181
-/* 3171 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3181
-/* 3177 */    MCD_OPC_Decode, 196, 6, 20, // Opcode: MADDU
-/* 3181 */    MCD_OPC_CheckPredicate, 5, 172, 37, // Skip to: 12829
-/* 3185 */    MCD_OPC_Decode, 197, 6, 88, // Opcode: MADDU_DSP
-/* 3189 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3201
-/* 3193 */    MCD_OPC_CheckPredicate, 2, 160, 37, // Skip to: 12829
-/* 3197 */    MCD_OPC_Decode, 228, 7, 13, // Opcode: MUL
-/* 3201 */    MCD_OPC_FilterValue, 4, 29, 0, // Skip to: 3234
-/* 3205 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3208 */    MCD_OPC_FilterValue, 0, 145, 37, // Skip to: 12829
-/* 3212 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3226
-/* 3216 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3226
-/* 3222 */    MCD_OPC_Decode, 194, 7, 20, // Opcode: MSUB
-/* 3226 */    MCD_OPC_CheckPredicate, 5, 127, 37, // Skip to: 12829
-/* 3230 */    MCD_OPC_Decode, 207, 7, 88, // Opcode: MSUB_DSP
-/* 3234 */    MCD_OPC_FilterValue, 5, 29, 0, // Skip to: 3267
-/* 3238 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 3241 */    MCD_OPC_FilterValue, 0, 112, 37, // Skip to: 12829
-/* 3245 */    MCD_OPC_CheckPredicate, 2, 10, 0, // Skip to: 3259
-/* 3249 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3259
-/* 3255 */    MCD_OPC_Decode, 197, 7, 20, // Opcode: MSUBU
-/* 3259 */    MCD_OPC_CheckPredicate, 5, 94, 37, // Skip to: 12829
-/* 3263 */    MCD_OPC_Decode, 198, 7, 88, // Opcode: MSUBU_DSP
-/* 3267 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3279
-/* 3271 */    MCD_OPC_CheckPredicate, 14, 82, 37, // Skip to: 12829
-/* 3275 */    MCD_OPC_Decode, 192, 2, 89, // Opcode: CLZ
-/* 3279 */    MCD_OPC_FilterValue, 33, 74, 37, // Skip to: 12829
-/* 3283 */    MCD_OPC_CheckPredicate, 14, 70, 37, // Skip to: 12829
-/* 3287 */    MCD_OPC_Decode, 174, 2, 89, // Opcode: CLO
-/* 3291 */    MCD_OPC_FilterValue, 30, 171, 26, // Skip to: 10122
-/* 3295 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 3298 */    MCD_OPC_FilterValue, 0, 50, 0, // Skip to: 3352
-/* 3302 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3305 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3316
-/* 3309 */    MCD_OPC_CheckPredicate, 4, 44, 37, // Skip to: 12829
-/* 3313 */    MCD_OPC_Decode, 73, 90, // Opcode: ANDI_B
-/* 3316 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3328
-/* 3320 */    MCD_OPC_CheckPredicate, 4, 33, 37, // Skip to: 12829
-/* 3324 */    MCD_OPC_Decode, 167, 8, 90, // Opcode: ORI_B
-/* 3328 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3340
-/* 3332 */    MCD_OPC_CheckPredicate, 4, 21, 37, // Skip to: 12829
-/* 3336 */    MCD_OPC_Decode, 157, 8, 90, // Opcode: NORI_B
-/* 3340 */    MCD_OPC_FilterValue, 3, 13, 37, // Skip to: 12829
-/* 3344 */    MCD_OPC_CheckPredicate, 4, 9, 37, // Skip to: 12829
-/* 3348 */    MCD_OPC_Decode, 194, 11, 90, // Opcode: XORI_B
-/* 3352 */    MCD_OPC_FilterValue, 1, 39, 0, // Skip to: 3395
-/* 3356 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3359 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3371
-/* 3363 */    MCD_OPC_CheckPredicate, 4, 246, 36, // Skip to: 12829
-/* 3367 */    MCD_OPC_Decode, 199, 1, 91, // Opcode: BMNZI_B
-/* 3371 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3383
-/* 3375 */    MCD_OPC_CheckPredicate, 4, 234, 36, // Skip to: 12829
-/* 3379 */    MCD_OPC_Decode, 201, 1, 91, // Opcode: BMZI_B
-/* 3383 */    MCD_OPC_FilterValue, 2, 226, 36, // Skip to: 12829
-/* 3387 */    MCD_OPC_CheckPredicate, 4, 222, 36, // Skip to: 12829
-/* 3391 */    MCD_OPC_Decode, 223, 1, 91, // Opcode: BSELI_B
-/* 3395 */    MCD_OPC_FilterValue, 2, 39, 0, // Skip to: 3438
-/* 3399 */    MCD_OPC_ExtractField, 24, 2,  // Inst{25-24} ...
-/* 3402 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3414
-/* 3406 */    MCD_OPC_CheckPredicate, 4, 203, 36, // Skip to: 12829
-/* 3410 */    MCD_OPC_Decode, 172, 9, 90, // Opcode: SHF_B
-/* 3414 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3426
-/* 3418 */    MCD_OPC_CheckPredicate, 4, 191, 36, // Skip to: 12829
-/* 3422 */    MCD_OPC_Decode, 173, 9, 92, // Opcode: SHF_H
-/* 3426 */    MCD_OPC_FilterValue, 2, 183, 36, // Skip to: 12829
-/* 3430 */    MCD_OPC_CheckPredicate, 4, 179, 36, // Skip to: 12829
-/* 3434 */    MCD_OPC_Decode, 174, 9, 93, // Opcode: SHF_W
-/* 3438 */    MCD_OPC_FilterValue, 6, 31, 1, // Skip to: 3729
-/* 3442 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 3445 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 3456
-/* 3449 */    MCD_OPC_CheckPredicate, 4, 160, 36, // Skip to: 12829
-/* 3453 */    MCD_OPC_Decode, 49, 94, // Opcode: ADDVI_B
-/* 3456 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 3467
-/* 3460 */    MCD_OPC_CheckPredicate, 4, 149, 36, // Skip to: 12829
-/* 3464 */    MCD_OPC_Decode, 51, 95, // Opcode: ADDVI_H
-/* 3467 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 3478
-/* 3471 */    MCD_OPC_CheckPredicate, 4, 138, 36, // Skip to: 12829
-/* 3475 */    MCD_OPC_Decode, 52, 96, // Opcode: ADDVI_W
-/* 3478 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 3489
-/* 3482 */    MCD_OPC_CheckPredicate, 4, 127, 36, // Skip to: 12829
-/* 3486 */    MCD_OPC_Decode, 50, 97, // Opcode: ADDVI_D
-/* 3489 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 3501
-/* 3493 */    MCD_OPC_CheckPredicate, 4, 116, 36, // Skip to: 12829
-/* 3497 */    MCD_OPC_Decode, 197, 10, 94, // Opcode: SUBVI_B
-/* 3501 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 3513
-/* 3505 */    MCD_OPC_CheckPredicate, 4, 104, 36, // Skip to: 12829
-/* 3509 */    MCD_OPC_Decode, 199, 10, 95, // Opcode: SUBVI_H
-/* 3513 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 3525
-/* 3517 */    MCD_OPC_CheckPredicate, 4, 92, 36, // Skip to: 12829
-/* 3521 */    MCD_OPC_Decode, 200, 10, 96, // Opcode: SUBVI_W
-/* 3525 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 3537
-/* 3529 */    MCD_OPC_CheckPredicate, 4, 80, 36, // Skip to: 12829
-/* 3533 */    MCD_OPC_Decode, 198, 10, 97, // Opcode: SUBVI_D
-/* 3537 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3549
-/* 3541 */    MCD_OPC_CheckPredicate, 4, 68, 36, // Skip to: 12829
-/* 3545 */    MCD_OPC_Decode, 216, 6, 94, // Opcode: MAXI_S_B
-/* 3549 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3561
-/* 3553 */    MCD_OPC_CheckPredicate, 4, 56, 36, // Skip to: 12829
-/* 3557 */    MCD_OPC_Decode, 218, 6, 95, // Opcode: MAXI_S_H
-/* 3561 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3573
-/* 3565 */    MCD_OPC_CheckPredicate, 4, 44, 36, // Skip to: 12829
-/* 3569 */    MCD_OPC_Decode, 219, 6, 96, // Opcode: MAXI_S_W
-/* 3573 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3585
-/* 3577 */    MCD_OPC_CheckPredicate, 4, 32, 36, // Skip to: 12829
-/* 3581 */    MCD_OPC_Decode, 217, 6, 97, // Opcode: MAXI_S_D
-/* 3585 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3597
-/* 3589 */    MCD_OPC_CheckPredicate, 4, 20, 36, // Skip to: 12829
-/* 3593 */    MCD_OPC_Decode, 220, 6, 94, // Opcode: MAXI_U_B
-/* 3597 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3609
-/* 3601 */    MCD_OPC_CheckPredicate, 4, 8, 36, // Skip to: 12829
-/* 3605 */    MCD_OPC_Decode, 222, 6, 95, // Opcode: MAXI_U_H
-/* 3609 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3621
-/* 3613 */    MCD_OPC_CheckPredicate, 4, 252, 35, // Skip to: 12829
-/* 3617 */    MCD_OPC_Decode, 223, 6, 96, // Opcode: MAXI_U_W
-/* 3621 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3633
-/* 3625 */    MCD_OPC_CheckPredicate, 4, 240, 35, // Skip to: 12829
-/* 3629 */    MCD_OPC_Decode, 221, 6, 97, // Opcode: MAXI_U_D
-/* 3633 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3645
-/* 3637 */    MCD_OPC_CheckPredicate, 4, 228, 35, // Skip to: 12829
-/* 3641 */    MCD_OPC_Decode, 250, 6, 94, // Opcode: MINI_S_B
-/* 3645 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3657
-/* 3649 */    MCD_OPC_CheckPredicate, 4, 216, 35, // Skip to: 12829
-/* 3653 */    MCD_OPC_Decode, 252, 6, 95, // Opcode: MINI_S_H
-/* 3657 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3669
-/* 3661 */    MCD_OPC_CheckPredicate, 4, 204, 35, // Skip to: 12829
-/* 3665 */    MCD_OPC_Decode, 253, 6, 96, // Opcode: MINI_S_W
-/* 3669 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3681
-/* 3673 */    MCD_OPC_CheckPredicate, 4, 192, 35, // Skip to: 12829
-/* 3677 */    MCD_OPC_Decode, 251, 6, 97, // Opcode: MINI_S_D
-/* 3681 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3693
-/* 3685 */    MCD_OPC_CheckPredicate, 4, 180, 35, // Skip to: 12829
-/* 3689 */    MCD_OPC_Decode, 254, 6, 94, // Opcode: MINI_U_B
-/* 3693 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3705
-/* 3697 */    MCD_OPC_CheckPredicate, 4, 168, 35, // Skip to: 12829
-/* 3701 */    MCD_OPC_Decode, 128, 7, 95, // Opcode: MINI_U_H
-/* 3705 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3717
-/* 3709 */    MCD_OPC_CheckPredicate, 4, 156, 35, // Skip to: 12829
-/* 3713 */    MCD_OPC_Decode, 129, 7, 96, // Opcode: MINI_U_W
-/* 3717 */    MCD_OPC_FilterValue, 23, 148, 35, // Skip to: 12829
-/* 3721 */    MCD_OPC_CheckPredicate, 4, 144, 35, // Skip to: 12829
-/* 3725 */    MCD_OPC_Decode, 255, 6, 97, // Opcode: MINI_U_D
-/* 3729 */    MCD_OPC_FilterValue, 7, 35, 1, // Skip to: 4024
-/* 3733 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 3736 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3748
-/* 3740 */    MCD_OPC_CheckPredicate, 4, 125, 35, // Skip to: 12829
-/* 3744 */    MCD_OPC_Decode, 147, 2, 94, // Opcode: CEQI_B
-/* 3748 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3760
-/* 3752 */    MCD_OPC_CheckPredicate, 4, 113, 35, // Skip to: 12829
-/* 3756 */    MCD_OPC_Decode, 149, 2, 95, // Opcode: CEQI_H
-/* 3760 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3772
-/* 3764 */    MCD_OPC_CheckPredicate, 4, 101, 35, // Skip to: 12829
-/* 3768 */    MCD_OPC_Decode, 150, 2, 96, // Opcode: CEQI_W
-/* 3772 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3784
-/* 3776 */    MCD_OPC_CheckPredicate, 4, 89, 35, // Skip to: 12829
-/* 3780 */    MCD_OPC_Decode, 148, 2, 97, // Opcode: CEQI_D
-/* 3784 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3796
-/* 3788 */    MCD_OPC_CheckPredicate, 4, 77, 35, // Skip to: 12829
-/* 3792 */    MCD_OPC_Decode, 176, 2, 94, // Opcode: CLTI_S_B
-/* 3796 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3808
-/* 3800 */    MCD_OPC_CheckPredicate, 4, 65, 35, // Skip to: 12829
-/* 3804 */    MCD_OPC_Decode, 178, 2, 95, // Opcode: CLTI_S_H
-/* 3808 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3820
-/* 3812 */    MCD_OPC_CheckPredicate, 4, 53, 35, // Skip to: 12829
-/* 3816 */    MCD_OPC_Decode, 179, 2, 96, // Opcode: CLTI_S_W
-/* 3820 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3832
-/* 3824 */    MCD_OPC_CheckPredicate, 4, 41, 35, // Skip to: 12829
-/* 3828 */    MCD_OPC_Decode, 177, 2, 97, // Opcode: CLTI_S_D
-/* 3832 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3844
-/* 3836 */    MCD_OPC_CheckPredicate, 4, 29, 35, // Skip to: 12829
-/* 3840 */    MCD_OPC_Decode, 180, 2, 94, // Opcode: CLTI_U_B
-/* 3844 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3856
-/* 3848 */    MCD_OPC_CheckPredicate, 4, 17, 35, // Skip to: 12829
-/* 3852 */    MCD_OPC_Decode, 182, 2, 95, // Opcode: CLTI_U_H
-/* 3856 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3868
-/* 3860 */    MCD_OPC_CheckPredicate, 4, 5, 35, // Skip to: 12829
-/* 3864 */    MCD_OPC_Decode, 183, 2, 96, // Opcode: CLTI_U_W
-/* 3868 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 3880
-/* 3872 */    MCD_OPC_CheckPredicate, 4, 249, 34, // Skip to: 12829
-/* 3876 */    MCD_OPC_Decode, 181, 2, 97, // Opcode: CLTI_U_D
-/* 3880 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 3892
-/* 3884 */    MCD_OPC_CheckPredicate, 4, 237, 34, // Skip to: 12829
-/* 3888 */    MCD_OPC_Decode, 158, 2, 94, // Opcode: CLEI_S_B
-/* 3892 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 3904
-/* 3896 */    MCD_OPC_CheckPredicate, 4, 225, 34, // Skip to: 12829
-/* 3900 */    MCD_OPC_Decode, 160, 2, 95, // Opcode: CLEI_S_H
-/* 3904 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 3916
-/* 3908 */    MCD_OPC_CheckPredicate, 4, 213, 34, // Skip to: 12829
-/* 3912 */    MCD_OPC_Decode, 161, 2, 96, // Opcode: CLEI_S_W
-/* 3916 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 3928
-/* 3920 */    MCD_OPC_CheckPredicate, 4, 201, 34, // Skip to: 12829
-/* 3924 */    MCD_OPC_Decode, 159, 2, 97, // Opcode: CLEI_S_D
-/* 3928 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 3940
-/* 3932 */    MCD_OPC_CheckPredicate, 4, 189, 34, // Skip to: 12829
-/* 3936 */    MCD_OPC_Decode, 162, 2, 94, // Opcode: CLEI_U_B
-/* 3940 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 3952
-/* 3944 */    MCD_OPC_CheckPredicate, 4, 177, 34, // Skip to: 12829
-/* 3948 */    MCD_OPC_Decode, 164, 2, 95, // Opcode: CLEI_U_H
-/* 3952 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3964
-/* 3956 */    MCD_OPC_CheckPredicate, 4, 165, 34, // Skip to: 12829
-/* 3960 */    MCD_OPC_Decode, 165, 2, 96, // Opcode: CLEI_U_W
-/* 3964 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 3976
-/* 3968 */    MCD_OPC_CheckPredicate, 4, 153, 34, // Skip to: 12829
-/* 3972 */    MCD_OPC_Decode, 163, 2, 97, // Opcode: CLEI_U_D
-/* 3976 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 3988
-/* 3980 */    MCD_OPC_CheckPredicate, 4, 141, 34, // Skip to: 12829
-/* 3984 */    MCD_OPC_Decode, 253, 5, 98, // Opcode: LDI_B
-/* 3988 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 4000
-/* 3992 */    MCD_OPC_CheckPredicate, 4, 129, 34, // Skip to: 12829
-/* 3996 */    MCD_OPC_Decode, 255, 5, 99, // Opcode: LDI_H
-/* 4000 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 4012
-/* 4004 */    MCD_OPC_CheckPredicate, 4, 117, 34, // Skip to: 12829
-/* 4008 */    MCD_OPC_Decode, 128, 6, 100, // Opcode: LDI_W
-/* 4012 */    MCD_OPC_FilterValue, 27, 109, 34, // Skip to: 12829
-/* 4016 */    MCD_OPC_CheckPredicate, 4, 105, 34, // Skip to: 12829
-/* 4020 */    MCD_OPC_Decode, 254, 5, 101, // Opcode: LDI_D
-/* 4024 */    MCD_OPC_FilterValue, 9, 35, 2, // Skip to: 4575
-/* 4028 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 4031 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4043
-/* 4035 */    MCD_OPC_CheckPredicate, 4, 86, 34, // Skip to: 12829
-/* 4039 */    MCD_OPC_Decode, 212, 9, 102, // Opcode: SLLI_D
-/* 4043 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4099
-/* 4047 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4050 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4062
-/* 4054 */    MCD_OPC_CheckPredicate, 4, 67, 34, // Skip to: 12829
-/* 4058 */    MCD_OPC_Decode, 214, 9, 96, // Opcode: SLLI_W
-/* 4062 */    MCD_OPC_FilterValue, 1, 59, 34, // Skip to: 12829
-/* 4066 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4069 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4081
-/* 4073 */    MCD_OPC_CheckPredicate, 4, 48, 34, // Skip to: 12829
-/* 4077 */    MCD_OPC_Decode, 213, 9, 103, // Opcode: SLLI_H
-/* 4081 */    MCD_OPC_FilterValue, 1, 40, 34, // Skip to: 12829
-/* 4085 */    MCD_OPC_CheckPredicate, 4, 36, 34, // Skip to: 12829
-/* 4089 */    MCD_OPC_CheckField, 19, 1, 0, 30, 34, // Skip to: 12829
-/* 4095 */    MCD_OPC_Decode, 211, 9, 104, // Opcode: SLLI_B
-/* 4099 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4111
-/* 4103 */    MCD_OPC_CheckPredicate, 4, 18, 34, // Skip to: 12829
-/* 4107 */    MCD_OPC_Decode, 249, 9, 102, // Opcode: SRAI_D
-/* 4111 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4167
-/* 4115 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4118 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4130
-/* 4122 */    MCD_OPC_CheckPredicate, 4, 255, 33, // Skip to: 12829
-/* 4126 */    MCD_OPC_Decode, 251, 9, 96, // Opcode: SRAI_W
-/* 4130 */    MCD_OPC_FilterValue, 1, 247, 33, // Skip to: 12829
-/* 4134 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4137 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4149
-/* 4141 */    MCD_OPC_CheckPredicate, 4, 236, 33, // Skip to: 12829
-/* 4145 */    MCD_OPC_Decode, 250, 9, 103, // Opcode: SRAI_H
-/* 4149 */    MCD_OPC_FilterValue, 1, 228, 33, // Skip to: 12829
-/* 4153 */    MCD_OPC_CheckPredicate, 4, 224, 33, // Skip to: 12829
-/* 4157 */    MCD_OPC_CheckField, 19, 1, 0, 218, 33, // Skip to: 12829
-/* 4163 */    MCD_OPC_Decode, 248, 9, 104, // Opcode: SRAI_B
-/* 4167 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4179
-/* 4171 */    MCD_OPC_CheckPredicate, 4, 206, 33, // Skip to: 12829
-/* 4175 */    MCD_OPC_Decode, 141, 10, 102, // Opcode: SRLI_D
-/* 4179 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4235
-/* 4183 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4186 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4198
-/* 4190 */    MCD_OPC_CheckPredicate, 4, 187, 33, // Skip to: 12829
-/* 4194 */    MCD_OPC_Decode, 143, 10, 96, // Opcode: SRLI_W
-/* 4198 */    MCD_OPC_FilterValue, 1, 179, 33, // Skip to: 12829
-/* 4202 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4205 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4217
-/* 4209 */    MCD_OPC_CheckPredicate, 4, 168, 33, // Skip to: 12829
-/* 4213 */    MCD_OPC_Decode, 142, 10, 103, // Opcode: SRLI_H
-/* 4217 */    MCD_OPC_FilterValue, 1, 160, 33, // Skip to: 12829
-/* 4221 */    MCD_OPC_CheckPredicate, 4, 156, 33, // Skip to: 12829
-/* 4225 */    MCD_OPC_CheckField, 19, 1, 0, 150, 33, // Skip to: 12829
-/* 4231 */    MCD_OPC_Decode, 140, 10, 104, // Opcode: SRLI_B
-/* 4235 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4247
-/* 4239 */    MCD_OPC_CheckPredicate, 4, 138, 33, // Skip to: 12829
-/* 4243 */    MCD_OPC_Decode, 156, 1, 102, // Opcode: BCLRI_D
-/* 4247 */    MCD_OPC_FilterValue, 7, 52, 0, // Skip to: 4303
-/* 4251 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4254 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4266
-/* 4258 */    MCD_OPC_CheckPredicate, 4, 119, 33, // Skip to: 12829
-/* 4262 */    MCD_OPC_Decode, 158, 1, 96, // Opcode: BCLRI_W
-/* 4266 */    MCD_OPC_FilterValue, 1, 111, 33, // Skip to: 12829
-/* 4270 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4273 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4285
-/* 4277 */    MCD_OPC_CheckPredicate, 4, 100, 33, // Skip to: 12829
-/* 4281 */    MCD_OPC_Decode, 157, 1, 103, // Opcode: BCLRI_H
-/* 4285 */    MCD_OPC_FilterValue, 1, 92, 33, // Skip to: 12829
-/* 4289 */    MCD_OPC_CheckPredicate, 4, 88, 33, // Skip to: 12829
-/* 4293 */    MCD_OPC_CheckField, 19, 1, 0, 82, 33, // Skip to: 12829
-/* 4299 */    MCD_OPC_Decode, 155, 1, 104, // Opcode: BCLRI_B
-/* 4303 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4315
-/* 4307 */    MCD_OPC_CheckPredicate, 4, 70, 33, // Skip to: 12829
-/* 4311 */    MCD_OPC_Decode, 231, 1, 102, // Opcode: BSETI_D
-/* 4315 */    MCD_OPC_FilterValue, 9, 52, 0, // Skip to: 4371
-/* 4319 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4322 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4334
-/* 4326 */    MCD_OPC_CheckPredicate, 4, 51, 33, // Skip to: 12829
-/* 4330 */    MCD_OPC_Decode, 233, 1, 96, // Opcode: BSETI_W
-/* 4334 */    MCD_OPC_FilterValue, 1, 43, 33, // Skip to: 12829
-/* 4338 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4341 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4353
-/* 4345 */    MCD_OPC_CheckPredicate, 4, 32, 33, // Skip to: 12829
-/* 4349 */    MCD_OPC_Decode, 232, 1, 103, // Opcode: BSETI_H
-/* 4353 */    MCD_OPC_FilterValue, 1, 24, 33, // Skip to: 12829
-/* 4357 */    MCD_OPC_CheckPredicate, 4, 20, 33, // Skip to: 12829
-/* 4361 */    MCD_OPC_CheckField, 19, 1, 0, 14, 33, // Skip to: 12829
-/* 4367 */    MCD_OPC_Decode, 230, 1, 104, // Opcode: BSETI_B
-/* 4371 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4383
-/* 4375 */    MCD_OPC_CheckPredicate, 4, 2, 33, // Skip to: 12829
-/* 4379 */    MCD_OPC_Decode, 206, 1, 102, // Opcode: BNEGI_D
-/* 4383 */    MCD_OPC_FilterValue, 11, 52, 0, // Skip to: 4439
-/* 4387 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4390 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4402
-/* 4394 */    MCD_OPC_CheckPredicate, 4, 239, 32, // Skip to: 12829
-/* 4398 */    MCD_OPC_Decode, 208, 1, 96, // Opcode: BNEGI_W
-/* 4402 */    MCD_OPC_FilterValue, 1, 231, 32, // Skip to: 12829
-/* 4406 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4409 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4421
-/* 4413 */    MCD_OPC_CheckPredicate, 4, 220, 32, // Skip to: 12829
-/* 4417 */    MCD_OPC_Decode, 207, 1, 103, // Opcode: BNEGI_H
-/* 4421 */    MCD_OPC_FilterValue, 1, 212, 32, // Skip to: 12829
-/* 4425 */    MCD_OPC_CheckPredicate, 4, 208, 32, // Skip to: 12829
-/* 4429 */    MCD_OPC_CheckField, 19, 1, 0, 202, 32, // Skip to: 12829
-/* 4435 */    MCD_OPC_Decode, 205, 1, 104, // Opcode: BNEGI_B
-/* 4439 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 4451
-/* 4443 */    MCD_OPC_CheckPredicate, 4, 190, 32, // Skip to: 12829
-/* 4447 */    MCD_OPC_Decode, 175, 1, 105, // Opcode: BINSLI_D
-/* 4451 */    MCD_OPC_FilterValue, 13, 52, 0, // Skip to: 4507
-/* 4455 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4458 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4470
-/* 4462 */    MCD_OPC_CheckPredicate, 4, 171, 32, // Skip to: 12829
-/* 4466 */    MCD_OPC_Decode, 177, 1, 106, // Opcode: BINSLI_W
-/* 4470 */    MCD_OPC_FilterValue, 1, 163, 32, // Skip to: 12829
-/* 4474 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4477 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4489
-/* 4481 */    MCD_OPC_CheckPredicate, 4, 152, 32, // Skip to: 12829
-/* 4485 */    MCD_OPC_Decode, 176, 1, 107, // Opcode: BINSLI_H
-/* 4489 */    MCD_OPC_FilterValue, 1, 144, 32, // Skip to: 12829
-/* 4493 */    MCD_OPC_CheckPredicate, 4, 140, 32, // Skip to: 12829
-/* 4497 */    MCD_OPC_CheckField, 19, 1, 0, 134, 32, // Skip to: 12829
-/* 4503 */    MCD_OPC_Decode, 174, 1, 108, // Opcode: BINSLI_B
-/* 4507 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 4519
-/* 4511 */    MCD_OPC_CheckPredicate, 4, 122, 32, // Skip to: 12829
-/* 4515 */    MCD_OPC_Decode, 183, 1, 105, // Opcode: BINSRI_D
-/* 4519 */    MCD_OPC_FilterValue, 15, 114, 32, // Skip to: 12829
-/* 4523 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4526 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4538
-/* 4530 */    MCD_OPC_CheckPredicate, 4, 103, 32, // Skip to: 12829
-/* 4534 */    MCD_OPC_Decode, 185, 1, 106, // Opcode: BINSRI_W
-/* 4538 */    MCD_OPC_FilterValue, 1, 95, 32, // Skip to: 12829
-/* 4542 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4545 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4557
-/* 4549 */    MCD_OPC_CheckPredicate, 4, 84, 32, // Skip to: 12829
-/* 4553 */    MCD_OPC_Decode, 184, 1, 107, // Opcode: BINSRI_H
-/* 4557 */    MCD_OPC_FilterValue, 1, 76, 32, // Skip to: 12829
-/* 4561 */    MCD_OPC_CheckPredicate, 4, 72, 32, // Skip to: 12829
-/* 4565 */    MCD_OPC_CheckField, 19, 1, 0, 66, 32, // Skip to: 12829
-/* 4571 */    MCD_OPC_Decode, 182, 1, 108, // Opcode: BINSRI_B
-/* 4575 */    MCD_OPC_FilterValue, 10, 19, 1, // Skip to: 4854
-/* 4579 */    MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 4582 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4594
-/* 4586 */    MCD_OPC_CheckPredicate, 4, 47, 32, // Skip to: 12829
-/* 4590 */    MCD_OPC_Decode, 140, 9, 102, // Opcode: SAT_S_D
-/* 4594 */    MCD_OPC_FilterValue, 1, 52, 0, // Skip to: 4650
-/* 4598 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4601 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4613
-/* 4605 */    MCD_OPC_CheckPredicate, 4, 28, 32, // Skip to: 12829
-/* 4609 */    MCD_OPC_Decode, 142, 9, 96, // Opcode: SAT_S_W
-/* 4613 */    MCD_OPC_FilterValue, 1, 20, 32, // Skip to: 12829
-/* 4617 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4620 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4632
-/* 4624 */    MCD_OPC_CheckPredicate, 4, 9, 32, // Skip to: 12829
-/* 4628 */    MCD_OPC_Decode, 141, 9, 103, // Opcode: SAT_S_H
-/* 4632 */    MCD_OPC_FilterValue, 1, 1, 32, // Skip to: 12829
-/* 4636 */    MCD_OPC_CheckPredicate, 4, 253, 31, // Skip to: 12829
-/* 4640 */    MCD_OPC_CheckField, 19, 1, 0, 247, 31, // Skip to: 12829
-/* 4646 */    MCD_OPC_Decode, 139, 9, 104, // Opcode: SAT_S_B
-/* 4650 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4662
-/* 4654 */    MCD_OPC_CheckPredicate, 4, 235, 31, // Skip to: 12829
-/* 4658 */    MCD_OPC_Decode, 144, 9, 102, // Opcode: SAT_U_D
-/* 4662 */    MCD_OPC_FilterValue, 3, 52, 0, // Skip to: 4718
-/* 4666 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4669 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4681
-/* 4673 */    MCD_OPC_CheckPredicate, 4, 216, 31, // Skip to: 12829
-/* 4677 */    MCD_OPC_Decode, 146, 9, 96, // Opcode: SAT_U_W
-/* 4681 */    MCD_OPC_FilterValue, 1, 208, 31, // Skip to: 12829
-/* 4685 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4688 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4700
-/* 4692 */    MCD_OPC_CheckPredicate, 4, 197, 31, // Skip to: 12829
-/* 4696 */    MCD_OPC_Decode, 145, 9, 103, // Opcode: SAT_U_H
-/* 4700 */    MCD_OPC_FilterValue, 1, 189, 31, // Skip to: 12829
-/* 4704 */    MCD_OPC_CheckPredicate, 4, 185, 31, // Skip to: 12829
-/* 4708 */    MCD_OPC_CheckField, 19, 1, 0, 179, 31, // Skip to: 12829
-/* 4714 */    MCD_OPC_Decode, 143, 9, 104, // Opcode: SAT_U_B
-/* 4718 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4730
-/* 4722 */    MCD_OPC_CheckPredicate, 4, 167, 31, // Skip to: 12829
-/* 4726 */    MCD_OPC_Decode, 253, 9, 102, // Opcode: SRARI_D
-/* 4730 */    MCD_OPC_FilterValue, 5, 52, 0, // Skip to: 4786
-/* 4734 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4737 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4749
-/* 4741 */    MCD_OPC_CheckPredicate, 4, 148, 31, // Skip to: 12829
-/* 4745 */    MCD_OPC_Decode, 255, 9, 96, // Opcode: SRARI_W
-/* 4749 */    MCD_OPC_FilterValue, 1, 140, 31, // Skip to: 12829
-/* 4753 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4756 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4768
-/* 4760 */    MCD_OPC_CheckPredicate, 4, 129, 31, // Skip to: 12829
-/* 4764 */    MCD_OPC_Decode, 254, 9, 103, // Opcode: SRARI_H
-/* 4768 */    MCD_OPC_FilterValue, 1, 121, 31, // Skip to: 12829
-/* 4772 */    MCD_OPC_CheckPredicate, 4, 117, 31, // Skip to: 12829
-/* 4776 */    MCD_OPC_CheckField, 19, 1, 0, 111, 31, // Skip to: 12829
-/* 4782 */    MCD_OPC_Decode, 252, 9, 104, // Opcode: SRARI_B
-/* 4786 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4798
-/* 4790 */    MCD_OPC_CheckPredicate, 4, 99, 31, // Skip to: 12829
-/* 4794 */    MCD_OPC_Decode, 145, 10, 102, // Opcode: SRLRI_D
-/* 4798 */    MCD_OPC_FilterValue, 7, 91, 31, // Skip to: 12829
-/* 4802 */    MCD_OPC_ExtractField, 21, 1,  // Inst{21} ...
-/* 4805 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4817
-/* 4809 */    MCD_OPC_CheckPredicate, 4, 80, 31, // Skip to: 12829
-/* 4813 */    MCD_OPC_Decode, 147, 10, 96, // Opcode: SRLRI_W
-/* 4817 */    MCD_OPC_FilterValue, 1, 72, 31, // Skip to: 12829
-/* 4821 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 4824 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4836
-/* 4828 */    MCD_OPC_CheckPredicate, 4, 61, 31, // Skip to: 12829
-/* 4832 */    MCD_OPC_Decode, 146, 10, 103, // Opcode: SRLRI_H
-/* 4836 */    MCD_OPC_FilterValue, 1, 53, 31, // Skip to: 12829
-/* 4840 */    MCD_OPC_CheckPredicate, 4, 49, 31, // Skip to: 12829
-/* 4844 */    MCD_OPC_CheckField, 19, 1, 0, 43, 31, // Skip to: 12829
-/* 4850 */    MCD_OPC_Decode, 144, 10, 104, // Opcode: SRLRI_B
-/* 4854 */    MCD_OPC_FilterValue, 13, 131, 1, // Skip to: 5245
-/* 4858 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 4861 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 4873
-/* 4865 */    MCD_OPC_CheckPredicate, 4, 24, 31, // Skip to: 12829
-/* 4869 */    MCD_OPC_Decode, 217, 9, 109, // Opcode: SLL_B
-/* 4873 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 4885
-/* 4877 */    MCD_OPC_CheckPredicate, 4, 12, 31, // Skip to: 12829
-/* 4881 */    MCD_OPC_Decode, 219, 9, 110, // Opcode: SLL_H
-/* 4885 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 4897
-/* 4889 */    MCD_OPC_CheckPredicate, 4, 0, 31, // Skip to: 12829
-/* 4893 */    MCD_OPC_Decode, 221, 9, 111, // Opcode: SLL_W
-/* 4897 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 4909
-/* 4901 */    MCD_OPC_CheckPredicate, 4, 244, 30, // Skip to: 12829
-/* 4905 */    MCD_OPC_Decode, 218, 9, 112, // Opcode: SLL_D
-/* 4909 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 4921
-/* 4913 */    MCD_OPC_CheckPredicate, 4, 232, 30, // Skip to: 12829
-/* 4917 */    MCD_OPC_Decode, 134, 10, 109, // Opcode: SRA_B
-/* 4921 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 4933
-/* 4925 */    MCD_OPC_CheckPredicate, 4, 220, 30, // Skip to: 12829
-/* 4929 */    MCD_OPC_Decode, 136, 10, 110, // Opcode: SRA_H
-/* 4933 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 4945
-/* 4937 */    MCD_OPC_CheckPredicate, 4, 208, 30, // Skip to: 12829
-/* 4941 */    MCD_OPC_Decode, 138, 10, 111, // Opcode: SRA_W
-/* 4945 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 4957
-/* 4949 */    MCD_OPC_CheckPredicate, 4, 196, 30, // Skip to: 12829
-/* 4953 */    MCD_OPC_Decode, 135, 10, 112, // Opcode: SRA_D
-/* 4957 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 4969
-/* 4961 */    MCD_OPC_CheckPredicate, 4, 184, 30, // Skip to: 12829
-/* 4965 */    MCD_OPC_Decode, 154, 10, 109, // Opcode: SRL_B
-/* 4969 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 4981
-/* 4973 */    MCD_OPC_CheckPredicate, 4, 172, 30, // Skip to: 12829
-/* 4977 */    MCD_OPC_Decode, 156, 10, 110, // Opcode: SRL_H
-/* 4981 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 4993
-/* 4985 */    MCD_OPC_CheckPredicate, 4, 160, 30, // Skip to: 12829
-/* 4989 */    MCD_OPC_Decode, 158, 10, 111, // Opcode: SRL_W
-/* 4993 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5005
-/* 4997 */    MCD_OPC_CheckPredicate, 4, 148, 30, // Skip to: 12829
-/* 5001 */    MCD_OPC_Decode, 155, 10, 112, // Opcode: SRL_D
-/* 5005 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5017
-/* 5009 */    MCD_OPC_CheckPredicate, 4, 136, 30, // Skip to: 12829
-/* 5013 */    MCD_OPC_Decode, 159, 1, 109, // Opcode: BCLR_B
-/* 5017 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5029
-/* 5021 */    MCD_OPC_CheckPredicate, 4, 124, 30, // Skip to: 12829
-/* 5025 */    MCD_OPC_Decode, 161, 1, 110, // Opcode: BCLR_H
-/* 5029 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5041
-/* 5033 */    MCD_OPC_CheckPredicate, 4, 112, 30, // Skip to: 12829
-/* 5037 */    MCD_OPC_Decode, 162, 1, 111, // Opcode: BCLR_W
-/* 5041 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5053
-/* 5045 */    MCD_OPC_CheckPredicate, 4, 100, 30, // Skip to: 12829
-/* 5049 */    MCD_OPC_Decode, 160, 1, 112, // Opcode: BCLR_D
-/* 5053 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5065
-/* 5057 */    MCD_OPC_CheckPredicate, 4, 88, 30, // Skip to: 12829
-/* 5061 */    MCD_OPC_Decode, 234, 1, 109, // Opcode: BSET_B
-/* 5065 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5077
-/* 5069 */    MCD_OPC_CheckPredicate, 4, 76, 30, // Skip to: 12829
-/* 5073 */    MCD_OPC_Decode, 236, 1, 110, // Opcode: BSET_H
-/* 5077 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5089
-/* 5081 */    MCD_OPC_CheckPredicate, 4, 64, 30, // Skip to: 12829
-/* 5085 */    MCD_OPC_Decode, 237, 1, 111, // Opcode: BSET_W
-/* 5089 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5101
-/* 5093 */    MCD_OPC_CheckPredicate, 4, 52, 30, // Skip to: 12829
-/* 5097 */    MCD_OPC_Decode, 235, 1, 112, // Opcode: BSET_D
-/* 5101 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5113
-/* 5105 */    MCD_OPC_CheckPredicate, 4, 40, 30, // Skip to: 12829
-/* 5109 */    MCD_OPC_Decode, 209, 1, 109, // Opcode: BNEG_B
-/* 5113 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5125
-/* 5117 */    MCD_OPC_CheckPredicate, 4, 28, 30, // Skip to: 12829
-/* 5121 */    MCD_OPC_Decode, 211, 1, 110, // Opcode: BNEG_H
-/* 5125 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5137
-/* 5129 */    MCD_OPC_CheckPredicate, 4, 16, 30, // Skip to: 12829
-/* 5133 */    MCD_OPC_Decode, 212, 1, 111, // Opcode: BNEG_W
-/* 5137 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5149
-/* 5141 */    MCD_OPC_CheckPredicate, 4, 4, 30, // Skip to: 12829
-/* 5145 */    MCD_OPC_Decode, 210, 1, 112, // Opcode: BNEG_D
-/* 5149 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5161
-/* 5153 */    MCD_OPC_CheckPredicate, 4, 248, 29, // Skip to: 12829
-/* 5157 */    MCD_OPC_Decode, 178, 1, 113, // Opcode: BINSL_B
-/* 5161 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5173
-/* 5165 */    MCD_OPC_CheckPredicate, 4, 236, 29, // Skip to: 12829
-/* 5169 */    MCD_OPC_Decode, 180, 1, 114, // Opcode: BINSL_H
-/* 5173 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5185
-/* 5177 */    MCD_OPC_CheckPredicate, 4, 224, 29, // Skip to: 12829
-/* 5181 */    MCD_OPC_Decode, 181, 1, 115, // Opcode: BINSL_W
-/* 5185 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5197
-/* 5189 */    MCD_OPC_CheckPredicate, 4, 212, 29, // Skip to: 12829
-/* 5193 */    MCD_OPC_Decode, 179, 1, 116, // Opcode: BINSL_D
-/* 5197 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5209
-/* 5201 */    MCD_OPC_CheckPredicate, 4, 200, 29, // Skip to: 12829
-/* 5205 */    MCD_OPC_Decode, 186, 1, 113, // Opcode: BINSR_B
-/* 5209 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5221
-/* 5213 */    MCD_OPC_CheckPredicate, 4, 188, 29, // Skip to: 12829
-/* 5217 */    MCD_OPC_Decode, 188, 1, 114, // Opcode: BINSR_H
-/* 5221 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5233
-/* 5225 */    MCD_OPC_CheckPredicate, 4, 176, 29, // Skip to: 12829
-/* 5229 */    MCD_OPC_Decode, 189, 1, 115, // Opcode: BINSR_W
-/* 5233 */    MCD_OPC_FilterValue, 31, 168, 29, // Skip to: 12829
-/* 5237 */    MCD_OPC_CheckPredicate, 4, 164, 29, // Skip to: 12829
-/* 5241 */    MCD_OPC_Decode, 187, 1, 116, // Opcode: BINSR_D
-/* 5245 */    MCD_OPC_FilterValue, 14, 127, 1, // Skip to: 5632
-/* 5249 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5252 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5263
-/* 5256 */    MCD_OPC_CheckPredicate, 4, 145, 29, // Skip to: 12829
-/* 5260 */    MCD_OPC_Decode, 53, 109, // Opcode: ADDV_B
-/* 5263 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5274
-/* 5267 */    MCD_OPC_CheckPredicate, 4, 134, 29, // Skip to: 12829
-/* 5271 */    MCD_OPC_Decode, 55, 110, // Opcode: ADDV_H
-/* 5274 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5285
-/* 5278 */    MCD_OPC_CheckPredicate, 4, 123, 29, // Skip to: 12829
-/* 5282 */    MCD_OPC_Decode, 56, 111, // Opcode: ADDV_W
-/* 5285 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5296
-/* 5289 */    MCD_OPC_CheckPredicate, 4, 112, 29, // Skip to: 12829
-/* 5293 */    MCD_OPC_Decode, 54, 112, // Opcode: ADDV_D
-/* 5296 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 5308
-/* 5300 */    MCD_OPC_CheckPredicate, 4, 101, 29, // Skip to: 12829
-/* 5304 */    MCD_OPC_Decode, 201, 10, 109, // Opcode: SUBV_B
-/* 5308 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 5320
-/* 5312 */    MCD_OPC_CheckPredicate, 4, 89, 29, // Skip to: 12829
-/* 5316 */    MCD_OPC_Decode, 203, 10, 110, // Opcode: SUBV_H
-/* 5320 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 5332
-/* 5324 */    MCD_OPC_CheckPredicate, 4, 77, 29, // Skip to: 12829
-/* 5328 */    MCD_OPC_Decode, 204, 10, 111, // Opcode: SUBV_W
-/* 5332 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 5344
-/* 5336 */    MCD_OPC_CheckPredicate, 4, 65, 29, // Skip to: 12829
-/* 5340 */    MCD_OPC_Decode, 202, 10, 112, // Opcode: SUBV_D
-/* 5344 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5356
-/* 5348 */    MCD_OPC_CheckPredicate, 4, 53, 29, // Skip to: 12829
-/* 5352 */    MCD_OPC_Decode, 228, 6, 109, // Opcode: MAX_S_B
-/* 5356 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5368
-/* 5360 */    MCD_OPC_CheckPredicate, 4, 41, 29, // Skip to: 12829
-/* 5364 */    MCD_OPC_Decode, 230, 6, 110, // Opcode: MAX_S_H
-/* 5368 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5380
-/* 5372 */    MCD_OPC_CheckPredicate, 4, 29, 29, // Skip to: 12829
-/* 5376 */    MCD_OPC_Decode, 231, 6, 111, // Opcode: MAX_S_W
-/* 5380 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5392
-/* 5384 */    MCD_OPC_CheckPredicate, 4, 17, 29, // Skip to: 12829
-/* 5388 */    MCD_OPC_Decode, 229, 6, 112, // Opcode: MAX_S_D
-/* 5392 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5404
-/* 5396 */    MCD_OPC_CheckPredicate, 4, 5, 29, // Skip to: 12829
-/* 5400 */    MCD_OPC_Decode, 232, 6, 109, // Opcode: MAX_U_B
-/* 5404 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5416
-/* 5408 */    MCD_OPC_CheckPredicate, 4, 249, 28, // Skip to: 12829
-/* 5412 */    MCD_OPC_Decode, 234, 6, 110, // Opcode: MAX_U_H
-/* 5416 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5428
-/* 5420 */    MCD_OPC_CheckPredicate, 4, 237, 28, // Skip to: 12829
-/* 5424 */    MCD_OPC_Decode, 235, 6, 111, // Opcode: MAX_U_W
-/* 5428 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5440
-/* 5432 */    MCD_OPC_CheckPredicate, 4, 225, 28, // Skip to: 12829
-/* 5436 */    MCD_OPC_Decode, 233, 6, 112, // Opcode: MAX_U_D
-/* 5440 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5452
-/* 5444 */    MCD_OPC_CheckPredicate, 4, 213, 28, // Skip to: 12829
-/* 5448 */    MCD_OPC_Decode, 134, 7, 109, // Opcode: MIN_S_B
-/* 5452 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5464
-/* 5456 */    MCD_OPC_CheckPredicate, 4, 201, 28, // Skip to: 12829
-/* 5460 */    MCD_OPC_Decode, 136, 7, 110, // Opcode: MIN_S_H
-/* 5464 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5476
-/* 5468 */    MCD_OPC_CheckPredicate, 4, 189, 28, // Skip to: 12829
-/* 5472 */    MCD_OPC_Decode, 137, 7, 111, // Opcode: MIN_S_W
-/* 5476 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5488
-/* 5480 */    MCD_OPC_CheckPredicate, 4, 177, 28, // Skip to: 12829
-/* 5484 */    MCD_OPC_Decode, 135, 7, 112, // Opcode: MIN_S_D
-/* 5488 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5500
-/* 5492 */    MCD_OPC_CheckPredicate, 4, 165, 28, // Skip to: 12829
-/* 5496 */    MCD_OPC_Decode, 138, 7, 109, // Opcode: MIN_U_B
-/* 5500 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5512
-/* 5504 */    MCD_OPC_CheckPredicate, 4, 153, 28, // Skip to: 12829
-/* 5508 */    MCD_OPC_Decode, 140, 7, 110, // Opcode: MIN_U_H
-/* 5512 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5524
-/* 5516 */    MCD_OPC_CheckPredicate, 4, 141, 28, // Skip to: 12829
-/* 5520 */    MCD_OPC_Decode, 141, 7, 111, // Opcode: MIN_U_W
-/* 5524 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 5536
-/* 5528 */    MCD_OPC_CheckPredicate, 4, 129, 28, // Skip to: 12829
-/* 5532 */    MCD_OPC_Decode, 139, 7, 112, // Opcode: MIN_U_D
-/* 5536 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 5548
-/* 5540 */    MCD_OPC_CheckPredicate, 4, 117, 28, // Skip to: 12829
-/* 5544 */    MCD_OPC_Decode, 224, 6, 109, // Opcode: MAX_A_B
-/* 5548 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 5560
-/* 5552 */    MCD_OPC_CheckPredicate, 4, 105, 28, // Skip to: 12829
-/* 5556 */    MCD_OPC_Decode, 226, 6, 110, // Opcode: MAX_A_H
-/* 5560 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 5572
-/* 5564 */    MCD_OPC_CheckPredicate, 4, 93, 28, // Skip to: 12829
-/* 5568 */    MCD_OPC_Decode, 227, 6, 111, // Opcode: MAX_A_W
-/* 5572 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 5584
-/* 5576 */    MCD_OPC_CheckPredicate, 4, 81, 28, // Skip to: 12829
-/* 5580 */    MCD_OPC_Decode, 225, 6, 112, // Opcode: MAX_A_D
-/* 5584 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 5596
-/* 5588 */    MCD_OPC_CheckPredicate, 4, 69, 28, // Skip to: 12829
-/* 5592 */    MCD_OPC_Decode, 130, 7, 109, // Opcode: MIN_A_B
-/* 5596 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 5608
-/* 5600 */    MCD_OPC_CheckPredicate, 4, 57, 28, // Skip to: 12829
-/* 5604 */    MCD_OPC_Decode, 132, 7, 110, // Opcode: MIN_A_H
-/* 5608 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 5620
-/* 5612 */    MCD_OPC_CheckPredicate, 4, 45, 28, // Skip to: 12829
-/* 5616 */    MCD_OPC_Decode, 133, 7, 111, // Opcode: MIN_A_W
-/* 5620 */    MCD_OPC_FilterValue, 31, 37, 28, // Skip to: 12829
-/* 5624 */    MCD_OPC_CheckPredicate, 4, 33, 28, // Skip to: 12829
-/* 5628 */    MCD_OPC_Decode, 131, 7, 112, // Opcode: MIN_A_D
-/* 5632 */    MCD_OPC_FilterValue, 15, 243, 0, // Skip to: 5879
-/* 5636 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5639 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 5651
-/* 5643 */    MCD_OPC_CheckPredicate, 4, 14, 28, // Skip to: 12829
-/* 5647 */    MCD_OPC_Decode, 151, 2, 109, // Opcode: CEQ_B
-/* 5651 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 5663
-/* 5655 */    MCD_OPC_CheckPredicate, 4, 2, 28, // Skip to: 12829
-/* 5659 */    MCD_OPC_Decode, 153, 2, 110, // Opcode: CEQ_H
-/* 5663 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 5675
-/* 5667 */    MCD_OPC_CheckPredicate, 4, 246, 27, // Skip to: 12829
-/* 5671 */    MCD_OPC_Decode, 154, 2, 111, // Opcode: CEQ_W
-/* 5675 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 5687
-/* 5679 */    MCD_OPC_CheckPredicate, 4, 234, 27, // Skip to: 12829
-/* 5683 */    MCD_OPC_Decode, 152, 2, 112, // Opcode: CEQ_D
-/* 5687 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 5699
-/* 5691 */    MCD_OPC_CheckPredicate, 4, 222, 27, // Skip to: 12829
-/* 5695 */    MCD_OPC_Decode, 184, 2, 109, // Opcode: CLT_S_B
-/* 5699 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 5711
-/* 5703 */    MCD_OPC_CheckPredicate, 4, 210, 27, // Skip to: 12829
-/* 5707 */    MCD_OPC_Decode, 186, 2, 110, // Opcode: CLT_S_H
-/* 5711 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 5723
-/* 5715 */    MCD_OPC_CheckPredicate, 4, 198, 27, // Skip to: 12829
-/* 5719 */    MCD_OPC_Decode, 187, 2, 111, // Opcode: CLT_S_W
-/* 5723 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 5735
-/* 5727 */    MCD_OPC_CheckPredicate, 4, 186, 27, // Skip to: 12829
-/* 5731 */    MCD_OPC_Decode, 185, 2, 112, // Opcode: CLT_S_D
-/* 5735 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 5747
-/* 5739 */    MCD_OPC_CheckPredicate, 4, 174, 27, // Skip to: 12829
-/* 5743 */    MCD_OPC_Decode, 188, 2, 109, // Opcode: CLT_U_B
-/* 5747 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 5759
-/* 5751 */    MCD_OPC_CheckPredicate, 4, 162, 27, // Skip to: 12829
-/* 5755 */    MCD_OPC_Decode, 190, 2, 110, // Opcode: CLT_U_H
-/* 5759 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 5771
-/* 5763 */    MCD_OPC_CheckPredicate, 4, 150, 27, // Skip to: 12829
-/* 5767 */    MCD_OPC_Decode, 191, 2, 111, // Opcode: CLT_U_W
-/* 5771 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 5783
-/* 5775 */    MCD_OPC_CheckPredicate, 4, 138, 27, // Skip to: 12829
-/* 5779 */    MCD_OPC_Decode, 189, 2, 112, // Opcode: CLT_U_D
-/* 5783 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 5795
-/* 5787 */    MCD_OPC_CheckPredicate, 4, 126, 27, // Skip to: 12829
-/* 5791 */    MCD_OPC_Decode, 166, 2, 109, // Opcode: CLE_S_B
-/* 5795 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 5807
-/* 5799 */    MCD_OPC_CheckPredicate, 4, 114, 27, // Skip to: 12829
-/* 5803 */    MCD_OPC_Decode, 168, 2, 110, // Opcode: CLE_S_H
-/* 5807 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 5819
-/* 5811 */    MCD_OPC_CheckPredicate, 4, 102, 27, // Skip to: 12829
-/* 5815 */    MCD_OPC_Decode, 169, 2, 111, // Opcode: CLE_S_W
-/* 5819 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 5831
-/* 5823 */    MCD_OPC_CheckPredicate, 4, 90, 27, // Skip to: 12829
-/* 5827 */    MCD_OPC_Decode, 167, 2, 112, // Opcode: CLE_S_D
-/* 5831 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 5843
-/* 5835 */    MCD_OPC_CheckPredicate, 4, 78, 27, // Skip to: 12829
-/* 5839 */    MCD_OPC_Decode, 170, 2, 109, // Opcode: CLE_U_B
-/* 5843 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 5855
-/* 5847 */    MCD_OPC_CheckPredicate, 4, 66, 27, // Skip to: 12829
-/* 5851 */    MCD_OPC_Decode, 172, 2, 110, // Opcode: CLE_U_H
-/* 5855 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 5867
-/* 5859 */    MCD_OPC_CheckPredicate, 4, 54, 27, // Skip to: 12829
-/* 5863 */    MCD_OPC_Decode, 173, 2, 111, // Opcode: CLE_U_W
-/* 5867 */    MCD_OPC_FilterValue, 23, 46, 27, // Skip to: 12829
-/* 5871 */    MCD_OPC_CheckPredicate, 4, 42, 27, // Skip to: 12829
-/* 5875 */    MCD_OPC_Decode, 171, 2, 112, // Opcode: CLE_U_D
-/* 5879 */    MCD_OPC_FilterValue, 16, 110, 1, // Skip to: 6249
-/* 5883 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 5886 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 5897
-/* 5890 */    MCD_OPC_CheckPredicate, 4, 23, 27, // Skip to: 12829
-/* 5894 */    MCD_OPC_Decode, 58, 109, // Opcode: ADD_A_B
-/* 5897 */    MCD_OPC_FilterValue, 1, 7, 0, // Skip to: 5908
-/* 5901 */    MCD_OPC_CheckPredicate, 4, 12, 27, // Skip to: 12829
-/* 5905 */    MCD_OPC_Decode, 60, 110, // Opcode: ADD_A_H
-/* 5908 */    MCD_OPC_FilterValue, 2, 7, 0, // Skip to: 5919
-/* 5912 */    MCD_OPC_CheckPredicate, 4, 1, 27, // Skip to: 12829
-/* 5916 */    MCD_OPC_Decode, 61, 111, // Opcode: ADD_A_W
-/* 5919 */    MCD_OPC_FilterValue, 3, 7, 0, // Skip to: 5930
-/* 5923 */    MCD_OPC_CheckPredicate, 4, 246, 26, // Skip to: 12829
-/* 5927 */    MCD_OPC_Decode, 59, 112, // Opcode: ADD_A_D
-/* 5930 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 5941
-/* 5934 */    MCD_OPC_CheckPredicate, 4, 235, 26, // Skip to: 12829
-/* 5938 */    MCD_OPC_Decode, 31, 109, // Opcode: ADDS_A_B
-/* 5941 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 5952
-/* 5945 */    MCD_OPC_CheckPredicate, 4, 224, 26, // Skip to: 12829
-/* 5949 */    MCD_OPC_Decode, 33, 110, // Opcode: ADDS_A_H
-/* 5952 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 5963
-/* 5956 */    MCD_OPC_CheckPredicate, 4, 213, 26, // Skip to: 12829
-/* 5960 */    MCD_OPC_Decode, 34, 111, // Opcode: ADDS_A_W
-/* 5963 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 5974
-/* 5967 */    MCD_OPC_CheckPredicate, 4, 202, 26, // Skip to: 12829
-/* 5971 */    MCD_OPC_Decode, 32, 112, // Opcode: ADDS_A_D
-/* 5974 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 5985
-/* 5978 */    MCD_OPC_CheckPredicate, 4, 191, 26, // Skip to: 12829
-/* 5982 */    MCD_OPC_Decode, 35, 109, // Opcode: ADDS_S_B
-/* 5985 */    MCD_OPC_FilterValue, 9, 7, 0, // Skip to: 5996
-/* 5989 */    MCD_OPC_CheckPredicate, 4, 180, 26, // Skip to: 12829
-/* 5993 */    MCD_OPC_Decode, 37, 110, // Opcode: ADDS_S_H
-/* 5996 */    MCD_OPC_FilterValue, 10, 7, 0, // Skip to: 6007
-/* 6000 */    MCD_OPC_CheckPredicate, 4, 169, 26, // Skip to: 12829
-/* 6004 */    MCD_OPC_Decode, 38, 111, // Opcode: ADDS_S_W
-/* 6007 */    MCD_OPC_FilterValue, 11, 7, 0, // Skip to: 6018
-/* 6011 */    MCD_OPC_CheckPredicate, 4, 158, 26, // Skip to: 12829
-/* 6015 */    MCD_OPC_Decode, 36, 112, // Opcode: ADDS_S_D
-/* 6018 */    MCD_OPC_FilterValue, 12, 7, 0, // Skip to: 6029
-/* 6022 */    MCD_OPC_CheckPredicate, 4, 147, 26, // Skip to: 12829
-/* 6026 */    MCD_OPC_Decode, 39, 109, // Opcode: ADDS_U_B
-/* 6029 */    MCD_OPC_FilterValue, 13, 7, 0, // Skip to: 6040
-/* 6033 */    MCD_OPC_CheckPredicate, 4, 136, 26, // Skip to: 12829
-/* 6037 */    MCD_OPC_Decode, 41, 110, // Opcode: ADDS_U_H
-/* 6040 */    MCD_OPC_FilterValue, 14, 7, 0, // Skip to: 6051
-/* 6044 */    MCD_OPC_CheckPredicate, 4, 125, 26, // Skip to: 12829
-/* 6048 */    MCD_OPC_Decode, 42, 111, // Opcode: ADDS_U_W
-/* 6051 */    MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 6062
-/* 6055 */    MCD_OPC_CheckPredicate, 4, 114, 26, // Skip to: 12829
-/* 6059 */    MCD_OPC_Decode, 40, 112, // Opcode: ADDS_U_D
-/* 6062 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6074
-/* 6066 */    MCD_OPC_CheckPredicate, 4, 103, 26, // Skip to: 12829
-/* 6070 */    MCD_OPC_Decode, 131, 1, 109, // Opcode: AVE_S_B
-/* 6074 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6086
-/* 6078 */    MCD_OPC_CheckPredicate, 4, 91, 26, // Skip to: 12829
-/* 6082 */    MCD_OPC_Decode, 133, 1, 110, // Opcode: AVE_S_H
-/* 6086 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6098
-/* 6090 */    MCD_OPC_CheckPredicate, 4, 79, 26, // Skip to: 12829
-/* 6094 */    MCD_OPC_Decode, 134, 1, 111, // Opcode: AVE_S_W
-/* 6098 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6110
-/* 6102 */    MCD_OPC_CheckPredicate, 4, 67, 26, // Skip to: 12829
-/* 6106 */    MCD_OPC_Decode, 132, 1, 112, // Opcode: AVE_S_D
-/* 6110 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6122
-/* 6114 */    MCD_OPC_CheckPredicate, 4, 55, 26, // Skip to: 12829
-/* 6118 */    MCD_OPC_Decode, 135, 1, 109, // Opcode: AVE_U_B
-/* 6122 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6134
-/* 6126 */    MCD_OPC_CheckPredicate, 4, 43, 26, // Skip to: 12829
-/* 6130 */    MCD_OPC_Decode, 137, 1, 110, // Opcode: AVE_U_H
-/* 6134 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6146
-/* 6138 */    MCD_OPC_CheckPredicate, 4, 31, 26, // Skip to: 12829
-/* 6142 */    MCD_OPC_Decode, 138, 1, 111, // Opcode: AVE_U_W
-/* 6146 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6158
-/* 6150 */    MCD_OPC_CheckPredicate, 4, 19, 26, // Skip to: 12829
-/* 6154 */    MCD_OPC_Decode, 136, 1, 112, // Opcode: AVE_U_D
-/* 6158 */    MCD_OPC_FilterValue, 24, 7, 0, // Skip to: 6169
-/* 6162 */    MCD_OPC_CheckPredicate, 4, 7, 26, // Skip to: 12829
-/* 6166 */    MCD_OPC_Decode, 123, 109, // Opcode: AVER_S_B
-/* 6169 */    MCD_OPC_FilterValue, 25, 7, 0, // Skip to: 6180
-/* 6173 */    MCD_OPC_CheckPredicate, 4, 252, 25, // Skip to: 12829
-/* 6177 */    MCD_OPC_Decode, 125, 110, // Opcode: AVER_S_H
-/* 6180 */    MCD_OPC_FilterValue, 26, 7, 0, // Skip to: 6191
-/* 6184 */    MCD_OPC_CheckPredicate, 4, 241, 25, // Skip to: 12829
-/* 6188 */    MCD_OPC_Decode, 126, 111, // Opcode: AVER_S_W
-/* 6191 */    MCD_OPC_FilterValue, 27, 7, 0, // Skip to: 6202
-/* 6195 */    MCD_OPC_CheckPredicate, 4, 230, 25, // Skip to: 12829
-/* 6199 */    MCD_OPC_Decode, 124, 112, // Opcode: AVER_S_D
-/* 6202 */    MCD_OPC_FilterValue, 28, 7, 0, // Skip to: 6213
-/* 6206 */    MCD_OPC_CheckPredicate, 4, 219, 25, // Skip to: 12829
-/* 6210 */    MCD_OPC_Decode, 127, 109, // Opcode: AVER_U_B
-/* 6213 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6225
-/* 6217 */    MCD_OPC_CheckPredicate, 4, 208, 25, // Skip to: 12829
-/* 6221 */    MCD_OPC_Decode, 129, 1, 110, // Opcode: AVER_U_H
-/* 6225 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6237
-/* 6229 */    MCD_OPC_CheckPredicate, 4, 196, 25, // Skip to: 12829
-/* 6233 */    MCD_OPC_Decode, 130, 1, 111, // Opcode: AVER_U_W
-/* 6237 */    MCD_OPC_FilterValue, 31, 188, 25, // Skip to: 12829
-/* 6241 */    MCD_OPC_CheckPredicate, 4, 184, 25, // Skip to: 12829
-/* 6245 */    MCD_OPC_Decode, 128, 1, 112, // Opcode: AVER_U_D
-/* 6249 */    MCD_OPC_FilterValue, 17, 27, 1, // Skip to: 6536
-/* 6253 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6256 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6268
-/* 6260 */    MCD_OPC_CheckPredicate, 4, 165, 25, // Skip to: 12829
-/* 6264 */    MCD_OPC_Decode, 183, 10, 109, // Opcode: SUBS_S_B
-/* 6268 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6280
-/* 6272 */    MCD_OPC_CheckPredicate, 4, 153, 25, // Skip to: 12829
-/* 6276 */    MCD_OPC_Decode, 185, 10, 110, // Opcode: SUBS_S_H
-/* 6280 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6292
-/* 6284 */    MCD_OPC_CheckPredicate, 4, 141, 25, // Skip to: 12829
-/* 6288 */    MCD_OPC_Decode, 186, 10, 111, // Opcode: SUBS_S_W
-/* 6292 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6304
-/* 6296 */    MCD_OPC_CheckPredicate, 4, 129, 25, // Skip to: 12829
-/* 6300 */    MCD_OPC_Decode, 184, 10, 112, // Opcode: SUBS_S_D
-/* 6304 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6316
-/* 6308 */    MCD_OPC_CheckPredicate, 4, 117, 25, // Skip to: 12829
-/* 6312 */    MCD_OPC_Decode, 187, 10, 109, // Opcode: SUBS_U_B
-/* 6316 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6328
-/* 6320 */    MCD_OPC_CheckPredicate, 4, 105, 25, // Skip to: 12829
-/* 6324 */    MCD_OPC_Decode, 189, 10, 110, // Opcode: SUBS_U_H
-/* 6328 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6340
-/* 6332 */    MCD_OPC_CheckPredicate, 4, 93, 25, // Skip to: 12829
-/* 6336 */    MCD_OPC_Decode, 190, 10, 111, // Opcode: SUBS_U_W
-/* 6340 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6352
-/* 6344 */    MCD_OPC_CheckPredicate, 4, 81, 25, // Skip to: 12829
-/* 6348 */    MCD_OPC_Decode, 188, 10, 112, // Opcode: SUBS_U_D
-/* 6352 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6364
-/* 6356 */    MCD_OPC_CheckPredicate, 4, 69, 25, // Skip to: 12829
-/* 6360 */    MCD_OPC_Decode, 175, 10, 109, // Opcode: SUBSUS_U_B
-/* 6364 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6376
-/* 6368 */    MCD_OPC_CheckPredicate, 4, 57, 25, // Skip to: 12829
-/* 6372 */    MCD_OPC_Decode, 177, 10, 110, // Opcode: SUBSUS_U_H
-/* 6376 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6388
-/* 6380 */    MCD_OPC_CheckPredicate, 4, 45, 25, // Skip to: 12829
-/* 6384 */    MCD_OPC_Decode, 178, 10, 111, // Opcode: SUBSUS_U_W
-/* 6388 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6400
-/* 6392 */    MCD_OPC_CheckPredicate, 4, 33, 25, // Skip to: 12829
-/* 6396 */    MCD_OPC_Decode, 176, 10, 112, // Opcode: SUBSUS_U_D
-/* 6400 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 6412
-/* 6404 */    MCD_OPC_CheckPredicate, 4, 21, 25, // Skip to: 12829
-/* 6408 */    MCD_OPC_Decode, 179, 10, 109, // Opcode: SUBSUU_S_B
-/* 6412 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 6424
-/* 6416 */    MCD_OPC_CheckPredicate, 4, 9, 25, // Skip to: 12829
-/* 6420 */    MCD_OPC_Decode, 181, 10, 110, // Opcode: SUBSUU_S_H
-/* 6424 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 6436
-/* 6428 */    MCD_OPC_CheckPredicate, 4, 253, 24, // Skip to: 12829
-/* 6432 */    MCD_OPC_Decode, 182, 10, 111, // Opcode: SUBSUU_S_W
-/* 6436 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 6448
-/* 6440 */    MCD_OPC_CheckPredicate, 4, 241, 24, // Skip to: 12829
-/* 6444 */    MCD_OPC_Decode, 180, 10, 112, // Opcode: SUBSUU_S_D
-/* 6448 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 6459
-/* 6452 */    MCD_OPC_CheckPredicate, 4, 229, 24, // Skip to: 12829
-/* 6456 */    MCD_OPC_Decode, 83, 109, // Opcode: ASUB_S_B
-/* 6459 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 6470
-/* 6463 */    MCD_OPC_CheckPredicate, 4, 218, 24, // Skip to: 12829
-/* 6467 */    MCD_OPC_Decode, 85, 110, // Opcode: ASUB_S_H
-/* 6470 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 6481
-/* 6474 */    MCD_OPC_CheckPredicate, 4, 207, 24, // Skip to: 12829
-/* 6478 */    MCD_OPC_Decode, 86, 111, // Opcode: ASUB_S_W
-/* 6481 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 6492
-/* 6485 */    MCD_OPC_CheckPredicate, 4, 196, 24, // Skip to: 12829
-/* 6489 */    MCD_OPC_Decode, 84, 112, // Opcode: ASUB_S_D
-/* 6492 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 6503
-/* 6496 */    MCD_OPC_CheckPredicate, 4, 185, 24, // Skip to: 12829
-/* 6500 */    MCD_OPC_Decode, 87, 109, // Opcode: ASUB_U_B
-/* 6503 */    MCD_OPC_FilterValue, 21, 7, 0, // Skip to: 6514
-/* 6507 */    MCD_OPC_CheckPredicate, 4, 174, 24, // Skip to: 12829
-/* 6511 */    MCD_OPC_Decode, 89, 110, // Opcode: ASUB_U_H
-/* 6514 */    MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 6525
-/* 6518 */    MCD_OPC_CheckPredicate, 4, 163, 24, // Skip to: 12829
-/* 6522 */    MCD_OPC_Decode, 90, 111, // Opcode: ASUB_U_W
-/* 6525 */    MCD_OPC_FilterValue, 23, 156, 24, // Skip to: 12829
-/* 6529 */    MCD_OPC_CheckPredicate, 4, 152, 24, // Skip to: 12829
-/* 6533 */    MCD_OPC_Decode, 88, 112, // Opcode: ASUB_U_D
-/* 6536 */    MCD_OPC_FilterValue, 18, 83, 1, // Skip to: 6879
-/* 6540 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6543 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 6555
-/* 6547 */    MCD_OPC_CheckPredicate, 4, 134, 24, // Skip to: 12829
-/* 6551 */    MCD_OPC_Decode, 247, 7, 109, // Opcode: MULV_B
-/* 6555 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6567
-/* 6559 */    MCD_OPC_CheckPredicate, 4, 122, 24, // Skip to: 12829
-/* 6563 */    MCD_OPC_Decode, 249, 7, 110, // Opcode: MULV_H
-/* 6567 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6579
-/* 6571 */    MCD_OPC_CheckPredicate, 4, 110, 24, // Skip to: 12829
-/* 6575 */    MCD_OPC_Decode, 250, 7, 111, // Opcode: MULV_W
-/* 6579 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6591
-/* 6583 */    MCD_OPC_CheckPredicate, 4, 98, 24, // Skip to: 12829
-/* 6587 */    MCD_OPC_Decode, 248, 7, 112, // Opcode: MULV_D
-/* 6591 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 6603
-/* 6595 */    MCD_OPC_CheckPredicate, 4, 86, 24, // Skip to: 12829
-/* 6599 */    MCD_OPC_Decode, 199, 6, 113, // Opcode: MADDV_B
-/* 6603 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6615
-/* 6607 */    MCD_OPC_CheckPredicate, 4, 74, 24, // Skip to: 12829
-/* 6611 */    MCD_OPC_Decode, 201, 6, 114, // Opcode: MADDV_H
-/* 6615 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6627
-/* 6619 */    MCD_OPC_CheckPredicate, 4, 62, 24, // Skip to: 12829
-/* 6623 */    MCD_OPC_Decode, 202, 6, 115, // Opcode: MADDV_W
-/* 6627 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6639
-/* 6631 */    MCD_OPC_CheckPredicate, 4, 50, 24, // Skip to: 12829
-/* 6635 */    MCD_OPC_Decode, 200, 6, 116, // Opcode: MADDV_D
-/* 6639 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 6651
-/* 6643 */    MCD_OPC_CheckPredicate, 4, 38, 24, // Skip to: 12829
-/* 6647 */    MCD_OPC_Decode, 200, 7, 113, // Opcode: MSUBV_B
-/* 6651 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6663
-/* 6655 */    MCD_OPC_CheckPredicate, 4, 26, 24, // Skip to: 12829
-/* 6659 */    MCD_OPC_Decode, 202, 7, 114, // Opcode: MSUBV_H
-/* 6663 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6675
-/* 6667 */    MCD_OPC_CheckPredicate, 4, 14, 24, // Skip to: 12829
-/* 6671 */    MCD_OPC_Decode, 203, 7, 115, // Opcode: MSUBV_W
-/* 6675 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6687
-/* 6679 */    MCD_OPC_CheckPredicate, 4, 2, 24, // Skip to: 12829
-/* 6683 */    MCD_OPC_Decode, 201, 7, 116, // Opcode: MSUBV_D
-/* 6687 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 6699
-/* 6691 */    MCD_OPC_CheckPredicate, 4, 246, 23, // Skip to: 12829
-/* 6695 */    MCD_OPC_Decode, 181, 3, 109, // Opcode: DIV_S_B
-/* 6699 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 6711
-/* 6703 */    MCD_OPC_CheckPredicate, 4, 234, 23, // Skip to: 12829
-/* 6707 */    MCD_OPC_Decode, 183, 3, 110, // Opcode: DIV_S_H
-/* 6711 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 6723
-/* 6715 */    MCD_OPC_CheckPredicate, 4, 222, 23, // Skip to: 12829
-/* 6719 */    MCD_OPC_Decode, 184, 3, 111, // Opcode: DIV_S_W
-/* 6723 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 6735
-/* 6727 */    MCD_OPC_CheckPredicate, 4, 210, 23, // Skip to: 12829
-/* 6731 */    MCD_OPC_Decode, 182, 3, 112, // Opcode: DIV_S_D
-/* 6735 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 6747
-/* 6739 */    MCD_OPC_CheckPredicate, 4, 198, 23, // Skip to: 12829
-/* 6743 */    MCD_OPC_Decode, 185, 3, 109, // Opcode: DIV_U_B
-/* 6747 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 6759
-/* 6751 */    MCD_OPC_CheckPredicate, 4, 186, 23, // Skip to: 12829
-/* 6755 */    MCD_OPC_Decode, 187, 3, 110, // Opcode: DIV_U_H
-/* 6759 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 6771
-/* 6763 */    MCD_OPC_CheckPredicate, 4, 174, 23, // Skip to: 12829
-/* 6767 */    MCD_OPC_Decode, 188, 3, 111, // Opcode: DIV_U_W
-/* 6771 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 6783
-/* 6775 */    MCD_OPC_CheckPredicate, 4, 162, 23, // Skip to: 12829
-/* 6779 */    MCD_OPC_Decode, 186, 3, 112, // Opcode: DIV_U_D
-/* 6783 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 6795
-/* 6787 */    MCD_OPC_CheckPredicate, 4, 150, 23, // Skip to: 12829
-/* 6791 */    MCD_OPC_Decode, 145, 7, 109, // Opcode: MOD_S_B
-/* 6795 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 6807
-/* 6799 */    MCD_OPC_CheckPredicate, 4, 138, 23, // Skip to: 12829
-/* 6803 */    MCD_OPC_Decode, 147, 7, 110, // Opcode: MOD_S_H
-/* 6807 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 6819
-/* 6811 */    MCD_OPC_CheckPredicate, 4, 126, 23, // Skip to: 12829
-/* 6815 */    MCD_OPC_Decode, 148, 7, 111, // Opcode: MOD_S_W
-/* 6819 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 6831
-/* 6823 */    MCD_OPC_CheckPredicate, 4, 114, 23, // Skip to: 12829
-/* 6827 */    MCD_OPC_Decode, 146, 7, 112, // Opcode: MOD_S_D
-/* 6831 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 6843
-/* 6835 */    MCD_OPC_CheckPredicate, 4, 102, 23, // Skip to: 12829
-/* 6839 */    MCD_OPC_Decode, 149, 7, 109, // Opcode: MOD_U_B
-/* 6843 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 6855
-/* 6847 */    MCD_OPC_CheckPredicate, 4, 90, 23, // Skip to: 12829
-/* 6851 */    MCD_OPC_Decode, 151, 7, 110, // Opcode: MOD_U_H
-/* 6855 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 6867
-/* 6859 */    MCD_OPC_CheckPredicate, 4, 78, 23, // Skip to: 12829
-/* 6863 */    MCD_OPC_Decode, 152, 7, 111, // Opcode: MOD_U_W
-/* 6867 */    MCD_OPC_FilterValue, 31, 70, 23, // Skip to: 12829
-/* 6871 */    MCD_OPC_CheckPredicate, 4, 66, 23, // Skip to: 12829
-/* 6875 */    MCD_OPC_Decode, 150, 7, 112, // Opcode: MOD_U_D
-/* 6879 */    MCD_OPC_FilterValue, 19, 219, 0, // Skip to: 7102
-/* 6883 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 6886 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 6898
-/* 6890 */    MCD_OPC_CheckPredicate, 4, 47, 23, // Skip to: 12829
-/* 6894 */    MCD_OPC_Decode, 200, 3, 117, // Opcode: DOTP_S_H
-/* 6898 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 6910
-/* 6902 */    MCD_OPC_CheckPredicate, 4, 35, 23, // Skip to: 12829
-/* 6906 */    MCD_OPC_Decode, 201, 3, 118, // Opcode: DOTP_S_W
-/* 6910 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 6922
-/* 6914 */    MCD_OPC_CheckPredicate, 4, 23, 23, // Skip to: 12829
-/* 6918 */    MCD_OPC_Decode, 199, 3, 119, // Opcode: DOTP_S_D
-/* 6922 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 6934
-/* 6926 */    MCD_OPC_CheckPredicate, 4, 11, 23, // Skip to: 12829
-/* 6930 */    MCD_OPC_Decode, 203, 3, 117, // Opcode: DOTP_U_H
-/* 6934 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 6946
-/* 6938 */    MCD_OPC_CheckPredicate, 4, 255, 22, // Skip to: 12829
-/* 6942 */    MCD_OPC_Decode, 204, 3, 118, // Opcode: DOTP_U_W
-/* 6946 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 6958
-/* 6950 */    MCD_OPC_CheckPredicate, 4, 243, 22, // Skip to: 12829
-/* 6954 */    MCD_OPC_Decode, 202, 3, 119, // Opcode: DOTP_U_D
-/* 6958 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 6970
-/* 6962 */    MCD_OPC_CheckPredicate, 4, 231, 22, // Skip to: 12829
-/* 6966 */    MCD_OPC_Decode, 206, 3, 120, // Opcode: DPADD_S_H
-/* 6970 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 6982
-/* 6974 */    MCD_OPC_CheckPredicate, 4, 219, 22, // Skip to: 12829
-/* 6978 */    MCD_OPC_Decode, 207, 3, 121, // Opcode: DPADD_S_W
-/* 6982 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 6994
-/* 6986 */    MCD_OPC_CheckPredicate, 4, 207, 22, // Skip to: 12829
-/* 6990 */    MCD_OPC_Decode, 205, 3, 122, // Opcode: DPADD_S_D
-/* 6994 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7006
-/* 6998 */    MCD_OPC_CheckPredicate, 4, 195, 22, // Skip to: 12829
-/* 7002 */    MCD_OPC_Decode, 209, 3, 120, // Opcode: DPADD_U_H
-/* 7006 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7018
-/* 7010 */    MCD_OPC_CheckPredicate, 4, 183, 22, // Skip to: 12829
-/* 7014 */    MCD_OPC_Decode, 210, 3, 121, // Opcode: DPADD_U_W
-/* 7018 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7030
-/* 7022 */    MCD_OPC_CheckPredicate, 4, 171, 22, // Skip to: 12829
-/* 7026 */    MCD_OPC_Decode, 208, 3, 122, // Opcode: DPADD_U_D
-/* 7030 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7042
-/* 7034 */    MCD_OPC_CheckPredicate, 4, 159, 22, // Skip to: 12829
-/* 7038 */    MCD_OPC_Decode, 224, 3, 120, // Opcode: DPSUB_S_H
-/* 7042 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7054
-/* 7046 */    MCD_OPC_CheckPredicate, 4, 147, 22, // Skip to: 12829
-/* 7050 */    MCD_OPC_Decode, 225, 3, 121, // Opcode: DPSUB_S_W
-/* 7054 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7066
-/* 7058 */    MCD_OPC_CheckPredicate, 4, 135, 22, // Skip to: 12829
-/* 7062 */    MCD_OPC_Decode, 223, 3, 122, // Opcode: DPSUB_S_D
-/* 7066 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7078
-/* 7070 */    MCD_OPC_CheckPredicate, 4, 123, 22, // Skip to: 12829
-/* 7074 */    MCD_OPC_Decode, 227, 3, 120, // Opcode: DPSUB_U_H
-/* 7078 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7090
-/* 7082 */    MCD_OPC_CheckPredicate, 4, 111, 22, // Skip to: 12829
-/* 7086 */    MCD_OPC_Decode, 228, 3, 121, // Opcode: DPSUB_U_W
-/* 7090 */    MCD_OPC_FilterValue, 23, 103, 22, // Skip to: 12829
-/* 7094 */    MCD_OPC_CheckPredicate, 4, 99, 22, // Skip to: 12829
-/* 7098 */    MCD_OPC_Decode, 226, 3, 122, // Opcode: DPSUB_U_D
-/* 7102 */    MCD_OPC_FilterValue, 20, 134, 1, // Skip to: 7496
-/* 7106 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7109 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7121
-/* 7113 */    MCD_OPC_CheckPredicate, 4, 80, 22, // Skip to: 12829
-/* 7117 */    MCD_OPC_Decode, 204, 9, 123, // Opcode: SLD_B
-/* 7121 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7133
-/* 7125 */    MCD_OPC_CheckPredicate, 4, 68, 22, // Skip to: 12829
-/* 7129 */    MCD_OPC_Decode, 206, 9, 124, // Opcode: SLD_H
-/* 7133 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7145
-/* 7137 */    MCD_OPC_CheckPredicate, 4, 56, 22, // Skip to: 12829
-/* 7141 */    MCD_OPC_Decode, 207, 9, 125, // Opcode: SLD_W
-/* 7145 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7157
-/* 7149 */    MCD_OPC_CheckPredicate, 4, 44, 22, // Skip to: 12829
-/* 7153 */    MCD_OPC_Decode, 205, 9, 126, // Opcode: SLD_D
-/* 7157 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7169
-/* 7161 */    MCD_OPC_CheckPredicate, 4, 32, 22, // Skip to: 12829
-/* 7165 */    MCD_OPC_Decode, 243, 9, 127, // Opcode: SPLAT_B
-/* 7169 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 7182
-/* 7173 */    MCD_OPC_CheckPredicate, 4, 20, 22, // Skip to: 12829
-/* 7177 */    MCD_OPC_Decode, 245, 9, 128, 1, // Opcode: SPLAT_H
-/* 7182 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 7195
-/* 7186 */    MCD_OPC_CheckPredicate, 4, 7, 22, // Skip to: 12829
-/* 7190 */    MCD_OPC_Decode, 246, 9, 129, 1, // Opcode: SPLAT_W
-/* 7195 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 7208
-/* 7199 */    MCD_OPC_CheckPredicate, 4, 250, 21, // Skip to: 12829
-/* 7203 */    MCD_OPC_Decode, 244, 9, 130, 1, // Opcode: SPLAT_D
-/* 7208 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7220
-/* 7212 */    MCD_OPC_CheckPredicate, 4, 237, 21, // Skip to: 12829
-/* 7216 */    MCD_OPC_Decode, 178, 8, 109, // Opcode: PCKEV_B
-/* 7220 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7232
-/* 7224 */    MCD_OPC_CheckPredicate, 4, 225, 21, // Skip to: 12829
-/* 7228 */    MCD_OPC_Decode, 180, 8, 110, // Opcode: PCKEV_H
-/* 7232 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7244
-/* 7236 */    MCD_OPC_CheckPredicate, 4, 213, 21, // Skip to: 12829
-/* 7240 */    MCD_OPC_Decode, 181, 8, 111, // Opcode: PCKEV_W
-/* 7244 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7256
-/* 7248 */    MCD_OPC_CheckPredicate, 4, 201, 21, // Skip to: 12829
-/* 7252 */    MCD_OPC_Decode, 179, 8, 112, // Opcode: PCKEV_D
-/* 7256 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 7268
-/* 7260 */    MCD_OPC_CheckPredicate, 4, 189, 21, // Skip to: 12829
-/* 7264 */    MCD_OPC_Decode, 182, 8, 109, // Opcode: PCKOD_B
-/* 7268 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 7280
-/* 7272 */    MCD_OPC_CheckPredicate, 4, 177, 21, // Skip to: 12829
-/* 7276 */    MCD_OPC_Decode, 184, 8, 110, // Opcode: PCKOD_H
-/* 7280 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 7292
-/* 7284 */    MCD_OPC_CheckPredicate, 4, 165, 21, // Skip to: 12829
-/* 7288 */    MCD_OPC_Decode, 185, 8, 111, // Opcode: PCKOD_W
-/* 7292 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 7304
-/* 7296 */    MCD_OPC_CheckPredicate, 4, 153, 21, // Skip to: 12829
-/* 7300 */    MCD_OPC_Decode, 183, 8, 112, // Opcode: PCKOD_D
-/* 7304 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 7316
-/* 7308 */    MCD_OPC_CheckPredicate, 4, 141, 21, // Skip to: 12829
-/* 7312 */    MCD_OPC_Decode, 198, 5, 109, // Opcode: ILVL_B
-/* 7316 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7328
-/* 7320 */    MCD_OPC_CheckPredicate, 4, 129, 21, // Skip to: 12829
-/* 7324 */    MCD_OPC_Decode, 200, 5, 110, // Opcode: ILVL_H
-/* 7328 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7340
-/* 7332 */    MCD_OPC_CheckPredicate, 4, 117, 21, // Skip to: 12829
-/* 7336 */    MCD_OPC_Decode, 201, 5, 111, // Opcode: ILVL_W
-/* 7340 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7352
-/* 7344 */    MCD_OPC_CheckPredicate, 4, 105, 21, // Skip to: 12829
-/* 7348 */    MCD_OPC_Decode, 199, 5, 112, // Opcode: ILVL_D
-/* 7352 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 7364
-/* 7356 */    MCD_OPC_CheckPredicate, 4, 93, 21, // Skip to: 12829
-/* 7360 */    MCD_OPC_Decode, 206, 5, 109, // Opcode: ILVR_B
-/* 7364 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7376
-/* 7368 */    MCD_OPC_CheckPredicate, 4, 81, 21, // Skip to: 12829
-/* 7372 */    MCD_OPC_Decode, 208, 5, 110, // Opcode: ILVR_H
-/* 7376 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7388
-/* 7380 */    MCD_OPC_CheckPredicate, 4, 69, 21, // Skip to: 12829
-/* 7384 */    MCD_OPC_Decode, 209, 5, 111, // Opcode: ILVR_W
-/* 7388 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7400
-/* 7392 */    MCD_OPC_CheckPredicate, 4, 57, 21, // Skip to: 12829
-/* 7396 */    MCD_OPC_Decode, 207, 5, 112, // Opcode: ILVR_D
-/* 7400 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 7412
-/* 7404 */    MCD_OPC_CheckPredicate, 4, 45, 21, // Skip to: 12829
-/* 7408 */    MCD_OPC_Decode, 194, 5, 109, // Opcode: ILVEV_B
-/* 7412 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7424
-/* 7416 */    MCD_OPC_CheckPredicate, 4, 33, 21, // Skip to: 12829
-/* 7420 */    MCD_OPC_Decode, 196, 5, 110, // Opcode: ILVEV_H
-/* 7424 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7436
-/* 7428 */    MCD_OPC_CheckPredicate, 4, 21, 21, // Skip to: 12829
-/* 7432 */    MCD_OPC_Decode, 197, 5, 111, // Opcode: ILVEV_W
-/* 7436 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7448
-/* 7440 */    MCD_OPC_CheckPredicate, 4, 9, 21, // Skip to: 12829
-/* 7444 */    MCD_OPC_Decode, 195, 5, 112, // Opcode: ILVEV_D
-/* 7448 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 7460
-/* 7452 */    MCD_OPC_CheckPredicate, 4, 253, 20, // Skip to: 12829
-/* 7456 */    MCD_OPC_Decode, 202, 5, 109, // Opcode: ILVOD_B
-/* 7460 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7472
-/* 7464 */    MCD_OPC_CheckPredicate, 4, 241, 20, // Skip to: 12829
-/* 7468 */    MCD_OPC_Decode, 204, 5, 110, // Opcode: ILVOD_H
-/* 7472 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7484
-/* 7476 */    MCD_OPC_CheckPredicate, 4, 229, 20, // Skip to: 12829
-/* 7480 */    MCD_OPC_Decode, 205, 5, 111, // Opcode: ILVOD_W
-/* 7484 */    MCD_OPC_FilterValue, 31, 221, 20, // Skip to: 12829
-/* 7488 */    MCD_OPC_CheckPredicate, 4, 217, 20, // Skip to: 12829
-/* 7492 */    MCD_OPC_Decode, 203, 5, 112, // Opcode: ILVOD_D
-/* 7496 */    MCD_OPC_FilterValue, 21, 35, 1, // Skip to: 7791
-/* 7500 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 7503 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 7515
-/* 7507 */    MCD_OPC_CheckPredicate, 4, 198, 20, // Skip to: 12829
-/* 7511 */    MCD_OPC_Decode, 183, 11, 113, // Opcode: VSHF_B
-/* 7515 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 7527
-/* 7519 */    MCD_OPC_CheckPredicate, 4, 186, 20, // Skip to: 12829
-/* 7523 */    MCD_OPC_Decode, 185, 11, 114, // Opcode: VSHF_H
-/* 7527 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 7539
-/* 7531 */    MCD_OPC_CheckPredicate, 4, 174, 20, // Skip to: 12829
-/* 7535 */    MCD_OPC_Decode, 186, 11, 115, // Opcode: VSHF_W
-/* 7539 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 7551
-/* 7543 */    MCD_OPC_CheckPredicate, 4, 162, 20, // Skip to: 12829
-/* 7547 */    MCD_OPC_Decode, 184, 11, 116, // Opcode: VSHF_D
-/* 7551 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 7563
-/* 7555 */    MCD_OPC_CheckPredicate, 4, 150, 20, // Skip to: 12829
-/* 7559 */    MCD_OPC_Decode, 128, 10, 109, // Opcode: SRAR_B
-/* 7563 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 7575
-/* 7567 */    MCD_OPC_CheckPredicate, 4, 138, 20, // Skip to: 12829
-/* 7571 */    MCD_OPC_Decode, 130, 10, 110, // Opcode: SRAR_H
-/* 7575 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 7587
-/* 7579 */    MCD_OPC_CheckPredicate, 4, 126, 20, // Skip to: 12829
-/* 7583 */    MCD_OPC_Decode, 131, 10, 111, // Opcode: SRAR_W
-/* 7587 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 7599
-/* 7591 */    MCD_OPC_CheckPredicate, 4, 114, 20, // Skip to: 12829
-/* 7595 */    MCD_OPC_Decode, 129, 10, 112, // Opcode: SRAR_D
-/* 7599 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 7611
-/* 7603 */    MCD_OPC_CheckPredicate, 4, 102, 20, // Skip to: 12829
-/* 7607 */    MCD_OPC_Decode, 148, 10, 109, // Opcode: SRLR_B
-/* 7611 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 7623
-/* 7615 */    MCD_OPC_CheckPredicate, 4, 90, 20, // Skip to: 12829
-/* 7619 */    MCD_OPC_Decode, 150, 10, 110, // Opcode: SRLR_H
-/* 7623 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 7635
-/* 7627 */    MCD_OPC_CheckPredicate, 4, 78, 20, // Skip to: 12829
-/* 7631 */    MCD_OPC_Decode, 151, 10, 111, // Opcode: SRLR_W
-/* 7635 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 7647
-/* 7639 */    MCD_OPC_CheckPredicate, 4, 66, 20, // Skip to: 12829
-/* 7643 */    MCD_OPC_Decode, 149, 10, 112, // Opcode: SRLR_D
-/* 7647 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 7659
-/* 7651 */    MCD_OPC_CheckPredicate, 4, 54, 20, // Skip to: 12829
-/* 7655 */    MCD_OPC_Decode, 183, 5, 117, // Opcode: HADD_S_H
-/* 7659 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 7671
-/* 7663 */    MCD_OPC_CheckPredicate, 4, 42, 20, // Skip to: 12829
-/* 7667 */    MCD_OPC_Decode, 184, 5, 118, // Opcode: HADD_S_W
-/* 7671 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 7683
-/* 7675 */    MCD_OPC_CheckPredicate, 4, 30, 20, // Skip to: 12829
-/* 7679 */    MCD_OPC_Decode, 182, 5, 119, // Opcode: HADD_S_D
-/* 7683 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 7695
-/* 7687 */    MCD_OPC_CheckPredicate, 4, 18, 20, // Skip to: 12829
-/* 7691 */    MCD_OPC_Decode, 186, 5, 117, // Opcode: HADD_U_H
-/* 7695 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 7707
-/* 7699 */    MCD_OPC_CheckPredicate, 4, 6, 20, // Skip to: 12829
-/* 7703 */    MCD_OPC_Decode, 187, 5, 118, // Opcode: HADD_U_W
-/* 7707 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 7719
-/* 7711 */    MCD_OPC_CheckPredicate, 4, 250, 19, // Skip to: 12829
-/* 7715 */    MCD_OPC_Decode, 185, 5, 119, // Opcode: HADD_U_D
-/* 7719 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 7731
-/* 7723 */    MCD_OPC_CheckPredicate, 4, 238, 19, // Skip to: 12829
-/* 7727 */    MCD_OPC_Decode, 189, 5, 117, // Opcode: HSUB_S_H
-/* 7731 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 7743
-/* 7735 */    MCD_OPC_CheckPredicate, 4, 226, 19, // Skip to: 12829
-/* 7739 */    MCD_OPC_Decode, 190, 5, 118, // Opcode: HSUB_S_W
-/* 7743 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 7755
-/* 7747 */    MCD_OPC_CheckPredicate, 4, 214, 19, // Skip to: 12829
-/* 7751 */    MCD_OPC_Decode, 188, 5, 119, // Opcode: HSUB_S_D
-/* 7755 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 7767
-/* 7759 */    MCD_OPC_CheckPredicate, 4, 202, 19, // Skip to: 12829
-/* 7763 */    MCD_OPC_Decode, 192, 5, 117, // Opcode: HSUB_U_H
-/* 7767 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 7779
-/* 7771 */    MCD_OPC_CheckPredicate, 4, 190, 19, // Skip to: 12829
-/* 7775 */    MCD_OPC_Decode, 193, 5, 118, // Opcode: HSUB_U_W
-/* 7779 */    MCD_OPC_FilterValue, 31, 182, 19, // Skip to: 12829
-/* 7783 */    MCD_OPC_CheckPredicate, 4, 178, 19, // Skip to: 12829
-/* 7787 */    MCD_OPC_Decode, 191, 5, 119, // Opcode: HSUB_U_D
-/* 7791 */    MCD_OPC_FilterValue, 25, 230, 1, // Skip to: 8281
-/* 7795 */    MCD_OPC_ExtractField, 20, 6,  // Inst{25-20} ...
-/* 7798 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7811
-/* 7802 */    MCD_OPC_CheckPredicate, 4, 159, 19, // Skip to: 12829
-/* 7806 */    MCD_OPC_Decode, 200, 9, 131, 1, // Opcode: SLDI_B
-/* 7811 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 7830
-/* 7815 */    MCD_OPC_CheckPredicate, 4, 146, 19, // Skip to: 12829
-/* 7819 */    MCD_OPC_CheckField, 19, 1, 0, 140, 19, // Skip to: 12829
-/* 7825 */    MCD_OPC_Decode, 202, 9, 132, 1, // Opcode: SLDI_H
-/* 7830 */    MCD_OPC_FilterValue, 3, 54, 0, // Skip to: 7888
-/* 7834 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 7837 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7850
-/* 7841 */    MCD_OPC_CheckPredicate, 4, 120, 19, // Skip to: 12829
-/* 7845 */    MCD_OPC_Decode, 203, 9, 133, 1, // Opcode: SLDI_W
-/* 7850 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 7869
-/* 7854 */    MCD_OPC_CheckPredicate, 4, 107, 19, // Skip to: 12829
-/* 7858 */    MCD_OPC_CheckField, 17, 1, 0, 101, 19, // Skip to: 12829
-/* 7864 */    MCD_OPC_Decode, 201, 9, 134, 1, // Opcode: SLDI_D
-/* 7869 */    MCD_OPC_FilterValue, 3, 92, 19, // Skip to: 12829
-/* 7873 */    MCD_OPC_CheckPredicate, 4, 88, 19, // Skip to: 12829
-/* 7877 */    MCD_OPC_CheckField, 16, 2, 2, 82, 19, // Skip to: 12829
-/* 7883 */    MCD_OPC_Decode, 219, 2, 135, 1, // Opcode: CTCMSA
-/* 7888 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 7901
-/* 7892 */    MCD_OPC_CheckPredicate, 4, 69, 19, // Skip to: 12829
-/* 7896 */    MCD_OPC_Decode, 239, 9, 136, 1, // Opcode: SPLATI_B
-/* 7901 */    MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 7920
-/* 7905 */    MCD_OPC_CheckPredicate, 4, 56, 19, // Skip to: 12829
-/* 7909 */    MCD_OPC_CheckField, 19, 1, 0, 50, 19, // Skip to: 12829
-/* 7915 */    MCD_OPC_Decode, 241, 9, 137, 1, // Opcode: SPLATI_H
-/* 7920 */    MCD_OPC_FilterValue, 7, 54, 0, // Skip to: 7978
-/* 7924 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 7927 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 7940
-/* 7931 */    MCD_OPC_CheckPredicate, 4, 30, 19, // Skip to: 12829
-/* 7935 */    MCD_OPC_Decode, 242, 9, 138, 1, // Opcode: SPLATI_W
-/* 7940 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 7959
-/* 7944 */    MCD_OPC_CheckPredicate, 4, 17, 19, // Skip to: 12829
-/* 7948 */    MCD_OPC_CheckField, 17, 1, 0, 11, 19, // Skip to: 12829
-/* 7954 */    MCD_OPC_Decode, 240, 9, 139, 1, // Opcode: SPLATI_D
-/* 7959 */    MCD_OPC_FilterValue, 3, 2, 19, // Skip to: 12829
-/* 7963 */    MCD_OPC_CheckPredicate, 4, 254, 18, // Skip to: 12829
-/* 7967 */    MCD_OPC_CheckField, 16, 2, 2, 248, 18, // Skip to: 12829
-/* 7973 */    MCD_OPC_Decode, 157, 2, 140, 1, // Opcode: CFCMSA
-/* 7978 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 7991
-/* 7982 */    MCD_OPC_CheckPredicate, 4, 235, 18, // Skip to: 12829
-/* 7986 */    MCD_OPC_Decode, 209, 2, 141, 1, // Opcode: COPY_S_B
-/* 7991 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 8010
-/* 7995 */    MCD_OPC_CheckPredicate, 4, 222, 18, // Skip to: 12829
-/* 7999 */    MCD_OPC_CheckField, 19, 1, 0, 216, 18, // Skip to: 12829
-/* 8005 */    MCD_OPC_Decode, 211, 2, 142, 1, // Opcode: COPY_S_H
-/* 8010 */    MCD_OPC_FilterValue, 11, 54, 0, // Skip to: 8068
-/* 8014 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8017 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8030
-/* 8021 */    MCD_OPC_CheckPredicate, 4, 196, 18, // Skip to: 12829
-/* 8025 */    MCD_OPC_Decode, 212, 2, 143, 1, // Opcode: COPY_S_W
-/* 8030 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 8049
-/* 8034 */    MCD_OPC_CheckPredicate, 6, 183, 18, // Skip to: 12829
-/* 8038 */    MCD_OPC_CheckField, 17, 1, 0, 177, 18, // Skip to: 12829
-/* 8044 */    MCD_OPC_Decode, 210, 2, 144, 1, // Opcode: COPY_S_D
-/* 8049 */    MCD_OPC_FilterValue, 3, 168, 18, // Skip to: 12829
-/* 8053 */    MCD_OPC_CheckPredicate, 4, 164, 18, // Skip to: 12829
-/* 8057 */    MCD_OPC_CheckField, 16, 2, 2, 158, 18, // Skip to: 12829
-/* 8063 */    MCD_OPC_Decode, 153, 7, 145, 1, // Opcode: MOVE_V
-/* 8068 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 8081
-/* 8072 */    MCD_OPC_CheckPredicate, 4, 145, 18, // Skip to: 12829
-/* 8076 */    MCD_OPC_Decode, 213, 2, 141, 1, // Opcode: COPY_U_B
-/* 8081 */    MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 8100
-/* 8085 */    MCD_OPC_CheckPredicate, 4, 132, 18, // Skip to: 12829
-/* 8089 */    MCD_OPC_CheckField, 19, 1, 0, 126, 18, // Skip to: 12829
-/* 8095 */    MCD_OPC_Decode, 215, 2, 142, 1, // Opcode: COPY_U_H
-/* 8100 */    MCD_OPC_FilterValue, 15, 35, 0, // Skip to: 8139
-/* 8104 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8107 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8120
-/* 8111 */    MCD_OPC_CheckPredicate, 4, 106, 18, // Skip to: 12829
-/* 8115 */    MCD_OPC_Decode, 216, 2, 143, 1, // Opcode: COPY_U_W
-/* 8120 */    MCD_OPC_FilterValue, 2, 97, 18, // Skip to: 12829
-/* 8124 */    MCD_OPC_CheckPredicate, 6, 93, 18, // Skip to: 12829
-/* 8128 */    MCD_OPC_CheckField, 17, 1, 0, 87, 18, // Skip to: 12829
-/* 8134 */    MCD_OPC_Decode, 214, 2, 144, 1, // Opcode: COPY_U_D
-/* 8139 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8152
-/* 8143 */    MCD_OPC_CheckPredicate, 4, 74, 18, // Skip to: 12829
-/* 8147 */    MCD_OPC_Decode, 211, 5, 146, 1, // Opcode: INSERT_B
-/* 8152 */    MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 8171
-/* 8156 */    MCD_OPC_CheckPredicate, 4, 61, 18, // Skip to: 12829
-/* 8160 */    MCD_OPC_CheckField, 19, 1, 0, 55, 18, // Skip to: 12829
-/* 8166 */    MCD_OPC_Decode, 215, 5, 147, 1, // Opcode: INSERT_H
-/* 8171 */    MCD_OPC_FilterValue, 19, 35, 0, // Skip to: 8210
-/* 8175 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8178 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8191
-/* 8182 */    MCD_OPC_CheckPredicate, 4, 35, 18, // Skip to: 12829
-/* 8186 */    MCD_OPC_Decode, 216, 5, 148, 1, // Opcode: INSERT_W
-/* 8191 */    MCD_OPC_FilterValue, 2, 26, 18, // Skip to: 12829
-/* 8195 */    MCD_OPC_CheckPredicate, 6, 22, 18, // Skip to: 12829
-/* 8199 */    MCD_OPC_CheckField, 17, 1, 0, 16, 18, // Skip to: 12829
-/* 8205 */    MCD_OPC_Decode, 212, 5, 149, 1, // Opcode: INSERT_D
-/* 8210 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8223
-/* 8214 */    MCD_OPC_CheckPredicate, 4, 3, 18, // Skip to: 12829
-/* 8218 */    MCD_OPC_Decode, 218, 5, 150, 1, // Opcode: INSVE_B
-/* 8223 */    MCD_OPC_FilterValue, 22, 15, 0, // Skip to: 8242
-/* 8227 */    MCD_OPC_CheckPredicate, 4, 246, 17, // Skip to: 12829
-/* 8231 */    MCD_OPC_CheckField, 19, 1, 0, 240, 17, // Skip to: 12829
-/* 8237 */    MCD_OPC_Decode, 220, 5, 151, 1, // Opcode: INSVE_H
-/* 8242 */    MCD_OPC_FilterValue, 23, 231, 17, // Skip to: 12829
-/* 8246 */    MCD_OPC_ExtractField, 18, 2,  // Inst{19-18} ...
-/* 8249 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 8262
-/* 8253 */    MCD_OPC_CheckPredicate, 4, 220, 17, // Skip to: 12829
-/* 8257 */    MCD_OPC_Decode, 221, 5, 152, 1, // Opcode: INSVE_W
-/* 8262 */    MCD_OPC_FilterValue, 2, 211, 17, // Skip to: 12829
-/* 8266 */    MCD_OPC_CheckPredicate, 4, 207, 17, // Skip to: 12829
-/* 8270 */    MCD_OPC_CheckField, 17, 1, 0, 201, 17, // Skip to: 12829
-/* 8276 */    MCD_OPC_Decode, 219, 5, 153, 1, // Opcode: INSVE_D
-/* 8281 */    MCD_OPC_FilterValue, 26, 131, 1, // Skip to: 8672
-/* 8285 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 8288 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8300
-/* 8292 */    MCD_OPC_CheckPredicate, 4, 181, 17, // Skip to: 12829
-/* 8296 */    MCD_OPC_Decode, 160, 4, 111, // Opcode: FCAF_W
-/* 8300 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8312
-/* 8304 */    MCD_OPC_CheckPredicate, 4, 169, 17, // Skip to: 12829
-/* 8308 */    MCD_OPC_Decode, 159, 4, 112, // Opcode: FCAF_D
-/* 8312 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8324
-/* 8316 */    MCD_OPC_CheckPredicate, 4, 157, 17, // Skip to: 12829
-/* 8320 */    MCD_OPC_Decode, 187, 4, 111, // Opcode: FCUN_W
-/* 8324 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8336
-/* 8328 */    MCD_OPC_CheckPredicate, 4, 145, 17, // Skip to: 12829
-/* 8332 */    MCD_OPC_Decode, 186, 4, 112, // Opcode: FCUN_D
-/* 8336 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8348
-/* 8340 */    MCD_OPC_CheckPredicate, 4, 133, 17, // Skip to: 12829
-/* 8344 */    MCD_OPC_Decode, 162, 4, 111, // Opcode: FCEQ_W
-/* 8348 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8360
-/* 8352 */    MCD_OPC_CheckPredicate, 4, 121, 17, // Skip to: 12829
-/* 8356 */    MCD_OPC_Decode, 161, 4, 112, // Opcode: FCEQ_D
-/* 8360 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8372
-/* 8364 */    MCD_OPC_CheckPredicate, 4, 109, 17, // Skip to: 12829
-/* 8368 */    MCD_OPC_Decode, 179, 4, 111, // Opcode: FCUEQ_W
-/* 8372 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8384
-/* 8376 */    MCD_OPC_CheckPredicate, 4, 97, 17, // Skip to: 12829
-/* 8380 */    MCD_OPC_Decode, 178, 4, 112, // Opcode: FCUEQ_D
-/* 8384 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8396
-/* 8388 */    MCD_OPC_CheckPredicate, 4, 85, 17, // Skip to: 12829
-/* 8392 */    MCD_OPC_Decode, 168, 4, 111, // Opcode: FCLT_W
-/* 8396 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8408
-/* 8400 */    MCD_OPC_CheckPredicate, 4, 73, 17, // Skip to: 12829
-/* 8404 */    MCD_OPC_Decode, 167, 4, 112, // Opcode: FCLT_D
-/* 8408 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8420
-/* 8412 */    MCD_OPC_CheckPredicate, 4, 61, 17, // Skip to: 12829
-/* 8416 */    MCD_OPC_Decode, 183, 4, 111, // Opcode: FCULT_W
-/* 8420 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8432
-/* 8424 */    MCD_OPC_CheckPredicate, 4, 49, 17, // Skip to: 12829
-/* 8428 */    MCD_OPC_Decode, 182, 4, 112, // Opcode: FCULT_D
-/* 8432 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 8444
-/* 8436 */    MCD_OPC_CheckPredicate, 4, 37, 17, // Skip to: 12829
-/* 8440 */    MCD_OPC_Decode, 166, 4, 111, // Opcode: FCLE_W
-/* 8444 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 8456
-/* 8448 */    MCD_OPC_CheckPredicate, 4, 25, 17, // Skip to: 12829
-/* 8452 */    MCD_OPC_Decode, 165, 4, 112, // Opcode: FCLE_D
-/* 8456 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8468
-/* 8460 */    MCD_OPC_CheckPredicate, 4, 13, 17, // Skip to: 12829
-/* 8464 */    MCD_OPC_Decode, 181, 4, 111, // Opcode: FCULE_W
-/* 8468 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8480
-/* 8472 */    MCD_OPC_CheckPredicate, 4, 1, 17, // Skip to: 12829
-/* 8476 */    MCD_OPC_Decode, 180, 4, 112, // Opcode: FCULE_D
-/* 8480 */    MCD_OPC_FilterValue, 16, 8, 0, // Skip to: 8492
-/* 8484 */    MCD_OPC_CheckPredicate, 4, 245, 16, // Skip to: 12829
-/* 8488 */    MCD_OPC_Decode, 136, 5, 111, // Opcode: FSAF_W
-/* 8492 */    MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 8504
-/* 8496 */    MCD_OPC_CheckPredicate, 4, 233, 16, // Skip to: 12829
-/* 8500 */    MCD_OPC_Decode, 135, 5, 112, // Opcode: FSAF_D
-/* 8504 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 8516
-/* 8508 */    MCD_OPC_CheckPredicate, 4, 221, 16, // Skip to: 12829
-/* 8512 */    MCD_OPC_Decode, 170, 5, 111, // Opcode: FSUN_W
-/* 8516 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 8528
-/* 8520 */    MCD_OPC_CheckPredicate, 4, 209, 16, // Skip to: 12829
-/* 8524 */    MCD_OPC_Decode, 169, 5, 112, // Opcode: FSUN_D
-/* 8528 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 8540
-/* 8532 */    MCD_OPC_CheckPredicate, 4, 197, 16, // Skip to: 12829
-/* 8536 */    MCD_OPC_Decode, 138, 5, 111, // Opcode: FSEQ_W
-/* 8540 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 8552
-/* 8544 */    MCD_OPC_CheckPredicate, 4, 185, 16, // Skip to: 12829
-/* 8548 */    MCD_OPC_Decode, 137, 5, 112, // Opcode: FSEQ_D
-/* 8552 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 8564
-/* 8556 */    MCD_OPC_CheckPredicate, 4, 173, 16, // Skip to: 12829
-/* 8560 */    MCD_OPC_Decode, 162, 5, 111, // Opcode: FSUEQ_W
-/* 8564 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 8576
-/* 8568 */    MCD_OPC_CheckPredicate, 4, 161, 16, // Skip to: 12829
-/* 8572 */    MCD_OPC_Decode, 161, 5, 112, // Opcode: FSUEQ_D
-/* 8576 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8588
-/* 8580 */    MCD_OPC_CheckPredicate, 4, 149, 16, // Skip to: 12829
-/* 8584 */    MCD_OPC_Decode, 142, 5, 111, // Opcode: FSLT_W
-/* 8588 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8600
-/* 8592 */    MCD_OPC_CheckPredicate, 4, 137, 16, // Skip to: 12829
-/* 8596 */    MCD_OPC_Decode, 141, 5, 112, // Opcode: FSLT_D
-/* 8600 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8612
-/* 8604 */    MCD_OPC_CheckPredicate, 4, 125, 16, // Skip to: 12829
-/* 8608 */    MCD_OPC_Decode, 166, 5, 111, // Opcode: FSULT_W
-/* 8612 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8624
-/* 8616 */    MCD_OPC_CheckPredicate, 4, 113, 16, // Skip to: 12829
-/* 8620 */    MCD_OPC_Decode, 165, 5, 112, // Opcode: FSULT_D
-/* 8624 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8636
-/* 8628 */    MCD_OPC_CheckPredicate, 4, 101, 16, // Skip to: 12829
-/* 8632 */    MCD_OPC_Decode, 140, 5, 111, // Opcode: FSLE_W
-/* 8636 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8648
-/* 8640 */    MCD_OPC_CheckPredicate, 4, 89, 16, // Skip to: 12829
-/* 8644 */    MCD_OPC_Decode, 139, 5, 112, // Opcode: FSLE_D
-/* 8648 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8660
-/* 8652 */    MCD_OPC_CheckPredicate, 4, 77, 16, // Skip to: 12829
-/* 8656 */    MCD_OPC_Decode, 164, 5, 111, // Opcode: FSULE_W
-/* 8660 */    MCD_OPC_FilterValue, 31, 69, 16, // Skip to: 12829
-/* 8664 */    MCD_OPC_CheckPredicate, 4, 65, 16, // Skip to: 12829
-/* 8668 */    MCD_OPC_Decode, 163, 5, 112, // Opcode: FSULE_D
-/* 8672 */    MCD_OPC_FilterValue, 27, 63, 1, // Skip to: 8995
-/* 8676 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 8679 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 8691
-/* 8683 */    MCD_OPC_CheckPredicate, 4, 46, 16, // Skip to: 12829
-/* 8687 */    MCD_OPC_Decode, 158, 4, 111, // Opcode: FADD_W
-/* 8691 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 8703
-/* 8695 */    MCD_OPC_CheckPredicate, 4, 34, 16, // Skip to: 12829
-/* 8699 */    MCD_OPC_Decode, 152, 4, 112, // Opcode: FADD_D
-/* 8703 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 8715
-/* 8707 */    MCD_OPC_CheckPredicate, 4, 22, 16, // Skip to: 12829
-/* 8711 */    MCD_OPC_Decode, 160, 5, 111, // Opcode: FSUB_W
-/* 8715 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 8727
-/* 8719 */    MCD_OPC_CheckPredicate, 4, 10, 16, // Skip to: 12829
-/* 8723 */    MCD_OPC_Decode, 154, 5, 112, // Opcode: FSUB_D
-/* 8727 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 8739
-/* 8731 */    MCD_OPC_CheckPredicate, 4, 254, 15, // Skip to: 12829
-/* 8735 */    MCD_OPC_Decode, 251, 4, 111, // Opcode: FMUL_W
-/* 8739 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 8751
-/* 8743 */    MCD_OPC_CheckPredicate, 4, 242, 15, // Skip to: 12829
-/* 8747 */    MCD_OPC_Decode, 245, 4, 112, // Opcode: FMUL_D
-/* 8751 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 8763
-/* 8755 */    MCD_OPC_CheckPredicate, 4, 230, 15, // Skip to: 12829
-/* 8759 */    MCD_OPC_Decode, 194, 4, 111, // Opcode: FDIV_W
-/* 8763 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 8775
-/* 8767 */    MCD_OPC_CheckPredicate, 4, 218, 15, // Skip to: 12829
-/* 8771 */    MCD_OPC_Decode, 188, 4, 112, // Opcode: FDIV_D
-/* 8775 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 8787
-/* 8779 */    MCD_OPC_CheckPredicate, 4, 206, 15, // Skip to: 12829
-/* 8783 */    MCD_OPC_Decode, 229, 4, 115, // Opcode: FMADD_W
-/* 8787 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 8799
-/* 8791 */    MCD_OPC_CheckPredicate, 4, 194, 15, // Skip to: 12829
-/* 8795 */    MCD_OPC_Decode, 228, 4, 116, // Opcode: FMADD_D
-/* 8799 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 8811
-/* 8803 */    MCD_OPC_CheckPredicate, 4, 182, 15, // Skip to: 12829
-/* 8807 */    MCD_OPC_Decode, 244, 4, 115, // Opcode: FMSUB_W
-/* 8811 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 8823
-/* 8815 */    MCD_OPC_CheckPredicate, 4, 170, 15, // Skip to: 12829
-/* 8819 */    MCD_OPC_Decode, 243, 4, 116, // Opcode: FMSUB_D
-/* 8823 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 8835
-/* 8827 */    MCD_OPC_CheckPredicate, 4, 158, 15, // Skip to: 12829
-/* 8831 */    MCD_OPC_Decode, 199, 4, 111, // Opcode: FEXP2_W
-/* 8835 */    MCD_OPC_FilterValue, 15, 8, 0, // Skip to: 8847
-/* 8839 */    MCD_OPC_CheckPredicate, 4, 146, 15, // Skip to: 12829
-/* 8843 */    MCD_OPC_Decode, 197, 4, 112, // Opcode: FEXP2_D
-/* 8847 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 8860
-/* 8851 */    MCD_OPC_CheckPredicate, 4, 134, 15, // Skip to: 12829
-/* 8855 */    MCD_OPC_Decode, 195, 4, 154, 1, // Opcode: FEXDO_H
-/* 8860 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 8873
-/* 8864 */    MCD_OPC_CheckPredicate, 4, 121, 15, // Skip to: 12829
-/* 8868 */    MCD_OPC_Decode, 196, 4, 155, 1, // Opcode: FEXDO_W
-/* 8873 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 8886
-/* 8877 */    MCD_OPC_CheckPredicate, 4, 108, 15, // Skip to: 12829
-/* 8881 */    MCD_OPC_Decode, 175, 5, 154, 1, // Opcode: FTQ_H
-/* 8886 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 8899
-/* 8890 */    MCD_OPC_CheckPredicate, 4, 95, 15, // Skip to: 12829
-/* 8894 */    MCD_OPC_Decode, 176, 5, 155, 1, // Opcode: FTQ_W
-/* 8899 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 8911
-/* 8903 */    MCD_OPC_CheckPredicate, 4, 82, 15, // Skip to: 12829
-/* 8907 */    MCD_OPC_Decode, 237, 4, 111, // Opcode: FMIN_W
-/* 8911 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 8923
-/* 8915 */    MCD_OPC_CheckPredicate, 4, 70, 15, // Skip to: 12829
-/* 8919 */    MCD_OPC_Decode, 236, 4, 112, // Opcode: FMIN_D
-/* 8923 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 8935
-/* 8927 */    MCD_OPC_CheckPredicate, 4, 58, 15, // Skip to: 12829
-/* 8931 */    MCD_OPC_Decode, 235, 4, 111, // Opcode: FMIN_A_W
-/* 8935 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 8947
-/* 8939 */    MCD_OPC_CheckPredicate, 4, 46, 15, // Skip to: 12829
-/* 8943 */    MCD_OPC_Decode, 234, 4, 112, // Opcode: FMIN_A_D
-/* 8947 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 8959
-/* 8951 */    MCD_OPC_CheckPredicate, 4, 34, 15, // Skip to: 12829
-/* 8955 */    MCD_OPC_Decode, 233, 4, 111, // Opcode: FMAX_W
-/* 8959 */    MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 8971
-/* 8963 */    MCD_OPC_CheckPredicate, 4, 22, 15, // Skip to: 12829
-/* 8967 */    MCD_OPC_Decode, 232, 4, 112, // Opcode: FMAX_D
-/* 8971 */    MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 8983
-/* 8975 */    MCD_OPC_CheckPredicate, 4, 10, 15, // Skip to: 12829
-/* 8979 */    MCD_OPC_Decode, 231, 4, 111, // Opcode: FMAX_A_W
-/* 8983 */    MCD_OPC_FilterValue, 31, 2, 15, // Skip to: 12829
-/* 8987 */    MCD_OPC_CheckPredicate, 4, 254, 14, // Skip to: 12829
-/* 8991 */    MCD_OPC_Decode, 230, 4, 112, // Opcode: FMAX_A_D
-/* 8995 */    MCD_OPC_FilterValue, 28, 35, 1, // Skip to: 9290
-/* 8999 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 9002 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9014
-/* 9006 */    MCD_OPC_CheckPredicate, 4, 235, 14, // Skip to: 12829
-/* 9010 */    MCD_OPC_Decode, 177, 4, 111, // Opcode: FCOR_W
-/* 9014 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9026
-/* 9018 */    MCD_OPC_CheckPredicate, 4, 223, 14, // Skip to: 12829
-/* 9022 */    MCD_OPC_Decode, 176, 4, 112, // Opcode: FCOR_D
-/* 9026 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9038
-/* 9030 */    MCD_OPC_CheckPredicate, 4, 211, 14, // Skip to: 12829
-/* 9034 */    MCD_OPC_Decode, 185, 4, 111, // Opcode: FCUNE_W
-/* 9038 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9050
-/* 9042 */    MCD_OPC_CheckPredicate, 4, 199, 14, // Skip to: 12829
-/* 9046 */    MCD_OPC_Decode, 184, 4, 112, // Opcode: FCUNE_D
-/* 9050 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9062
-/* 9054 */    MCD_OPC_CheckPredicate, 4, 187, 14, // Skip to: 12829
-/* 9058 */    MCD_OPC_Decode, 175, 4, 111, // Opcode: FCNE_W
-/* 9062 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 9074
-/* 9066 */    MCD_OPC_CheckPredicate, 4, 175, 14, // Skip to: 12829
-/* 9070 */    MCD_OPC_Decode, 174, 4, 112, // Opcode: FCNE_D
-/* 9074 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 9086
-/* 9078 */    MCD_OPC_CheckPredicate, 4, 163, 14, // Skip to: 12829
-/* 9082 */    MCD_OPC_Decode, 253, 7, 110, // Opcode: MUL_Q_H
-/* 9086 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 9098
-/* 9090 */    MCD_OPC_CheckPredicate, 4, 151, 14, // Skip to: 12829
-/* 9094 */    MCD_OPC_Decode, 254, 7, 111, // Opcode: MUL_Q_W
-/* 9098 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 9110
-/* 9102 */    MCD_OPC_CheckPredicate, 4, 139, 14, // Skip to: 12829
-/* 9106 */    MCD_OPC_Decode, 208, 6, 114, // Opcode: MADD_Q_H
-/* 9110 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 9122
-/* 9114 */    MCD_OPC_CheckPredicate, 4, 127, 14, // Skip to: 12829
-/* 9118 */    MCD_OPC_Decode, 209, 6, 115, // Opcode: MADD_Q_W
-/* 9122 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 9134
-/* 9126 */    MCD_OPC_CheckPredicate, 4, 115, 14, // Skip to: 12829
-/* 9130 */    MCD_OPC_Decode, 209, 7, 114, // Opcode: MSUB_Q_H
-/* 9134 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 9146
-/* 9138 */    MCD_OPC_CheckPredicate, 4, 103, 14, // Skip to: 12829
-/* 9142 */    MCD_OPC_Decode, 210, 7, 115, // Opcode: MSUB_Q_W
-/* 9146 */    MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 9158
-/* 9150 */    MCD_OPC_CheckPredicate, 4, 91, 14, // Skip to: 12829
-/* 9154 */    MCD_OPC_Decode, 146, 5, 111, // Opcode: FSOR_W
-/* 9158 */    MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 9170
-/* 9162 */    MCD_OPC_CheckPredicate, 4, 79, 14, // Skip to: 12829
-/* 9166 */    MCD_OPC_Decode, 145, 5, 112, // Opcode: FSOR_D
-/* 9170 */    MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 9182
-/* 9174 */    MCD_OPC_CheckPredicate, 4, 67, 14, // Skip to: 12829
-/* 9178 */    MCD_OPC_Decode, 168, 5, 111, // Opcode: FSUNE_W
-/* 9182 */    MCD_OPC_FilterValue, 21, 8, 0, // Skip to: 9194
-/* 9186 */    MCD_OPC_CheckPredicate, 4, 55, 14, // Skip to: 12829
-/* 9190 */    MCD_OPC_Decode, 167, 5, 112, // Opcode: FSUNE_D
-/* 9194 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 9206
-/* 9198 */    MCD_OPC_CheckPredicate, 4, 43, 14, // Skip to: 12829
-/* 9202 */    MCD_OPC_Decode, 144, 5, 111, // Opcode: FSNE_W
-/* 9206 */    MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 9218
-/* 9210 */    MCD_OPC_CheckPredicate, 4, 31, 14, // Skip to: 12829
-/* 9214 */    MCD_OPC_Decode, 143, 5, 112, // Opcode: FSNE_D
-/* 9218 */    MCD_OPC_FilterValue, 24, 8, 0, // Skip to: 9230
-/* 9222 */    MCD_OPC_CheckPredicate, 4, 19, 14, // Skip to: 12829
-/* 9226 */    MCD_OPC_Decode, 237, 7, 110, // Opcode: MULR_Q_H
-/* 9230 */    MCD_OPC_FilterValue, 25, 8, 0, // Skip to: 9242
-/* 9234 */    MCD_OPC_CheckPredicate, 4, 7, 14, // Skip to: 12829
-/* 9238 */    MCD_OPC_Decode, 238, 7, 111, // Opcode: MULR_Q_W
-/* 9242 */    MCD_OPC_FilterValue, 26, 8, 0, // Skip to: 9254
-/* 9246 */    MCD_OPC_CheckPredicate, 4, 251, 13, // Skip to: 12829
-/* 9250 */    MCD_OPC_Decode, 194, 6, 114, // Opcode: MADDR_Q_H
-/* 9254 */    MCD_OPC_FilterValue, 27, 8, 0, // Skip to: 9266
-/* 9258 */    MCD_OPC_CheckPredicate, 4, 239, 13, // Skip to: 12829
-/* 9262 */    MCD_OPC_Decode, 195, 6, 115, // Opcode: MADDR_Q_W
-/* 9266 */    MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 9278
-/* 9270 */    MCD_OPC_CheckPredicate, 4, 227, 13, // Skip to: 12829
-/* 9274 */    MCD_OPC_Decode, 195, 7, 114, // Opcode: MSUBR_Q_H
-/* 9278 */    MCD_OPC_FilterValue, 29, 219, 13, // Skip to: 12829
-/* 9282 */    MCD_OPC_CheckPredicate, 4, 215, 13, // Skip to: 12829
-/* 9286 */    MCD_OPC_Decode, 196, 7, 115, // Opcode: MSUBR_Q_W
-/* 9290 */    MCD_OPC_FilterValue, 30, 212, 2, // Skip to: 10018
-/* 9294 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 9297 */    MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 9308
-/* 9301 */    MCD_OPC_CheckPredicate, 4, 196, 13, // Skip to: 12829
-/* 9305 */    MCD_OPC_Decode, 75, 109, // Opcode: AND_V
-/* 9308 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 9320
-/* 9312 */    MCD_OPC_CheckPredicate, 4, 185, 13, // Skip to: 12829
-/* 9316 */    MCD_OPC_Decode, 169, 8, 109, // Opcode: OR_V
-/* 9320 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 9332
-/* 9324 */    MCD_OPC_CheckPredicate, 4, 173, 13, // Skip to: 12829
-/* 9328 */    MCD_OPC_Decode, 159, 8, 109, // Opcode: NOR_V
-/* 9332 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 9344
-/* 9336 */    MCD_OPC_CheckPredicate, 4, 161, 13, // Skip to: 12829
-/* 9340 */    MCD_OPC_Decode, 196, 11, 109, // Opcode: XOR_V
-/* 9344 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 9356
-/* 9348 */    MCD_OPC_CheckPredicate, 4, 149, 13, // Skip to: 12829
-/* 9352 */    MCD_OPC_Decode, 200, 1, 113, // Opcode: BMNZ_V
-/* 9356 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 9368
-/* 9360 */    MCD_OPC_CheckPredicate, 4, 137, 13, // Skip to: 12829
-/* 9364 */    MCD_OPC_Decode, 202, 1, 113, // Opcode: BMZ_V
-/* 9368 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 9380
-/* 9372 */    MCD_OPC_CheckPredicate, 4, 125, 13, // Skip to: 12829
-/* 9376 */    MCD_OPC_Decode, 228, 1, 113, // Opcode: BSEL_V
-/* 9380 */    MCD_OPC_FilterValue, 24, 211, 0, // Skip to: 9595
-/* 9384 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 9387 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9400
-/* 9391 */    MCD_OPC_CheckPredicate, 4, 106, 13, // Skip to: 12829
-/* 9395 */    MCD_OPC_Decode, 213, 4, 156, 1, // Opcode: FILL_B
-/* 9400 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9413
-/* 9404 */    MCD_OPC_CheckPredicate, 4, 93, 13, // Skip to: 12829
-/* 9408 */    MCD_OPC_Decode, 217, 4, 157, 1, // Opcode: FILL_H
-/* 9413 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9426
-/* 9417 */    MCD_OPC_CheckPredicate, 4, 80, 13, // Skip to: 12829
-/* 9421 */    MCD_OPC_Decode, 218, 4, 158, 1, // Opcode: FILL_W
-/* 9426 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9439
-/* 9430 */    MCD_OPC_CheckPredicate, 6, 67, 13, // Skip to: 12829
-/* 9434 */    MCD_OPC_Decode, 214, 4, 159, 1, // Opcode: FILL_D
-/* 9439 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9452
-/* 9443 */    MCD_OPC_CheckPredicate, 4, 54, 13, // Skip to: 12829
-/* 9447 */    MCD_OPC_Decode, 186, 8, 145, 1, // Opcode: PCNT_B
-/* 9452 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9465
-/* 9456 */    MCD_OPC_CheckPredicate, 4, 41, 13, // Skip to: 12829
-/* 9460 */    MCD_OPC_Decode, 188, 8, 160, 1, // Opcode: PCNT_H
-/* 9465 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9478
-/* 9469 */    MCD_OPC_CheckPredicate, 4, 28, 13, // Skip to: 12829
-/* 9473 */    MCD_OPC_Decode, 189, 8, 161, 1, // Opcode: PCNT_W
-/* 9478 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9491
-/* 9482 */    MCD_OPC_CheckPredicate, 4, 15, 13, // Skip to: 12829
-/* 9486 */    MCD_OPC_Decode, 187, 8, 162, 1, // Opcode: PCNT_D
-/* 9491 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9504
-/* 9495 */    MCD_OPC_CheckPredicate, 4, 2, 13, // Skip to: 12829
-/* 9499 */    MCD_OPC_Decode, 136, 8, 145, 1, // Opcode: NLOC_B
-/* 9504 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9517
-/* 9508 */    MCD_OPC_CheckPredicate, 4, 245, 12, // Skip to: 12829
-/* 9512 */    MCD_OPC_Decode, 138, 8, 160, 1, // Opcode: NLOC_H
-/* 9517 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9530
-/* 9521 */    MCD_OPC_CheckPredicate, 4, 232, 12, // Skip to: 12829
-/* 9525 */    MCD_OPC_Decode, 139, 8, 161, 1, // Opcode: NLOC_W
-/* 9530 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9543
-/* 9534 */    MCD_OPC_CheckPredicate, 4, 219, 12, // Skip to: 12829
-/* 9538 */    MCD_OPC_Decode, 137, 8, 162, 1, // Opcode: NLOC_D
-/* 9543 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9556
-/* 9547 */    MCD_OPC_CheckPredicate, 4, 206, 12, // Skip to: 12829
-/* 9551 */    MCD_OPC_Decode, 140, 8, 145, 1, // Opcode: NLZC_B
-/* 9556 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9569
-/* 9560 */    MCD_OPC_CheckPredicate, 4, 193, 12, // Skip to: 12829
-/* 9564 */    MCD_OPC_Decode, 142, 8, 160, 1, // Opcode: NLZC_H
-/* 9569 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9582
-/* 9573 */    MCD_OPC_CheckPredicate, 4, 180, 12, // Skip to: 12829
-/* 9577 */    MCD_OPC_Decode, 143, 8, 161, 1, // Opcode: NLZC_W
-/* 9582 */    MCD_OPC_FilterValue, 15, 171, 12, // Skip to: 12829
-/* 9586 */    MCD_OPC_CheckPredicate, 4, 167, 12, // Skip to: 12829
-/* 9590 */    MCD_OPC_Decode, 141, 8, 162, 1, // Opcode: NLZC_D
-/* 9595 */    MCD_OPC_FilterValue, 25, 158, 12, // Skip to: 12829
-/* 9599 */    MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
-/* 9602 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 9615
-/* 9606 */    MCD_OPC_CheckPredicate, 4, 147, 12, // Skip to: 12829
-/* 9610 */    MCD_OPC_Decode, 164, 4, 161, 1, // Opcode: FCLASS_W
-/* 9615 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 9628
-/* 9619 */    MCD_OPC_CheckPredicate, 4, 134, 12, // Skip to: 12829
-/* 9623 */    MCD_OPC_Decode, 163, 4, 162, 1, // Opcode: FCLASS_D
-/* 9628 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 9641
-/* 9632 */    MCD_OPC_CheckPredicate, 4, 121, 12, // Skip to: 12829
-/* 9636 */    MCD_OPC_Decode, 178, 5, 161, 1, // Opcode: FTRUNC_S_W
-/* 9641 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 9654
-/* 9645 */    MCD_OPC_CheckPredicate, 4, 108, 12, // Skip to: 12829
-/* 9649 */    MCD_OPC_Decode, 177, 5, 162, 1, // Opcode: FTRUNC_S_D
-/* 9654 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 9667
-/* 9658 */    MCD_OPC_CheckPredicate, 4, 95, 12, // Skip to: 12829
-/* 9662 */    MCD_OPC_Decode, 180, 5, 161, 1, // Opcode: FTRUNC_U_W
-/* 9667 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 9680
-/* 9671 */    MCD_OPC_CheckPredicate, 4, 82, 12, // Skip to: 12829
-/* 9675 */    MCD_OPC_Decode, 179, 5, 162, 1, // Opcode: FTRUNC_U_D
-/* 9680 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 9693
-/* 9684 */    MCD_OPC_CheckPredicate, 4, 69, 12, // Skip to: 12829
-/* 9688 */    MCD_OPC_Decode, 153, 5, 161, 1, // Opcode: FSQRT_W
-/* 9693 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 9706
-/* 9697 */    MCD_OPC_CheckPredicate, 4, 56, 12, // Skip to: 12829
-/* 9701 */    MCD_OPC_Decode, 147, 5, 162, 1, // Opcode: FSQRT_D
-/* 9706 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 9719
-/* 9710 */    MCD_OPC_CheckPredicate, 4, 43, 12, // Skip to: 12829
-/* 9714 */    MCD_OPC_Decode, 134, 5, 161, 1, // Opcode: FRSQRT_W
-/* 9719 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 9732
-/* 9723 */    MCD_OPC_CheckPredicate, 4, 30, 12, // Skip to: 12829
-/* 9727 */    MCD_OPC_Decode, 133, 5, 162, 1, // Opcode: FRSQRT_D
-/* 9732 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 9745
-/* 9736 */    MCD_OPC_CheckPredicate, 4, 17, 12, // Skip to: 12829
-/* 9740 */    MCD_OPC_Decode, 130, 5, 161, 1, // Opcode: FRCP_W
-/* 9745 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 9758
-/* 9749 */    MCD_OPC_CheckPredicate, 4, 4, 12, // Skip to: 12829
-/* 9753 */    MCD_OPC_Decode, 129, 5, 162, 1, // Opcode: FRCP_D
-/* 9758 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 9771
-/* 9762 */    MCD_OPC_CheckPredicate, 4, 247, 11, // Skip to: 12829
-/* 9766 */    MCD_OPC_Decode, 132, 5, 161, 1, // Opcode: FRINT_W
-/* 9771 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 9784
-/* 9775 */    MCD_OPC_CheckPredicate, 4, 234, 11, // Skip to: 12829
-/* 9779 */    MCD_OPC_Decode, 131, 5, 162, 1, // Opcode: FRINT_D
-/* 9784 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 9797
-/* 9788 */    MCD_OPC_CheckPredicate, 4, 221, 11, // Skip to: 12829
-/* 9792 */    MCD_OPC_Decode, 220, 4, 161, 1, // Opcode: FLOG2_W
-/* 9797 */    MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 9810
-/* 9801 */    MCD_OPC_CheckPredicate, 4, 208, 11, // Skip to: 12829
-/* 9805 */    MCD_OPC_Decode, 219, 4, 162, 1, // Opcode: FLOG2_D
-/* 9810 */    MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 9823
-/* 9814 */    MCD_OPC_CheckPredicate, 4, 195, 11, // Skip to: 12829
-/* 9818 */    MCD_OPC_Decode, 202, 4, 163, 1, // Opcode: FEXUPL_W
-/* 9823 */    MCD_OPC_FilterValue, 17, 9, 0, // Skip to: 9836
-/* 9827 */    MCD_OPC_CheckPredicate, 4, 182, 11, // Skip to: 12829
-/* 9831 */    MCD_OPC_Decode, 201, 4, 164, 1, // Opcode: FEXUPL_D
-/* 9836 */    MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 9849
-/* 9840 */    MCD_OPC_CheckPredicate, 4, 169, 11, // Skip to: 12829
-/* 9844 */    MCD_OPC_Decode, 204, 4, 163, 1, // Opcode: FEXUPR_W
-/* 9849 */    MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 9862
-/* 9853 */    MCD_OPC_CheckPredicate, 4, 156, 11, // Skip to: 12829
-/* 9857 */    MCD_OPC_Decode, 203, 4, 164, 1, // Opcode: FEXUPR_D
-/* 9862 */    MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 9875
-/* 9866 */    MCD_OPC_CheckPredicate, 4, 143, 11, // Skip to: 12829
-/* 9870 */    MCD_OPC_Decode, 210, 4, 163, 1, // Opcode: FFQL_W
-/* 9875 */    MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 9888
-/* 9879 */    MCD_OPC_CheckPredicate, 4, 130, 11, // Skip to: 12829
-/* 9883 */    MCD_OPC_Decode, 209, 4, 164, 1, // Opcode: FFQL_D
-/* 9888 */    MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 9901
-/* 9892 */    MCD_OPC_CheckPredicate, 4, 117, 11, // Skip to: 12829
-/* 9896 */    MCD_OPC_Decode, 212, 4, 163, 1, // Opcode: FFQR_W
-/* 9901 */    MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 9914
-/* 9905 */    MCD_OPC_CheckPredicate, 4, 104, 11, // Skip to: 12829
-/* 9909 */    MCD_OPC_Decode, 211, 4, 164, 1, // Opcode: FFQR_D
-/* 9914 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 9927
-/* 9918 */    MCD_OPC_CheckPredicate, 4, 91, 11, // Skip to: 12829
-/* 9922 */    MCD_OPC_Decode, 172, 5, 161, 1, // Opcode: FTINT_S_W
-/* 9927 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 9940
-/* 9931 */    MCD_OPC_CheckPredicate, 4, 78, 11, // Skip to: 12829
-/* 9935 */    MCD_OPC_Decode, 171, 5, 162, 1, // Opcode: FTINT_S_D
-/* 9940 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 9953
-/* 9944 */    MCD_OPC_CheckPredicate, 4, 65, 11, // Skip to: 12829
-/* 9948 */    MCD_OPC_Decode, 174, 5, 161, 1, // Opcode: FTINT_U_W
-/* 9953 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 9966
-/* 9957 */    MCD_OPC_CheckPredicate, 4, 52, 11, // Skip to: 12829
-/* 9961 */    MCD_OPC_Decode, 173, 5, 162, 1, // Opcode: FTINT_U_D
-/* 9966 */    MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 9979
-/* 9970 */    MCD_OPC_CheckPredicate, 4, 39, 11, // Skip to: 12829
-/* 9974 */    MCD_OPC_Decode, 206, 4, 161, 1, // Opcode: FFINT_S_W
-/* 9979 */    MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 9992
-/* 9983 */    MCD_OPC_CheckPredicate, 4, 26, 11, // Skip to: 12829
-/* 9987 */    MCD_OPC_Decode, 205, 4, 162, 1, // Opcode: FFINT_S_D
-/* 9992 */    MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10005
-/* 9996 */    MCD_OPC_CheckPredicate, 4, 13, 11, // Skip to: 12829
-/* 10000 */   MCD_OPC_Decode, 208, 4, 161, 1, // Opcode: FFINT_U_W
-/* 10005 */   MCD_OPC_FilterValue, 31, 4, 11, // Skip to: 12829
-/* 10009 */   MCD_OPC_CheckPredicate, 4, 0, 11, // Skip to: 12829
-/* 10013 */   MCD_OPC_Decode, 207, 4, 162, 1, // Opcode: FFINT_U_D
-/* 10018 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 10031
-/* 10022 */   MCD_OPC_CheckPredicate, 4, 243, 10, // Skip to: 12829
-/* 10026 */   MCD_OPC_Decode, 133, 6, 165, 1, // Opcode: LD_B
-/* 10031 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 10044
-/* 10035 */   MCD_OPC_CheckPredicate, 4, 230, 10, // Skip to: 12829
-/* 10039 */   MCD_OPC_Decode, 135, 6, 165, 1, // Opcode: LD_H
-/* 10044 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 10057
-/* 10048 */   MCD_OPC_CheckPredicate, 4, 217, 10, // Skip to: 12829
-/* 10052 */   MCD_OPC_Decode, 136, 6, 165, 1, // Opcode: LD_W
-/* 10057 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 10070
-/* 10061 */   MCD_OPC_CheckPredicate, 4, 204, 10, // Skip to: 12829
-/* 10065 */   MCD_OPC_Decode, 134, 6, 165, 1, // Opcode: LD_D
-/* 10070 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 10083
-/* 10074 */   MCD_OPC_CheckPredicate, 4, 191, 10, // Skip to: 12829
-/* 10078 */   MCD_OPC_Decode, 163, 10, 165, 1, // Opcode: ST_B
-/* 10083 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 10096
-/* 10087 */   MCD_OPC_CheckPredicate, 4, 178, 10, // Skip to: 12829
-/* 10091 */   MCD_OPC_Decode, 165, 10, 165, 1, // Opcode: ST_H
-/* 10096 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 10109
-/* 10100 */   MCD_OPC_CheckPredicate, 4, 165, 10, // Skip to: 12829
-/* 10104 */   MCD_OPC_Decode, 166, 10, 165, 1, // Opcode: ST_W
-/* 10109 */   MCD_OPC_FilterValue, 39, 156, 10, // Skip to: 12829
-/* 10113 */   MCD_OPC_CheckPredicate, 4, 152, 10, // Skip to: 12829
-/* 10117 */   MCD_OPC_Decode, 164, 10, 165, 1, // Opcode: ST_D
-/* 10122 */   MCD_OPC_FilterValue, 31, 113, 9, // Skip to: 12543
-/* 10126 */   MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 10129 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10142
-/* 10133 */   MCD_OPC_CheckPredicate, 3, 132, 10, // Skip to: 12829
-/* 10137 */   MCD_OPC_Decode, 129, 4, 166, 1, // Opcode: EXT
-/* 10142 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10155
-/* 10146 */   MCD_OPC_CheckPredicate, 3, 119, 10, // Skip to: 12829
-/* 10150 */   MCD_OPC_Decode, 210, 5, 167, 1, // Opcode: INS
-/* 10155 */   MCD_OPC_FilterValue, 10, 42, 0, // Skip to: 10201
-/* 10159 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10162 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 10175
-/* 10166 */   MCD_OPC_CheckPredicate, 5, 99, 10, // Skip to: 12829
-/* 10170 */   MCD_OPC_Decode, 173, 6, 168, 1, // Opcode: LWX
-/* 10175 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10188
-/* 10179 */   MCD_OPC_CheckPredicate, 5, 86, 10, // Skip to: 12829
-/* 10183 */   MCD_OPC_Decode, 142, 6, 168, 1, // Opcode: LHX
-/* 10188 */   MCD_OPC_FilterValue, 6, 77, 10, // Skip to: 12829
-/* 10192 */   MCD_OPC_CheckPredicate, 5, 73, 10, // Skip to: 12829
-/* 10196 */   MCD_OPC_Decode, 243, 5, 168, 1, // Opcode: LBUX
-/* 10201 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 10220
-/* 10205 */   MCD_OPC_CheckPredicate, 5, 60, 10, // Skip to: 12829
-/* 10209 */   MCD_OPC_CheckField, 6, 10, 0, 54, 10, // Skip to: 12829
-/* 10215 */   MCD_OPC_Decode, 217, 5, 169, 1, // Opcode: INSV
-/* 10220 */   MCD_OPC_FilterValue, 16, 51, 1, // Skip to: 10531
-/* 10224 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10227 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 10239
-/* 10231 */   MCD_OPC_CheckPredicate, 5, 34, 10, // Skip to: 12829
-/* 10235 */   MCD_OPC_Decode, 46, 170, 1, // Opcode: ADDU_QB
-/* 10239 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 10252
-/* 10243 */   MCD_OPC_CheckPredicate, 5, 22, 10, // Skip to: 12829
-/* 10247 */   MCD_OPC_Decode, 194, 10, 170, 1, // Opcode: SUBU_QB
-/* 10252 */   MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 10264
-/* 10256 */   MCD_OPC_CheckPredicate, 5, 9, 10, // Skip to: 12829
-/* 10260 */   MCD_OPC_Decode, 48, 170, 1, // Opcode: ADDU_S_QB
-/* 10264 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10277
-/* 10268 */   MCD_OPC_CheckPredicate, 5, 253, 9, // Skip to: 12829
-/* 10272 */   MCD_OPC_Decode, 196, 10, 170, 1, // Opcode: SUBU_S_QB
-/* 10277 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10290
-/* 10281 */   MCD_OPC_CheckPredicate, 5, 240, 9, // Skip to: 12829
-/* 10285 */   MCD_OPC_Decode, 231, 7, 170, 1, // Opcode: MULEU_S_PH_QBL
-/* 10290 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 10303
-/* 10294 */   MCD_OPC_CheckPredicate, 5, 227, 9, // Skip to: 12829
-/* 10298 */   MCD_OPC_Decode, 232, 7, 170, 1, // Opcode: MULEU_S_PH_QBR
-/* 10303 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 10315
-/* 10307 */   MCD_OPC_CheckPredicate, 15, 214, 9, // Skip to: 12829
-/* 10311 */   MCD_OPC_Decode, 45, 170, 1, // Opcode: ADDU_PH
-/* 10315 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 10328
-/* 10319 */   MCD_OPC_CheckPredicate, 15, 202, 9, // Skip to: 12829
-/* 10323 */   MCD_OPC_Decode, 193, 10, 170, 1, // Opcode: SUBU_PH
-/* 10328 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 10340
-/* 10332 */   MCD_OPC_CheckPredicate, 5, 189, 9, // Skip to: 12829
-/* 10336 */   MCD_OPC_Decode, 27, 170, 1, // Opcode: ADDQ_PH
-/* 10340 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10353
-/* 10344 */   MCD_OPC_CheckPredicate, 5, 177, 9, // Skip to: 12829
-/* 10348 */   MCD_OPC_Decode, 172, 10, 170, 1, // Opcode: SUBQ_PH
-/* 10353 */   MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 10365
-/* 10357 */   MCD_OPC_CheckPredicate, 15, 164, 9, // Skip to: 12829
-/* 10361 */   MCD_OPC_Decode, 47, 170, 1, // Opcode: ADDU_S_PH
-/* 10365 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10378
-/* 10369 */   MCD_OPC_CheckPredicate, 15, 152, 9, // Skip to: 12829
-/* 10373 */   MCD_OPC_Decode, 195, 10, 170, 1, // Opcode: SUBU_S_PH
-/* 10378 */   MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 10390
-/* 10382 */   MCD_OPC_CheckPredicate, 5, 139, 9, // Skip to: 12829
-/* 10386 */   MCD_OPC_Decode, 28, 170, 1, // Opcode: ADDQ_S_PH
-/* 10390 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10403
-/* 10394 */   MCD_OPC_CheckPredicate, 5, 127, 9, // Skip to: 12829
-/* 10398 */   MCD_OPC_Decode, 173, 10, 170, 1, // Opcode: SUBQ_S_PH
-/* 10403 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 10414
-/* 10407 */   MCD_OPC_CheckPredicate, 5, 114, 9, // Skip to: 12829
-/* 10411 */   MCD_OPC_Decode, 30, 13, // Opcode: ADDSC
-/* 10414 */   MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 10425
-/* 10418 */   MCD_OPC_CheckPredicate, 5, 103, 9, // Skip to: 12829
-/* 10422 */   MCD_OPC_Decode, 57, 13, // Opcode: ADDWC
-/* 10425 */   MCD_OPC_FilterValue, 18, 8, 0, // Skip to: 10437
-/* 10429 */   MCD_OPC_CheckPredicate, 5, 92, 9, // Skip to: 12829
-/* 10433 */   MCD_OPC_Decode, 144, 7, 13, // Opcode: MODSUB
-/* 10437 */   MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 10456
-/* 10441 */   MCD_OPC_CheckPredicate, 5, 80, 9, // Skip to: 12829
-/* 10445 */   MCD_OPC_CheckField, 16, 5, 0, 74, 9, // Skip to: 12829
-/* 10451 */   MCD_OPC_Decode, 242, 8, 171, 1, // Opcode: RADDU_W_QB
-/* 10456 */   MCD_OPC_FilterValue, 22, 7, 0, // Skip to: 10467
-/* 10460 */   MCD_OPC_CheckPredicate, 5, 61, 9, // Skip to: 12829
-/* 10464 */   MCD_OPC_Decode, 29, 13, // Opcode: ADDQ_S_W
-/* 10467 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 10479
-/* 10471 */   MCD_OPC_CheckPredicate, 5, 50, 9, // Skip to: 12829
-/* 10475 */   MCD_OPC_Decode, 174, 10, 13, // Opcode: SUBQ_S_W
-/* 10479 */   MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 10492
-/* 10483 */   MCD_OPC_CheckPredicate, 5, 38, 9, // Skip to: 12829
-/* 10487 */   MCD_OPC_Decode, 229, 7, 172, 1, // Opcode: MULEQ_S_W_PHL
-/* 10492 */   MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 10505
-/* 10496 */   MCD_OPC_CheckPredicate, 5, 25, 9, // Skip to: 12829
-/* 10500 */   MCD_OPC_Decode, 230, 7, 172, 1, // Opcode: MULEQ_S_W_PHR
-/* 10505 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10518
-/* 10509 */   MCD_OPC_CheckPredicate, 15, 12, 9, // Skip to: 12829
-/* 10513 */   MCD_OPC_Decode, 235, 7, 170, 1, // Opcode: MULQ_S_PH
-/* 10518 */   MCD_OPC_FilterValue, 31, 3, 9, // Skip to: 12829
-/* 10522 */   MCD_OPC_CheckPredicate, 5, 255, 8, // Skip to: 12829
-/* 10526 */   MCD_OPC_Decode, 233, 7, 170, 1, // Opcode: MULQ_RS_PH
-/* 10531 */   MCD_OPC_FilterValue, 17, 69, 1, // Skip to: 10860
-/* 10535 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10538 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 10557
-/* 10542 */   MCD_OPC_CheckPredicate, 5, 235, 8, // Skip to: 12829
-/* 10546 */   MCD_OPC_CheckField, 11, 5, 0, 229, 8, // Skip to: 12829
-/* 10552 */   MCD_OPC_Decode, 200, 2, 173, 1, // Opcode: CMPU_EQ_QB
-/* 10557 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 10576
-/* 10561 */   MCD_OPC_CheckPredicate, 5, 216, 8, // Skip to: 12829
-/* 10565 */   MCD_OPC_CheckField, 11, 5, 0, 210, 8, // Skip to: 12829
-/* 10571 */   MCD_OPC_Decode, 202, 2, 173, 1, // Opcode: CMPU_LT_QB
-/* 10576 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 10595
-/* 10580 */   MCD_OPC_CheckPredicate, 5, 197, 8, // Skip to: 12829
-/* 10584 */   MCD_OPC_CheckField, 11, 5, 0, 191, 8, // Skip to: 12829
-/* 10590 */   MCD_OPC_Decode, 201, 2, 173, 1, // Opcode: CMPU_LE_QB
-/* 10595 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 10608
-/* 10599 */   MCD_OPC_CheckPredicate, 5, 178, 8, // Skip to: 12829
-/* 10603 */   MCD_OPC_Decode, 191, 8, 170, 1, // Opcode: PICK_QB
-/* 10608 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 10621
-/* 10612 */   MCD_OPC_CheckPredicate, 5, 165, 8, // Skip to: 12829
-/* 10616 */   MCD_OPC_Decode, 197, 2, 172, 1, // Opcode: CMPGU_EQ_QB
-/* 10621 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 10634
-/* 10625 */   MCD_OPC_CheckPredicate, 5, 152, 8, // Skip to: 12829
-/* 10629 */   MCD_OPC_Decode, 199, 2, 172, 1, // Opcode: CMPGU_LT_QB
-/* 10634 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 10647
-/* 10638 */   MCD_OPC_CheckPredicate, 5, 139, 8, // Skip to: 12829
-/* 10642 */   MCD_OPC_Decode, 198, 2, 172, 1, // Opcode: CMPGU_LE_QB
-/* 10647 */   MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 10666
-/* 10651 */   MCD_OPC_CheckPredicate, 5, 126, 8, // Skip to: 12829
-/* 10655 */   MCD_OPC_CheckField, 11, 5, 0, 120, 8, // Skip to: 12829
-/* 10661 */   MCD_OPC_Decode, 203, 2, 173, 1, // Opcode: CMP_EQ_PH
-/* 10666 */   MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 10685
-/* 10670 */   MCD_OPC_CheckPredicate, 5, 107, 8, // Skip to: 12829
-/* 10674 */   MCD_OPC_CheckField, 11, 5, 0, 101, 8, // Skip to: 12829
-/* 10680 */   MCD_OPC_Decode, 205, 2, 173, 1, // Opcode: CMP_LT_PH
-/* 10685 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 10704
-/* 10689 */   MCD_OPC_CheckPredicate, 5, 88, 8, // Skip to: 12829
-/* 10693 */   MCD_OPC_CheckField, 11, 5, 0, 82, 8, // Skip to: 12829
-/* 10699 */   MCD_OPC_Decode, 204, 2, 173, 1, // Opcode: CMP_LE_PH
-/* 10704 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 10717
-/* 10708 */   MCD_OPC_CheckPredicate, 5, 69, 8, // Skip to: 12829
-/* 10712 */   MCD_OPC_Decode, 190, 8, 170, 1, // Opcode: PICK_PH
-/* 10717 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 10730
-/* 10721 */   MCD_OPC_CheckPredicate, 5, 56, 8, // Skip to: 12829
-/* 10725 */   MCD_OPC_Decode, 204, 8, 170, 1, // Opcode: PRECRQ_QB_PH
-/* 10730 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 10743
-/* 10734 */   MCD_OPC_CheckPredicate, 15, 43, 8, // Skip to: 12829
-/* 10738 */   MCD_OPC_Decode, 206, 8, 170, 1, // Opcode: PRECR_QB_PH
-/* 10743 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 10756
-/* 10747 */   MCD_OPC_CheckPredicate, 5, 30, 8, // Skip to: 12829
-/* 10751 */   MCD_OPC_Decode, 177, 8, 170, 1, // Opcode: PACKRL_PH
-/* 10756 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 10769
-/* 10760 */   MCD_OPC_CheckPredicate, 5, 17, 8, // Skip to: 12829
-/* 10764 */   MCD_OPC_Decode, 202, 8, 170, 1, // Opcode: PRECRQU_S_QB_PH
-/* 10769 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 10782
-/* 10773 */   MCD_OPC_CheckPredicate, 5, 4, 8, // Skip to: 12829
-/* 10777 */   MCD_OPC_Decode, 203, 8, 174, 1, // Opcode: PRECRQ_PH_W
-/* 10782 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 10795
-/* 10786 */   MCD_OPC_CheckPredicate, 5, 247, 7, // Skip to: 12829
-/* 10790 */   MCD_OPC_Decode, 205, 8, 174, 1, // Opcode: PRECRQ_RS_PH_W
-/* 10795 */   MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 10808
-/* 10799 */   MCD_OPC_CheckPredicate, 15, 234, 7, // Skip to: 12829
-/* 10803 */   MCD_OPC_Decode, 194, 2, 172, 1, // Opcode: CMPGDU_EQ_QB
-/* 10808 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 10821
-/* 10812 */   MCD_OPC_CheckPredicate, 15, 221, 7, // Skip to: 12829
-/* 10816 */   MCD_OPC_Decode, 196, 2, 172, 1, // Opcode: CMPGDU_LT_QB
-/* 10821 */   MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 10834
-/* 10825 */   MCD_OPC_CheckPredicate, 15, 208, 7, // Skip to: 12829
-/* 10829 */   MCD_OPC_Decode, 195, 2, 172, 1, // Opcode: CMPGDU_LE_QB
-/* 10834 */   MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 10847
-/* 10838 */   MCD_OPC_CheckPredicate, 15, 195, 7, // Skip to: 12829
-/* 10842 */   MCD_OPC_Decode, 207, 8, 175, 1, // Opcode: PRECR_SRA_PH_W
-/* 10847 */   MCD_OPC_FilterValue, 31, 186, 7, // Skip to: 12829
-/* 10851 */   MCD_OPC_CheckPredicate, 15, 182, 7, // Skip to: 12829
-/* 10855 */   MCD_OPC_Decode, 208, 8, 175, 1, // Opcode: PRECR_SRA_R_PH_W
-/* 10860 */   MCD_OPC_FilterValue, 18, 74, 1, // Skip to: 11194
-/* 10864 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 10867 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 10885
-/* 10871 */   MCD_OPC_CheckPredicate, 15, 162, 7, // Skip to: 12829
-/* 10875 */   MCD_OPC_CheckField, 21, 5, 0, 156, 7, // Skip to: 12829
-/* 10881 */   MCD_OPC_Decode, 20, 176, 1, // Opcode: ABSQ_S_QB
-/* 10885 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 10898
-/* 10889 */   MCD_OPC_CheckPredicate, 5, 144, 7, // Skip to: 12829
-/* 10893 */   MCD_OPC_Decode, 249, 8, 177, 1, // Opcode: REPL_QB
-/* 10898 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 10917
-/* 10902 */   MCD_OPC_CheckPredicate, 5, 131, 7, // Skip to: 12829
-/* 10906 */   MCD_OPC_CheckField, 21, 5, 0, 125, 7, // Skip to: 12829
-/* 10912 */   MCD_OPC_Decode, 247, 8, 178, 1, // Opcode: REPLV_QB
-/* 10917 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 10936
-/* 10921 */   MCD_OPC_CheckPredicate, 5, 112, 7, // Skip to: 12829
-/* 10925 */   MCD_OPC_CheckField, 21, 5, 0, 106, 7, // Skip to: 12829
-/* 10931 */   MCD_OPC_Decode, 192, 8, 176, 1, // Opcode: PRECEQU_PH_QBL
-/* 10936 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 10955
-/* 10940 */   MCD_OPC_CheckPredicate, 5, 93, 7, // Skip to: 12829
-/* 10944 */   MCD_OPC_CheckField, 21, 5, 0, 87, 7, // Skip to: 12829
-/* 10950 */   MCD_OPC_Decode, 194, 8, 176, 1, // Opcode: PRECEQU_PH_QBR
-/* 10955 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 10974
-/* 10959 */   MCD_OPC_CheckPredicate, 5, 74, 7, // Skip to: 12829
-/* 10963 */   MCD_OPC_CheckField, 21, 5, 0, 68, 7, // Skip to: 12829
-/* 10969 */   MCD_OPC_Decode, 193, 8, 176, 1, // Opcode: PRECEQU_PH_QBLA
-/* 10974 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 10993
-/* 10978 */   MCD_OPC_CheckPredicate, 5, 55, 7, // Skip to: 12829
-/* 10982 */   MCD_OPC_CheckField, 21, 5, 0, 49, 7, // Skip to: 12829
-/* 10988 */   MCD_OPC_Decode, 195, 8, 176, 1, // Opcode: PRECEQU_PH_QBRA
-/* 10993 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11011
-/* 10997 */   MCD_OPC_CheckPredicate, 5, 36, 7, // Skip to: 12829
-/* 11001 */   MCD_OPC_CheckField, 21, 5, 0, 30, 7, // Skip to: 12829
-/* 11007 */   MCD_OPC_Decode, 19, 176, 1, // Opcode: ABSQ_S_PH
-/* 11011 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11024
-/* 11015 */   MCD_OPC_CheckPredicate, 5, 18, 7, // Skip to: 12829
-/* 11019 */   MCD_OPC_Decode, 248, 8, 177, 1, // Opcode: REPL_PH
-/* 11024 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 11043
-/* 11028 */   MCD_OPC_CheckPredicate, 5, 5, 7, // Skip to: 12829
-/* 11032 */   MCD_OPC_CheckField, 21, 5, 0, 255, 6, // Skip to: 12829
-/* 11038 */   MCD_OPC_Decode, 246, 8, 178, 1, // Opcode: REPLV_PH
-/* 11043 */   MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 11062
-/* 11047 */   MCD_OPC_CheckPredicate, 5, 242, 6, // Skip to: 12829
-/* 11051 */   MCD_OPC_CheckField, 21, 5, 0, 236, 6, // Skip to: 12829
-/* 11057 */   MCD_OPC_Decode, 196, 8, 179, 1, // Opcode: PRECEQ_W_PHL
-/* 11062 */   MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 11081
-/* 11066 */   MCD_OPC_CheckPredicate, 5, 223, 6, // Skip to: 12829
-/* 11070 */   MCD_OPC_CheckField, 21, 5, 0, 217, 6, // Skip to: 12829
-/* 11076 */   MCD_OPC_Decode, 197, 8, 179, 1, // Opcode: PRECEQ_W_PHR
-/* 11081 */   MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 11099
-/* 11085 */   MCD_OPC_CheckPredicate, 5, 204, 6, // Skip to: 12829
-/* 11089 */   MCD_OPC_CheckField, 21, 5, 0, 198, 6, // Skip to: 12829
-/* 11095 */   MCD_OPC_Decode, 21, 180, 1, // Opcode: ABSQ_S_W
-/* 11099 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 11118
-/* 11103 */   MCD_OPC_CheckPredicate, 5, 186, 6, // Skip to: 12829
-/* 11107 */   MCD_OPC_CheckField, 21, 5, 0, 180, 6, // Skip to: 12829
-/* 11113 */   MCD_OPC_Decode, 190, 1, 180, 1, // Opcode: BITREV
-/* 11118 */   MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 11137
-/* 11122 */   MCD_OPC_CheckPredicate, 5, 167, 6, // Skip to: 12829
-/* 11126 */   MCD_OPC_CheckField, 21, 5, 0, 161, 6, // Skip to: 12829
-/* 11132 */   MCD_OPC_Decode, 198, 8, 176, 1, // Opcode: PRECEU_PH_QBL
-/* 11137 */   MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 11156
-/* 11141 */   MCD_OPC_CheckPredicate, 5, 148, 6, // Skip to: 12829
-/* 11145 */   MCD_OPC_CheckField, 21, 5, 0, 142, 6, // Skip to: 12829
-/* 11151 */   MCD_OPC_Decode, 200, 8, 176, 1, // Opcode: PRECEU_PH_QBR
-/* 11156 */   MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 11175
-/* 11160 */   MCD_OPC_CheckPredicate, 5, 129, 6, // Skip to: 12829
-/* 11164 */   MCD_OPC_CheckField, 21, 5, 0, 123, 6, // Skip to: 12829
-/* 11170 */   MCD_OPC_Decode, 199, 8, 176, 1, // Opcode: PRECEU_PH_QBLA
-/* 11175 */   MCD_OPC_FilterValue, 31, 114, 6, // Skip to: 12829
-/* 11179 */   MCD_OPC_CheckPredicate, 5, 110, 6, // Skip to: 12829
-/* 11183 */   MCD_OPC_CheckField, 21, 5, 0, 104, 6, // Skip to: 12829
-/* 11189 */   MCD_OPC_Decode, 201, 8, 176, 1, // Opcode: PRECEU_PH_QBRA
-/* 11194 */   MCD_OPC_FilterValue, 19, 31, 1, // Skip to: 11485
-/* 11198 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11201 */   MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 11214
-/* 11205 */   MCD_OPC_CheckPredicate, 5, 84, 6, // Skip to: 12829
-/* 11209 */   MCD_OPC_Decode, 182, 9, 181, 1, // Opcode: SHLL_QB
-/* 11214 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11227
-/* 11218 */   MCD_OPC_CheckPredicate, 5, 71, 6, // Skip to: 12829
-/* 11222 */   MCD_OPC_Decode, 198, 9, 181, 1, // Opcode: SHRL_QB
-/* 11227 */   MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 11240
-/* 11231 */   MCD_OPC_CheckPredicate, 5, 58, 6, // Skip to: 12829
-/* 11235 */   MCD_OPC_Decode, 178, 9, 182, 1, // Opcode: SHLLV_QB
-/* 11240 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11253
-/* 11244 */   MCD_OPC_CheckPredicate, 5, 45, 6, // Skip to: 12829
-/* 11248 */   MCD_OPC_Decode, 196, 9, 182, 1, // Opcode: SHRLV_QB
-/* 11253 */   MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 11266
-/* 11257 */   MCD_OPC_CheckPredicate, 15, 32, 6, // Skip to: 12829
-/* 11261 */   MCD_OPC_Decode, 191, 9, 181, 1, // Opcode: SHRA_QB
-/* 11266 */   MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 11279
-/* 11270 */   MCD_OPC_CheckPredicate, 15, 19, 6, // Skip to: 12829
-/* 11274 */   MCD_OPC_Decode, 193, 9, 181, 1, // Opcode: SHRA_R_QB
-/* 11279 */   MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 11292
-/* 11283 */   MCD_OPC_CheckPredicate, 15, 6, 6, // Skip to: 12829
-/* 11287 */   MCD_OPC_Decode, 186, 9, 182, 1, // Opcode: SHRAV_QB
-/* 11292 */   MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 11305
-/* 11296 */   MCD_OPC_CheckPredicate, 15, 249, 5, // Skip to: 12829
-/* 11300 */   MCD_OPC_Decode, 188, 9, 182, 1, // Opcode: SHRAV_R_QB
-/* 11305 */   MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 11318
-/* 11309 */   MCD_OPC_CheckPredicate, 5, 236, 5, // Skip to: 12829
-/* 11313 */   MCD_OPC_Decode, 181, 9, 181, 1, // Opcode: SHLL_PH
-/* 11318 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11331
-/* 11322 */   MCD_OPC_CheckPredicate, 5, 223, 5, // Skip to: 12829
-/* 11326 */   MCD_OPC_Decode, 190, 9, 181, 1, // Opcode: SHRA_PH
-/* 11331 */   MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 11344
-/* 11335 */   MCD_OPC_CheckPredicate, 5, 210, 5, // Skip to: 12829
-/* 11339 */   MCD_OPC_Decode, 177, 9, 182, 1, // Opcode: SHLLV_PH
-/* 11344 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11357
-/* 11348 */   MCD_OPC_CheckPredicate, 5, 197, 5, // Skip to: 12829
-/* 11352 */   MCD_OPC_Decode, 185, 9, 182, 1, // Opcode: SHRAV_PH
-/* 11357 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11370
-/* 11361 */   MCD_OPC_CheckPredicate, 5, 184, 5, // Skip to: 12829
-/* 11365 */   MCD_OPC_Decode, 183, 9, 181, 1, // Opcode: SHLL_S_PH
-/* 11370 */   MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 11383
-/* 11374 */   MCD_OPC_CheckPredicate, 5, 171, 5, // Skip to: 12829
-/* 11378 */   MCD_OPC_Decode, 192, 9, 181, 1, // Opcode: SHRA_R_PH
-/* 11383 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11396
-/* 11387 */   MCD_OPC_CheckPredicate, 5, 158, 5, // Skip to: 12829
-/* 11391 */   MCD_OPC_Decode, 179, 9, 182, 1, // Opcode: SHLLV_S_PH
-/* 11396 */   MCD_OPC_FilterValue, 15, 9, 0, // Skip to: 11409
-/* 11400 */   MCD_OPC_CheckPredicate, 5, 145, 5, // Skip to: 12829
-/* 11404 */   MCD_OPC_Decode, 187, 9, 182, 1, // Opcode: SHRAV_R_PH
-/* 11409 */   MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 11422
-/* 11413 */   MCD_OPC_CheckPredicate, 5, 132, 5, // Skip to: 12829
-/* 11417 */   MCD_OPC_Decode, 184, 9, 183, 1, // Opcode: SHLL_S_W
-/* 11422 */   MCD_OPC_FilterValue, 21, 9, 0, // Skip to: 11435
-/* 11426 */   MCD_OPC_CheckPredicate, 5, 119, 5, // Skip to: 12829
-/* 11430 */   MCD_OPC_Decode, 194, 9, 183, 1, // Opcode: SHRA_R_W
-/* 11435 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11447
-/* 11439 */   MCD_OPC_CheckPredicate, 5, 106, 5, // Skip to: 12829
-/* 11443 */   MCD_OPC_Decode, 180, 9, 14, // Opcode: SHLLV_S_W
-/* 11447 */   MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 11459
-/* 11451 */   MCD_OPC_CheckPredicate, 5, 94, 5, // Skip to: 12829
-/* 11455 */   MCD_OPC_Decode, 189, 9, 14, // Opcode: SHRAV_R_W
-/* 11459 */   MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 11472
-/* 11463 */   MCD_OPC_CheckPredicate, 15, 82, 5, // Skip to: 12829
-/* 11467 */   MCD_OPC_Decode, 197, 9, 181, 1, // Opcode: SHRL_PH
-/* 11472 */   MCD_OPC_FilterValue, 27, 73, 5, // Skip to: 12829
-/* 11476 */   MCD_OPC_CheckPredicate, 15, 69, 5, // Skip to: 12829
-/* 11480 */   MCD_OPC_Decode, 195, 9, 182, 1, // Opcode: SHRLV_PH
-/* 11485 */   MCD_OPC_FilterValue, 24, 199, 0, // Skip to: 11688
-/* 11489 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11492 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 11504
-/* 11496 */   MCD_OPC_CheckPredicate, 15, 49, 5, // Skip to: 12829
-/* 11500 */   MCD_OPC_Decode, 43, 170, 1, // Opcode: ADDUH_QB
-/* 11504 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 11517
-/* 11508 */   MCD_OPC_CheckPredicate, 15, 37, 5, // Skip to: 12829
-/* 11512 */   MCD_OPC_Decode, 191, 10, 170, 1, // Opcode: SUBUH_QB
-/* 11517 */   MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 11529
-/* 11521 */   MCD_OPC_CheckPredicate, 15, 24, 5, // Skip to: 12829
-/* 11525 */   MCD_OPC_Decode, 44, 170, 1, // Opcode: ADDUH_R_QB
-/* 11529 */   MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 11542
-/* 11533 */   MCD_OPC_CheckPredicate, 15, 12, 5, // Skip to: 12829
-/* 11537 */   MCD_OPC_Decode, 192, 10, 170, 1, // Opcode: SUBUH_R_QB
-/* 11542 */   MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 11554
-/* 11546 */   MCD_OPC_CheckPredicate, 15, 255, 4, // Skip to: 12829
-/* 11550 */   MCD_OPC_Decode, 23, 170, 1, // Opcode: ADDQH_PH
-/* 11554 */   MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 11567
-/* 11558 */   MCD_OPC_CheckPredicate, 15, 243, 4, // Skip to: 12829
-/* 11562 */   MCD_OPC_Decode, 168, 10, 170, 1, // Opcode: SUBQH_PH
-/* 11567 */   MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 11579
-/* 11571 */   MCD_OPC_CheckPredicate, 15, 230, 4, // Skip to: 12829
-/* 11575 */   MCD_OPC_Decode, 24, 170, 1, // Opcode: ADDQH_R_PH
-/* 11579 */   MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 11592
-/* 11583 */   MCD_OPC_CheckPredicate, 15, 218, 4, // Skip to: 12829
-/* 11587 */   MCD_OPC_Decode, 169, 10, 170, 1, // Opcode: SUBQH_R_PH
-/* 11592 */   MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 11605
-/* 11596 */   MCD_OPC_CheckPredicate, 15, 205, 4, // Skip to: 12829
-/* 11600 */   MCD_OPC_Decode, 252, 7, 170, 1, // Opcode: MUL_PH
-/* 11605 */   MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 11618
-/* 11609 */   MCD_OPC_CheckPredicate, 15, 192, 4, // Skip to: 12829
-/* 11613 */   MCD_OPC_Decode, 255, 7, 170, 1, // Opcode: MUL_S_PH
-/* 11618 */   MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 11629
-/* 11622 */   MCD_OPC_CheckPredicate, 15, 179, 4, // Skip to: 12829
-/* 11626 */   MCD_OPC_Decode, 26, 13, // Opcode: ADDQH_W
-/* 11629 */   MCD_OPC_FilterValue, 17, 8, 0, // Skip to: 11641
-/* 11633 */   MCD_OPC_CheckPredicate, 15, 168, 4, // Skip to: 12829
-/* 11637 */   MCD_OPC_Decode, 171, 10, 13, // Opcode: SUBQH_W
-/* 11641 */   MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 11652
-/* 11645 */   MCD_OPC_CheckPredicate, 15, 156, 4, // Skip to: 12829
-/* 11649 */   MCD_OPC_Decode, 25, 13, // Opcode: ADDQH_R_W
-/* 11652 */   MCD_OPC_FilterValue, 19, 8, 0, // Skip to: 11664
-/* 11656 */   MCD_OPC_CheckPredicate, 15, 145, 4, // Skip to: 12829
-/* 11660 */   MCD_OPC_Decode, 170, 10, 13, // Opcode: SUBQH_R_W
-/* 11664 */   MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 11676
-/* 11668 */   MCD_OPC_CheckPredicate, 15, 133, 4, // Skip to: 12829
-/* 11672 */   MCD_OPC_Decode, 236, 7, 13, // Opcode: MULQ_S_W
-/* 11676 */   MCD_OPC_FilterValue, 23, 125, 4, // Skip to: 12829
-/* 11680 */   MCD_OPC_CheckPredicate, 15, 121, 4, // Skip to: 12829
-/* 11684 */   MCD_OPC_Decode, 234, 7, 13, // Opcode: MULQ_RS_W
-/* 11688 */   MCD_OPC_FilterValue, 32, 60, 0, // Skip to: 11752
-/* 11692 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11695 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 11714
-/* 11699 */   MCD_OPC_CheckPredicate, 16, 102, 4, // Skip to: 12829
-/* 11703 */   MCD_OPC_CheckField, 21, 5, 0, 96, 4, // Skip to: 12829
-/* 11709 */   MCD_OPC_Decode, 190, 11, 180, 1, // Opcode: WSBH
-/* 11714 */   MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 11733
-/* 11718 */   MCD_OPC_CheckPredicate, 17, 83, 4, // Skip to: 12829
-/* 11722 */   MCD_OPC_CheckField, 21, 5, 0, 77, 4, // Skip to: 12829
-/* 11728 */   MCD_OPC_Decode, 164, 9, 180, 1, // Opcode: SEB
-/* 11733 */   MCD_OPC_FilterValue, 24, 68, 4, // Skip to: 12829
-/* 11737 */   MCD_OPC_CheckPredicate, 17, 64, 4, // Skip to: 12829
-/* 11741 */   MCD_OPC_CheckField, 21, 5, 0, 58, 4, // Skip to: 12829
-/* 11747 */   MCD_OPC_Decode, 167, 9, 180, 1, // Opcode: SEH
-/* 11752 */   MCD_OPC_FilterValue, 48, 143, 1, // Skip to: 12155
-/* 11756 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 11759 */   MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 11777
-/* 11763 */   MCD_OPC_CheckPredicate, 15, 38, 4, // Skip to: 12829
-/* 11767 */   MCD_OPC_CheckField, 13, 3, 0, 32, 4, // Skip to: 12829
-/* 11773 */   MCD_OPC_Decode, 218, 3, 88, // Opcode: DPA_W_PH
-/* 11777 */   MCD_OPC_FilterValue, 1, 14, 0, // Skip to: 11795
-/* 11781 */   MCD_OPC_CheckPredicate, 15, 20, 4, // Skip to: 12829
-/* 11785 */   MCD_OPC_CheckField, 13, 3, 0, 14, 4, // Skip to: 12829
-/* 11791 */   MCD_OPC_Decode, 232, 3, 88, // Opcode: DPS_W_PH
-/* 11795 */   MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 11813
-/* 11799 */   MCD_OPC_CheckPredicate, 15, 2, 4, // Skip to: 12829
-/* 11803 */   MCD_OPC_CheckField, 13, 3, 0, 252, 3, // Skip to: 12829
-/* 11809 */   MCD_OPC_Decode, 240, 7, 88, // Opcode: MULSA_W_PH
-/* 11813 */   MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 11831
-/* 11817 */   MCD_OPC_CheckPredicate, 5, 240, 3, // Skip to: 12829
-/* 11821 */   MCD_OPC_CheckField, 13, 3, 0, 234, 3, // Skip to: 12829
-/* 11827 */   MCD_OPC_Decode, 215, 3, 88, // Opcode: DPAU_H_QBL
-/* 11831 */   MCD_OPC_FilterValue, 4, 14, 0, // Skip to: 11849
-/* 11835 */   MCD_OPC_CheckPredicate, 5, 222, 3, // Skip to: 12829
-/* 11839 */   MCD_OPC_CheckField, 13, 3, 0, 216, 3, // Skip to: 12829
-/* 11845 */   MCD_OPC_Decode, 214, 3, 88, // Opcode: DPAQ_S_W_PH
-/* 11849 */   MCD_OPC_FilterValue, 5, 14, 0, // Skip to: 11867
-/* 11853 */   MCD_OPC_CheckPredicate, 5, 204, 3, // Skip to: 12829
-/* 11857 */   MCD_OPC_CheckField, 13, 3, 0, 198, 3, // Skip to: 12829
-/* 11863 */   MCD_OPC_Decode, 222, 3, 88, // Opcode: DPSQ_S_W_PH
-/* 11867 */   MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 11885
-/* 11871 */   MCD_OPC_CheckPredicate, 5, 186, 3, // Skip to: 12829
-/* 11875 */   MCD_OPC_CheckField, 13, 3, 0, 180, 3, // Skip to: 12829
-/* 11881 */   MCD_OPC_Decode, 239, 7, 88, // Opcode: MULSAQ_S_W_PH
-/* 11885 */   MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 11903
-/* 11889 */   MCD_OPC_CheckPredicate, 5, 168, 3, // Skip to: 12829
-/* 11893 */   MCD_OPC_CheckField, 13, 3, 0, 162, 3, // Skip to: 12829
-/* 11899 */   MCD_OPC_Decode, 216, 3, 88, // Opcode: DPAU_H_QBR
-/* 11903 */   MCD_OPC_FilterValue, 8, 14, 0, // Skip to: 11921
-/* 11907 */   MCD_OPC_CheckPredicate, 15, 150, 3, // Skip to: 12829
-/* 11911 */   MCD_OPC_CheckField, 13, 3, 0, 144, 3, // Skip to: 12829
-/* 11917 */   MCD_OPC_Decode, 217, 3, 88, // Opcode: DPAX_W_PH
-/* 11921 */   MCD_OPC_FilterValue, 9, 14, 0, // Skip to: 11939
-/* 11925 */   MCD_OPC_CheckPredicate, 15, 132, 3, // Skip to: 12829
-/* 11929 */   MCD_OPC_CheckField, 13, 3, 0, 126, 3, // Skip to: 12829
-/* 11935 */   MCD_OPC_Decode, 231, 3, 88, // Opcode: DPSX_W_PH
-/* 11939 */   MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 11957
-/* 11943 */   MCD_OPC_CheckPredicate, 5, 114, 3, // Skip to: 12829
-/* 11947 */   MCD_OPC_CheckField, 13, 3, 0, 108, 3, // Skip to: 12829
-/* 11953 */   MCD_OPC_Decode, 229, 3, 88, // Opcode: DPSU_H_QBL
-/* 11957 */   MCD_OPC_FilterValue, 12, 14, 0, // Skip to: 11975
-/* 11961 */   MCD_OPC_CheckPredicate, 5, 96, 3, // Skip to: 12829
-/* 11965 */   MCD_OPC_CheckField, 13, 3, 0, 90, 3, // Skip to: 12829
-/* 11971 */   MCD_OPC_Decode, 213, 3, 88, // Opcode: DPAQ_SA_L_W
-/* 11975 */   MCD_OPC_FilterValue, 13, 14, 0, // Skip to: 11993
-/* 11979 */   MCD_OPC_CheckPredicate, 5, 78, 3, // Skip to: 12829
-/* 11983 */   MCD_OPC_CheckField, 13, 3, 0, 72, 3, // Skip to: 12829
-/* 11989 */   MCD_OPC_Decode, 221, 3, 88, // Opcode: DPSQ_SA_L_W
-/* 11993 */   MCD_OPC_FilterValue, 15, 14, 0, // Skip to: 12011
-/* 11997 */   MCD_OPC_CheckPredicate, 5, 60, 3, // Skip to: 12829
-/* 12001 */   MCD_OPC_CheckField, 13, 3, 0, 54, 3, // Skip to: 12829
-/* 12007 */   MCD_OPC_Decode, 230, 3, 88, // Opcode: DPSU_H_QBR
-/* 12011 */   MCD_OPC_FilterValue, 16, 14, 0, // Skip to: 12029
-/* 12015 */   MCD_OPC_CheckPredicate, 5, 42, 3, // Skip to: 12829
-/* 12019 */   MCD_OPC_CheckField, 13, 3, 0, 36, 3, // Skip to: 12829
-/* 12025 */   MCD_OPC_Decode, 212, 6, 88, // Opcode: MAQ_SA_W_PHL
-/* 12029 */   MCD_OPC_FilterValue, 18, 14, 0, // Skip to: 12047
-/* 12033 */   MCD_OPC_CheckPredicate, 5, 24, 3, // Skip to: 12829
-/* 12037 */   MCD_OPC_CheckField, 13, 3, 0, 18, 3, // Skip to: 12829
-/* 12043 */   MCD_OPC_Decode, 213, 6, 88, // Opcode: MAQ_SA_W_PHR
-/* 12047 */   MCD_OPC_FilterValue, 20, 14, 0, // Skip to: 12065
-/* 12051 */   MCD_OPC_CheckPredicate, 5, 6, 3, // Skip to: 12829
-/* 12055 */   MCD_OPC_CheckField, 13, 3, 0, 0, 3, // Skip to: 12829
-/* 12061 */   MCD_OPC_Decode, 214, 6, 88, // Opcode: MAQ_S_W_PHL
-/* 12065 */   MCD_OPC_FilterValue, 22, 14, 0, // Skip to: 12083
-/* 12069 */   MCD_OPC_CheckPredicate, 5, 244, 2, // Skip to: 12829
-/* 12073 */   MCD_OPC_CheckField, 13, 3, 0, 238, 2, // Skip to: 12829
-/* 12079 */   MCD_OPC_Decode, 215, 6, 88, // Opcode: MAQ_S_W_PHR
-/* 12083 */   MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 12101
-/* 12087 */   MCD_OPC_CheckPredicate, 15, 226, 2, // Skip to: 12829
-/* 12091 */   MCD_OPC_CheckField, 13, 3, 0, 220, 2, // Skip to: 12829
-/* 12097 */   MCD_OPC_Decode, 212, 3, 88, // Opcode: DPAQX_S_W_PH
-/* 12101 */   MCD_OPC_FilterValue, 25, 14, 0, // Skip to: 12119
-/* 12105 */   MCD_OPC_CheckPredicate, 15, 208, 2, // Skip to: 12829
-/* 12109 */   MCD_OPC_CheckField, 13, 3, 0, 202, 2, // Skip to: 12829
-/* 12115 */   MCD_OPC_Decode, 220, 3, 88, // Opcode: DPSQX_S_W_PH
-/* 12119 */   MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 12137
-/* 12123 */   MCD_OPC_CheckPredicate, 15, 190, 2, // Skip to: 12829
-/* 12127 */   MCD_OPC_CheckField, 13, 3, 0, 184, 2, // Skip to: 12829
-/* 12133 */   MCD_OPC_Decode, 211, 3, 88, // Opcode: DPAQX_SA_W_PH
-/* 12137 */   MCD_OPC_FilterValue, 27, 176, 2, // Skip to: 12829
-/* 12141 */   MCD_OPC_CheckPredicate, 15, 172, 2, // Skip to: 12829
-/* 12145 */   MCD_OPC_CheckField, 13, 3, 0, 166, 2, // Skip to: 12829
-/* 12151 */   MCD_OPC_Decode, 219, 3, 88, // Opcode: DPSQX_SA_W_PH
-/* 12155 */   MCD_OPC_FilterValue, 49, 41, 0, // Skip to: 12200
-/* 12159 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12162 */   MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 12174
-/* 12166 */   MCD_OPC_CheckPredicate, 15, 147, 2, // Skip to: 12829
-/* 12170 */   MCD_OPC_Decode, 82, 184, 1, // Opcode: APPEND
-/* 12174 */   MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 12187
-/* 12178 */   MCD_OPC_CheckPredicate, 15, 135, 2, // Skip to: 12829
-/* 12182 */   MCD_OPC_Decode, 209, 8, 184, 1, // Opcode: PREPEND
-/* 12187 */   MCD_OPC_FilterValue, 16, 126, 2, // Skip to: 12829
-/* 12191 */   MCD_OPC_CheckPredicate, 15, 122, 2, // Skip to: 12829
-/* 12195 */   MCD_OPC_Decode, 149, 1, 184, 1, // Opcode: BALIGN
-/* 12200 */   MCD_OPC_FilterValue, 56, 58, 1, // Skip to: 12518
-/* 12204 */   MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 12207 */   MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 12226
-/* 12211 */   MCD_OPC_CheckPredicate, 5, 102, 2, // Skip to: 12829
-/* 12215 */   MCD_OPC_CheckField, 13, 3, 0, 96, 2, // Skip to: 12829
-/* 12221 */   MCD_OPC_Decode, 141, 4, 185, 1, // Opcode: EXTR_W
-/* 12226 */   MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 12245
-/* 12230 */   MCD_OPC_CheckPredicate, 5, 83, 2, // Skip to: 12829
-/* 12234 */   MCD_OPC_CheckField, 13, 3, 0, 77, 2, // Skip to: 12829
-/* 12240 */   MCD_OPC_Decode, 137, 4, 186, 1, // Opcode: EXTRV_W
-/* 12245 */   MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 12264
-/* 12249 */   MCD_OPC_CheckPredicate, 5, 64, 2, // Skip to: 12829
-/* 12253 */   MCD_OPC_CheckField, 13, 3, 0, 58, 2, // Skip to: 12829
-/* 12259 */   MCD_OPC_Decode, 130, 4, 185, 1, // Opcode: EXTP
-/* 12264 */   MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 12283
-/* 12268 */   MCD_OPC_CheckPredicate, 5, 45, 2, // Skip to: 12829
-/* 12272 */   MCD_OPC_CheckField, 13, 3, 0, 39, 2, // Skip to: 12829
-/* 12278 */   MCD_OPC_Decode, 133, 4, 186, 1, // Opcode: EXTPV
-/* 12283 */   MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 12302
-/* 12287 */   MCD_OPC_CheckPredicate, 5, 26, 2, // Skip to: 12829
-/* 12291 */   MCD_OPC_CheckField, 13, 3, 0, 20, 2, // Skip to: 12829
-/* 12297 */   MCD_OPC_Decode, 139, 4, 185, 1, // Opcode: EXTR_R_W
-/* 12302 */   MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 12321
-/* 12306 */   MCD_OPC_CheckPredicate, 5, 7, 2, // Skip to: 12829
-/* 12310 */   MCD_OPC_CheckField, 13, 3, 0, 1, 2, // Skip to: 12829
-/* 12316 */   MCD_OPC_Decode, 135, 4, 186, 1, // Opcode: EXTRV_R_W
-/* 12321 */   MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 12340
-/* 12325 */   MCD_OPC_CheckPredicate, 5, 244, 1, // Skip to: 12829
-/* 12329 */   MCD_OPC_CheckField, 13, 3, 0, 238, 1, // Skip to: 12829
-/* 12335 */   MCD_OPC_Decode, 138, 4, 185, 1, // Opcode: EXTR_RS_W
-/* 12340 */   MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 12359
-/* 12344 */   MCD_OPC_CheckPredicate, 5, 225, 1, // Skip to: 12829
-/* 12348 */   MCD_OPC_CheckField, 13, 3, 0, 219, 1, // Skip to: 12829
-/* 12354 */   MCD_OPC_Decode, 134, 4, 186, 1, // Opcode: EXTRV_RS_W
-/* 12359 */   MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 12378
-/* 12363 */   MCD_OPC_CheckPredicate, 5, 206, 1, // Skip to: 12829
-/* 12367 */   MCD_OPC_CheckField, 13, 3, 0, 200, 1, // Skip to: 12829
-/* 12373 */   MCD_OPC_Decode, 131, 4, 185, 1, // Opcode: EXTPDP
-/* 12378 */   MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 12397
-/* 12382 */   MCD_OPC_CheckPredicate, 5, 187, 1, // Skip to: 12829
-/* 12386 */   MCD_OPC_CheckField, 13, 3, 0, 181, 1, // Skip to: 12829
-/* 12392 */   MCD_OPC_Decode, 132, 4, 186, 1, // Opcode: EXTPDPV
-/* 12397 */   MCD_OPC_FilterValue, 14, 15, 0, // Skip to: 12416
-/* 12401 */   MCD_OPC_CheckPredicate, 5, 168, 1, // Skip to: 12829
-/* 12405 */   MCD_OPC_CheckField, 13, 3, 0, 162, 1, // Skip to: 12829
-/* 12411 */   MCD_OPC_Decode, 140, 4, 185, 1, // Opcode: EXTR_S_H
-/* 12416 */   MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 12435
-/* 12420 */   MCD_OPC_CheckPredicate, 5, 149, 1, // Skip to: 12829
-/* 12424 */   MCD_OPC_CheckField, 13, 3, 0, 143, 1, // Skip to: 12829
-/* 12430 */   MCD_OPC_Decode, 136, 4, 186, 1, // Opcode: EXTRV_S_H
-/* 12435 */   MCD_OPC_FilterValue, 18, 9, 0, // Skip to: 12448
-/* 12439 */   MCD_OPC_CheckPredicate, 5, 130, 1, // Skip to: 12829
-/* 12443 */   MCD_OPC_Decode, 243, 8, 187, 1, // Opcode: RDDSP
-/* 12448 */   MCD_OPC_FilterValue, 19, 9, 0, // Skip to: 12461
-/* 12452 */   MCD_OPC_CheckPredicate, 5, 117, 1, // Skip to: 12829
-/* 12456 */   MCD_OPC_Decode, 189, 11, 188, 1, // Opcode: WRDSP
-/* 12461 */   MCD_OPC_FilterValue, 26, 15, 0, // Skip to: 12480
-/* 12465 */   MCD_OPC_CheckPredicate, 5, 104, 1, // Skip to: 12829
-/* 12469 */   MCD_OPC_CheckField, 13, 7, 0, 98, 1, // Skip to: 12829
-/* 12475 */   MCD_OPC_Decode, 175, 9, 189, 1, // Opcode: SHILO
-/* 12480 */   MCD_OPC_FilterValue, 27, 15, 0, // Skip to: 12499
-/* 12484 */   MCD_OPC_CheckPredicate, 5, 85, 1, // Skip to: 12829
-/* 12488 */   MCD_OPC_CheckField, 13, 8, 0, 79, 1, // Skip to: 12829
-/* 12494 */   MCD_OPC_Decode, 176, 9, 190, 1, // Opcode: SHILOV
-/* 12499 */   MCD_OPC_FilterValue, 31, 70, 1, // Skip to: 12829
-/* 12503 */   MCD_OPC_CheckPredicate, 5, 66, 1, // Skip to: 12829
-/* 12507 */   MCD_OPC_CheckField, 13, 8, 0, 60, 1, // Skip to: 12829
-/* 12513 */   MCD_OPC_Decode, 223, 7, 190, 1, // Opcode: MTHLIP
-/* 12518 */   MCD_OPC_FilterValue, 59, 51, 1, // Skip to: 12829
-/* 12522 */   MCD_OPC_CheckPredicate, 2, 47, 1, // Skip to: 12829
-/* 12526 */   MCD_OPC_CheckField, 21, 5, 0, 41, 1, // Skip to: 12829
-/* 12532 */   MCD_OPC_CheckField, 6, 5, 0, 35, 1, // Skip to: 12829
-/* 12538 */   MCD_OPC_Decode, 244, 8, 191, 1, // Opcode: RDHWR
-/* 12543 */   MCD_OPC_FilterValue, 32, 9, 0, // Skip to: 12556
-/* 12547 */   MCD_OPC_CheckPredicate, 2, 22, 1, // Skip to: 12829
-/* 12551 */   MCD_OPC_Decode, 241, 5, 192, 1, // Opcode: LB
-/* 12556 */   MCD_OPC_FilterValue, 33, 9, 0, // Skip to: 12569
-/* 12560 */   MCD_OPC_CheckPredicate, 2, 9, 1, // Skip to: 12829
-/* 12564 */   MCD_OPC_Decode, 140, 6, 192, 1, // Opcode: LH
-/* 12569 */   MCD_OPC_FilterValue, 34, 9, 0, // Skip to: 12582
-/* 12573 */   MCD_OPC_CheckPredicate, 18, 252, 0, // Skip to: 12829
-/* 12577 */   MCD_OPC_Decode, 166, 6, 192, 1, // Opcode: LWL
-/* 12582 */   MCD_OPC_FilterValue, 35, 9, 0, // Skip to: 12595
-/* 12586 */   MCD_OPC_CheckPredicate, 2, 239, 0, // Skip to: 12829
-/* 12590 */   MCD_OPC_Decode, 161, 6, 192, 1, // Opcode: LW
-/* 12595 */   MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 12608
-/* 12599 */   MCD_OPC_CheckPredicate, 2, 226, 0, // Skip to: 12829
-/* 12603 */   MCD_OPC_Decode, 245, 5, 192, 1, // Opcode: LBu
-/* 12608 */   MCD_OPC_FilterValue, 37, 9, 0, // Skip to: 12621
-/* 12612 */   MCD_OPC_CheckPredicate, 2, 213, 0, // Skip to: 12829
-/* 12616 */   MCD_OPC_Decode, 144, 6, 192, 1, // Opcode: LHu
-/* 12621 */   MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 12634
-/* 12625 */   MCD_OPC_CheckPredicate, 18, 200, 0, // Skip to: 12829
-/* 12629 */   MCD_OPC_Decode, 169, 6, 192, 1, // Opcode: LWR
-/* 12634 */   MCD_OPC_FilterValue, 40, 9, 0, // Skip to: 12647
-/* 12638 */   MCD_OPC_CheckPredicate, 2, 187, 0, // Skip to: 12829
-/* 12642 */   MCD_OPC_Decode, 147, 9, 192, 1, // Opcode: SB
-/* 12647 */   MCD_OPC_FilterValue, 41, 9, 0, // Skip to: 12660
-/* 12651 */   MCD_OPC_CheckPredicate, 2, 174, 0, // Skip to: 12829
-/* 12655 */   MCD_OPC_Decode, 170, 9, 192, 1, // Opcode: SH
-/* 12660 */   MCD_OPC_FilterValue, 42, 9, 0, // Skip to: 12673
-/* 12664 */   MCD_OPC_CheckPredicate, 18, 161, 0, // Skip to: 12829
-/* 12668 */   MCD_OPC_Decode, 216, 10, 192, 1, // Opcode: SWL
-/* 12673 */   MCD_OPC_FilterValue, 43, 9, 0, // Skip to: 12686
-/* 12677 */   MCD_OPC_CheckPredicate, 2, 148, 0, // Skip to: 12829
-/* 12681 */   MCD_OPC_Decode, 211, 10, 192, 1, // Opcode: SW
-/* 12686 */   MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 12699
-/* 12690 */   MCD_OPC_CheckPredicate, 18, 135, 0, // Skip to: 12829
-/* 12694 */   MCD_OPC_Decode, 219, 10, 192, 1, // Opcode: SWR
-/* 12699 */   MCD_OPC_FilterValue, 48, 9, 0, // Skip to: 12712
-/* 12703 */   MCD_OPC_CheckPredicate, 18, 122, 0, // Skip to: 12829
-/* 12707 */   MCD_OPC_Decode, 147, 6, 192, 1, // Opcode: LL
-/* 12712 */   MCD_OPC_FilterValue, 49, 9, 0, // Skip to: 12725
-/* 12716 */   MCD_OPC_CheckPredicate, 2, 109, 0, // Skip to: 12829
-/* 12720 */   MCD_OPC_Decode, 163, 6, 193, 1, // Opcode: LWC1
-/* 12725 */   MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 12738
-/* 12729 */   MCD_OPC_CheckPredicate, 2, 96, 0, // Skip to: 12829
-/* 12733 */   MCD_OPC_Decode, 165, 6, 193, 1, // Opcode: LWC2
-/* 12738 */   MCD_OPC_FilterValue, 53, 9, 0, // Skip to: 12751
-/* 12742 */   MCD_OPC_CheckPredicate, 8, 83, 0, // Skip to: 12829
-/* 12746 */   MCD_OPC_Decode, 249, 5, 193, 1, // Opcode: LDC1
-/* 12751 */   MCD_OPC_FilterValue, 54, 9, 0, // Skip to: 12764
-/* 12755 */   MCD_OPC_CheckPredicate, 2, 70, 0, // Skip to: 12829
-/* 12759 */   MCD_OPC_Decode, 252, 5, 193, 1, // Opcode: LDC2
-/* 12764 */   MCD_OPC_FilterValue, 56, 9, 0, // Skip to: 12777
-/* 12768 */   MCD_OPC_CheckPredicate, 18, 57, 0, // Skip to: 12829
-/* 12772 */   MCD_OPC_Decode, 150, 9, 192, 1, // Opcode: SC
-/* 12777 */   MCD_OPC_FilterValue, 57, 9, 0, // Skip to: 12790
-/* 12781 */   MCD_OPC_CheckPredicate, 2, 44, 0, // Skip to: 12829
-/* 12785 */   MCD_OPC_Decode, 213, 10, 193, 1, // Opcode: SWC1
-/* 12790 */   MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 12803
-/* 12794 */   MCD_OPC_CheckPredicate, 2, 31, 0, // Skip to: 12829
-/* 12798 */   MCD_OPC_Decode, 215, 10, 193, 1, // Opcode: SWC2
-/* 12803 */   MCD_OPC_FilterValue, 61, 9, 0, // Skip to: 12816
-/* 12807 */   MCD_OPC_CheckPredicate, 8, 18, 0, // Skip to: 12829
-/* 12811 */   MCD_OPC_Decode, 154, 9, 193, 1, // Opcode: SDC1
-/* 12816 */   MCD_OPC_FilterValue, 62, 9, 0, // Skip to: 12829
-/* 12820 */   MCD_OPC_CheckPredicate, 2, 5, 0, // Skip to: 12829
-/* 12824 */   MCD_OPC_Decode, 157, 9, 193, 1, // Opcode: SDC2
-/* 12829 */   MCD_OPC_Fail,
+/* 373 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 386
+/* 377 */     MCD_OPC_CheckPredicate, 34, 187, 5, // Skip to: 1848
+/* 381 */     MCD_OPC_Decode, 254, 3, 197, 1, // Opcode: DDIV
+/* 386 */     MCD_OPC_FilterValue, 3, 178, 5, // Skip to: 1848
+/* 390 */     MCD_OPC_CheckPredicate, 34, 174, 5, // Skip to: 1848
+/* 394 */     MCD_OPC_Decode, 153, 4, 197, 1, // Opcode: DMOD
+/* 399 */     MCD_OPC_FilterValue, 31, 29, 0, // Skip to: 432
+/* 403 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 406 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 419
+/* 410 */     MCD_OPC_CheckPredicate, 34, 154, 5, // Skip to: 1848
+/* 414 */     MCD_OPC_Decode, 255, 3, 197, 1, // Opcode: DDIVU
+/* 419 */     MCD_OPC_FilterValue, 3, 145, 5, // Skip to: 1848
+/* 423 */     MCD_OPC_CheckPredicate, 34, 141, 5, // Skip to: 1848
+/* 427 */     MCD_OPC_Decode, 154, 4, 197, 1, // Opcode: DMODU
+/* 432 */     MCD_OPC_FilterValue, 53, 14, 0, // Skip to: 450
+/* 436 */     MCD_OPC_CheckPredicate, 35, 128, 5, // Skip to: 1848
+/* 440 */     MCD_OPC_CheckField, 6, 5, 0, 122, 5, // Skip to: 1848
+/* 446 */     MCD_OPC_Decode, 211, 10, 16, // Opcode: SELEQZ
+/* 450 */     MCD_OPC_FilterValue, 55, 114, 5, // Skip to: 1848
+/* 454 */     MCD_OPC_CheckPredicate, 35, 110, 5, // Skip to: 1848
+/* 458 */     MCD_OPC_CheckField, 6, 5, 0, 104, 5, // Skip to: 1848
+/* 464 */     MCD_OPC_Decode, 215, 10, 16, // Opcode: SELNEZ
+/* 468 */     MCD_OPC_FilterValue, 1, 47, 0, // Skip to: 519
+/* 472 */     MCD_OPC_ExtractField, 16, 5,  // Inst{20-16} ...
+/* 475 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 488
+/* 479 */     MCD_OPC_CheckPredicate, 34, 85, 5, // Skip to: 1848
+/* 483 */     MCD_OPC_Decode, 245, 3, 198, 1, // Opcode: DAHI
+/* 488 */     MCD_OPC_FilterValue, 17, 14, 0, // Skip to: 506
+/* 492 */     MCD_OPC_CheckPredicate, 33, 72, 5, // Skip to: 1848
+/* 496 */     MCD_OPC_CheckField, 21, 5, 0, 66, 5, // Skip to: 1848
+/* 502 */     MCD_OPC_Decode, 156, 1, 52, // Opcode: BAL
+/* 506 */     MCD_OPC_FilterValue, 30, 58, 5, // Skip to: 1848
+/* 510 */     MCD_OPC_CheckPredicate, 34, 54, 5, // Skip to: 1848
+/* 514 */     MCD_OPC_Decode, 247, 3, 198, 1, // Opcode: DATI
+/* 519 */     MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 532
+/* 523 */     MCD_OPC_CheckPredicate, 33, 41, 5, // Skip to: 1848
+/* 527 */     MCD_OPC_Decode, 188, 1, 199, 1, // Opcode: BGEZALC
+/* 532 */     MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 545
+/* 536 */     MCD_OPC_CheckPredicate, 33, 28, 5, // Skip to: 1848
+/* 540 */     MCD_OPC_Decode, 225, 1, 200, 1, // Opcode: BLTZALC
+/* 545 */     MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 558
+/* 549 */     MCD_OPC_CheckPredicate, 33, 15, 5, // Skip to: 1848
+/* 553 */     MCD_OPC_Decode, 179, 1, 201, 1, // Opcode: BEQC
+/* 558 */     MCD_OPC_FilterValue, 15, 7, 0, // Skip to: 569
+/* 562 */     MCD_OPC_CheckPredicate, 33, 2, 5, // Skip to: 1848
+/* 566 */     MCD_OPC_Decode, 127, 26, // Opcode: AUI
+/* 569 */     MCD_OPC_FilterValue, 17, 5, 3, // Skip to: 1346
+/* 573 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 576 */     MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 589
+/* 580 */     MCD_OPC_CheckPredicate, 33, 240, 4, // Skip to: 1848
+/* 584 */     MCD_OPC_Decode, 161, 1, 202, 1, // Opcode: BC1EQZ
+/* 589 */     MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 602
+/* 593 */     MCD_OPC_CheckPredicate, 33, 227, 4, // Skip to: 1848
+/* 597 */     MCD_OPC_Decode, 164, 1, 202, 1, // Opcode: BC1NEZ
+/* 602 */     MCD_OPC_FilterValue, 16, 150, 0, // Skip to: 756
+/* 606 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 609 */     MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 622
+/* 613 */     MCD_OPC_CheckPredicate, 33, 207, 4, // Skip to: 1848
+/* 617 */     MCD_OPC_Decode, 220, 10, 203, 1, // Opcode: SEL_S
+/* 622 */     MCD_OPC_FilterValue, 20, 8, 0, // Skip to: 634
+/* 626 */     MCD_OPC_CheckPredicate, 33, 194, 4, // Skip to: 1848
+/* 630 */     MCD_OPC_Decode, 214, 10, 68, // Opcode: SELEQZ_S
+/* 634 */     MCD_OPC_FilterValue, 23, 8, 0, // Skip to: 646
+/* 638 */     MCD_OPC_CheckPredicate, 33, 182, 4, // Skip to: 1848
+/* 642 */     MCD_OPC_Decode, 218, 10, 68, // Opcode: SELNEZ_S
+/* 646 */     MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 659
+/* 650 */     MCD_OPC_CheckPredicate, 33, 170, 4, // Skip to: 1848
+/* 654 */     MCD_OPC_Decode, 193, 7, 204, 1, // Opcode: MADDF_S
+/* 659 */     MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 672
+/* 663 */     MCD_OPC_CheckPredicate, 33, 157, 4, // Skip to: 1848
+/* 667 */     MCD_OPC_Decode, 210, 8, 204, 1, // Opcode: MSUBF_S
+/* 672 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 690
+/* 676 */     MCD_OPC_CheckPredicate, 33, 144, 4, // Skip to: 1848
+/* 680 */     MCD_OPC_CheckField, 16, 5, 0, 138, 4, // Skip to: 1848
+/* 686 */     MCD_OPC_Decode, 158, 10, 69, // Opcode: RINT_S
+/* 690 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 708
+/* 694 */     MCD_OPC_CheckPredicate, 33, 126, 4, // Skip to: 1848
+/* 698 */     MCD_OPC_CheckField, 16, 5, 0, 120, 4, // Skip to: 1848
+/* 704 */     MCD_OPC_Decode, 198, 2, 69, // Opcode: CLASS_S
+/* 708 */     MCD_OPC_FilterValue, 28, 8, 0, // Skip to: 720
+/* 712 */     MCD_OPC_CheckPredicate, 33, 108, 4, // Skip to: 1848
+/* 716 */     MCD_OPC_Decode, 144, 8, 68, // Opcode: MIN_S
+/* 720 */     MCD_OPC_FilterValue, 29, 8, 0, // Skip to: 732
+/* 724 */     MCD_OPC_CheckPredicate, 33, 96, 4, // Skip to: 1848
+/* 728 */     MCD_OPC_Decode, 231, 7, 68, // Opcode: MAX_S
+/* 732 */     MCD_OPC_FilterValue, 30, 8, 0, // Skip to: 744
+/* 736 */     MCD_OPC_CheckPredicate, 33, 84, 4, // Skip to: 1848
+/* 740 */     MCD_OPC_Decode, 130, 8, 68, // Opcode: MINA_S
+/* 744 */     MCD_OPC_FilterValue, 31, 76, 4, // Skip to: 1848
+/* 748 */     MCD_OPC_CheckPredicate, 33, 72, 4, // Skip to: 1848
+/* 752 */     MCD_OPC_Decode, 217, 7, 68, // Opcode: MAXA_S
+/* 756 */     MCD_OPC_FilterValue, 17, 156, 0, // Skip to: 916
+/* 760 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 763 */     MCD_OPC_FilterValue, 16, 9, 0, // Skip to: 776
+/* 767 */     MCD_OPC_CheckPredicate, 33, 53, 4, // Skip to: 1848
+/* 771 */     MCD_OPC_Decode, 219, 10, 205, 1, // Opcode: SEL_D
+/* 776 */     MCD_OPC_FilterValue, 20, 9, 0, // Skip to: 789
+/* 780 */     MCD_OPC_CheckPredicate, 33, 40, 4, // Skip to: 1848
+/* 784 */     MCD_OPC_Decode, 213, 10, 206, 1, // Opcode: SELEQZ_D
+/* 789 */     MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 802
+/* 793 */     MCD_OPC_CheckPredicate, 33, 27, 4, // Skip to: 1848
+/* 797 */     MCD_OPC_Decode, 217, 10, 206, 1, // Opcode: SELNEZ_D
+/* 802 */     MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 815
+/* 806 */     MCD_OPC_CheckPredicate, 33, 14, 4, // Skip to: 1848
+/* 810 */     MCD_OPC_Decode, 192, 7, 207, 1, // Opcode: MADDF_D
+/* 815 */     MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 828
+/* 819 */     MCD_OPC_CheckPredicate, 33, 1, 4, // Skip to: 1848
+/* 823 */     MCD_OPC_Decode, 209, 8, 207, 1, // Opcode: MSUBF_D
+/* 828 */     MCD_OPC_FilterValue, 26, 14, 0, // Skip to: 846
+/* 832 */     MCD_OPC_CheckPredicate, 33, 244, 3, // Skip to: 1848
+/* 836 */     MCD_OPC_CheckField, 16, 5, 0, 238, 3, // Skip to: 1848
+/* 842 */     MCD_OPC_Decode, 157, 10, 80, // Opcode: RINT_D
+/* 846 */     MCD_OPC_FilterValue, 27, 14, 0, // Skip to: 864
+/* 850 */     MCD_OPC_CheckPredicate, 33, 226, 3, // Skip to: 1848
+/* 854 */     MCD_OPC_CheckField, 16, 5, 0, 220, 3, // Skip to: 1848
+/* 860 */     MCD_OPC_Decode, 197, 2, 80, // Opcode: CLASS_D
+/* 864 */     MCD_OPC_FilterValue, 28, 9, 0, // Skip to: 877
+/* 868 */     MCD_OPC_CheckPredicate, 33, 208, 3, // Skip to: 1848
+/* 872 */     MCD_OPC_Decode, 143, 8, 206, 1, // Opcode: MIN_D
+/* 877 */     MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 890
+/* 881 */     MCD_OPC_CheckPredicate, 33, 195, 3, // Skip to: 1848
+/* 885 */     MCD_OPC_Decode, 230, 7, 206, 1, // Opcode: MAX_D
+/* 890 */     MCD_OPC_FilterValue, 30, 9, 0, // Skip to: 903
+/* 894 */     MCD_OPC_CheckPredicate, 33, 182, 3, // Skip to: 1848
+/* 898 */     MCD_OPC_Decode, 129, 8, 206, 1, // Opcode: MINA_D
+/* 903 */     MCD_OPC_FilterValue, 31, 173, 3, // Skip to: 1848
+/* 907 */     MCD_OPC_CheckPredicate, 33, 169, 3, // Skip to: 1848
+/* 911 */     MCD_OPC_Decode, 216, 7, 206, 1, // Opcode: MAXA_D
+/* 916 */     MCD_OPC_FilterValue, 20, 211, 0, // Skip to: 1131
+/* 920 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 923 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 936
+/* 927 */     MCD_OPC_CheckPredicate, 33, 149, 3, // Skip to: 1848
+/* 931 */     MCD_OPC_Decode, 250, 2, 208, 1, // Opcode: CMP_F_S
+/* 936 */     MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 949
+/* 940 */     MCD_OPC_CheckPredicate, 33, 136, 3, // Skip to: 1848
+/* 944 */     MCD_OPC_Decode, 152, 3, 208, 1, // Opcode: CMP_UN_S
+/* 949 */     MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 962
+/* 953 */     MCD_OPC_CheckPredicate, 33, 123, 3, // Skip to: 1848
+/* 957 */     MCD_OPC_Decode, 248, 2, 208, 1, // Opcode: CMP_EQ_S
+/* 962 */     MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 975
+/* 966 */     MCD_OPC_CheckPredicate, 33, 110, 3, // Skip to: 1848
+/* 970 */     MCD_OPC_Decode, 146, 3, 208, 1, // Opcode: CMP_UEQ_S
+/* 975 */     MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 988
+/* 979 */     MCD_OPC_CheckPredicate, 33, 97, 3, // Skip to: 1848
+/* 983 */     MCD_OPC_Decode, 128, 3, 208, 1, // Opcode: CMP_LT_S
+/* 988 */     MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1001
+/* 992 */     MCD_OPC_CheckPredicate, 33, 84, 3, // Skip to: 1848
+/* 996 */     MCD_OPC_Decode, 150, 3, 208, 1, // Opcode: CMP_ULT_S
+/* 1001 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1014
+/* 1005 */    MCD_OPC_CheckPredicate, 33, 71, 3, // Skip to: 1848
+/* 1009 */    MCD_OPC_Decode, 253, 2, 208, 1, // Opcode: CMP_LE_S
+/* 1014 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1027
+/* 1018 */    MCD_OPC_CheckPredicate, 33, 58, 3, // Skip to: 1848
+/* 1022 */    MCD_OPC_Decode, 148, 3, 208, 1, // Opcode: CMP_ULE_S
+/* 1027 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1040
+/* 1031 */    MCD_OPC_CheckPredicate, 33, 45, 3, // Skip to: 1848
+/* 1035 */    MCD_OPC_Decode, 130, 3, 208, 1, // Opcode: CMP_SAF_S
+/* 1040 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1053
+/* 1044 */    MCD_OPC_CheckPredicate, 33, 32, 3, // Skip to: 1848
+/* 1048 */    MCD_OPC_Decode, 144, 3, 208, 1, // Opcode: CMP_SUN_S
+/* 1053 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1066
+/* 1057 */    MCD_OPC_CheckPredicate, 33, 19, 3, // Skip to: 1848
+/* 1061 */    MCD_OPC_Decode, 132, 3, 208, 1, // Opcode: CMP_SEQ_S
+/* 1066 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1079
+/* 1070 */    MCD_OPC_CheckPredicate, 33, 6, 3, // Skip to: 1848
+/* 1074 */    MCD_OPC_Decode, 138, 3, 208, 1, // Opcode: CMP_SUEQ_S
+/* 1079 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 1092
+/* 1083 */    MCD_OPC_CheckPredicate, 33, 249, 2, // Skip to: 1848
+/* 1087 */    MCD_OPC_Decode, 136, 3, 208, 1, // Opcode: CMP_SLT_S
+/* 1092 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1105
+/* 1096 */    MCD_OPC_CheckPredicate, 33, 236, 2, // Skip to: 1848
+/* 1100 */    MCD_OPC_Decode, 142, 3, 208, 1, // Opcode: CMP_SULT_S
+/* 1105 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1118
+/* 1109 */    MCD_OPC_CheckPredicate, 33, 223, 2, // Skip to: 1848
+/* 1113 */    MCD_OPC_Decode, 134, 3, 208, 1, // Opcode: CMP_SLE_S
+/* 1118 */    MCD_OPC_FilterValue, 15, 214, 2, // Skip to: 1848
+/* 1122 */    MCD_OPC_CheckPredicate, 33, 210, 2, // Skip to: 1848
+/* 1126 */    MCD_OPC_Decode, 140, 3, 208, 1, // Opcode: CMP_SULE_S
+/* 1131 */    MCD_OPC_FilterValue, 21, 201, 2, // Skip to: 1848
+/* 1135 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1138 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1151
+/* 1142 */    MCD_OPC_CheckPredicate, 33, 190, 2, // Skip to: 1848
+/* 1146 */    MCD_OPC_Decode, 249, 2, 209, 1, // Opcode: CMP_F_D
+/* 1151 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1164
+/* 1155 */    MCD_OPC_CheckPredicate, 33, 177, 2, // Skip to: 1848
+/* 1159 */    MCD_OPC_Decode, 151, 3, 209, 1, // Opcode: CMP_UN_D
+/* 1164 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1177
+/* 1168 */    MCD_OPC_CheckPredicate, 33, 164, 2, // Skip to: 1848
+/* 1172 */    MCD_OPC_Decode, 246, 2, 209, 1, // Opcode: CMP_EQ_D
+/* 1177 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 1190
+/* 1181 */    MCD_OPC_CheckPredicate, 33, 151, 2, // Skip to: 1848
+/* 1185 */    MCD_OPC_Decode, 145, 3, 209, 1, // Opcode: CMP_UEQ_D
+/* 1190 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 1203
+/* 1194 */    MCD_OPC_CheckPredicate, 33, 138, 2, // Skip to: 1848
+/* 1198 */    MCD_OPC_Decode, 254, 2, 209, 1, // Opcode: CMP_LT_D
+/* 1203 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1216
+/* 1207 */    MCD_OPC_CheckPredicate, 33, 125, 2, // Skip to: 1848
+/* 1211 */    MCD_OPC_Decode, 149, 3, 209, 1, // Opcode: CMP_ULT_D
+/* 1216 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1229
+/* 1220 */    MCD_OPC_CheckPredicate, 33, 112, 2, // Skip to: 1848
+/* 1224 */    MCD_OPC_Decode, 251, 2, 209, 1, // Opcode: CMP_LE_D
+/* 1229 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1242
+/* 1233 */    MCD_OPC_CheckPredicate, 33, 99, 2, // Skip to: 1848
+/* 1237 */    MCD_OPC_Decode, 147, 3, 209, 1, // Opcode: CMP_ULE_D
+/* 1242 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1255
+/* 1246 */    MCD_OPC_CheckPredicate, 33, 86, 2, // Skip to: 1848
+/* 1250 */    MCD_OPC_Decode, 129, 3, 209, 1, // Opcode: CMP_SAF_D
+/* 1255 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1268
+/* 1259 */    MCD_OPC_CheckPredicate, 33, 73, 2, // Skip to: 1848
+/* 1263 */    MCD_OPC_Decode, 143, 3, 209, 1, // Opcode: CMP_SUN_D
+/* 1268 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1281
+/* 1272 */    MCD_OPC_CheckPredicate, 33, 60, 2, // Skip to: 1848
+/* 1276 */    MCD_OPC_Decode, 131, 3, 209, 1, // Opcode: CMP_SEQ_D
+/* 1281 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1294
+/* 1285 */    MCD_OPC_CheckPredicate, 33, 47, 2, // Skip to: 1848
+/* 1289 */    MCD_OPC_Decode, 137, 3, 209, 1, // Opcode: CMP_SUEQ_D
+/* 1294 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 1307
+/* 1298 */    MCD_OPC_CheckPredicate, 33, 34, 2, // Skip to: 1848
+/* 1302 */    MCD_OPC_Decode, 135, 3, 209, 1, // Opcode: CMP_SLT_D
+/* 1307 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1320
+/* 1311 */    MCD_OPC_CheckPredicate, 33, 21, 2, // Skip to: 1848
+/* 1315 */    MCD_OPC_Decode, 141, 3, 209, 1, // Opcode: CMP_SULT_D
+/* 1320 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1333
+/* 1324 */    MCD_OPC_CheckPredicate, 33, 8, 2, // Skip to: 1848
+/* 1328 */    MCD_OPC_Decode, 133, 3, 209, 1, // Opcode: CMP_SLE_D
+/* 1333 */    MCD_OPC_FilterValue, 15, 255, 1, // Skip to: 1848
+/* 1337 */    MCD_OPC_CheckPredicate, 33, 251, 1, // Skip to: 1848
+/* 1341 */    MCD_OPC_Decode, 139, 3, 209, 1, // Opcode: CMP_SULE_D
+/* 1346 */    MCD_OPC_FilterValue, 18, 81, 0, // Skip to: 1431
+/* 1350 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1353 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 1366
+/* 1357 */    MCD_OPC_CheckPredicate, 33, 231, 1, // Skip to: 1848
+/* 1361 */    MCD_OPC_Decode, 167, 1, 210, 1, // Opcode: BC2EQZ
+/* 1366 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 1379
+/* 1370 */    MCD_OPC_CheckPredicate, 33, 218, 1, // Skip to: 1848
+/* 1374 */    MCD_OPC_Decode, 159, 7, 211, 1, // Opcode: LWC2_R6
+/* 1379 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 1392
+/* 1383 */    MCD_OPC_CheckPredicate, 33, 205, 1, // Skip to: 1848
+/* 1387 */    MCD_OPC_Decode, 144, 12, 211, 1, // Opcode: SWC2_R6
+/* 1392 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 1405
+/* 1396 */    MCD_OPC_CheckPredicate, 33, 192, 1, // Skip to: 1848
+/* 1400 */    MCD_OPC_Decode, 168, 1, 210, 1, // Opcode: BC2NEZ
+/* 1405 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 1418
+/* 1409 */    MCD_OPC_CheckPredicate, 33, 179, 1, // Skip to: 1848
+/* 1413 */    MCD_OPC_Decode, 237, 6, 211, 1, // Opcode: LDC2_R6
+/* 1418 */    MCD_OPC_FilterValue, 15, 170, 1, // Skip to: 1848
+/* 1422 */    MCD_OPC_CheckPredicate, 33, 166, 1, // Skip to: 1848
+/* 1426 */    MCD_OPC_Decode, 197, 10, 211, 1, // Opcode: SDC2_R6
+/* 1431 */    MCD_OPC_FilterValue, 22, 9, 0, // Skip to: 1444
+/* 1435 */    MCD_OPC_CheckPredicate, 33, 153, 1, // Skip to: 1848
+/* 1439 */    MCD_OPC_Decode, 190, 1, 212, 1, // Opcode: BGEZC
+/* 1444 */    MCD_OPC_FilterValue, 23, 9, 0, // Skip to: 1457
+/* 1448 */    MCD_OPC_CheckPredicate, 33, 140, 1, // Skip to: 1848
+/* 1452 */    MCD_OPC_Decode, 227, 1, 213, 1, // Opcode: BLTZC
+/* 1457 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1470
+/* 1461 */    MCD_OPC_CheckPredicate, 33, 127, 1, // Skip to: 1848
+/* 1465 */    MCD_OPC_Decode, 235, 1, 214, 1, // Opcode: BNEC
+/* 1470 */    MCD_OPC_FilterValue, 29, 9, 0, // Skip to: 1483
+/* 1474 */    MCD_OPC_CheckPredicate, 34, 114, 1, // Skip to: 1848
+/* 1478 */    MCD_OPC_Decode, 248, 3, 215, 1, // Opcode: DAUI
+/* 1483 */    MCD_OPC_FilterValue, 31, 182, 0, // Skip to: 1669
+/* 1487 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1490 */    MCD_OPC_FilterValue, 32, 40, 0, // Skip to: 1534
+/* 1494 */    MCD_OPC_ExtractField, 8, 3,  // Inst{10-8} ...
+/* 1497 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1522
+/* 1501 */    MCD_OPC_CheckPredicate, 33, 87, 1, // Skip to: 1848
+/* 1505 */    MCD_OPC_CheckField, 21, 5, 0, 81, 1, // Skip to: 1848
+/* 1511 */    MCD_OPC_CheckField, 6, 2, 0, 75, 1, // Skip to: 1848
+/* 1517 */    MCD_OPC_Decode, 214, 1, 180, 1, // Opcode: BITSWAP
+/* 1522 */    MCD_OPC_FilterValue, 2, 66, 1, // Skip to: 1848
+/* 1526 */    MCD_OPC_CheckPredicate, 33, 62, 1, // Skip to: 1848
+/* 1530 */    MCD_OPC_Decode, 73, 194, 1, // Opcode: ALIGN
+/* 1534 */    MCD_OPC_FilterValue, 36, 41, 0, // Skip to: 1579
+/* 1538 */    MCD_OPC_ExtractField, 9, 2,  // Inst{10-9} ...
+/* 1541 */    MCD_OPC_FilterValue, 0, 21, 0, // Skip to: 1566
+/* 1545 */    MCD_OPC_CheckPredicate, 34, 43, 1, // Skip to: 1848
+/* 1549 */    MCD_OPC_CheckField, 21, 5, 0, 37, 1, // Skip to: 1848
+/* 1555 */    MCD_OPC_CheckField, 6, 3, 0, 31, 1, // Skip to: 1848
+/* 1561 */    MCD_OPC_Decode, 249, 3, 216, 1, // Opcode: DBITSWAP
+/* 1566 */    MCD_OPC_FilterValue, 1, 22, 1, // Skip to: 1848
+/* 1570 */    MCD_OPC_CheckPredicate, 34, 18, 1, // Skip to: 1848
+/* 1574 */    MCD_OPC_Decode, 246, 3, 217, 1, // Opcode: DALIGN
+/* 1579 */    MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 1598
+/* 1583 */    MCD_OPC_CheckPredicate, 33, 5, 1, // Skip to: 1848
+/* 1587 */    MCD_OPC_CheckField, 6, 1, 0, 255, 0, // Skip to: 1848
+/* 1593 */    MCD_OPC_Decode, 176, 2, 218, 1, // Opcode: CACHE_R6
+/* 1598 */    MCD_OPC_FilterValue, 38, 9, 0, // Skip to: 1611
+/* 1602 */    MCD_OPC_CheckPredicate, 33, 242, 0, // Skip to: 1848
+/* 1606 */    MCD_OPC_Decode, 189, 10, 219, 1, // Opcode: SC_R6
+/* 1611 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 1624
+/* 1615 */    MCD_OPC_CheckPredicate, 33, 229, 0, // Skip to: 1848
+/* 1619 */    MCD_OPC_Decode, 187, 10, 219, 1, // Opcode: SCD_R6
+/* 1624 */    MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 1643
+/* 1628 */    MCD_OPC_CheckPredicate, 33, 216, 0, // Skip to: 1848
+/* 1632 */    MCD_OPC_CheckField, 6, 1, 0, 210, 0, // Skip to: 1848
+/* 1638 */    MCD_OPC_Decode, 239, 9, 218, 1, // Opcode: PREF_R6
+/* 1643 */    MCD_OPC_FilterValue, 54, 9, 0, // Skip to: 1656
+/* 1647 */    MCD_OPC_CheckPredicate, 33, 197, 0, // Skip to: 1848
+/* 1651 */    MCD_OPC_Decode, 138, 7, 219, 1, // Opcode: LL_R6
+/* 1656 */    MCD_OPC_FilterValue, 55, 188, 0, // Skip to: 1848
+/* 1660 */    MCD_OPC_CheckPredicate, 33, 184, 0, // Skip to: 1848
+/* 1664 */    MCD_OPC_Decode, 136, 7, 219, 1, // Opcode: LLD_R6
+/* 1669 */    MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 1682
+/* 1673 */    MCD_OPC_CheckPredicate, 33, 171, 0, // Skip to: 1848
+/* 1677 */    MCD_OPC_Decode, 160, 1, 220, 1, // Opcode: BC
+/* 1682 */    MCD_OPC_FilterValue, 54, 24, 0, // Skip to: 1710
+/* 1686 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 1701
+/* 1690 */    MCD_OPC_CheckField, 21, 5, 0, 5, 0, // Skip to: 1701
+/* 1696 */    MCD_OPC_Decode, 212, 6, 221, 1, // Opcode: JIC
+/* 1701 */    MCD_OPC_CheckPredicate, 33, 143, 0, // Skip to: 1848
+/* 1705 */    MCD_OPC_Decode, 181, 1, 222, 1, // Opcode: BEQZC
+/* 1710 */    MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 1723
+/* 1714 */    MCD_OPC_CheckPredicate, 33, 130, 0, // Skip to: 1848
+/* 1718 */    MCD_OPC_Decode, 157, 1, 220, 1, // Opcode: BALC
+/* 1723 */    MCD_OPC_FilterValue, 59, 93, 0, // Skip to: 1820
+/* 1727 */    MCD_OPC_ExtractField, 19, 2,  // Inst{20-19} ...
+/* 1730 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1742
+/* 1734 */    MCD_OPC_CheckPredicate, 33, 110, 0, // Skip to: 1848
+/* 1738 */    MCD_OPC_Decode, 24, 223, 1, // Opcode: ADDIUPC
+/* 1742 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1755
+/* 1746 */    MCD_OPC_CheckPredicate, 33, 98, 0, // Skip to: 1848
+/* 1750 */    MCD_OPC_Decode, 164, 7, 223, 1, // Opcode: LWPC
+/* 1755 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1768
+/* 1759 */    MCD_OPC_CheckPredicate, 33, 85, 0, // Skip to: 1848
+/* 1763 */    MCD_OPC_Decode, 168, 7, 223, 1, // Opcode: LWUPC
+/* 1768 */    MCD_OPC_FilterValue, 3, 76, 0, // Skip to: 1848
+/* 1772 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
+/* 1775 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1788
+/* 1779 */    MCD_OPC_CheckPredicate, 34, 65, 0, // Skip to: 1848
+/* 1783 */    MCD_OPC_Decode, 244, 6, 224, 1, // Opcode: LDPC
+/* 1788 */    MCD_OPC_FilterValue, 1, 56, 0, // Skip to: 1848
+/* 1792 */    MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 1795 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1808
+/* 1799 */    MCD_OPC_CheckPredicate, 33, 45, 0, // Skip to: 1848
+/* 1803 */    MCD_OPC_Decode, 128, 1, 225, 1, // Opcode: AUIPC
+/* 1808 */    MCD_OPC_FilterValue, 3, 36, 0, // Skip to: 1848
+/* 1812 */    MCD_OPC_CheckPredicate, 33, 32, 0, // Skip to: 1848
+/* 1816 */    MCD_OPC_Decode, 74, 225, 1, // Opcode: ALUIPC
+/* 1820 */    MCD_OPC_FilterValue, 62, 24, 0, // Skip to: 1848
+/* 1824 */    MCD_OPC_CheckPredicate, 33, 11, 0, // Skip to: 1839
+/* 1828 */    MCD_OPC_CheckField, 21, 5, 0, 5, 0, // Skip to: 1839
+/* 1834 */    MCD_OPC_Decode, 211, 6, 221, 1, // Opcode: JIALC
+/* 1839 */    MCD_OPC_CheckPredicate, 33, 5, 0, // Skip to: 1848
+/* 1843 */    MCD_OPC_Decode, 245, 1, 222, 1, // Opcode: BNEZC
+/* 1848 */    MCD_OPC_Fail,
+  0
+};
+
+static uint8_t DecoderTableMips32r6_64r6_GP6432[] = {
+/* 0 */       MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
+/* 3 */       MCD_OPC_FilterValue, 53, 15, 0, // Skip to: 22
+/* 7 */       MCD_OPC_CheckPredicate, 36, 30, 0, // Skip to: 41
+/* 11 */      MCD_OPC_CheckField, 26, 6, 0, 24, 0, // Skip to: 41
+/* 17 */      MCD_OPC_Decode, 212, 10, 197, 1, // Opcode: SELEQZ64
+/* 22 */      MCD_OPC_FilterValue, 55, 15, 0, // Skip to: 41
+/* 26 */      MCD_OPC_CheckPredicate, 36, 11, 0, // Skip to: 41
+/* 30 */      MCD_OPC_CheckField, 26, 6, 0, 5, 0, // Skip to: 41
+/* 36 */      MCD_OPC_Decode, 216, 10, 197, 1, // Opcode: SELNEZ64
+/* 41 */      MCD_OPC_Fail,
   0
 };
 
 static uint8_t DecoderTableMips6432[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
-/* 3 */       MCD_OPC_FilterValue, 0, 93, 1, // Skip to: 356
+/* 3 */       MCD_OPC_FilterValue, 0, 112, 1, // Skip to: 375
 /* 7 */       MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 10 */      MCD_OPC_FilterValue, 20, 15, 0, // Skip to: 29
-/* 14 */      MCD_OPC_CheckPredicate, 2, 6, 7, // Skip to: 1816
-/* 18 */      MCD_OPC_CheckField, 6, 5, 0, 0, 7, // Skip to: 1816
-/* 24 */      MCD_OPC_Decode, 242, 3, 194, 1, // Opcode: DSLLV
+/* 14 */      MCD_OPC_CheckPredicate, 17, 192, 8, // Skip to: 2258
+/* 18 */      MCD_OPC_CheckField, 6, 5, 0, 186, 8, // Skip to: 2258
+/* 24 */      MCD_OPC_Decode, 209, 4, 226, 1, // Opcode: DSLLV
 /* 29 */      MCD_OPC_FilterValue, 22, 29, 0, // Skip to: 62
 /* 33 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 36 */      MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 49
-/* 40 */      MCD_OPC_CheckPredicate, 2, 236, 6, // Skip to: 1816
-/* 44 */      MCD_OPC_Decode, 248, 3, 194, 1, // Opcode: DSRLV
-/* 49 */      MCD_OPC_FilterValue, 1, 227, 6, // Skip to: 1816
-/* 53 */      MCD_OPC_CheckPredicate, 19, 223, 6, // Skip to: 1816
-/* 57 */      MCD_OPC_Decode, 235, 3, 194, 1, // Opcode: DROTRV
+/* 40 */      MCD_OPC_CheckPredicate, 17, 166, 8, // Skip to: 2258
+/* 44 */      MCD_OPC_Decode, 215, 4, 226, 1, // Opcode: DSRLV
+/* 49 */      MCD_OPC_FilterValue, 1, 157, 8, // Skip to: 2258
+/* 53 */      MCD_OPC_CheckPredicate, 37, 153, 8, // Skip to: 2258
+/* 57 */      MCD_OPC_Decode, 202, 4, 226, 1, // Opcode: DROTRV
 /* 62 */      MCD_OPC_FilterValue, 23, 15, 0, // Skip to: 81
-/* 66 */      MCD_OPC_CheckPredicate, 2, 210, 6, // Skip to: 1816
-/* 70 */      MCD_OPC_CheckField, 6, 5, 0, 204, 6, // Skip to: 1816
-/* 76 */      MCD_OPC_Decode, 245, 3, 194, 1, // Opcode: DSRAV
+/* 66 */      MCD_OPC_CheckPredicate, 17, 140, 8, // Skip to: 2258
+/* 70 */      MCD_OPC_CheckField, 6, 5, 0, 134, 8, // Skip to: 2258
+/* 76 */      MCD_OPC_Decode, 212, 4, 226, 1, // Opcode: DSRAV
 /* 81 */      MCD_OPC_FilterValue, 28, 15, 0, // Skip to: 100
-/* 85 */      MCD_OPC_CheckPredicate, 2, 191, 6, // Skip to: 1816
-/* 89 */      MCD_OPC_CheckField, 6, 10, 0, 185, 6, // Skip to: 1816
-/* 95 */      MCD_OPC_Decode, 197, 3, 195, 1, // Opcode: DMULT
+/* 85 */      MCD_OPC_CheckPredicate, 38, 121, 8, // Skip to: 2258
+/* 89 */      MCD_OPC_CheckField, 6, 10, 0, 115, 8, // Skip to: 2258
+/* 95 */      MCD_OPC_Decode, 161, 4, 227, 1, // Opcode: DMULT
 /* 100 */     MCD_OPC_FilterValue, 29, 15, 0, // Skip to: 119
-/* 104 */     MCD_OPC_CheckPredicate, 2, 172, 6, // Skip to: 1816
-/* 108 */     MCD_OPC_CheckField, 6, 10, 0, 166, 6, // Skip to: 1816
-/* 114 */     MCD_OPC_Decode, 198, 3, 195, 1, // Opcode: DMULTu
+/* 104 */     MCD_OPC_CheckPredicate, 38, 102, 8, // Skip to: 2258
+/* 108 */     MCD_OPC_CheckField, 6, 10, 0, 96, 8, // Skip to: 2258
+/* 114 */     MCD_OPC_Decode, 162, 4, 227, 1, // Opcode: DMULTu
 /* 119 */     MCD_OPC_FilterValue, 30, 15, 0, // Skip to: 138
-/* 123 */     MCD_OPC_CheckPredicate, 2, 153, 6, // Skip to: 1816
-/* 127 */     MCD_OPC_CheckField, 6, 10, 0, 147, 6, // Skip to: 1816
-/* 133 */     MCD_OPC_Decode, 237, 3, 195, 1, // Opcode: DSDIV
+/* 123 */     MCD_OPC_CheckPredicate, 38, 83, 8, // Skip to: 2258
+/* 127 */     MCD_OPC_CheckField, 6, 10, 0, 77, 8, // Skip to: 2258
+/* 133 */     MCD_OPC_Decode, 204, 4, 227, 1, // Opcode: DSDIV
 /* 138 */     MCD_OPC_FilterValue, 31, 15, 0, // Skip to: 157
-/* 142 */     MCD_OPC_CheckPredicate, 2, 134, 6, // Skip to: 1816
-/* 146 */     MCD_OPC_CheckField, 6, 10, 0, 128, 6, // Skip to: 1816
-/* 152 */     MCD_OPC_Decode, 250, 3, 195, 1, // Opcode: DUDIV
+/* 142 */     MCD_OPC_CheckPredicate, 38, 64, 8, // Skip to: 2258
+/* 146 */     MCD_OPC_CheckField, 6, 10, 0, 58, 8, // Skip to: 2258
+/* 152 */     MCD_OPC_Decode, 218, 4, 227, 1, // Opcode: DUDIV
 /* 157 */     MCD_OPC_FilterValue, 44, 15, 0, // Skip to: 176
-/* 161 */     MCD_OPC_CheckPredicate, 2, 115, 6, // Skip to: 1816
-/* 165 */     MCD_OPC_CheckField, 6, 5, 0, 109, 6, // Skip to: 1816
-/* 171 */     MCD_OPC_Decode, 166, 3, 196, 1, // Opcode: DADD
+/* 161 */     MCD_OPC_CheckPredicate, 17, 45, 8, // Skip to: 2258
+/* 165 */     MCD_OPC_CheckField, 6, 5, 0, 39, 8, // Skip to: 2258
+/* 171 */     MCD_OPC_Decode, 241, 3, 197, 1, // Opcode: DADD
 /* 176 */     MCD_OPC_FilterValue, 45, 15, 0, // Skip to: 195
-/* 180 */     MCD_OPC_CheckPredicate, 2, 96, 6, // Skip to: 1816
-/* 184 */     MCD_OPC_CheckField, 6, 5, 0, 90, 6, // Skip to: 1816
-/* 190 */     MCD_OPC_Decode, 169, 3, 196, 1, // Opcode: DADDu
-/* 195 */     MCD_OPC_FilterValue, 47, 15, 0, // Skip to: 214
-/* 199 */     MCD_OPC_CheckPredicate, 2, 77, 6, // Skip to: 1816
-/* 203 */     MCD_OPC_CheckField, 6, 5, 0, 71, 6, // Skip to: 1816
-/* 209 */     MCD_OPC_Decode, 249, 3, 196, 1, // Opcode: DSUBu
-/* 214 */     MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 233
-/* 218 */     MCD_OPC_CheckPredicate, 2, 58, 6, // Skip to: 1816
-/* 222 */     MCD_OPC_CheckField, 21, 5, 0, 52, 6, // Skip to: 1816
-/* 228 */     MCD_OPC_Decode, 239, 3, 197, 1, // Opcode: DSLL
-/* 233 */     MCD_OPC_FilterValue, 58, 29, 0, // Skip to: 266
-/* 237 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 240 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 253
-/* 244 */     MCD_OPC_CheckPredicate, 2, 32, 6, // Skip to: 1816
-/* 248 */     MCD_OPC_Decode, 246, 3, 197, 1, // Opcode: DSRL
-/* 253 */     MCD_OPC_FilterValue, 1, 23, 6, // Skip to: 1816
-/* 257 */     MCD_OPC_CheckPredicate, 19, 19, 6, // Skip to: 1816
-/* 261 */     MCD_OPC_Decode, 233, 3, 197, 1, // Opcode: DROTR
-/* 266 */     MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 285
-/* 270 */     MCD_OPC_CheckPredicate, 2, 6, 6, // Skip to: 1816
-/* 274 */     MCD_OPC_CheckField, 21, 5, 0, 0, 6, // Skip to: 1816
-/* 280 */     MCD_OPC_Decode, 243, 3, 197, 1, // Opcode: DSRA
-/* 285 */     MCD_OPC_FilterValue, 60, 15, 0, // Skip to: 304
-/* 289 */     MCD_OPC_CheckPredicate, 2, 243, 5, // Skip to: 1816
-/* 293 */     MCD_OPC_CheckField, 21, 5, 0, 237, 5, // Skip to: 1816
-/* 299 */     MCD_OPC_Decode, 240, 3, 197, 1, // Opcode: DSLL32
-/* 304 */     MCD_OPC_FilterValue, 62, 29, 0, // Skip to: 337
-/* 308 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 311 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 324
-/* 315 */     MCD_OPC_CheckPredicate, 2, 217, 5, // Skip to: 1816
-/* 319 */     MCD_OPC_Decode, 247, 3, 197, 1, // Opcode: DSRL32
-/* 324 */     MCD_OPC_FilterValue, 1, 208, 5, // Skip to: 1816
-/* 328 */     MCD_OPC_CheckPredicate, 19, 204, 5, // Skip to: 1816
-/* 332 */     MCD_OPC_Decode, 234, 3, 197, 1, // Opcode: DROTR32
-/* 337 */     MCD_OPC_FilterValue, 63, 195, 5, // Skip to: 1816
-/* 341 */     MCD_OPC_CheckPredicate, 2, 191, 5, // Skip to: 1816
-/* 345 */     MCD_OPC_CheckField, 21, 5, 0, 185, 5, // Skip to: 1816
-/* 351 */     MCD_OPC_Decode, 244, 3, 197, 1, // Opcode: DSRA32
-/* 356 */     MCD_OPC_FilterValue, 16, 41, 0, // Skip to: 401
-/* 360 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 363 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 382
-/* 367 */     MCD_OPC_CheckPredicate, 20, 165, 5, // Skip to: 1816
-/* 371 */     MCD_OPC_CheckField, 3, 8, 0, 159, 5, // Skip to: 1816
-/* 377 */     MCD_OPC_Decode, 191, 3, 198, 1, // Opcode: DMFC0
-/* 382 */     MCD_OPC_FilterValue, 5, 150, 5, // Skip to: 1816
-/* 386 */     MCD_OPC_CheckPredicate, 20, 146, 5, // Skip to: 1816
-/* 390 */     MCD_OPC_CheckField, 3, 8, 0, 140, 5, // Skip to: 1816
-/* 396 */     MCD_OPC_Decode, 194, 3, 198, 1, // Opcode: DMTC0
-/* 401 */     MCD_OPC_FilterValue, 17, 183, 3, // Skip to: 1356
-/* 405 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 408 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 427
-/* 412 */     MCD_OPC_CheckPredicate, 21, 120, 5, // Skip to: 1816
-/* 416 */     MCD_OPC_CheckField, 21, 5, 17, 114, 5, // Skip to: 1816
-/* 422 */     MCD_OPC_Decode, 154, 4, 199, 1, // Opcode: FADD_D64
-/* 427 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 446
-/* 431 */     MCD_OPC_CheckPredicate, 21, 101, 5, // Skip to: 1816
-/* 435 */     MCD_OPC_CheckField, 21, 5, 17, 95, 5, // Skip to: 1816
-/* 441 */     MCD_OPC_Decode, 156, 5, 199, 1, // Opcode: FSUB_D64
-/* 446 */     MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 465
-/* 450 */     MCD_OPC_CheckPredicate, 21, 82, 5, // Skip to: 1816
-/* 454 */     MCD_OPC_CheckField, 21, 5, 17, 76, 5, // Skip to: 1816
-/* 460 */     MCD_OPC_Decode, 247, 4, 199, 1, // Opcode: FMUL_D64
-/* 465 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 484
-/* 469 */     MCD_OPC_CheckPredicate, 21, 63, 5, // Skip to: 1816
-/* 473 */     MCD_OPC_CheckField, 21, 5, 17, 57, 5, // Skip to: 1816
-/* 479 */     MCD_OPC_Decode, 190, 4, 199, 1, // Opcode: FDIV_D64
-/* 484 */     MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 503
-/* 488 */     MCD_OPC_CheckPredicate, 21, 44, 5, // Skip to: 1816
-/* 492 */     MCD_OPC_CheckField, 16, 10, 160, 4, 37, 5, // Skip to: 1816
-/* 499 */     MCD_OPC_Decode, 149, 5, 77, // Opcode: FSQRT_D64
-/* 503 */     MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 522
-/* 507 */     MCD_OPC_CheckPredicate, 7, 25, 5, // Skip to: 1816
-/* 511 */     MCD_OPC_CheckField, 16, 10, 160, 4, 18, 5, // Skip to: 1816
-/* 518 */     MCD_OPC_Decode, 147, 4, 77, // Opcode: FABS_D64
-/* 522 */     MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 541
-/* 526 */     MCD_OPC_CheckPredicate, 21, 6, 5, // Skip to: 1816
-/* 530 */     MCD_OPC_CheckField, 16, 10, 160, 4, 255, 4, // Skip to: 1816
-/* 537 */     MCD_OPC_Decode, 240, 4, 77, // Opcode: FMOV_D64
-/* 541 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 560
-/* 545 */     MCD_OPC_CheckPredicate, 7, 243, 4, // Skip to: 1816
-/* 549 */     MCD_OPC_CheckField, 16, 10, 160, 4, 236, 4, // Skip to: 1816
-/* 556 */     MCD_OPC_Decode, 253, 4, 77, // Opcode: FNEG_D64
-/* 560 */     MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 593
-/* 564 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 567 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 580
-/* 572 */     MCD_OPC_CheckPredicate, 21, 216, 4, // Skip to: 1816
-/* 576 */     MCD_OPC_Decode, 129, 9, 70, // Opcode: ROUND_L_S
-/* 580 */     MCD_OPC_FilterValue, 160, 4, 207, 4, // Skip to: 1816
-/* 585 */     MCD_OPC_CheckPredicate, 21, 203, 4, // Skip to: 1816
-/* 589 */     MCD_OPC_Decode, 128, 9, 77, // Opcode: ROUND_L_D64
-/* 593 */     MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 626
-/* 597 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 600 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 613
-/* 605 */     MCD_OPC_CheckPredicate, 21, 183, 4, // Skip to: 1816
-/* 609 */     MCD_OPC_Decode, 174, 11, 70, // Opcode: TRUNC_L_S
-/* 613 */     MCD_OPC_FilterValue, 160, 4, 174, 4, // Skip to: 1816
-/* 618 */     MCD_OPC_CheckPredicate, 21, 170, 4, // Skip to: 1816
-/* 622 */     MCD_OPC_Decode, 173, 11, 77, // Opcode: TRUNC_L_D64
-/* 626 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 659
-/* 630 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 633 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 646
-/* 638 */     MCD_OPC_CheckPredicate, 21, 150, 4, // Skip to: 1816
-/* 642 */     MCD_OPC_Decode, 141, 2, 70, // Opcode: CEIL_L_S
-/* 646 */     MCD_OPC_FilterValue, 160, 4, 141, 4, // Skip to: 1816
-/* 651 */     MCD_OPC_CheckPredicate, 21, 137, 4, // Skip to: 1816
-/* 655 */     MCD_OPC_Decode, 140, 2, 77, // Opcode: CEIL_L_D64
-/* 659 */     MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 692
-/* 663 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 666 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 679
-/* 671 */     MCD_OPC_CheckPredicate, 21, 117, 4, // Skip to: 1816
-/* 675 */     MCD_OPC_Decode, 222, 4, 70, // Opcode: FLOOR_L_S
-/* 679 */     MCD_OPC_FilterValue, 160, 4, 108, 4, // Skip to: 1816
-/* 684 */     MCD_OPC_CheckPredicate, 21, 104, 4, // Skip to: 1816
-/* 688 */     MCD_OPC_Decode, 221, 4, 77, // Opcode: FLOOR_L_D64
-/* 692 */     MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 712
-/* 696 */     MCD_OPC_CheckPredicate, 21, 92, 4, // Skip to: 1816
-/* 700 */     MCD_OPC_CheckField, 16, 10, 160, 4, 85, 4, // Skip to: 1816
-/* 707 */     MCD_OPC_Decode, 131, 9, 200, 1, // Opcode: ROUND_W_D64
-/* 712 */     MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 732
-/* 716 */     MCD_OPC_CheckPredicate, 21, 72, 4, // Skip to: 1816
-/* 720 */     MCD_OPC_CheckField, 16, 10, 160, 4, 65, 4, // Skip to: 1816
-/* 727 */     MCD_OPC_Decode, 176, 11, 200, 1, // Opcode: TRUNC_W_D64
-/* 732 */     MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 752
-/* 736 */     MCD_OPC_CheckPredicate, 21, 52, 4, // Skip to: 1816
-/* 740 */     MCD_OPC_CheckField, 16, 10, 160, 4, 45, 4, // Skip to: 1816
-/* 747 */     MCD_OPC_Decode, 143, 2, 200, 1, // Opcode: CEIL_W_D64
-/* 752 */     MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 772
-/* 756 */     MCD_OPC_CheckPredicate, 21, 32, 4, // Skip to: 1816
-/* 760 */     MCD_OPC_CheckField, 16, 10, 160, 4, 25, 4, // Skip to: 1816
-/* 767 */     MCD_OPC_Decode, 224, 4, 200, 1, // Opcode: FLOOR_W_D64
-/* 772 */     MCD_OPC_FilterValue, 17, 41, 0, // Skip to: 817
-/* 776 */     MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
-/* 779 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 798
-/* 783 */     MCD_OPC_CheckPredicate, 21, 5, 4, // Skip to: 1816
-/* 787 */     MCD_OPC_CheckField, 21, 5, 17, 255, 3, // Skip to: 1816
-/* 793 */     MCD_OPC_Decode, 156, 7, 201, 1, // Opcode: MOVF_D64
-/* 798 */     MCD_OPC_FilterValue, 1, 246, 3, // Skip to: 1816
-/* 802 */     MCD_OPC_CheckPredicate, 21, 242, 3, // Skip to: 1816
-/* 806 */     MCD_OPC_CheckField, 21, 5, 17, 236, 3, // Skip to: 1816
-/* 812 */     MCD_OPC_Decode, 176, 7, 201, 1, // Opcode: MOVT_D64
-/* 817 */     MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 836
-/* 821 */     MCD_OPC_CheckPredicate, 21, 223, 3, // Skip to: 1816
-/* 825 */     MCD_OPC_CheckField, 21, 5, 17, 217, 3, // Skip to: 1816
-/* 831 */     MCD_OPC_Decode, 188, 7, 202, 1, // Opcode: MOVZ_I_D64
-/* 836 */     MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 855
-/* 840 */     MCD_OPC_CheckPredicate, 21, 204, 3, // Skip to: 1816
-/* 844 */     MCD_OPC_CheckField, 21, 5, 17, 198, 3, // Skip to: 1816
-/* 850 */     MCD_OPC_Decode, 168, 7, 202, 1, // Opcode: MOVN_I_D64
-/* 855 */     MCD_OPC_FilterValue, 32, 31, 0, // Skip to: 890
-/* 859 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 862 */     MCD_OPC_FilterValue, 160, 4, 9, 0, // Skip to: 876
-/* 867 */     MCD_OPC_CheckPredicate, 21, 177, 3, // Skip to: 1816
-/* 871 */     MCD_OPC_Decode, 233, 2, 200, 1, // Opcode: CVT_S_D64
-/* 876 */     MCD_OPC_FilterValue, 160, 5, 167, 3, // Skip to: 1816
-/* 881 */     MCD_OPC_CheckPredicate, 21, 163, 3, // Skip to: 1816
-/* 885 */     MCD_OPC_Decode, 234, 2, 200, 1, // Opcode: CVT_S_L
-/* 890 */     MCD_OPC_FilterValue, 33, 42, 0, // Skip to: 936
-/* 894 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 897 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 910
-/* 902 */     MCD_OPC_CheckPredicate, 21, 142, 3, // Skip to: 1816
-/* 906 */     MCD_OPC_Decode, 224, 2, 70, // Opcode: CVT_D64_S
-/* 910 */     MCD_OPC_FilterValue, 128, 5, 8, 0, // Skip to: 923
-/* 915 */     MCD_OPC_CheckPredicate, 21, 129, 3, // Skip to: 1816
-/* 919 */     MCD_OPC_Decode, 225, 2, 70, // Opcode: CVT_D64_W
-/* 923 */     MCD_OPC_FilterValue, 160, 5, 120, 3, // Skip to: 1816
-/* 928 */     MCD_OPC_CheckPredicate, 21, 116, 3, // Skip to: 1816
-/* 932 */     MCD_OPC_Decode, 223, 2, 77, // Opcode: CVT_D64_L
-/* 936 */     MCD_OPC_FilterValue, 36, 16, 0, // Skip to: 956
-/* 940 */     MCD_OPC_CheckPredicate, 21, 104, 3, // Skip to: 1816
-/* 944 */     MCD_OPC_CheckField, 16, 10, 160, 4, 97, 3, // Skip to: 1816
-/* 951 */     MCD_OPC_Decode, 238, 2, 200, 1, // Opcode: CVT_W_D64
-/* 956 */     MCD_OPC_FilterValue, 48, 21, 0, // Skip to: 981
-/* 960 */     MCD_OPC_CheckPredicate, 21, 84, 3, // Skip to: 1816
-/* 964 */     MCD_OPC_CheckField, 21, 5, 17, 78, 3, // Skip to: 1816
-/* 970 */     MCD_OPC_CheckField, 6, 5, 0, 72, 3, // Skip to: 1816
-/* 976 */     MCD_OPC_Decode, 246, 2, 203, 1, // Opcode: C_F_D64
-/* 981 */     MCD_OPC_FilterValue, 49, 21, 0, // Skip to: 1006
-/* 985 */     MCD_OPC_CheckPredicate, 21, 59, 3, // Skip to: 1816
-/* 989 */     MCD_OPC_CheckField, 21, 5, 17, 53, 3, // Skip to: 1816
-/* 995 */     MCD_OPC_CheckField, 6, 5, 0, 47, 3, // Skip to: 1816
-/* 1001 */    MCD_OPC_Decode, 160, 3, 203, 1, // Opcode: C_UN_D64
-/* 1006 */    MCD_OPC_FilterValue, 50, 21, 0, // Skip to: 1031
-/* 1010 */    MCD_OPC_CheckPredicate, 21, 34, 3, // Skip to: 1816
-/* 1014 */    MCD_OPC_CheckField, 21, 5, 17, 28, 3, // Skip to: 1816
-/* 1020 */    MCD_OPC_CheckField, 6, 5, 0, 22, 3, // Skip to: 1816
-/* 1026 */    MCD_OPC_Decode, 243, 2, 203, 1, // Opcode: C_EQ_D64
-/* 1031 */    MCD_OPC_FilterValue, 51, 21, 0, // Skip to: 1056
-/* 1035 */    MCD_OPC_CheckPredicate, 21, 9, 3, // Skip to: 1816
-/* 1039 */    MCD_OPC_CheckField, 21, 5, 17, 3, 3, // Skip to: 1816
-/* 1045 */    MCD_OPC_CheckField, 6, 5, 0, 253, 2, // Skip to: 1816
-/* 1051 */    MCD_OPC_Decode, 151, 3, 203, 1, // Opcode: C_UEQ_D64
-/* 1056 */    MCD_OPC_FilterValue, 52, 21, 0, // Skip to: 1081
-/* 1060 */    MCD_OPC_CheckPredicate, 21, 240, 2, // Skip to: 1816
-/* 1064 */    MCD_OPC_CheckField, 21, 5, 17, 234, 2, // Skip to: 1816
-/* 1070 */    MCD_OPC_CheckField, 6, 5, 0, 228, 2, // Skip to: 1816
-/* 1076 */    MCD_OPC_Decode, 142, 3, 203, 1, // Opcode: C_OLT_D64
-/* 1081 */    MCD_OPC_FilterValue, 53, 21, 0, // Skip to: 1106
-/* 1085 */    MCD_OPC_CheckPredicate, 21, 215, 2, // Skip to: 1816
-/* 1089 */    MCD_OPC_CheckField, 21, 5, 17, 209, 2, // Skip to: 1816
-/* 1095 */    MCD_OPC_CheckField, 6, 5, 0, 203, 2, // Skip to: 1816
-/* 1101 */    MCD_OPC_Decode, 157, 3, 203, 1, // Opcode: C_ULT_D64
-/* 1106 */    MCD_OPC_FilterValue, 54, 21, 0, // Skip to: 1131
-/* 1110 */    MCD_OPC_CheckPredicate, 21, 190, 2, // Skip to: 1816
-/* 1114 */    MCD_OPC_CheckField, 21, 5, 17, 184, 2, // Skip to: 1816
-/* 1120 */    MCD_OPC_CheckField, 6, 5, 0, 178, 2, // Skip to: 1816
-/* 1126 */    MCD_OPC_Decode, 139, 3, 203, 1, // Opcode: C_OLE_D64
-/* 1131 */    MCD_OPC_FilterValue, 55, 21, 0, // Skip to: 1156
-/* 1135 */    MCD_OPC_CheckPredicate, 21, 165, 2, // Skip to: 1816
-/* 1139 */    MCD_OPC_CheckField, 21, 5, 17, 159, 2, // Skip to: 1816
-/* 1145 */    MCD_OPC_CheckField, 6, 5, 0, 153, 2, // Skip to: 1816
-/* 1151 */    MCD_OPC_Decode, 154, 3, 203, 1, // Opcode: C_ULE_D64
-/* 1156 */    MCD_OPC_FilterValue, 56, 21, 0, // Skip to: 1181
-/* 1160 */    MCD_OPC_CheckPredicate, 21, 140, 2, // Skip to: 1816
-/* 1164 */    MCD_OPC_CheckField, 21, 5, 17, 134, 2, // Skip to: 1816
-/* 1170 */    MCD_OPC_CheckField, 6, 5, 0, 128, 2, // Skip to: 1816
-/* 1176 */    MCD_OPC_Decode, 148, 3, 203, 1, // Opcode: C_SF_D64
-/* 1181 */    MCD_OPC_FilterValue, 57, 21, 0, // Skip to: 1206
-/* 1185 */    MCD_OPC_CheckPredicate, 21, 115, 2, // Skip to: 1816
-/* 1189 */    MCD_OPC_CheckField, 21, 5, 17, 109, 2, // Skip to: 1816
-/* 1195 */    MCD_OPC_CheckField, 6, 5, 0, 103, 2, // Skip to: 1816
-/* 1201 */    MCD_OPC_Decode, 130, 3, 203, 1, // Opcode: C_NGLE_D64
-/* 1206 */    MCD_OPC_FilterValue, 58, 21, 0, // Skip to: 1231
-/* 1210 */    MCD_OPC_CheckPredicate, 21, 90, 2, // Skip to: 1816
-/* 1214 */    MCD_OPC_CheckField, 21, 5, 17, 84, 2, // Skip to: 1816
-/* 1220 */    MCD_OPC_CheckField, 6, 5, 0, 78, 2, // Skip to: 1816
-/* 1226 */    MCD_OPC_Decode, 145, 3, 203, 1, // Opcode: C_SEQ_D64
-/* 1231 */    MCD_OPC_FilterValue, 59, 21, 0, // Skip to: 1256
-/* 1235 */    MCD_OPC_CheckPredicate, 21, 65, 2, // Skip to: 1816
-/* 1239 */    MCD_OPC_CheckField, 21, 5, 17, 59, 2, // Skip to: 1816
-/* 1245 */    MCD_OPC_CheckField, 6, 5, 0, 53, 2, // Skip to: 1816
-/* 1251 */    MCD_OPC_Decode, 133, 3, 203, 1, // Opcode: C_NGL_D64
-/* 1256 */    MCD_OPC_FilterValue, 60, 21, 0, // Skip to: 1281
-/* 1260 */    MCD_OPC_CheckPredicate, 21, 40, 2, // Skip to: 1816
-/* 1264 */    MCD_OPC_CheckField, 21, 5, 17, 34, 2, // Skip to: 1816
-/* 1270 */    MCD_OPC_CheckField, 6, 5, 0, 28, 2, // Skip to: 1816
-/* 1276 */    MCD_OPC_Decode, 252, 2, 203, 1, // Opcode: C_LT_D64
-/* 1281 */    MCD_OPC_FilterValue, 61, 21, 0, // Skip to: 1306
-/* 1285 */    MCD_OPC_CheckPredicate, 21, 15, 2, // Skip to: 1816
-/* 1289 */    MCD_OPC_CheckField, 21, 5, 17, 9, 2, // Skip to: 1816
-/* 1295 */    MCD_OPC_CheckField, 6, 5, 0, 3, 2, // Skip to: 1816
-/* 1301 */    MCD_OPC_Decode, 255, 2, 203, 1, // Opcode: C_NGE_D64
-/* 1306 */    MCD_OPC_FilterValue, 62, 21, 0, // Skip to: 1331
-/* 1310 */    MCD_OPC_CheckPredicate, 21, 246, 1, // Skip to: 1816
-/* 1314 */    MCD_OPC_CheckField, 21, 5, 17, 240, 1, // Skip to: 1816
-/* 1320 */    MCD_OPC_CheckField, 6, 5, 0, 234, 1, // Skip to: 1816
-/* 1326 */    MCD_OPC_Decode, 249, 2, 203, 1, // Opcode: C_LE_D64
-/* 1331 */    MCD_OPC_FilterValue, 63, 225, 1, // Skip to: 1816
-/* 1335 */    MCD_OPC_CheckPredicate, 21, 221, 1, // Skip to: 1816
-/* 1339 */    MCD_OPC_CheckField, 21, 5, 17, 215, 1, // Skip to: 1816
-/* 1345 */    MCD_OPC_CheckField, 6, 5, 0, 209, 1, // Skip to: 1816
-/* 1351 */    MCD_OPC_Decode, 136, 3, 203, 1, // Opcode: C_NGT_D64
-/* 1356 */    MCD_OPC_FilterValue, 18, 41, 0, // Skip to: 1401
-/* 1360 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 1363 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1382
-/* 1367 */    MCD_OPC_CheckPredicate, 20, 189, 1, // Skip to: 1816
-/* 1371 */    MCD_OPC_CheckField, 3, 8, 0, 183, 1, // Skip to: 1816
-/* 1377 */    MCD_OPC_Decode, 193, 3, 198, 1, // Opcode: DMFC2
-/* 1382 */    MCD_OPC_FilterValue, 5, 174, 1, // Skip to: 1816
-/* 1386 */    MCD_OPC_CheckPredicate, 20, 170, 1, // Skip to: 1816
-/* 1390 */    MCD_OPC_CheckField, 3, 8, 0, 164, 1, // Skip to: 1816
-/* 1396 */    MCD_OPC_Decode, 196, 3, 198, 1, // Opcode: DMTC2
-/* 1401 */    MCD_OPC_FilterValue, 19, 79, 0, // Skip to: 1484
-/* 1405 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1408 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1427
-/* 1412 */    MCD_OPC_CheckPredicate, 22, 144, 1, // Skip to: 1816
-/* 1416 */    MCD_OPC_CheckField, 11, 5, 0, 138, 1, // Skip to: 1816
-/* 1422 */    MCD_OPC_Decode, 132, 6, 204, 1, // Opcode: LDXC164
-/* 1427 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1446
-/* 1431 */    MCD_OPC_CheckPredicate, 21, 125, 1, // Skip to: 1816
-/* 1435 */    MCD_OPC_CheckField, 11, 5, 0, 119, 1, // Skip to: 1816
-/* 1441 */    MCD_OPC_Decode, 156, 6, 204, 1, // Opcode: LUXC164
-/* 1446 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1465
-/* 1450 */    MCD_OPC_CheckPredicate, 22, 106, 1, // Skip to: 1816
-/* 1454 */    MCD_OPC_CheckField, 6, 5, 0, 100, 1, // Skip to: 1816
-/* 1460 */    MCD_OPC_Decode, 163, 9, 205, 1, // Opcode: SDXC164
-/* 1465 */    MCD_OPC_FilterValue, 13, 91, 1, // Skip to: 1816
-/* 1469 */    MCD_OPC_CheckPredicate, 21, 87, 1, // Skip to: 1816
-/* 1473 */    MCD_OPC_CheckField, 6, 5, 0, 81, 1, // Skip to: 1816
-/* 1479 */    MCD_OPC_Decode, 209, 10, 205, 1, // Opcode: SUXC164
-/* 1484 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1497
-/* 1488 */    MCD_OPC_CheckPredicate, 2, 68, 1, // Skip to: 1816
-/* 1492 */    MCD_OPC_Decode, 167, 3, 206, 1, // Opcode: DADDi
-/* 1497 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 1510
-/* 1501 */    MCD_OPC_CheckPredicate, 2, 55, 1, // Skip to: 1816
-/* 1505 */    MCD_OPC_Decode, 168, 3, 206, 1, // Opcode: DADDiu
-/* 1510 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 1523
-/* 1514 */    MCD_OPC_CheckPredicate, 2, 42, 1, // Skip to: 1816
-/* 1518 */    MCD_OPC_Decode, 129, 6, 192, 1, // Opcode: LDL
-/* 1523 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 1536
-/* 1527 */    MCD_OPC_CheckPredicate, 2, 29, 1, // Skip to: 1816
-/* 1531 */    MCD_OPC_Decode, 130, 6, 192, 1, // Opcode: LDR
-/* 1536 */    MCD_OPC_FilterValue, 28, 29, 0, // Skip to: 1569
-/* 1540 */    MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
-/* 1543 */    MCD_OPC_FilterValue, 36, 9, 0, // Skip to: 1556
-/* 1547 */    MCD_OPC_CheckPredicate, 14, 9, 1, // Skip to: 1816
-/* 1551 */    MCD_OPC_Decode, 171, 3, 207, 1, // Opcode: DCLZ
-/* 1556 */    MCD_OPC_FilterValue, 37, 0, 1, // Skip to: 1816
-/* 1560 */    MCD_OPC_CheckPredicate, 14, 252, 0, // Skip to: 1816
-/* 1564 */    MCD_OPC_Decode, 170, 3, 207, 1, // Opcode: DCLO
-/* 1569 */    MCD_OPC_FilterValue, 31, 126, 0, // Skip to: 1699
-/* 1573 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 1576 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 1589
-/* 1580 */    MCD_OPC_CheckPredicate, 3, 232, 0, // Skip to: 1816
-/* 1584 */    MCD_OPC_Decode, 175, 3, 208, 1, // Opcode: DEXTM
-/* 1589 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 1602
-/* 1593 */    MCD_OPC_CheckPredicate, 3, 219, 0, // Skip to: 1816
-/* 1597 */    MCD_OPC_Decode, 176, 3, 208, 1, // Opcode: DEXTU
-/* 1602 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 1615
-/* 1606 */    MCD_OPC_CheckPredicate, 3, 206, 0, // Skip to: 1816
-/* 1610 */    MCD_OPC_Decode, 174, 3, 208, 1, // Opcode: DEXT
-/* 1615 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 1628
-/* 1619 */    MCD_OPC_CheckPredicate, 3, 193, 0, // Skip to: 1816
-/* 1623 */    MCD_OPC_Decode, 179, 3, 209, 1, // Opcode: DINSM
-/* 1628 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 1641
-/* 1632 */    MCD_OPC_CheckPredicate, 3, 180, 0, // Skip to: 1816
-/* 1636 */    MCD_OPC_Decode, 180, 3, 209, 1, // Opcode: DINSU
-/* 1641 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 1654
-/* 1645 */    MCD_OPC_CheckPredicate, 3, 167, 0, // Skip to: 1816
-/* 1649 */    MCD_OPC_Decode, 178, 3, 209, 1, // Opcode: DINS
-/* 1654 */    MCD_OPC_FilterValue, 36, 158, 0, // Skip to: 1816
-/* 1658 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 1661 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 1680
-/* 1665 */    MCD_OPC_CheckPredicate, 16, 147, 0, // Skip to: 1816
-/* 1669 */    MCD_OPC_CheckField, 21, 5, 0, 141, 0, // Skip to: 1816
-/* 1675 */    MCD_OPC_Decode, 236, 3, 210, 1, // Opcode: DSBH
-/* 1680 */    MCD_OPC_FilterValue, 5, 132, 0, // Skip to: 1816
-/* 1684 */    MCD_OPC_CheckPredicate, 16, 128, 0, // Skip to: 1816
-/* 1688 */    MCD_OPC_CheckField, 21, 5, 0, 122, 0, // Skip to: 1816
-/* 1694 */    MCD_OPC_Decode, 238, 3, 210, 1, // Opcode: DSHD
-/* 1699 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 1712
-/* 1703 */    MCD_OPC_CheckPredicate, 2, 109, 0, // Skip to: 1816
-/* 1707 */    MCD_OPC_Decode, 177, 6, 192, 1, // Opcode: LWu
-/* 1712 */    MCD_OPC_FilterValue, 44, 9, 0, // Skip to: 1725
-/* 1716 */    MCD_OPC_CheckPredicate, 2, 96, 0, // Skip to: 1816
-/* 1720 */    MCD_OPC_Decode, 160, 9, 192, 1, // Opcode: SDL
-/* 1725 */    MCD_OPC_FilterValue, 45, 9, 0, // Skip to: 1738
-/* 1729 */    MCD_OPC_CheckPredicate, 2, 83, 0, // Skip to: 1816
-/* 1733 */    MCD_OPC_Decode, 161, 9, 192, 1, // Opcode: SDR
-/* 1738 */    MCD_OPC_FilterValue, 52, 9, 0, // Skip to: 1751
-/* 1742 */    MCD_OPC_CheckPredicate, 2, 70, 0, // Skip to: 1816
-/* 1746 */    MCD_OPC_Decode, 148, 6, 192, 1, // Opcode: LLD
-/* 1751 */    MCD_OPC_FilterValue, 53, 9, 0, // Skip to: 1764
-/* 1755 */    MCD_OPC_CheckPredicate, 21, 57, 0, // Skip to: 1816
-/* 1759 */    MCD_OPC_Decode, 250, 5, 193, 1, // Opcode: LDC164
-/* 1764 */    MCD_OPC_FilterValue, 55, 9, 0, // Skip to: 1777
-/* 1768 */    MCD_OPC_CheckPredicate, 2, 44, 0, // Skip to: 1816
-/* 1772 */    MCD_OPC_Decode, 248, 5, 192, 1, // Opcode: LD
-/* 1777 */    MCD_OPC_FilterValue, 60, 9, 0, // Skip to: 1790
-/* 1781 */    MCD_OPC_CheckPredicate, 2, 31, 0, // Skip to: 1816
-/* 1785 */    MCD_OPC_Decode, 151, 9, 192, 1, // Opcode: SCD
-/* 1790 */    MCD_OPC_FilterValue, 61, 9, 0, // Skip to: 1803
-/* 1794 */    MCD_OPC_CheckPredicate, 21, 18, 0, // Skip to: 1816
-/* 1798 */    MCD_OPC_Decode, 155, 9, 193, 1, // Opcode: SDC164
-/* 1803 */    MCD_OPC_FilterValue, 63, 9, 0, // Skip to: 1816
-/* 1807 */    MCD_OPC_CheckPredicate, 2, 5, 0, // Skip to: 1816
-/* 1811 */    MCD_OPC_Decode, 153, 9, 192, 1, // Opcode: SD
-/* 1816 */    MCD_OPC_Fail,
+/* 180 */     MCD_OPC_CheckPredicate, 17, 26, 8, // Skip to: 2258
+/* 184 */     MCD_OPC_CheckField, 6, 5, 0, 20, 8, // Skip to: 2258
+/* 190 */     MCD_OPC_Decode, 244, 3, 197, 1, // Opcode: DADDu
+/* 195 */     MCD_OPC_FilterValue, 46, 15, 0, // Skip to: 214
+/* 199 */     MCD_OPC_CheckPredicate, 17, 7, 8, // Skip to: 2258
+/* 203 */     MCD_OPC_CheckField, 6, 5, 0, 1, 8, // Skip to: 2258
+/* 209 */     MCD_OPC_Decode, 216, 4, 197, 1, // Opcode: DSUB
+/* 214 */     MCD_OPC_FilterValue, 47, 15, 0, // Skip to: 233
+/* 218 */     MCD_OPC_CheckPredicate, 17, 244, 7, // Skip to: 2258
+/* 222 */     MCD_OPC_CheckField, 6, 5, 0, 238, 7, // Skip to: 2258
+/* 228 */     MCD_OPC_Decode, 217, 4, 197, 1, // Opcode: DSUBu
+/* 233 */     MCD_OPC_FilterValue, 56, 15, 0, // Skip to: 252
+/* 237 */     MCD_OPC_CheckPredicate, 17, 225, 7, // Skip to: 2258
+/* 241 */     MCD_OPC_CheckField, 21, 5, 0, 219, 7, // Skip to: 2258
+/* 247 */     MCD_OPC_Decode, 206, 4, 228, 1, // Opcode: DSLL
+/* 252 */     MCD_OPC_FilterValue, 58, 29, 0, // Skip to: 285
+/* 256 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 259 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 272
+/* 263 */     MCD_OPC_CheckPredicate, 17, 199, 7, // Skip to: 2258
+/* 267 */     MCD_OPC_Decode, 213, 4, 228, 1, // Opcode: DSRL
+/* 272 */     MCD_OPC_FilterValue, 1, 190, 7, // Skip to: 2258
+/* 276 */     MCD_OPC_CheckPredicate, 37, 186, 7, // Skip to: 2258
+/* 280 */     MCD_OPC_Decode, 200, 4, 228, 1, // Opcode: DROTR
+/* 285 */     MCD_OPC_FilterValue, 59, 15, 0, // Skip to: 304
+/* 289 */     MCD_OPC_CheckPredicate, 17, 173, 7, // Skip to: 2258
+/* 293 */     MCD_OPC_CheckField, 21, 5, 0, 167, 7, // Skip to: 2258
+/* 299 */     MCD_OPC_Decode, 210, 4, 228, 1, // Opcode: DSRA
+/* 304 */     MCD_OPC_FilterValue, 60, 15, 0, // Skip to: 323
+/* 308 */     MCD_OPC_CheckPredicate, 17, 154, 7, // Skip to: 2258
+/* 312 */     MCD_OPC_CheckField, 21, 5, 0, 148, 7, // Skip to: 2258
+/* 318 */     MCD_OPC_Decode, 207, 4, 228, 1, // Opcode: DSLL32
+/* 323 */     MCD_OPC_FilterValue, 62, 29, 0, // Skip to: 356
+/* 327 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 330 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 343
+/* 334 */     MCD_OPC_CheckPredicate, 17, 128, 7, // Skip to: 2258
+/* 338 */     MCD_OPC_Decode, 214, 4, 228, 1, // Opcode: DSRL32
+/* 343 */     MCD_OPC_FilterValue, 1, 119, 7, // Skip to: 2258
+/* 347 */     MCD_OPC_CheckPredicate, 37, 115, 7, // Skip to: 2258
+/* 351 */     MCD_OPC_Decode, 201, 4, 228, 1, // Opcode: DROTR32
+/* 356 */     MCD_OPC_FilterValue, 63, 106, 7, // Skip to: 2258
+/* 360 */     MCD_OPC_CheckPredicate, 17, 102, 7, // Skip to: 2258
+/* 364 */     MCD_OPC_CheckField, 21, 5, 0, 96, 7, // Skip to: 2258
+/* 370 */     MCD_OPC_Decode, 211, 4, 228, 1, // Opcode: DSRA32
+/* 375 */     MCD_OPC_FilterValue, 16, 41, 0, // Skip to: 420
+/* 379 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 382 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 401
+/* 386 */     MCD_OPC_CheckPredicate, 39, 76, 7, // Skip to: 2258
+/* 390 */     MCD_OPC_CheckField, 3, 8, 0, 70, 7, // Skip to: 2258
+/* 396 */     MCD_OPC_Decode, 150, 4, 229, 1, // Opcode: DMFC0
+/* 401 */     MCD_OPC_FilterValue, 5, 61, 7, // Skip to: 2258
+/* 405 */     MCD_OPC_CheckPredicate, 39, 57, 7, // Skip to: 2258
+/* 409 */     MCD_OPC_CheckField, 3, 8, 0, 51, 7, // Skip to: 2258
+/* 415 */     MCD_OPC_Decode, 155, 4, 229, 1, // Opcode: DMTC0
+/* 420 */     MCD_OPC_FilterValue, 17, 222, 3, // Skip to: 1414
+/* 424 */     MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 427 */     MCD_OPC_FilterValue, 0, 54, 0, // Skip to: 485
+/* 431 */     MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 434 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 453
+/* 438 */     MCD_OPC_CheckPredicate, 40, 24, 7, // Skip to: 2258
+/* 442 */     MCD_OPC_CheckField, 6, 5, 0, 18, 7, // Skip to: 2258
+/* 448 */     MCD_OPC_Decode, 245, 7, 230, 1, // Opcode: MFHC1_D64
+/* 453 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 472
+/* 457 */     MCD_OPC_CheckPredicate, 40, 5, 7, // Skip to: 2258
+/* 461 */     MCD_OPC_CheckField, 6, 5, 0, 255, 6, // Skip to: 2258
+/* 467 */     MCD_OPC_Decode, 234, 8, 231, 1, // Opcode: MTHC1_D64
+/* 472 */     MCD_OPC_FilterValue, 17, 246, 6, // Skip to: 2258
+/* 476 */     MCD_OPC_CheckPredicate, 41, 242, 6, // Skip to: 2258
+/* 480 */     MCD_OPC_Decode, 253, 4, 206, 1, // Opcode: FADD_D64
+/* 485 */     MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 504
+/* 489 */     MCD_OPC_CheckPredicate, 41, 229, 6, // Skip to: 2258
+/* 493 */     MCD_OPC_CheckField, 21, 5, 17, 223, 6, // Skip to: 2258
+/* 499 */     MCD_OPC_Decode, 255, 5, 206, 1, // Opcode: FSUB_D64
+/* 504 */     MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 523
+/* 508 */     MCD_OPC_CheckPredicate, 41, 210, 6, // Skip to: 2258
+/* 512 */     MCD_OPC_CheckField, 21, 5, 17, 204, 6, // Skip to: 2258
+/* 518 */     MCD_OPC_Decode, 218, 5, 206, 1, // Opcode: FMUL_D64
+/* 523 */     MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 542
+/* 527 */     MCD_OPC_CheckPredicate, 41, 191, 6, // Skip to: 2258
+/* 531 */     MCD_OPC_CheckField, 21, 5, 17, 185, 6, // Skip to: 2258
+/* 537 */     MCD_OPC_Decode, 161, 5, 206, 1, // Opcode: FDIV_D64
+/* 542 */     MCD_OPC_FilterValue, 4, 15, 0, // Skip to: 561
+/* 546 */     MCD_OPC_CheckPredicate, 42, 172, 6, // Skip to: 2258
+/* 550 */     MCD_OPC_CheckField, 16, 10, 160, 4, 165, 6, // Skip to: 2258
+/* 557 */     MCD_OPC_Decode, 248, 5, 80, // Opcode: FSQRT_D64
+/* 561 */     MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 580
+/* 565 */     MCD_OPC_CheckPredicate, 41, 153, 6, // Skip to: 2258
+/* 569 */     MCD_OPC_CheckField, 16, 10, 160, 4, 146, 6, // Skip to: 2258
+/* 576 */     MCD_OPC_Decode, 246, 4, 80, // Opcode: FABS_D64
+/* 580 */     MCD_OPC_FilterValue, 6, 15, 0, // Skip to: 599
+/* 584 */     MCD_OPC_CheckPredicate, 41, 134, 6, // Skip to: 2258
+/* 588 */     MCD_OPC_CheckField, 16, 10, 160, 4, 127, 6, // Skip to: 2258
+/* 595 */     MCD_OPC_Decode, 211, 5, 80, // Opcode: FMOV_D64
+/* 599 */     MCD_OPC_FilterValue, 7, 15, 0, // Skip to: 618
+/* 603 */     MCD_OPC_CheckPredicate, 41, 115, 6, // Skip to: 2258
+/* 607 */     MCD_OPC_CheckField, 16, 10, 160, 4, 108, 6, // Skip to: 2258
+/* 614 */     MCD_OPC_Decode, 224, 5, 80, // Opcode: FNEG_D64
+/* 618 */     MCD_OPC_FilterValue, 8, 29, 0, // Skip to: 651
+/* 622 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 625 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 638
+/* 630 */     MCD_OPC_CheckPredicate, 41, 88, 6, // Skip to: 2258
+/* 634 */     MCD_OPC_Decode, 164, 10, 73, // Opcode: ROUND_L_S
+/* 638 */     MCD_OPC_FilterValue, 160, 4, 79, 6, // Skip to: 2258
+/* 643 */     MCD_OPC_CheckPredicate, 41, 75, 6, // Skip to: 2258
+/* 647 */     MCD_OPC_Decode, 163, 10, 80, // Opcode: ROUND_L_D64
+/* 651 */     MCD_OPC_FilterValue, 9, 29, 0, // Skip to: 684
+/* 655 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 658 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 671
+/* 663 */     MCD_OPC_CheckPredicate, 41, 55, 6, // Skip to: 2258
+/* 667 */     MCD_OPC_Decode, 236, 12, 73, // Opcode: TRUNC_L_S
+/* 671 */     MCD_OPC_FilterValue, 160, 4, 46, 6, // Skip to: 2258
+/* 676 */     MCD_OPC_CheckPredicate, 41, 42, 6, // Skip to: 2258
+/* 680 */     MCD_OPC_Decode, 235, 12, 80, // Opcode: TRUNC_L_D64
+/* 684 */     MCD_OPC_FilterValue, 10, 29, 0, // Skip to: 717
+/* 688 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 691 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 704
+/* 696 */     MCD_OPC_CheckPredicate, 41, 22, 6, // Skip to: 2258
+/* 700 */     MCD_OPC_Decode, 178, 2, 73, // Opcode: CEIL_L_S
+/* 704 */     MCD_OPC_FilterValue, 160, 4, 13, 6, // Skip to: 2258
+/* 709 */     MCD_OPC_CheckPredicate, 41, 9, 6, // Skip to: 2258
+/* 713 */     MCD_OPC_Decode, 177, 2, 80, // Opcode: CEIL_L_D64
+/* 717 */     MCD_OPC_FilterValue, 11, 29, 0, // Skip to: 750
+/* 721 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 724 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 737
+/* 729 */     MCD_OPC_CheckPredicate, 41, 245, 5, // Skip to: 2258
+/* 733 */     MCD_OPC_Decode, 193, 5, 73, // Opcode: FLOOR_L_S
+/* 737 */     MCD_OPC_FilterValue, 160, 4, 236, 5, // Skip to: 2258
+/* 742 */     MCD_OPC_CheckPredicate, 41, 232, 5, // Skip to: 2258
+/* 746 */     MCD_OPC_Decode, 192, 5, 80, // Opcode: FLOOR_L_D64
+/* 750 */     MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 770
+/* 754 */     MCD_OPC_CheckPredicate, 42, 220, 5, // Skip to: 2258
+/* 758 */     MCD_OPC_CheckField, 16, 10, 160, 4, 213, 5, // Skip to: 2258
+/* 765 */     MCD_OPC_Decode, 166, 10, 232, 1, // Opcode: ROUND_W_D64
+/* 770 */     MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 790
+/* 774 */     MCD_OPC_CheckPredicate, 42, 200, 5, // Skip to: 2258
+/* 778 */     MCD_OPC_CheckField, 16, 10, 160, 4, 193, 5, // Skip to: 2258
+/* 785 */     MCD_OPC_Decode, 238, 12, 232, 1, // Opcode: TRUNC_W_D64
+/* 790 */     MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 810
+/* 794 */     MCD_OPC_CheckPredicate, 42, 180, 5, // Skip to: 2258
+/* 798 */     MCD_OPC_CheckField, 16, 10, 160, 4, 173, 5, // Skip to: 2258
+/* 805 */     MCD_OPC_Decode, 180, 2, 232, 1, // Opcode: CEIL_W_D64
+/* 810 */     MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 830
+/* 814 */     MCD_OPC_CheckPredicate, 42, 160, 5, // Skip to: 2258
+/* 818 */     MCD_OPC_CheckField, 16, 10, 160, 4, 153, 5, // Skip to: 2258
+/* 825 */     MCD_OPC_Decode, 195, 5, 232, 1, // Opcode: FLOOR_W_D64
+/* 830 */     MCD_OPC_FilterValue, 17, 41, 0, // Skip to: 875
+/* 834 */     MCD_OPC_ExtractField, 16, 2,  // Inst{17-16} ...
+/* 837 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 856
+/* 841 */     MCD_OPC_CheckPredicate, 43, 133, 5, // Skip to: 2258
+/* 845 */     MCD_OPC_CheckField, 21, 5, 17, 127, 5, // Skip to: 2258
+/* 851 */     MCD_OPC_Decode, 170, 8, 233, 1, // Opcode: MOVF_D64
+/* 856 */     MCD_OPC_FilterValue, 1, 118, 5, // Skip to: 2258
+/* 860 */     MCD_OPC_CheckPredicate, 43, 114, 5, // Skip to: 2258
+/* 864 */     MCD_OPC_CheckField, 21, 5, 17, 108, 5, // Skip to: 2258
+/* 870 */     MCD_OPC_Decode, 190, 8, 233, 1, // Opcode: MOVT_D64
+/* 875 */     MCD_OPC_FilterValue, 18, 15, 0, // Skip to: 894
+/* 879 */     MCD_OPC_CheckPredicate, 43, 95, 5, // Skip to: 2258
+/* 883 */     MCD_OPC_CheckField, 21, 5, 17, 89, 5, // Skip to: 2258
+/* 889 */     MCD_OPC_Decode, 202, 8, 234, 1, // Opcode: MOVZ_I_D64
+/* 894 */     MCD_OPC_FilterValue, 19, 15, 0, // Skip to: 913
+/* 898 */     MCD_OPC_CheckPredicate, 43, 76, 5, // Skip to: 2258
+/* 902 */     MCD_OPC_CheckField, 21, 5, 17, 70, 5, // Skip to: 2258
+/* 908 */     MCD_OPC_Decode, 182, 8, 234, 1, // Opcode: MOVN_I_D64
+/* 913 */     MCD_OPC_FilterValue, 32, 31, 0, // Skip to: 948
+/* 917 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 920 */     MCD_OPC_FilterValue, 160, 4, 9, 0, // Skip to: 934
+/* 925 */     MCD_OPC_CheckPredicate, 41, 49, 5, // Skip to: 2258
+/* 929 */     MCD_OPC_Decode, 180, 3, 232, 1, // Opcode: CVT_S_D64
+/* 934 */     MCD_OPC_FilterValue, 160, 5, 39, 5, // Skip to: 2258
+/* 939 */     MCD_OPC_CheckPredicate, 41, 35, 5, // Skip to: 2258
+/* 943 */     MCD_OPC_Decode, 181, 3, 232, 1, // Opcode: CVT_S_L
+/* 948 */     MCD_OPC_FilterValue, 33, 42, 0, // Skip to: 994
+/* 952 */     MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 955 */     MCD_OPC_FilterValue, 128, 4, 8, 0, // Skip to: 968
+/* 960 */     MCD_OPC_CheckPredicate, 41, 14, 5, // Skip to: 2258
+/* 964 */     MCD_OPC_Decode, 171, 3, 73, // Opcode: CVT_D64_S
+/* 968 */     MCD_OPC_FilterValue, 128, 5, 8, 0, // Skip to: 981
+/* 973 */     MCD_OPC_CheckPredicate, 41, 1, 5, // Skip to: 2258
+/* 977 */     MCD_OPC_Decode, 172, 3, 73, // Opcode: CVT_D64_W
+/* 981 */     MCD_OPC_FilterValue, 160, 5, 248, 4, // Skip to: 2258
+/* 986 */     MCD_OPC_CheckPredicate, 41, 244, 4, // Skip to: 2258
+/* 990 */     MCD_OPC_Decode, 170, 3, 80, // Opcode: CVT_D64_L
+/* 994 */     MCD_OPC_FilterValue, 36, 16, 0, // Skip to: 1014
+/* 998 */     MCD_OPC_CheckPredicate, 41, 232, 4, // Skip to: 2258
+/* 1002 */    MCD_OPC_CheckField, 16, 10, 160, 4, 225, 4, // Skip to: 2258
+/* 1009 */    MCD_OPC_Decode, 185, 3, 232, 1, // Opcode: CVT_W_D64
+/* 1014 */    MCD_OPC_FilterValue, 48, 21, 0, // Skip to: 1039
+/* 1018 */    MCD_OPC_CheckPredicate, 44, 212, 4, // Skip to: 2258
+/* 1022 */    MCD_OPC_CheckField, 21, 5, 17, 206, 4, // Skip to: 2258
+/* 1028 */    MCD_OPC_CheckField, 6, 5, 0, 200, 4, // Skip to: 2258
+/* 1034 */    MCD_OPC_Decode, 193, 3, 235, 1, // Opcode: C_F_D64
+/* 1039 */    MCD_OPC_FilterValue, 49, 21, 0, // Skip to: 1064
+/* 1043 */    MCD_OPC_CheckPredicate, 44, 187, 4, // Skip to: 2258
+/* 1047 */    MCD_OPC_CheckField, 21, 5, 17, 181, 4, // Skip to: 2258
+/* 1053 */    MCD_OPC_CheckField, 6, 5, 0, 175, 4, // Skip to: 2258
+/* 1059 */    MCD_OPC_Decode, 235, 3, 235, 1, // Opcode: C_UN_D64
+/* 1064 */    MCD_OPC_FilterValue, 50, 21, 0, // Skip to: 1089
+/* 1068 */    MCD_OPC_CheckPredicate, 44, 162, 4, // Skip to: 2258
+/* 1072 */    MCD_OPC_CheckField, 21, 5, 17, 156, 4, // Skip to: 2258
+/* 1078 */    MCD_OPC_CheckField, 6, 5, 0, 150, 4, // Skip to: 2258
+/* 1084 */    MCD_OPC_Decode, 190, 3, 235, 1, // Opcode: C_EQ_D64
+/* 1089 */    MCD_OPC_FilterValue, 51, 21, 0, // Skip to: 1114
+/* 1093 */    MCD_OPC_CheckPredicate, 44, 137, 4, // Skip to: 2258
+/* 1097 */    MCD_OPC_CheckField, 21, 5, 17, 131, 4, // Skip to: 2258
+/* 1103 */    MCD_OPC_CheckField, 6, 5, 0, 125, 4, // Skip to: 2258
+/* 1109 */    MCD_OPC_Decode, 226, 3, 235, 1, // Opcode: C_UEQ_D64
+/* 1114 */    MCD_OPC_FilterValue, 52, 21, 0, // Skip to: 1139
+/* 1118 */    MCD_OPC_CheckPredicate, 44, 112, 4, // Skip to: 2258
+/* 1122 */    MCD_OPC_CheckField, 21, 5, 17, 106, 4, // Skip to: 2258
+/* 1128 */    MCD_OPC_CheckField, 6, 5, 0, 100, 4, // Skip to: 2258
+/* 1134 */    MCD_OPC_Decode, 217, 3, 235, 1, // Opcode: C_OLT_D64
+/* 1139 */    MCD_OPC_FilterValue, 53, 21, 0, // Skip to: 1164
+/* 1143 */    MCD_OPC_CheckPredicate, 44, 87, 4, // Skip to: 2258
+/* 1147 */    MCD_OPC_CheckField, 21, 5, 17, 81, 4, // Skip to: 2258
+/* 1153 */    MCD_OPC_CheckField, 6, 5, 0, 75, 4, // Skip to: 2258
+/* 1159 */    MCD_OPC_Decode, 232, 3, 235, 1, // Opcode: C_ULT_D64
+/* 1164 */    MCD_OPC_FilterValue, 54, 21, 0, // Skip to: 1189
+/* 1168 */    MCD_OPC_CheckPredicate, 44, 62, 4, // Skip to: 2258
+/* 1172 */    MCD_OPC_CheckField, 21, 5, 17, 56, 4, // Skip to: 2258
+/* 1178 */    MCD_OPC_CheckField, 6, 5, 0, 50, 4, // Skip to: 2258
+/* 1184 */    MCD_OPC_Decode, 214, 3, 235, 1, // Opcode: C_OLE_D64
+/* 1189 */    MCD_OPC_FilterValue, 55, 21, 0, // Skip to: 1214
+/* 1193 */    MCD_OPC_CheckPredicate, 44, 37, 4, // Skip to: 2258
+/* 1197 */    MCD_OPC_CheckField, 21, 5, 17, 31, 4, // Skip to: 2258
+/* 1203 */    MCD_OPC_CheckField, 6, 5, 0, 25, 4, // Skip to: 2258
+/* 1209 */    MCD_OPC_Decode, 229, 3, 235, 1, // Opcode: C_ULE_D64
+/* 1214 */    MCD_OPC_FilterValue, 56, 21, 0, // Skip to: 1239
+/* 1218 */    MCD_OPC_CheckPredicate, 44, 12, 4, // Skip to: 2258
+/* 1222 */    MCD_OPC_CheckField, 21, 5, 17, 6, 4, // Skip to: 2258
+/* 1228 */    MCD_OPC_CheckField, 6, 5, 0, 0, 4, // Skip to: 2258
+/* 1234 */    MCD_OPC_Decode, 223, 3, 235, 1, // Opcode: C_SF_D64
+/* 1239 */    MCD_OPC_FilterValue, 57, 21, 0, // Skip to: 1264
+/* 1243 */    MCD_OPC_CheckPredicate, 44, 243, 3, // Skip to: 2258
+/* 1247 */    MCD_OPC_CheckField, 21, 5, 17, 237, 3, // Skip to: 2258
+/* 1253 */    MCD_OPC_CheckField, 6, 5, 0, 231, 3, // Skip to: 2258
+/* 1259 */    MCD_OPC_Decode, 205, 3, 235, 1, // Opcode: C_NGLE_D64
+/* 1264 */    MCD_OPC_FilterValue, 58, 21, 0, // Skip to: 1289
+/* 1268 */    MCD_OPC_CheckPredicate, 44, 218, 3, // Skip to: 2258
+/* 1272 */    MCD_OPC_CheckField, 21, 5, 17, 212, 3, // Skip to: 2258
+/* 1278 */    MCD_OPC_CheckField, 6, 5, 0, 206, 3, // Skip to: 2258
+/* 1284 */    MCD_OPC_Decode, 220, 3, 235, 1, // Opcode: C_SEQ_D64
+/* 1289 */    MCD_OPC_FilterValue, 59, 21, 0, // Skip to: 1314
+/* 1293 */    MCD_OPC_CheckPredicate, 44, 193, 3, // Skip to: 2258
+/* 1297 */    MCD_OPC_CheckField, 21, 5, 17, 187, 3, // Skip to: 2258
+/* 1303 */    MCD_OPC_CheckField, 6, 5, 0, 181, 3, // Skip to: 2258
+/* 1309 */    MCD_OPC_Decode, 208, 3, 235, 1, // Opcode: C_NGL_D64
+/* 1314 */    MCD_OPC_FilterValue, 60, 21, 0, // Skip to: 1339
+/* 1318 */    MCD_OPC_CheckPredicate, 44, 168, 3, // Skip to: 2258
+/* 1322 */    MCD_OPC_CheckField, 21, 5, 17, 162, 3, // Skip to: 2258
+/* 1328 */    MCD_OPC_CheckField, 6, 5, 0, 156, 3, // Skip to: 2258
+/* 1334 */    MCD_OPC_Decode, 199, 3, 235, 1, // Opcode: C_LT_D64
+/* 1339 */    MCD_OPC_FilterValue, 61, 21, 0, // Skip to: 1364
+/* 1343 */    MCD_OPC_CheckPredicate, 44, 143, 3, // Skip to: 2258
+/* 1347 */    MCD_OPC_CheckField, 21, 5, 17, 137, 3, // Skip to: 2258
+/* 1353 */    MCD_OPC_CheckField, 6, 5, 0, 131, 3, // Skip to: 2258
+/* 1359 */    MCD_OPC_Decode, 202, 3, 235, 1, // Opcode: C_NGE_D64
+/* 1364 */    MCD_OPC_FilterValue, 62, 21, 0, // Skip to: 1389
+/* 1368 */    MCD_OPC_CheckPredicate, 44, 118, 3, // Skip to: 2258
+/* 1372 */    MCD_OPC_CheckField, 21, 5, 17, 112, 3, // Skip to: 2258
+/* 1378 */    MCD_OPC_CheckField, 6, 5, 0, 106, 3, // Skip to: 2258
+/* 1384 */    MCD_OPC_Decode, 196, 3, 235, 1, // Opcode: C_LE_D64
+/* 1389 */    MCD_OPC_FilterValue, 63, 97, 3, // Skip to: 2258
+/* 1393 */    MCD_OPC_CheckPredicate, 44, 93, 3, // Skip to: 2258
+/* 1397 */    MCD_OPC_CheckField, 21, 5, 17, 87, 3, // Skip to: 2258
+/* 1403 */    MCD_OPC_CheckField, 6, 5, 0, 81, 3, // Skip to: 2258
+/* 1409 */    MCD_OPC_Decode, 211, 3, 235, 1, // Opcode: C_NGT_D64
+/* 1414 */    MCD_OPC_FilterValue, 18, 41, 0, // Skip to: 1459
+/* 1418 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 1421 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1440
+/* 1425 */    MCD_OPC_CheckPredicate, 39, 61, 3, // Skip to: 2258
+/* 1429 */    MCD_OPC_CheckField, 3, 8, 0, 55, 3, // Skip to: 2258
+/* 1435 */    MCD_OPC_Decode, 152, 4, 229, 1, // Opcode: DMFC2
+/* 1440 */    MCD_OPC_FilterValue, 5, 46, 3, // Skip to: 2258
+/* 1444 */    MCD_OPC_CheckPredicate, 39, 42, 3, // Skip to: 2258
+/* 1448 */    MCD_OPC_CheckField, 3, 8, 0, 36, 3, // Skip to: 2258
+/* 1454 */    MCD_OPC_Decode, 157, 4, 229, 1, // Opcode: DMTC2
+/* 1459 */    MCD_OPC_FilterValue, 19, 79, 0, // Skip to: 1542
+/* 1463 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1466 */    MCD_OPC_FilterValue, 1, 15, 0, // Skip to: 1485
+/* 1470 */    MCD_OPC_CheckPredicate, 45, 16, 3, // Skip to: 2258
+/* 1474 */    MCD_OPC_CheckField, 11, 5, 0, 10, 3, // Skip to: 2258
+/* 1480 */    MCD_OPC_Decode, 247, 6, 236, 1, // Opcode: LDXC164
+/* 1485 */    MCD_OPC_FilterValue, 5, 15, 0, // Skip to: 1504
+/* 1489 */    MCD_OPC_CheckPredicate, 46, 253, 2, // Skip to: 2258
+/* 1493 */    MCD_OPC_CheckField, 11, 5, 0, 247, 2, // Skip to: 2258
+/* 1499 */    MCD_OPC_Decode, 149, 7, 236, 1, // Opcode: LUXC164
+/* 1504 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1523
+/* 1508 */    MCD_OPC_CheckPredicate, 45, 234, 2, // Skip to: 2258
+/* 1512 */    MCD_OPC_CheckField, 6, 5, 0, 228, 2, // Skip to: 2258
+/* 1518 */    MCD_OPC_Decode, 204, 10, 237, 1, // Opcode: SDXC164
+/* 1523 */    MCD_OPC_FilterValue, 13, 219, 2, // Skip to: 2258
+/* 1527 */    MCD_OPC_CheckPredicate, 46, 215, 2, // Skip to: 2258
+/* 1531 */    MCD_OPC_CheckField, 6, 5, 0, 209, 2, // Skip to: 2258
+/* 1537 */    MCD_OPC_Decode, 137, 12, 237, 1, // Opcode: SUXC164
+/* 1542 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 1555
+/* 1546 */    MCD_OPC_CheckPredicate, 38, 196, 2, // Skip to: 2258
+/* 1550 */    MCD_OPC_Decode, 242, 3, 238, 1, // Opcode: DADDi
+/* 1555 */    MCD_OPC_FilterValue, 25, 9, 0, // Skip to: 1568
+/* 1559 */    MCD_OPC_CheckPredicate, 17, 183, 2, // Skip to: 2258
+/* 1563 */    MCD_OPC_Decode, 243, 3, 238, 1, // Opcode: DADDiu
+/* 1568 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 1581
+/* 1572 */    MCD_OPC_CheckPredicate, 38, 170, 2, // Skip to: 2258
+/* 1576 */    MCD_OPC_Decode, 243, 6, 192, 1, // Opcode: LDL
+/* 1581 */    MCD_OPC_FilterValue, 27, 9, 0, // Skip to: 1594
+/* 1585 */    MCD_OPC_CheckPredicate, 38, 157, 2, // Skip to: 2258
+/* 1589 */    MCD_OPC_Decode, 245, 6, 192, 1, // Opcode: LDR
+/* 1594 */    MCD_OPC_FilterValue, 28, 159, 1, // Skip to: 2013
+/* 1598 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 1601 */    MCD_OPC_FilterValue, 3, 15, 0, // Skip to: 1620
+/* 1605 */    MCD_OPC_CheckPredicate, 47, 137, 2, // Skip to: 2258
+/* 1609 */    MCD_OPC_CheckField, 6, 5, 0, 131, 2, // Skip to: 2258
+/* 1615 */    MCD_OPC_Decode, 160, 4, 197, 1, // Opcode: DMUL
+/* 1620 */    MCD_OPC_FilterValue, 8, 15, 0, // Skip to: 1639
+/* 1624 */    MCD_OPC_CheckPredicate, 47, 118, 2, // Skip to: 2258
+/* 1628 */    MCD_OPC_CheckField, 6, 15, 0, 112, 2, // Skip to: 2258
+/* 1634 */    MCD_OPC_Decode, 245, 8, 239, 1, // Opcode: MTM0
+/* 1639 */    MCD_OPC_FilterValue, 9, 15, 0, // Skip to: 1658
+/* 1643 */    MCD_OPC_CheckPredicate, 47, 99, 2, // Skip to: 2258
+/* 1647 */    MCD_OPC_CheckField, 6, 15, 0, 93, 2, // Skip to: 2258
+/* 1653 */    MCD_OPC_Decode, 248, 8, 239, 1, // Opcode: MTP0
+/* 1658 */    MCD_OPC_FilterValue, 10, 15, 0, // Skip to: 1677
+/* 1662 */    MCD_OPC_CheckPredicate, 47, 80, 2, // Skip to: 2258
+/* 1666 */    MCD_OPC_CheckField, 6, 15, 0, 74, 2, // Skip to: 2258
+/* 1672 */    MCD_OPC_Decode, 249, 8, 239, 1, // Opcode: MTP1
+/* 1677 */    MCD_OPC_FilterValue, 11, 15, 0, // Skip to: 1696
+/* 1681 */    MCD_OPC_CheckPredicate, 47, 61, 2, // Skip to: 2258
+/* 1685 */    MCD_OPC_CheckField, 6, 15, 0, 55, 2, // Skip to: 2258
+/* 1691 */    MCD_OPC_Decode, 250, 8, 239, 1, // Opcode: MTP2
+/* 1696 */    MCD_OPC_FilterValue, 12, 15, 0, // Skip to: 1715
+/* 1700 */    MCD_OPC_CheckPredicate, 47, 42, 2, // Skip to: 2258
+/* 1704 */    MCD_OPC_CheckField, 6, 15, 0, 36, 2, // Skip to: 2258
+/* 1710 */    MCD_OPC_Decode, 246, 8, 239, 1, // Opcode: MTM1
+/* 1715 */    MCD_OPC_FilterValue, 13, 15, 0, // Skip to: 1734
+/* 1719 */    MCD_OPC_CheckPredicate, 47, 23, 2, // Skip to: 2258
+/* 1723 */    MCD_OPC_CheckField, 6, 15, 0, 17, 2, // Skip to: 2258
+/* 1729 */    MCD_OPC_Decode, 247, 8, 239, 1, // Opcode: MTM2
+/* 1734 */    MCD_OPC_FilterValue, 15, 15, 0, // Skip to: 1753
+/* 1738 */    MCD_OPC_CheckPredicate, 47, 4, 2, // Skip to: 2258
+/* 1742 */    MCD_OPC_CheckField, 6, 5, 0, 254, 1, // Skip to: 2258
+/* 1748 */    MCD_OPC_Decode, 247, 12, 197, 1, // Opcode: VMULU
+/* 1753 */    MCD_OPC_FilterValue, 16, 15, 0, // Skip to: 1772
+/* 1757 */    MCD_OPC_CheckPredicate, 47, 241, 1, // Skip to: 2258
+/* 1761 */    MCD_OPC_CheckField, 6, 5, 0, 235, 1, // Skip to: 2258
+/* 1767 */    MCD_OPC_Decode, 246, 12, 197, 1, // Opcode: VMM0
+/* 1772 */    MCD_OPC_FilterValue, 17, 15, 0, // Skip to: 1791
+/* 1776 */    MCD_OPC_CheckPredicate, 47, 222, 1, // Skip to: 2258
+/* 1780 */    MCD_OPC_CheckField, 6, 5, 0, 216, 1, // Skip to: 2258
+/* 1786 */    MCD_OPC_Decode, 245, 12, 197, 1, // Opcode: V3MULU
+/* 1791 */    MCD_OPC_FilterValue, 36, 15, 0, // Skip to: 1810
+/* 1795 */    MCD_OPC_CheckPredicate, 48, 203, 1, // Skip to: 2258
+/* 1799 */    MCD_OPC_CheckField, 6, 5, 0, 197, 1, // Skip to: 2258
+/* 1805 */    MCD_OPC_Decode, 252, 3, 240, 1, // Opcode: DCLZ
+/* 1810 */    MCD_OPC_FilterValue, 37, 15, 0, // Skip to: 1829
+/* 1814 */    MCD_OPC_CheckPredicate, 48, 184, 1, // Skip to: 2258
+/* 1818 */    MCD_OPC_CheckField, 6, 5, 0, 178, 1, // Skip to: 2258
+/* 1824 */    MCD_OPC_Decode, 250, 3, 240, 1, // Opcode: DCLO
+/* 1829 */    MCD_OPC_FilterValue, 40, 15, 0, // Skip to: 1848
+/* 1833 */    MCD_OPC_CheckPredicate, 47, 165, 1, // Skip to: 2258
+/* 1837 */    MCD_OPC_CheckField, 6, 5, 0, 159, 1, // Skip to: 2258
+/* 1843 */    MCD_OPC_Decode, 155, 1, 197, 1, // Opcode: BADDu
+/* 1848 */    MCD_OPC_FilterValue, 42, 15, 0, // Skip to: 1867
+/* 1852 */    MCD_OPC_CheckPredicate, 47, 146, 1, // Skip to: 2258
+/* 1856 */    MCD_OPC_CheckField, 6, 5, 0, 140, 1, // Skip to: 2258
+/* 1862 */    MCD_OPC_Decode, 221, 10, 197, 1, // Opcode: SEQ
+/* 1867 */    MCD_OPC_FilterValue, 43, 15, 0, // Skip to: 1886
+/* 1871 */    MCD_OPC_CheckPredicate, 47, 127, 1, // Skip to: 2258
+/* 1875 */    MCD_OPC_CheckField, 6, 5, 0, 121, 1, // Skip to: 2258
+/* 1881 */    MCD_OPC_Decode, 159, 11, 197, 1, // Opcode: SNE
+/* 1886 */    MCD_OPC_FilterValue, 44, 20, 0, // Skip to: 1910
+/* 1890 */    MCD_OPC_CheckPredicate, 47, 108, 1, // Skip to: 2258
+/* 1894 */    MCD_OPC_CheckField, 16, 5, 0, 102, 1, // Skip to: 2258
+/* 1900 */    MCD_OPC_CheckField, 6, 5, 0, 96, 1, // Skip to: 2258
+/* 1906 */    MCD_OPC_Decode, 220, 9, 39, // Opcode: POP
+/* 1910 */    MCD_OPC_FilterValue, 45, 21, 0, // Skip to: 1935
+/* 1914 */    MCD_OPC_CheckPredicate, 47, 84, 1, // Skip to: 2258
+/* 1918 */    MCD_OPC_CheckField, 16, 5, 0, 78, 1, // Skip to: 2258
+/* 1924 */    MCD_OPC_CheckField, 6, 5, 0, 72, 1, // Skip to: 2258
+/* 1930 */    MCD_OPC_Decode, 185, 4, 195, 1, // Opcode: DPOP
+/* 1935 */    MCD_OPC_FilterValue, 46, 9, 0, // Skip to: 1948
+/* 1939 */    MCD_OPC_CheckPredicate, 47, 59, 1, // Skip to: 2258
+/* 1943 */    MCD_OPC_Decode, 222, 10, 241, 1, // Opcode: SEQi
+/* 1948 */    MCD_OPC_FilterValue, 47, 9, 0, // Skip to: 1961
+/* 1952 */    MCD_OPC_CheckPredicate, 47, 46, 1, // Skip to: 2258
+/* 1956 */    MCD_OPC_Decode, 160, 11, 241, 1, // Opcode: SNEi
+/* 1961 */    MCD_OPC_FilterValue, 50, 9, 0, // Skip to: 1974
+/* 1965 */    MCD_OPC_CheckPredicate, 47, 33, 1, // Skip to: 2258
+/* 1969 */    MCD_OPC_Decode, 195, 2, 242, 1, // Opcode: CINS
+/* 1974 */    MCD_OPC_FilterValue, 51, 9, 0, // Skip to: 1987
+/* 1978 */    MCD_OPC_CheckPredicate, 47, 20, 1, // Skip to: 2258
+/* 1982 */    MCD_OPC_Decode, 196, 2, 242, 1, // Opcode: CINS32
+/* 1987 */    MCD_OPC_FilterValue, 58, 9, 0, // Skip to: 2000
+/* 1991 */    MCD_OPC_CheckPredicate, 47, 7, 1, // Skip to: 2258
+/* 1995 */    MCD_OPC_Decode, 239, 4, 242, 1, // Opcode: EXTS
+/* 2000 */    MCD_OPC_FilterValue, 59, 254, 0, // Skip to: 2258
+/* 2004 */    MCD_OPC_CheckPredicate, 47, 250, 0, // Skip to: 2258
+/* 2008 */    MCD_OPC_Decode, 240, 4, 242, 1, // Opcode: EXTS32
+/* 2013 */    MCD_OPC_FilterValue, 31, 126, 0, // Skip to: 2143
+/* 2017 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 2020 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2033
+/* 2024 */    MCD_OPC_CheckPredicate, 4, 230, 0, // Skip to: 2258
+/* 2028 */    MCD_OPC_Decode, 131, 4, 243, 1, // Opcode: DEXTM
+/* 2033 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2046
+/* 2037 */    MCD_OPC_CheckPredicate, 4, 217, 0, // Skip to: 2258
+/* 2041 */    MCD_OPC_Decode, 132, 4, 243, 1, // Opcode: DEXTU
+/* 2046 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2059
+/* 2050 */    MCD_OPC_CheckPredicate, 4, 204, 0, // Skip to: 2258
+/* 2054 */    MCD_OPC_Decode, 130, 4, 243, 1, // Opcode: DEXT
+/* 2059 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2072
+/* 2063 */    MCD_OPC_CheckPredicate, 4, 191, 0, // Skip to: 2258
+/* 2067 */    MCD_OPC_Decode, 135, 4, 244, 1, // Opcode: DINSM
+/* 2072 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2085
+/* 2076 */    MCD_OPC_CheckPredicate, 4, 178, 0, // Skip to: 2258
+/* 2080 */    MCD_OPC_Decode, 136, 4, 244, 1, // Opcode: DINSU
+/* 2085 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2098
+/* 2089 */    MCD_OPC_CheckPredicate, 4, 165, 0, // Skip to: 2258
+/* 2093 */    MCD_OPC_Decode, 134, 4, 244, 1, // Opcode: DINS
+/* 2098 */    MCD_OPC_FilterValue, 36, 156, 0, // Skip to: 2258
+/* 2102 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 2105 */    MCD_OPC_FilterValue, 2, 15, 0, // Skip to: 2124
+/* 2109 */    MCD_OPC_CheckPredicate, 37, 145, 0, // Skip to: 2258
+/* 2113 */    MCD_OPC_CheckField, 21, 5, 0, 139, 0, // Skip to: 2258
+/* 2119 */    MCD_OPC_Decode, 203, 4, 216, 1, // Opcode: DSBH
+/* 2124 */    MCD_OPC_FilterValue, 5, 130, 0, // Skip to: 2258
+/* 2128 */    MCD_OPC_CheckPredicate, 37, 126, 0, // Skip to: 2258
+/* 2132 */    MCD_OPC_CheckField, 21, 5, 0, 120, 0, // Skip to: 2258
+/* 2138 */    MCD_OPC_Decode, 205, 4, 216, 1, // Opcode: DSHD
+/* 2143 */    MCD_OPC_FilterValue, 39, 9, 0, // Skip to: 2156
+/* 2147 */    MCD_OPC_CheckPredicate, 17, 107, 0, // Skip to: 2258
+/* 2151 */    MCD_OPC_Decode, 174, 7, 192, 1, // Opcode: LWu
+/* 2156 */    MCD_OPC_FilterValue, 44, 9, 0, // Skip to: 2169
+/* 2160 */    MCD_OPC_CheckPredicate, 38, 94, 0, // Skip to: 2258
+/* 2164 */    MCD_OPC_Decode, 201, 10, 192, 1, // Opcode: SDL
+/* 2169 */    MCD_OPC_FilterValue, 45, 9, 0, // Skip to: 2182
+/* 2173 */    MCD_OPC_CheckPredicate, 38, 81, 0, // Skip to: 2258
+/* 2177 */    MCD_OPC_Decode, 202, 10, 192, 1, // Opcode: SDR
+/* 2182 */    MCD_OPC_FilterValue, 52, 9, 0, // Skip to: 2195
+/* 2186 */    MCD_OPC_CheckPredicate, 38, 68, 0, // Skip to: 2258
+/* 2190 */    MCD_OPC_Decode, 135, 7, 192, 1, // Opcode: LLD
+/* 2195 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 2207
+/* 2199 */    MCD_OPC_CheckPredicate, 49, 55, 0, // Skip to: 2258
+/* 2203 */    MCD_OPC_Decode, 234, 6, 10, // Opcode: LDC164
+/* 2207 */    MCD_OPC_FilterValue, 55, 9, 0, // Skip to: 2220
+/* 2211 */    MCD_OPC_CheckPredicate, 17, 43, 0, // Skip to: 2258
+/* 2215 */    MCD_OPC_Decode, 232, 6, 192, 1, // Opcode: LD
+/* 2220 */    MCD_OPC_FilterValue, 60, 9, 0, // Skip to: 2233
+/* 2224 */    MCD_OPC_CheckPredicate, 38, 30, 0, // Skip to: 2258
+/* 2228 */    MCD_OPC_Decode, 186, 10, 192, 1, // Opcode: SCD
+/* 2233 */    MCD_OPC_FilterValue, 61, 8, 0, // Skip to: 2245
+/* 2237 */    MCD_OPC_CheckPredicate, 49, 17, 0, // Skip to: 2258
+/* 2241 */    MCD_OPC_Decode, 194, 10, 10, // Opcode: SDC164
+/* 2245 */    MCD_OPC_FilterValue, 63, 9, 0, // Skip to: 2258
+/* 2249 */    MCD_OPC_CheckPredicate, 17, 5, 0, // Skip to: 2258
+/* 2253 */    MCD_OPC_Decode, 190, 10, 192, 1, // Opcode: SD
+/* 2258 */    MCD_OPC_Fail,
   0
 };
 
@@ -3862,49 +4498,103 @@
   case 0:
     return getbool((Bits & Mips_FeatureMips16));
   case 1:
-    return getbool((Bits & Mips_FeatureMicroMips));
-  case 2:
     return getbool(!(Bits & Mips_FeatureMips16));
+  case 2:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2));
   case 3:
-    return getbool((Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureMips16));
+    return getbool((Bits & Mips_FeatureMicroMips));
   case 4:
-    return getbool((Bits & Mips_FeatureMSA));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2));
   case 5:
-    return getbool((Bits & Mips_FeatureDSP));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips4_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 6:
-    return getbool((Bits & Mips_FeatureMSA) && (Bits & Mips_FeatureMips64));
+    return getbool((Bits & Mips_FeatureMSA));
   case 7:
-    return getbool((Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 8:
-    return getbool(!(Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMicroMips));
   case 9:
-    return getbool((Bits & Mips_FeatureFPIdx) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32));
   case 10:
-    return getbool((Bits & Mips_FeatureFPIdx) && !(Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMicroMips));
+    return getbool(!(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureMicroMips));
   case 11:
-    return getbool((Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool((Bits & Mips_FeatureDSP));
   case 12:
-    return getbool((Bits & Mips_FeatureMips32r2) && (Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 13:
-    return getbool((Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips32) && !(Bits & Mips_FeatureMips16));
+    return getbool((Bits & Mips_FeatureMSA) && (Bits & Mips_FeatureMips64));
   case 14:
-    return getbool((Bits & Mips_FeatureBitCount) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
   case 15:
-    return getbool((Bits & Mips_FeatureDSPR2));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3_32));
   case 16:
-    return getbool((Bits & Mips_FeatureSwap) && !(Bits & Mips_FeatureMips16));
-  case 17:
-    return getbool((Bits & Mips_FeatureSEInReg) && !(Bits & Mips_FeatureMips16));
-  case 18:
     return getbool(!(Bits & Mips_FeatureMicroMips));
+  case 17:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3));
+  case 18:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureFP64Bit));
   case 19:
-    return getbool((Bits & Mips_FeatureMips64r2) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit));
   case 20:
-    return getbool((Bits & Mips_FeatureMips64));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3_32r2));
   case 21:
-    return getbool((Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2) && !(Bits & Mips_FeatureFP64Bit));
   case 22:
-    return getbool((Bits & Mips_FeatureFPIdx) && (Bits & Mips_FeatureFP64Bit) && !(Bits & Mips_FeatureMips16));
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 23:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureFP64Bit));
+  case 24:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips4_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 25:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureMicroMips));
+  case 26:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips5_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 27:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 28:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 29:
+    return getbool((Bits & Mips_FeatureDSPR2));
+  case 30:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 31:
+    return getbool((Bits & Mips_FeatureMips2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && !(Bits & Mips_FeatureMicroMips));
+  case 32:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips2));
+  case 33:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r6));
+  case 34:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips64r6));
+  case 35:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureGP64Bit) && (Bits & Mips_FeatureMips32r6));
+  case 36:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureGP64Bit) && (Bits & Mips_FeatureMips32r6));
+  case 37:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips64r2));
+  case 38:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips3) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 39:
+    return getbool((Bits & Mips_FeatureMips64));
+  case 40:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips32r2) && (Bits & Mips_FeatureFP64Bit));
+  case 41:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit));
+  case 42:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips2) && (Bits & Mips_FeatureFP64Bit));
+  case 43:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 44:
+    return getbool(!(Bits & Mips_FeatureMips16) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6) && (Bits & Mips_FeatureFP64Bit));
+  case 45:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips4_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 46:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips5_32r2) && !(Bits & Mips_FeatureMips32r6) && !(Bits & Mips_FeatureMips64r6));
+  case 47:
+    return getbool((Bits & Mips_FeatureCnMips));
+  case 48:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureMips64) && !(Bits & Mips_FeatureMips64r6));
+  case 49:
+    return getbool(!(Bits & Mips_FeatureMips16) && (Bits & Mips_FeatureFP64Bit) && (Bits & Mips_FeatureMips2));
   }
 }
 
@@ -3973,6 +4663,19 @@
     if (DecodeCPU16RegsRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 10: \
+    if (DecodeFMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 11: \
+    tmp = fieldname(insn, 5, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 12: \
+    tmp = fieldname(insn, 0, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 13: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -3980,13 +4683,13 @@
     tmp = fieldname(insn, 11, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 11: \
+  case 14: \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 12: \
+  case 15: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -3998,33 +4701,33 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 13: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 14: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 15: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 16: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 17: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 18: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 19: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4034,7 +4737,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 17: \
+  case 20: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4042,31 +4745,31 @@
     tmp = fieldname(insn, 12, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 18: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 19: \
+  case 21: \
     tmp = fieldname(insn, 16, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 20: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 21: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 22: \
     tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 23: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 24: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 25: \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 23: \
+  case 26: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4074,22 +4777,22 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 24: \
+  case 27: \
     if (DecodeMemMMImm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 25: \
+  case 28: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 26: \
+  case 29: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 27: \
+  case 30: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4097,7 +4800,7 @@
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 28: \
+  case 31: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4107,10 +4810,10 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 29: \
+  case 32: \
     if (DecodeMemMMImm12(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 30: \
+  case 33: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4118,10 +4821,10 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTargetMM(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 31: \
+  case 34: \
     if (DecodeJumpTargetMM(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 32: \
+  case 35: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4129,7 +4832,7 @@
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 33: \
+  case 36: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4139,26 +4842,6 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 34: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 2); \
-    if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 35: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 36: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 37: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4166,40 +4849,60 @@
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 38: \
-    tmp = fieldname(insn, 6, 20); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 39: \
-    tmp = fieldname(insn, 6, 5); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 40: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 41: \
+    tmp = fieldname(insn, 6, 20); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 42: \
+    tmp = fieldname(insn, 6, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 43: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 44: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 42: \
+  case 45: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeHI32DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 43: \
+  case 46: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeLO32DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 44: \
+  case 47: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4209,7 +4912,7 @@
     tmp = fieldname(insn, 6, 2); \
     if (DecodeLSAImm(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 45: \
+  case 48: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4217,7 +4920,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 46: \
+  case 49: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4225,26 +4928,26 @@
     tmp = fieldname(insn, 6, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 47: \
+  case 50: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 48: \
+  case 51: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 49: \
+  case 52: \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 50: \
+  case 53: \
     if (DecodeJumpTarget(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 51: \
+  case 54: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4252,7 +4955,7 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 52: \
+  case 55: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4260,7 +4963,7 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 53: \
+  case 56: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4268,7 +4971,7 @@
     tmp = fieldname(insn, 0, 16); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 54: \
+  case 57: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4276,139 +4979,141 @@
     tmp = fieldname(insn, 0, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 55: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 56: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 57: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 58: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGRH32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 59: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 60: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 61: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 62: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 60: \
+  case 63: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 61: \
+  case 64: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeCCRRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 62: \
+  case 65: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGRH32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 63: \
+  case 66: \
     tmp = fieldname(insn, 18, 3); \
     if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 64: \
+  case 67: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 65: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 66: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 67: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 18, 3); \
-    if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 68: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 69: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 70: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 18, 3); \
+    if (DecodeFCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 71: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 72: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 73: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 74: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 75: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 73: \
+  case 76: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 74: \
+  case 77: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 75: \
+  case 78: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4418,7 +5123,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 76: \
+  case 79: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4428,63 +5133,39 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 77: \
+  case 80: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 78: \
+  case 81: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 79: \
+  case 82: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 80: \
+  case 83: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 81: \
+  case 84: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 82: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 83: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 84: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 85: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4492,6 +5173,30 @@
     return S; \
   case 86: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 87: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 88: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 89: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4500,7 +5205,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 87: \
+  case 90: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4510,7 +5215,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeAFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 88: \
+  case 91: \
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -4520,7 +5225,7 @@
     tmp = fieldname(insn, 11, 2); \
     if (DecodeACC64DSPRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 89: \
+  case 92: \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 5) << 0); \
     tmp |= (fieldname(insn, 16, 5) << 0); \
@@ -4528,46 +5233,22 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 90: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 91: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 92: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 93: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 94: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 95: \
@@ -4575,7 +5256,7 @@
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 96: \
@@ -4583,70 +5264,68 @@
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 16, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 97: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 98: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 99: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 100: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 10); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 101: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 102: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 6); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 103: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 104: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 10); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 105: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 6); \
@@ -4654,6 +5333,32 @@
     return S; \
   case 106: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 107: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 108: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 6); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 109: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4662,7 +5367,7 @@
     tmp = fieldname(insn, 16, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 107: \
+  case 110: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -4672,7 +5377,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 108: \
+  case 111: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -4682,107 +5387,81 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 109: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 110: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 111: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 112: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 113: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 114: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 115: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 116: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 117: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 118: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 119: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 120: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4791,8 +5470,6 @@
   case 121: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4801,8 +5478,6 @@
   case 122: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -4810,78 +5485,108 @@
     return S; \
   case 123: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 124: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 125: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 126: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 127: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 128: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 129: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 130: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 131: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 132: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 133: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 134: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -4890,51 +5595,9 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 132: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 133: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 134: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 135: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 136: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 137: \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4942,7 +5605,9 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 138: \
+  case 136: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4950,7 +5615,9 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 139: \
+  case 137: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -4958,51 +5625,89 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 140: \
+  case 138: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
     if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 141: \
-    tmp = fieldname(insn, 6, 5); \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 139: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 142: \
+  case 140: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
+  case 141: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 142: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
   case 143: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
+    if (DecodeMSACtrlRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 144: \
     tmp = fieldname(insn, 6, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
+    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 145: \
     tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 146: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 147: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 148: \
+    tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 146: \
+  case 149: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5012,7 +5717,7 @@
     tmp = fieldname(insn, 16, 4); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 147: \
+  case 150: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5022,7 +5727,7 @@
     tmp = fieldname(insn, 16, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 148: \
+  case 151: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5032,7 +5737,7 @@
     tmp = fieldname(insn, 16, 2); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 149: \
+  case 152: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
@@ -5042,45 +5747,8 @@
     tmp = fieldname(insn, 16, 1); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 150: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 4); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128BRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 151: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 3); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128HRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 152: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128WRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 153: \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 6, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeMSA128DRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeINSVE_DF_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 154: \
     tmp = fieldname(insn, 6, 5); \
@@ -5357,20 +6025,27 @@
     if (DecodeMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 193: \
-    if (DecodeFMem(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 16) << 0); \
+    tmp |= (fieldname(insn, 21, 5) << 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 194: \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 195: \
-    tmp = fieldname(insn, 21, 5); \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
+    tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 196: \
@@ -5380,16 +6055,216 @@
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 197: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 198: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 199: \
+    if (DecodeBlezGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 200: \
+    if (DecodeBgtzGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 201: \
+    if (DecodeAddiGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 202: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 203: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 204: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 205: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 206: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 207: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 208: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 209: \
+    tmp = fieldname(insn, 6, 5); \
+    if (DecodeFGRCCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 210: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeCOP2RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeBranchTarget(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 211: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeCOP2RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 11) << 0); \
+    tmp |= (fieldname(insn, 11, 5) << 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 212: \
+    if (DecodeBlezlGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 213: \
+    if (DecodeBgtzlGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 214: \
+    if (DecodeDaddiGroupBranch_4(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 215: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 216: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 217: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 3); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 218: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 7, 9) << 0); \
+    tmp |= (fieldname(insn, 21, 5) << 16); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 16, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 219: \
+    if (DecodeSpecial3LlSc(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 220: \
+    tmp = fieldname(insn, 0, 26); \
+    if (DecodeBranchTarget26(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 221: \
+    if (DecodeSimm16(MI, insn, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 222: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 21); \
+    if (DecodeBranchTarget21(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 223: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 19); \
+    if (DecodeSimm19Lsl2(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 224: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 18); \
+    if (DecodeSimm18Lsl3(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 225: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 226: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 227: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 228: \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 6, 5); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 198: \
+  case 229: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5397,21 +6272,27 @@
     tmp = fieldname(insn, 0, 3); \
     MCOperand_CreateImm0(MI, tmp); \
     return S; \
-  case 199: \
-    tmp = fieldname(insn, 6, 5); \
+  case 230: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 231: \
+    tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 200: \
+  case 232: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR32RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 201: \
+  case 233: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5421,7 +6302,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 202: \
+  case 234: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -5431,13 +6312,13 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 203: \
+  case 235: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 204: \
+  case 236: \
     tmp = fieldname(insn, 6, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5445,7 +6326,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 205: \
+  case 237: \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeFGR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5453,7 +6334,7 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodePtrRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 206: \
+  case 238: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5461,7 +6342,11 @@
     tmp = fieldname(insn, 0, 16); \
     if (DecodeSimm16(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 207: \
+  case 239: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 240: \
     tmp = 0; \
     tmp |= (fieldname(insn, 11, 5) << 0); \
     tmp |= (fieldname(insn, 16, 5) << 0); \
@@ -5469,7 +6354,25 @@
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 208: \
+  case 241: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 10); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 242: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 6, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 11, 5); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 243: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5479,7 +6382,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeExtSize(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 209: \
+  case 244: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -5491,12 +6394,6 @@
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 210: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPR64RegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   } \
 } 
 
@@ -5555,9 +6452,9 @@
       break; \
     } \
     case MCD_OPC_Decode: { \
-      Opc = (uint32_t)decodeULEB128(++Ptr, &Len); \
+      Opc = (unsigned)decodeULEB128(++Ptr, &Len); \
       Ptr += Len; \
-      DecodeIdx = (uint32_t)decodeULEB128(Ptr, &Len); \
+      DecodeIdx = (unsigned)decodeULEB128(Ptr, &Len); \
       Ptr += Len; \
       MCInst_setOpcode(MI, Opc); \
       return decoder(S, DecodeIdx, insn, MI, Address, MRI); \
diff --git a/arch/Mips/MipsGenInstrInfo.inc b/arch/Mips/MipsGenInstrInfo.inc
index 36a6309..8fe4137 100644
--- a/arch/Mips/MipsGenInstrInfo.inc
+++ b/arch/Mips/MipsGenInstrInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_INSTRINFO_ENUM
@@ -16,7 +16,7 @@
 enum {
     Mips_PHI	= 0,
     Mips_INLINEASM	= 1,
-    Mips_PROLOG_LABEL	= 2,
+    Mips_CFI_INSTRUCTION	= 2,
     Mips_EH_LABEL	= 3,
     Mips_GC_LABEL	= 4,
     Mips_KILL	= 5,
@@ -33,1472 +33,1665 @@
     Mips_LIFETIME_END	= 16,
     Mips_STACKMAP	= 17,
     Mips_PATCHPOINT	= 18,
-    Mips_ABSQ_S_PH	= 19,
-    Mips_ABSQ_S_QB	= 20,
-    Mips_ABSQ_S_W	= 21,
-    Mips_ADD	= 22,
-    Mips_ADDQH_PH	= 23,
-    Mips_ADDQH_R_PH	= 24,
-    Mips_ADDQH_R_W	= 25,
-    Mips_ADDQH_W	= 26,
-    Mips_ADDQ_PH	= 27,
-    Mips_ADDQ_S_PH	= 28,
-    Mips_ADDQ_S_W	= 29,
-    Mips_ADDSC	= 30,
-    Mips_ADDS_A_B	= 31,
-    Mips_ADDS_A_D	= 32,
-    Mips_ADDS_A_H	= 33,
-    Mips_ADDS_A_W	= 34,
-    Mips_ADDS_S_B	= 35,
-    Mips_ADDS_S_D	= 36,
-    Mips_ADDS_S_H	= 37,
-    Mips_ADDS_S_W	= 38,
-    Mips_ADDS_U_B	= 39,
-    Mips_ADDS_U_D	= 40,
-    Mips_ADDS_U_H	= 41,
-    Mips_ADDS_U_W	= 42,
-    Mips_ADDUH_QB	= 43,
-    Mips_ADDUH_R_QB	= 44,
-    Mips_ADDU_PH	= 45,
-    Mips_ADDU_QB	= 46,
-    Mips_ADDU_S_PH	= 47,
-    Mips_ADDU_S_QB	= 48,
-    Mips_ADDVI_B	= 49,
-    Mips_ADDVI_D	= 50,
-    Mips_ADDVI_H	= 51,
-    Mips_ADDVI_W	= 52,
-    Mips_ADDV_B	= 53,
-    Mips_ADDV_D	= 54,
-    Mips_ADDV_H	= 55,
-    Mips_ADDV_W	= 56,
-    Mips_ADDWC	= 57,
-    Mips_ADD_A_B	= 58,
-    Mips_ADD_A_D	= 59,
-    Mips_ADD_A_H	= 60,
-    Mips_ADD_A_W	= 61,
-    Mips_ADD_MM	= 62,
-    Mips_ADDi	= 63,
-    Mips_ADDi_MM	= 64,
-    Mips_ADDiu	= 65,
-    Mips_ADDiu_MM	= 66,
-    Mips_ADDu	= 67,
-    Mips_ADDu_MM	= 68,
-    Mips_ADJCALLSTACKDOWN	= 69,
-    Mips_ADJCALLSTACKUP	= 70,
-    Mips_AND	= 71,
-    Mips_AND64	= 72,
-    Mips_ANDI_B	= 73,
-    Mips_AND_MM	= 74,
-    Mips_AND_V	= 75,
-    Mips_AND_V_D_PSEUDO	= 76,
-    Mips_AND_V_H_PSEUDO	= 77,
-    Mips_AND_V_W_PSEUDO	= 78,
-    Mips_ANDi	= 79,
-    Mips_ANDi64	= 80,
-    Mips_ANDi_MM	= 81,
-    Mips_APPEND	= 82,
-    Mips_ASUB_S_B	= 83,
-    Mips_ASUB_S_D	= 84,
-    Mips_ASUB_S_H	= 85,
-    Mips_ASUB_S_W	= 86,
-    Mips_ASUB_U_B	= 87,
-    Mips_ASUB_U_D	= 88,
-    Mips_ASUB_U_H	= 89,
-    Mips_ASUB_U_W	= 90,
-    Mips_ATOMIC_CMP_SWAP_I16	= 91,
-    Mips_ATOMIC_CMP_SWAP_I32	= 92,
-    Mips_ATOMIC_CMP_SWAP_I64	= 93,
-    Mips_ATOMIC_CMP_SWAP_I8	= 94,
-    Mips_ATOMIC_LOAD_ADD_I16	= 95,
-    Mips_ATOMIC_LOAD_ADD_I32	= 96,
-    Mips_ATOMIC_LOAD_ADD_I64	= 97,
-    Mips_ATOMIC_LOAD_ADD_I8	= 98,
-    Mips_ATOMIC_LOAD_AND_I16	= 99,
-    Mips_ATOMIC_LOAD_AND_I32	= 100,
-    Mips_ATOMIC_LOAD_AND_I64	= 101,
-    Mips_ATOMIC_LOAD_AND_I8	= 102,
-    Mips_ATOMIC_LOAD_NAND_I16	= 103,
-    Mips_ATOMIC_LOAD_NAND_I32	= 104,
-    Mips_ATOMIC_LOAD_NAND_I64	= 105,
-    Mips_ATOMIC_LOAD_NAND_I8	= 106,
-    Mips_ATOMIC_LOAD_OR_I16	= 107,
-    Mips_ATOMIC_LOAD_OR_I32	= 108,
-    Mips_ATOMIC_LOAD_OR_I64	= 109,
-    Mips_ATOMIC_LOAD_OR_I8	= 110,
-    Mips_ATOMIC_LOAD_SUB_I16	= 111,
-    Mips_ATOMIC_LOAD_SUB_I32	= 112,
-    Mips_ATOMIC_LOAD_SUB_I64	= 113,
-    Mips_ATOMIC_LOAD_SUB_I8	= 114,
-    Mips_ATOMIC_LOAD_XOR_I16	= 115,
-    Mips_ATOMIC_LOAD_XOR_I32	= 116,
-    Mips_ATOMIC_LOAD_XOR_I64	= 117,
-    Mips_ATOMIC_LOAD_XOR_I8	= 118,
-    Mips_ATOMIC_SWAP_I16	= 119,
-    Mips_ATOMIC_SWAP_I32	= 120,
-    Mips_ATOMIC_SWAP_I64	= 121,
-    Mips_ATOMIC_SWAP_I8	= 122,
-    Mips_AVER_S_B	= 123,
-    Mips_AVER_S_D	= 124,
-    Mips_AVER_S_H	= 125,
-    Mips_AVER_S_W	= 126,
-    Mips_AVER_U_B	= 127,
-    Mips_AVER_U_D	= 128,
-    Mips_AVER_U_H	= 129,
-    Mips_AVER_U_W	= 130,
-    Mips_AVE_S_B	= 131,
-    Mips_AVE_S_D	= 132,
-    Mips_AVE_S_H	= 133,
-    Mips_AVE_S_W	= 134,
-    Mips_AVE_U_B	= 135,
-    Mips_AVE_U_D	= 136,
-    Mips_AVE_U_H	= 137,
-    Mips_AVE_U_W	= 138,
-    Mips_AddiuRxImmX16	= 139,
-    Mips_AddiuRxPcImmX16	= 140,
-    Mips_AddiuRxRxImm16	= 141,
-    Mips_AddiuRxRxImmX16	= 142,
-    Mips_AddiuRxRyOffMemX16	= 143,
-    Mips_AddiuSpImm16	= 144,
-    Mips_AddiuSpImmX16	= 145,
-    Mips_AdduRxRyRz16	= 146,
-    Mips_AndRxRxRy16	= 147,
-    Mips_B	= 148,
-    Mips_BALIGN	= 149,
-    Mips_BAL_BR	= 150,
-    Mips_BC1F	= 151,
-    Mips_BC1F_MM	= 152,
-    Mips_BC1T	= 153,
-    Mips_BC1T_MM	= 154,
-    Mips_BCLRI_B	= 155,
-    Mips_BCLRI_D	= 156,
-    Mips_BCLRI_H	= 157,
-    Mips_BCLRI_W	= 158,
-    Mips_BCLR_B	= 159,
-    Mips_BCLR_D	= 160,
-    Mips_BCLR_H	= 161,
-    Mips_BCLR_W	= 162,
-    Mips_BEQ	= 163,
-    Mips_BEQ64	= 164,
-    Mips_BEQ_MM	= 165,
-    Mips_BGEZ	= 166,
-    Mips_BGEZ64	= 167,
-    Mips_BGEZAL	= 168,
-    Mips_BGEZAL_MM	= 169,
-    Mips_BGEZ_MM	= 170,
-    Mips_BGTZ	= 171,
-    Mips_BGTZ64	= 172,
-    Mips_BGTZ_MM	= 173,
-    Mips_BINSLI_B	= 174,
-    Mips_BINSLI_D	= 175,
-    Mips_BINSLI_H	= 176,
-    Mips_BINSLI_W	= 177,
-    Mips_BINSL_B	= 178,
-    Mips_BINSL_D	= 179,
-    Mips_BINSL_H	= 180,
-    Mips_BINSL_W	= 181,
-    Mips_BINSRI_B	= 182,
-    Mips_BINSRI_D	= 183,
-    Mips_BINSRI_H	= 184,
-    Mips_BINSRI_W	= 185,
-    Mips_BINSR_B	= 186,
-    Mips_BINSR_D	= 187,
-    Mips_BINSR_H	= 188,
-    Mips_BINSR_W	= 189,
-    Mips_BITREV	= 190,
-    Mips_BLEZ	= 191,
-    Mips_BLEZ64	= 192,
-    Mips_BLEZ_MM	= 193,
-    Mips_BLTZ	= 194,
-    Mips_BLTZ64	= 195,
-    Mips_BLTZAL	= 196,
-    Mips_BLTZAL_MM	= 197,
-    Mips_BLTZ_MM	= 198,
-    Mips_BMNZI_B	= 199,
-    Mips_BMNZ_V	= 200,
-    Mips_BMZI_B	= 201,
-    Mips_BMZ_V	= 202,
-    Mips_BNE	= 203,
-    Mips_BNE64	= 204,
-    Mips_BNEGI_B	= 205,
-    Mips_BNEGI_D	= 206,
-    Mips_BNEGI_H	= 207,
-    Mips_BNEGI_W	= 208,
-    Mips_BNEG_B	= 209,
-    Mips_BNEG_D	= 210,
-    Mips_BNEG_H	= 211,
-    Mips_BNEG_W	= 212,
-    Mips_BNE_MM	= 213,
-    Mips_BNZ_B	= 214,
-    Mips_BNZ_D	= 215,
-    Mips_BNZ_H	= 216,
-    Mips_BNZ_V	= 217,
-    Mips_BNZ_W	= 218,
-    Mips_BPOSGE32	= 219,
-    Mips_BPOSGE32_PSEUDO	= 220,
-    Mips_BREAK	= 221,
-    Mips_BREAK_MM	= 222,
-    Mips_BSELI_B	= 223,
-    Mips_BSEL_D_PSEUDO	= 224,
-    Mips_BSEL_FD_PSEUDO	= 225,
-    Mips_BSEL_FW_PSEUDO	= 226,
-    Mips_BSEL_H_PSEUDO	= 227,
-    Mips_BSEL_V	= 228,
-    Mips_BSEL_W_PSEUDO	= 229,
-    Mips_BSETI_B	= 230,
-    Mips_BSETI_D	= 231,
-    Mips_BSETI_H	= 232,
-    Mips_BSETI_W	= 233,
-    Mips_BSET_B	= 234,
-    Mips_BSET_D	= 235,
-    Mips_BSET_H	= 236,
-    Mips_BSET_W	= 237,
-    Mips_BZ_B	= 238,
-    Mips_BZ_D	= 239,
-    Mips_BZ_H	= 240,
-    Mips_BZ_V	= 241,
-    Mips_BZ_W	= 242,
-    Mips_BeqzRxImm16	= 243,
-    Mips_BeqzRxImmX16	= 244,
-    Mips_Bimm16	= 245,
-    Mips_BimmX16	= 246,
-    Mips_BnezRxImm16	= 247,
-    Mips_BnezRxImmX16	= 248,
-    Mips_Break16	= 249,
-    Mips_Bteqz16	= 250,
-    Mips_BteqzT8CmpX16	= 251,
-    Mips_BteqzT8CmpiX16	= 252,
-    Mips_BteqzT8SltX16	= 253,
-    Mips_BteqzT8SltiX16	= 254,
-    Mips_BteqzT8SltiuX16	= 255,
-    Mips_BteqzT8SltuX16	= 256,
-    Mips_BteqzX16	= 257,
-    Mips_Btnez16	= 258,
-    Mips_BtnezT8CmpX16	= 259,
-    Mips_BtnezT8CmpiX16	= 260,
-    Mips_BtnezT8SltX16	= 261,
-    Mips_BtnezT8SltiX16	= 262,
-    Mips_BtnezT8SltiuX16	= 263,
-    Mips_BtnezT8SltuX16	= 264,
-    Mips_BtnezX16	= 265,
-    Mips_BuildPairF64	= 266,
-    Mips_BuildPairF64_64	= 267,
-    Mips_CEIL_L_D64	= 268,
-    Mips_CEIL_L_S	= 269,
-    Mips_CEIL_W_D32	= 270,
-    Mips_CEIL_W_D64	= 271,
-    Mips_CEIL_W_MM	= 272,
-    Mips_CEIL_W_S	= 273,
-    Mips_CEIL_W_S_MM	= 274,
-    Mips_CEQI_B	= 275,
-    Mips_CEQI_D	= 276,
-    Mips_CEQI_H	= 277,
-    Mips_CEQI_W	= 278,
-    Mips_CEQ_B	= 279,
-    Mips_CEQ_D	= 280,
-    Mips_CEQ_H	= 281,
-    Mips_CEQ_W	= 282,
-    Mips_CFC1	= 283,
-    Mips_CFC1_MM	= 284,
-    Mips_CFCMSA	= 285,
-    Mips_CLEI_S_B	= 286,
-    Mips_CLEI_S_D	= 287,
-    Mips_CLEI_S_H	= 288,
-    Mips_CLEI_S_W	= 289,
-    Mips_CLEI_U_B	= 290,
-    Mips_CLEI_U_D	= 291,
-    Mips_CLEI_U_H	= 292,
-    Mips_CLEI_U_W	= 293,
-    Mips_CLE_S_B	= 294,
-    Mips_CLE_S_D	= 295,
-    Mips_CLE_S_H	= 296,
-    Mips_CLE_S_W	= 297,
-    Mips_CLE_U_B	= 298,
-    Mips_CLE_U_D	= 299,
-    Mips_CLE_U_H	= 300,
-    Mips_CLE_U_W	= 301,
-    Mips_CLO	= 302,
-    Mips_CLO_MM	= 303,
-    Mips_CLTI_S_B	= 304,
-    Mips_CLTI_S_D	= 305,
-    Mips_CLTI_S_H	= 306,
-    Mips_CLTI_S_W	= 307,
-    Mips_CLTI_U_B	= 308,
-    Mips_CLTI_U_D	= 309,
-    Mips_CLTI_U_H	= 310,
-    Mips_CLTI_U_W	= 311,
-    Mips_CLT_S_B	= 312,
-    Mips_CLT_S_D	= 313,
-    Mips_CLT_S_H	= 314,
-    Mips_CLT_S_W	= 315,
-    Mips_CLT_U_B	= 316,
-    Mips_CLT_U_D	= 317,
-    Mips_CLT_U_H	= 318,
-    Mips_CLT_U_W	= 319,
-    Mips_CLZ	= 320,
-    Mips_CLZ_MM	= 321,
-    Mips_CMPGDU_EQ_QB	= 322,
-    Mips_CMPGDU_LE_QB	= 323,
-    Mips_CMPGDU_LT_QB	= 324,
-    Mips_CMPGU_EQ_QB	= 325,
-    Mips_CMPGU_LE_QB	= 326,
-    Mips_CMPGU_LT_QB	= 327,
-    Mips_CMPU_EQ_QB	= 328,
-    Mips_CMPU_LE_QB	= 329,
-    Mips_CMPU_LT_QB	= 330,
-    Mips_CMP_EQ_PH	= 331,
-    Mips_CMP_LE_PH	= 332,
-    Mips_CMP_LT_PH	= 333,
-    Mips_CONSTPOOL_ENTRY	= 334,
-    Mips_COPY_FD_PSEUDO	= 335,
-    Mips_COPY_FW_PSEUDO	= 336,
-    Mips_COPY_S_B	= 337,
-    Mips_COPY_S_D	= 338,
-    Mips_COPY_S_H	= 339,
-    Mips_COPY_S_W	= 340,
-    Mips_COPY_U_B	= 341,
-    Mips_COPY_U_D	= 342,
-    Mips_COPY_U_H	= 343,
-    Mips_COPY_U_W	= 344,
-    Mips_CTC1	= 345,
-    Mips_CTC1_MM	= 346,
-    Mips_CTCMSA	= 347,
-    Mips_CVT_D32_S	= 348,
-    Mips_CVT_D32_W	= 349,
-    Mips_CVT_D32_W_MM	= 350,
-    Mips_CVT_D64_L	= 351,
-    Mips_CVT_D64_S	= 352,
-    Mips_CVT_D64_W	= 353,
-    Mips_CVT_D_S_MM	= 354,
-    Mips_CVT_L_D64	= 355,
-    Mips_CVT_L_D64_MM	= 356,
-    Mips_CVT_L_S	= 357,
-    Mips_CVT_L_S_MM	= 358,
-    Mips_CVT_S_D32	= 359,
-    Mips_CVT_S_D32_MM	= 360,
-    Mips_CVT_S_D64	= 361,
-    Mips_CVT_S_L	= 362,
-    Mips_CVT_S_W	= 363,
-    Mips_CVT_S_W_MM	= 364,
-    Mips_CVT_W_D32	= 365,
-    Mips_CVT_W_D64	= 366,
-    Mips_CVT_W_MM	= 367,
-    Mips_CVT_W_S	= 368,
-    Mips_CVT_W_S_MM	= 369,
-    Mips_C_EQ_D32	= 370,
-    Mips_C_EQ_D64	= 371,
-    Mips_C_EQ_S	= 372,
-    Mips_C_F_D32	= 373,
-    Mips_C_F_D64	= 374,
-    Mips_C_F_S	= 375,
-    Mips_C_LE_D32	= 376,
-    Mips_C_LE_D64	= 377,
-    Mips_C_LE_S	= 378,
-    Mips_C_LT_D32	= 379,
-    Mips_C_LT_D64	= 380,
-    Mips_C_LT_S	= 381,
-    Mips_C_NGE_D32	= 382,
-    Mips_C_NGE_D64	= 383,
-    Mips_C_NGE_S	= 384,
-    Mips_C_NGLE_D32	= 385,
-    Mips_C_NGLE_D64	= 386,
-    Mips_C_NGLE_S	= 387,
-    Mips_C_NGL_D32	= 388,
-    Mips_C_NGL_D64	= 389,
-    Mips_C_NGL_S	= 390,
-    Mips_C_NGT_D32	= 391,
-    Mips_C_NGT_D64	= 392,
-    Mips_C_NGT_S	= 393,
-    Mips_C_OLE_D32	= 394,
-    Mips_C_OLE_D64	= 395,
-    Mips_C_OLE_S	= 396,
-    Mips_C_OLT_D32	= 397,
-    Mips_C_OLT_D64	= 398,
-    Mips_C_OLT_S	= 399,
-    Mips_C_SEQ_D32	= 400,
-    Mips_C_SEQ_D64	= 401,
-    Mips_C_SEQ_S	= 402,
-    Mips_C_SF_D32	= 403,
-    Mips_C_SF_D64	= 404,
-    Mips_C_SF_S	= 405,
-    Mips_C_UEQ_D32	= 406,
-    Mips_C_UEQ_D64	= 407,
-    Mips_C_UEQ_S	= 408,
-    Mips_C_ULE_D32	= 409,
-    Mips_C_ULE_D64	= 410,
-    Mips_C_ULE_S	= 411,
-    Mips_C_ULT_D32	= 412,
-    Mips_C_ULT_D64	= 413,
-    Mips_C_ULT_S	= 414,
-    Mips_C_UN_D32	= 415,
-    Mips_C_UN_D64	= 416,
-    Mips_C_UN_S	= 417,
-    Mips_CmpRxRy16	= 418,
-    Mips_CmpiRxImm16	= 419,
-    Mips_CmpiRxImmX16	= 420,
-    Mips_Constant32	= 421,
-    Mips_DADD	= 422,
-    Mips_DADDi	= 423,
-    Mips_DADDiu	= 424,
-    Mips_DADDu	= 425,
-    Mips_DCLO	= 426,
-    Mips_DCLZ	= 427,
-    Mips_DERET	= 428,
-    Mips_DERET_MM	= 429,
-    Mips_DEXT	= 430,
-    Mips_DEXTM	= 431,
-    Mips_DEXTU	= 432,
-    Mips_DI	= 433,
-    Mips_DINS	= 434,
-    Mips_DINSM	= 435,
-    Mips_DINSU	= 436,
-    Mips_DIV_S_B	= 437,
-    Mips_DIV_S_D	= 438,
-    Mips_DIV_S_H	= 439,
-    Mips_DIV_S_W	= 440,
-    Mips_DIV_U_B	= 441,
-    Mips_DIV_U_D	= 442,
-    Mips_DIV_U_H	= 443,
-    Mips_DIV_U_W	= 444,
-    Mips_DI_MM	= 445,
-    Mips_DLSA	= 446,
-    Mips_DMFC0	= 447,
-    Mips_DMFC1	= 448,
-    Mips_DMFC2	= 449,
-    Mips_DMTC0	= 450,
-    Mips_DMTC1	= 451,
-    Mips_DMTC2	= 452,
-    Mips_DMULT	= 453,
-    Mips_DMULTu	= 454,
-    Mips_DOTP_S_D	= 455,
-    Mips_DOTP_S_H	= 456,
-    Mips_DOTP_S_W	= 457,
-    Mips_DOTP_U_D	= 458,
-    Mips_DOTP_U_H	= 459,
-    Mips_DOTP_U_W	= 460,
-    Mips_DPADD_S_D	= 461,
-    Mips_DPADD_S_H	= 462,
-    Mips_DPADD_S_W	= 463,
-    Mips_DPADD_U_D	= 464,
-    Mips_DPADD_U_H	= 465,
-    Mips_DPADD_U_W	= 466,
-    Mips_DPAQX_SA_W_PH	= 467,
-    Mips_DPAQX_S_W_PH	= 468,
-    Mips_DPAQ_SA_L_W	= 469,
-    Mips_DPAQ_S_W_PH	= 470,
-    Mips_DPAU_H_QBL	= 471,
-    Mips_DPAU_H_QBR	= 472,
-    Mips_DPAX_W_PH	= 473,
-    Mips_DPA_W_PH	= 474,
-    Mips_DPSQX_SA_W_PH	= 475,
-    Mips_DPSQX_S_W_PH	= 476,
-    Mips_DPSQ_SA_L_W	= 477,
-    Mips_DPSQ_S_W_PH	= 478,
-    Mips_DPSUB_S_D	= 479,
-    Mips_DPSUB_S_H	= 480,
-    Mips_DPSUB_S_W	= 481,
-    Mips_DPSUB_U_D	= 482,
-    Mips_DPSUB_U_H	= 483,
-    Mips_DPSUB_U_W	= 484,
-    Mips_DPSU_H_QBL	= 485,
-    Mips_DPSU_H_QBR	= 486,
-    Mips_DPSX_W_PH	= 487,
-    Mips_DPS_W_PH	= 488,
-    Mips_DROTR	= 489,
-    Mips_DROTR32	= 490,
-    Mips_DROTRV	= 491,
-    Mips_DSBH	= 492,
-    Mips_DSDIV	= 493,
-    Mips_DSHD	= 494,
-    Mips_DSLL	= 495,
-    Mips_DSLL32	= 496,
-    Mips_DSLL64_32	= 497,
-    Mips_DSLLV	= 498,
-    Mips_DSRA	= 499,
-    Mips_DSRA32	= 500,
-    Mips_DSRAV	= 501,
-    Mips_DSRL	= 502,
-    Mips_DSRL32	= 503,
-    Mips_DSRLV	= 504,
-    Mips_DSUBu	= 505,
-    Mips_DUDIV	= 506,
-    Mips_DivRxRy16	= 507,
-    Mips_DivuRxRy16	= 508,
-    Mips_EI	= 509,
-    Mips_EI_MM	= 510,
-    Mips_ERET	= 511,
-    Mips_ERET_MM	= 512,
-    Mips_EXT	= 513,
-    Mips_EXTP	= 514,
-    Mips_EXTPDP	= 515,
-    Mips_EXTPDPV	= 516,
-    Mips_EXTPV	= 517,
-    Mips_EXTRV_RS_W	= 518,
-    Mips_EXTRV_R_W	= 519,
-    Mips_EXTRV_S_H	= 520,
-    Mips_EXTRV_W	= 521,
-    Mips_EXTR_RS_W	= 522,
-    Mips_EXTR_R_W	= 523,
-    Mips_EXTR_S_H	= 524,
-    Mips_EXTR_W	= 525,
-    Mips_EXT_MM	= 526,
-    Mips_ExtractElementF64	= 527,
-    Mips_ExtractElementF64_64	= 528,
-    Mips_FABS_D	= 529,
-    Mips_FABS_D32	= 530,
-    Mips_FABS_D64	= 531,
-    Mips_FABS_MM	= 532,
-    Mips_FABS_S	= 533,
-    Mips_FABS_S_MM	= 534,
-    Mips_FABS_W	= 535,
-    Mips_FADD_D	= 536,
-    Mips_FADD_D32	= 537,
-    Mips_FADD_D64	= 538,
-    Mips_FADD_MM	= 539,
-    Mips_FADD_S	= 540,
-    Mips_FADD_S_MM	= 541,
-    Mips_FADD_W	= 542,
-    Mips_FCAF_D	= 543,
-    Mips_FCAF_W	= 544,
-    Mips_FCEQ_D	= 545,
-    Mips_FCEQ_W	= 546,
-    Mips_FCLASS_D	= 547,
-    Mips_FCLASS_W	= 548,
-    Mips_FCLE_D	= 549,
-    Mips_FCLE_W	= 550,
-    Mips_FCLT_D	= 551,
-    Mips_FCLT_W	= 552,
-    Mips_FCMP_D32	= 553,
-    Mips_FCMP_D32_MM	= 554,
-    Mips_FCMP_D64	= 555,
-    Mips_FCMP_S32	= 556,
-    Mips_FCMP_S32_MM	= 557,
-    Mips_FCNE_D	= 558,
-    Mips_FCNE_W	= 559,
-    Mips_FCOR_D	= 560,
-    Mips_FCOR_W	= 561,
-    Mips_FCUEQ_D	= 562,
-    Mips_FCUEQ_W	= 563,
-    Mips_FCULE_D	= 564,
-    Mips_FCULE_W	= 565,
-    Mips_FCULT_D	= 566,
-    Mips_FCULT_W	= 567,
-    Mips_FCUNE_D	= 568,
-    Mips_FCUNE_W	= 569,
-    Mips_FCUN_D	= 570,
-    Mips_FCUN_W	= 571,
-    Mips_FDIV_D	= 572,
-    Mips_FDIV_D32	= 573,
-    Mips_FDIV_D64	= 574,
-    Mips_FDIV_MM	= 575,
-    Mips_FDIV_S	= 576,
-    Mips_FDIV_S_MM	= 577,
-    Mips_FDIV_W	= 578,
-    Mips_FEXDO_H	= 579,
-    Mips_FEXDO_W	= 580,
-    Mips_FEXP2_D	= 581,
-    Mips_FEXP2_D_1_PSEUDO	= 582,
-    Mips_FEXP2_W	= 583,
-    Mips_FEXP2_W_1_PSEUDO	= 584,
-    Mips_FEXUPL_D	= 585,
-    Mips_FEXUPL_W	= 586,
-    Mips_FEXUPR_D	= 587,
-    Mips_FEXUPR_W	= 588,
-    Mips_FFINT_S_D	= 589,
-    Mips_FFINT_S_W	= 590,
-    Mips_FFINT_U_D	= 591,
-    Mips_FFINT_U_W	= 592,
-    Mips_FFQL_D	= 593,
-    Mips_FFQL_W	= 594,
-    Mips_FFQR_D	= 595,
-    Mips_FFQR_W	= 596,
-    Mips_FILL_B	= 597,
-    Mips_FILL_D	= 598,
-    Mips_FILL_FD_PSEUDO	= 599,
-    Mips_FILL_FW_PSEUDO	= 600,
-    Mips_FILL_H	= 601,
-    Mips_FILL_W	= 602,
-    Mips_FLOG2_D	= 603,
-    Mips_FLOG2_W	= 604,
-    Mips_FLOOR_L_D64	= 605,
-    Mips_FLOOR_L_S	= 606,
-    Mips_FLOOR_W_D32	= 607,
-    Mips_FLOOR_W_D64	= 608,
-    Mips_FLOOR_W_MM	= 609,
-    Mips_FLOOR_W_S	= 610,
-    Mips_FLOOR_W_S_MM	= 611,
-    Mips_FMADD_D	= 612,
-    Mips_FMADD_W	= 613,
-    Mips_FMAX_A_D	= 614,
-    Mips_FMAX_A_W	= 615,
-    Mips_FMAX_D	= 616,
-    Mips_FMAX_W	= 617,
-    Mips_FMIN_A_D	= 618,
-    Mips_FMIN_A_W	= 619,
-    Mips_FMIN_D	= 620,
-    Mips_FMIN_W	= 621,
-    Mips_FMOV_D32	= 622,
-    Mips_FMOV_D32_MM	= 623,
-    Mips_FMOV_D64	= 624,
-    Mips_FMOV_S	= 625,
-    Mips_FMOV_S_MM	= 626,
-    Mips_FMSUB_D	= 627,
-    Mips_FMSUB_W	= 628,
-    Mips_FMUL_D	= 629,
-    Mips_FMUL_D32	= 630,
-    Mips_FMUL_D64	= 631,
-    Mips_FMUL_MM	= 632,
-    Mips_FMUL_S	= 633,
-    Mips_FMUL_S_MM	= 634,
-    Mips_FMUL_W	= 635,
-    Mips_FNEG_D32	= 636,
-    Mips_FNEG_D64	= 637,
-    Mips_FNEG_MM	= 638,
-    Mips_FNEG_S	= 639,
-    Mips_FNEG_S_MM	= 640,
-    Mips_FRCP_D	= 641,
-    Mips_FRCP_W	= 642,
-    Mips_FRINT_D	= 643,
-    Mips_FRINT_W	= 644,
-    Mips_FRSQRT_D	= 645,
-    Mips_FRSQRT_W	= 646,
-    Mips_FSAF_D	= 647,
-    Mips_FSAF_W	= 648,
-    Mips_FSEQ_D	= 649,
-    Mips_FSEQ_W	= 650,
-    Mips_FSLE_D	= 651,
-    Mips_FSLE_W	= 652,
-    Mips_FSLT_D	= 653,
-    Mips_FSLT_W	= 654,
-    Mips_FSNE_D	= 655,
-    Mips_FSNE_W	= 656,
-    Mips_FSOR_D	= 657,
-    Mips_FSOR_W	= 658,
-    Mips_FSQRT_D	= 659,
-    Mips_FSQRT_D32	= 660,
-    Mips_FSQRT_D64	= 661,
-    Mips_FSQRT_MM	= 662,
-    Mips_FSQRT_S	= 663,
-    Mips_FSQRT_S_MM	= 664,
-    Mips_FSQRT_W	= 665,
-    Mips_FSUB_D	= 666,
-    Mips_FSUB_D32	= 667,
-    Mips_FSUB_D64	= 668,
-    Mips_FSUB_MM	= 669,
-    Mips_FSUB_S	= 670,
-    Mips_FSUB_S_MM	= 671,
-    Mips_FSUB_W	= 672,
-    Mips_FSUEQ_D	= 673,
-    Mips_FSUEQ_W	= 674,
-    Mips_FSULE_D	= 675,
-    Mips_FSULE_W	= 676,
-    Mips_FSULT_D	= 677,
-    Mips_FSULT_W	= 678,
-    Mips_FSUNE_D	= 679,
-    Mips_FSUNE_W	= 680,
-    Mips_FSUN_D	= 681,
-    Mips_FSUN_W	= 682,
-    Mips_FTINT_S_D	= 683,
-    Mips_FTINT_S_W	= 684,
-    Mips_FTINT_U_D	= 685,
-    Mips_FTINT_U_W	= 686,
-    Mips_FTQ_H	= 687,
-    Mips_FTQ_W	= 688,
-    Mips_FTRUNC_S_D	= 689,
-    Mips_FTRUNC_S_W	= 690,
-    Mips_FTRUNC_U_D	= 691,
-    Mips_FTRUNC_U_W	= 692,
-    Mips_GotPrologue16	= 693,
-    Mips_HADD_S_D	= 694,
-    Mips_HADD_S_H	= 695,
-    Mips_HADD_S_W	= 696,
-    Mips_HADD_U_D	= 697,
-    Mips_HADD_U_H	= 698,
-    Mips_HADD_U_W	= 699,
-    Mips_HSUB_S_D	= 700,
-    Mips_HSUB_S_H	= 701,
-    Mips_HSUB_S_W	= 702,
-    Mips_HSUB_U_D	= 703,
-    Mips_HSUB_U_H	= 704,
-    Mips_HSUB_U_W	= 705,
-    Mips_ILVEV_B	= 706,
-    Mips_ILVEV_D	= 707,
-    Mips_ILVEV_H	= 708,
-    Mips_ILVEV_W	= 709,
-    Mips_ILVL_B	= 710,
-    Mips_ILVL_D	= 711,
-    Mips_ILVL_H	= 712,
-    Mips_ILVL_W	= 713,
-    Mips_ILVOD_B	= 714,
-    Mips_ILVOD_D	= 715,
-    Mips_ILVOD_H	= 716,
-    Mips_ILVOD_W	= 717,
-    Mips_ILVR_B	= 718,
-    Mips_ILVR_D	= 719,
-    Mips_ILVR_H	= 720,
-    Mips_ILVR_W	= 721,
-    Mips_INS	= 722,
-    Mips_INSERT_B	= 723,
-    Mips_INSERT_D	= 724,
-    Mips_INSERT_FD_PSEUDO	= 725,
-    Mips_INSERT_FW_PSEUDO	= 726,
-    Mips_INSERT_H	= 727,
-    Mips_INSERT_W	= 728,
-    Mips_INSV	= 729,
-    Mips_INSVE_B	= 730,
-    Mips_INSVE_D	= 731,
-    Mips_INSVE_H	= 732,
-    Mips_INSVE_W	= 733,
-    Mips_INS_MM	= 734,
-    Mips_J	= 735,
-    Mips_JAL	= 736,
-    Mips_JALR	= 737,
-    Mips_JALR64	= 738,
-    Mips_JALR64Pseudo	= 739,
-    Mips_JALRPseudo	= 740,
-    Mips_JALR_MM	= 741,
-    Mips_JAL_MM	= 742,
-    Mips_JR	= 743,
-    Mips_JR64	= 744,
-    Mips_JR_MM	= 745,
-    Mips_J_MM	= 746,
-    Mips_Jal16	= 747,
-    Mips_JalB16	= 748,
-    Mips_JrRa16	= 749,
-    Mips_JrcRa16	= 750,
-    Mips_JrcRx16	= 751,
-    Mips_JumpLinkReg16	= 752,
-    Mips_LB	= 753,
-    Mips_LB64	= 754,
-    Mips_LBUX	= 755,
-    Mips_LB_MM	= 756,
-    Mips_LBu	= 757,
-    Mips_LBu64	= 758,
-    Mips_LBu_MM	= 759,
-    Mips_LD	= 760,
-    Mips_LDC1	= 761,
-    Mips_LDC164	= 762,
-    Mips_LDC1_MM	= 763,
-    Mips_LDC2	= 764,
-    Mips_LDI_B	= 765,
-    Mips_LDI_D	= 766,
-    Mips_LDI_H	= 767,
-    Mips_LDI_W	= 768,
-    Mips_LDL	= 769,
-    Mips_LDR	= 770,
-    Mips_LDXC1	= 771,
-    Mips_LDXC164	= 772,
-    Mips_LD_B	= 773,
-    Mips_LD_D	= 774,
-    Mips_LD_H	= 775,
-    Mips_LD_W	= 776,
-    Mips_LEA_ADDiu	= 777,
-    Mips_LEA_ADDiu64	= 778,
-    Mips_LEA_ADDiu_MM	= 779,
-    Mips_LH	= 780,
-    Mips_LH64	= 781,
-    Mips_LHX	= 782,
-    Mips_LH_MM	= 783,
-    Mips_LHu	= 784,
-    Mips_LHu64	= 785,
-    Mips_LHu_MM	= 786,
-    Mips_LL	= 787,
-    Mips_LLD	= 788,
-    Mips_LL_MM	= 789,
-    Mips_LOAD_ACC128	= 790,
-    Mips_LOAD_ACC64	= 791,
-    Mips_LOAD_ACC64DSP	= 792,
-    Mips_LOAD_CCOND_DSP	= 793,
-    Mips_LSA	= 794,
-    Mips_LUXC1	= 795,
-    Mips_LUXC164	= 796,
-    Mips_LUXC1_MM	= 797,
-    Mips_LUi	= 798,
-    Mips_LUi64	= 799,
-    Mips_LUi_MM	= 800,
-    Mips_LW	= 801,
-    Mips_LW64	= 802,
-    Mips_LWC1	= 803,
-    Mips_LWC1_MM	= 804,
-    Mips_LWC2	= 805,
-    Mips_LWL	= 806,
-    Mips_LWL64	= 807,
-    Mips_LWL_MM	= 808,
-    Mips_LWR	= 809,
-    Mips_LWR64	= 810,
-    Mips_LWR_MM	= 811,
-    Mips_LWU_MM	= 812,
-    Mips_LWX	= 813,
-    Mips_LWXC1	= 814,
-    Mips_LWXC1_MM	= 815,
-    Mips_LW_MM	= 816,
-    Mips_LWu	= 817,
-    Mips_LbRxRyOffMemX16	= 818,
-    Mips_LbuRxRyOffMemX16	= 819,
-    Mips_LhRxRyOffMemX16	= 820,
-    Mips_LhuRxRyOffMemX16	= 821,
-    Mips_LiRxImm16	= 822,
-    Mips_LiRxImmAlignX16	= 823,
-    Mips_LiRxImmX16	= 824,
-    Mips_LoadAddr32Imm	= 825,
-    Mips_LoadAddr32Reg	= 826,
-    Mips_LoadImm32Reg	= 827,
-    Mips_LwConstant32	= 828,
-    Mips_LwRxPcTcp16	= 829,
-    Mips_LwRxPcTcpX16	= 830,
-    Mips_LwRxRyOffMemX16	= 831,
-    Mips_LwRxSpImmX16	= 832,
-    Mips_MADD	= 833,
-    Mips_MADDR_Q_H	= 834,
-    Mips_MADDR_Q_W	= 835,
-    Mips_MADDU	= 836,
-    Mips_MADDU_DSP	= 837,
-    Mips_MADDU_MM	= 838,
-    Mips_MADDV_B	= 839,
-    Mips_MADDV_D	= 840,
-    Mips_MADDV_H	= 841,
-    Mips_MADDV_W	= 842,
-    Mips_MADD_D32	= 843,
-    Mips_MADD_D32_MM	= 844,
-    Mips_MADD_D64	= 845,
-    Mips_MADD_DSP	= 846,
-    Mips_MADD_MM	= 847,
-    Mips_MADD_Q_H	= 848,
-    Mips_MADD_Q_W	= 849,
-    Mips_MADD_S	= 850,
-    Mips_MADD_S_MM	= 851,
-    Mips_MAQ_SA_W_PHL	= 852,
-    Mips_MAQ_SA_W_PHR	= 853,
-    Mips_MAQ_S_W_PHL	= 854,
-    Mips_MAQ_S_W_PHR	= 855,
-    Mips_MAXI_S_B	= 856,
-    Mips_MAXI_S_D	= 857,
-    Mips_MAXI_S_H	= 858,
-    Mips_MAXI_S_W	= 859,
-    Mips_MAXI_U_B	= 860,
-    Mips_MAXI_U_D	= 861,
-    Mips_MAXI_U_H	= 862,
-    Mips_MAXI_U_W	= 863,
-    Mips_MAX_A_B	= 864,
-    Mips_MAX_A_D	= 865,
-    Mips_MAX_A_H	= 866,
-    Mips_MAX_A_W	= 867,
-    Mips_MAX_S_B	= 868,
-    Mips_MAX_S_D	= 869,
-    Mips_MAX_S_H	= 870,
-    Mips_MAX_S_W	= 871,
-    Mips_MAX_U_B	= 872,
-    Mips_MAX_U_D	= 873,
-    Mips_MAX_U_H	= 874,
-    Mips_MAX_U_W	= 875,
-    Mips_MFC0	= 876,
-    Mips_MFC1	= 877,
-    Mips_MFC1_MM	= 878,
-    Mips_MFC2	= 879,
-    Mips_MFHC1	= 880,
-    Mips_MFHC1_MM	= 881,
-    Mips_MFHI	= 882,
-    Mips_MFHI64	= 883,
-    Mips_MFHI_DSP	= 884,
-    Mips_MFHI_MM	= 885,
-    Mips_MFLO	= 886,
-    Mips_MFLO64	= 887,
-    Mips_MFLO_DSP	= 888,
-    Mips_MFLO_MM	= 889,
-    Mips_MINI_S_B	= 890,
-    Mips_MINI_S_D	= 891,
-    Mips_MINI_S_H	= 892,
-    Mips_MINI_S_W	= 893,
-    Mips_MINI_U_B	= 894,
-    Mips_MINI_U_D	= 895,
-    Mips_MINI_U_H	= 896,
-    Mips_MINI_U_W	= 897,
-    Mips_MIN_A_B	= 898,
-    Mips_MIN_A_D	= 899,
-    Mips_MIN_A_H	= 900,
-    Mips_MIN_A_W	= 901,
-    Mips_MIN_S_B	= 902,
-    Mips_MIN_S_D	= 903,
-    Mips_MIN_S_H	= 904,
-    Mips_MIN_S_W	= 905,
-    Mips_MIN_U_B	= 906,
-    Mips_MIN_U_D	= 907,
-    Mips_MIN_U_H	= 908,
-    Mips_MIN_U_W	= 909,
-    Mips_MIPSeh_return32	= 910,
-    Mips_MIPSeh_return64	= 911,
-    Mips_MODSUB	= 912,
-    Mips_MOD_S_B	= 913,
-    Mips_MOD_S_D	= 914,
-    Mips_MOD_S_H	= 915,
-    Mips_MOD_S_W	= 916,
-    Mips_MOD_U_B	= 917,
-    Mips_MOD_U_D	= 918,
-    Mips_MOD_U_H	= 919,
-    Mips_MOD_U_W	= 920,
-    Mips_MOVE_V	= 921,
-    Mips_MOVF_D32	= 922,
-    Mips_MOVF_D32_MM	= 923,
-    Mips_MOVF_D64	= 924,
-    Mips_MOVF_I	= 925,
-    Mips_MOVF_I64	= 926,
-    Mips_MOVF_I_MM	= 927,
-    Mips_MOVF_S	= 928,
-    Mips_MOVF_S_MM	= 929,
-    Mips_MOVN_I64_D64	= 930,
-    Mips_MOVN_I64_I	= 931,
-    Mips_MOVN_I64_I64	= 932,
-    Mips_MOVN_I64_S	= 933,
-    Mips_MOVN_I_D32	= 934,
-    Mips_MOVN_I_D32_MM	= 935,
-    Mips_MOVN_I_D64	= 936,
-    Mips_MOVN_I_I	= 937,
-    Mips_MOVN_I_I64	= 938,
-    Mips_MOVN_I_MM	= 939,
-    Mips_MOVN_I_S	= 940,
-    Mips_MOVN_I_S_MM	= 941,
-    Mips_MOVT_D32	= 942,
-    Mips_MOVT_D32_MM	= 943,
-    Mips_MOVT_D64	= 944,
-    Mips_MOVT_I	= 945,
-    Mips_MOVT_I64	= 946,
-    Mips_MOVT_I_MM	= 947,
-    Mips_MOVT_S	= 948,
-    Mips_MOVT_S_MM	= 949,
-    Mips_MOVZ_I64_D64	= 950,
-    Mips_MOVZ_I64_I	= 951,
-    Mips_MOVZ_I64_I64	= 952,
-    Mips_MOVZ_I64_S	= 953,
-    Mips_MOVZ_I_D32	= 954,
-    Mips_MOVZ_I_D32_MM	= 955,
-    Mips_MOVZ_I_D64	= 956,
-    Mips_MOVZ_I_I	= 957,
-    Mips_MOVZ_I_I64	= 958,
-    Mips_MOVZ_I_MM	= 959,
-    Mips_MOVZ_I_S	= 960,
-    Mips_MOVZ_I_S_MM	= 961,
-    Mips_MSUB	= 962,
-    Mips_MSUBR_Q_H	= 963,
-    Mips_MSUBR_Q_W	= 964,
-    Mips_MSUBU	= 965,
-    Mips_MSUBU_DSP	= 966,
-    Mips_MSUBU_MM	= 967,
-    Mips_MSUBV_B	= 968,
-    Mips_MSUBV_D	= 969,
-    Mips_MSUBV_H	= 970,
-    Mips_MSUBV_W	= 971,
-    Mips_MSUB_D32	= 972,
-    Mips_MSUB_D32_MM	= 973,
-    Mips_MSUB_D64	= 974,
-    Mips_MSUB_DSP	= 975,
-    Mips_MSUB_MM	= 976,
-    Mips_MSUB_Q_H	= 977,
-    Mips_MSUB_Q_W	= 978,
-    Mips_MSUB_S	= 979,
-    Mips_MSUB_S_MM	= 980,
-    Mips_MTC0	= 981,
-    Mips_MTC1	= 982,
-    Mips_MTC1_MM	= 983,
-    Mips_MTC2	= 984,
-    Mips_MTHC1	= 985,
-    Mips_MTHC1_MM	= 986,
-    Mips_MTHI	= 987,
-    Mips_MTHI64	= 988,
-    Mips_MTHI_DSP	= 989,
-    Mips_MTHI_MM	= 990,
-    Mips_MTHLIP	= 991,
-    Mips_MTLO	= 992,
-    Mips_MTLO64	= 993,
-    Mips_MTLO_DSP	= 994,
-    Mips_MTLO_MM	= 995,
-    Mips_MUL	= 996,
-    Mips_MULEQ_S_W_PHL	= 997,
-    Mips_MULEQ_S_W_PHR	= 998,
-    Mips_MULEU_S_PH_QBL	= 999,
-    Mips_MULEU_S_PH_QBR	= 1000,
-    Mips_MULQ_RS_PH	= 1001,
-    Mips_MULQ_RS_W	= 1002,
-    Mips_MULQ_S_PH	= 1003,
-    Mips_MULQ_S_W	= 1004,
-    Mips_MULR_Q_H	= 1005,
-    Mips_MULR_Q_W	= 1006,
-    Mips_MULSAQ_S_W_PH	= 1007,
-    Mips_MULSA_W_PH	= 1008,
-    Mips_MULT	= 1009,
-    Mips_MULTU_DSP	= 1010,
-    Mips_MULT_DSP	= 1011,
-    Mips_MULT_MM	= 1012,
-    Mips_MULTu	= 1013,
-    Mips_MULTu_MM	= 1014,
-    Mips_MULV_B	= 1015,
-    Mips_MULV_D	= 1016,
-    Mips_MULV_H	= 1017,
-    Mips_MULV_W	= 1018,
-    Mips_MUL_MM	= 1019,
-    Mips_MUL_PH	= 1020,
-    Mips_MUL_Q_H	= 1021,
-    Mips_MUL_Q_W	= 1022,
-    Mips_MUL_S_PH	= 1023,
-    Mips_Mfhi16	= 1024,
-    Mips_Mflo16	= 1025,
-    Mips_Move32R16	= 1026,
-    Mips_MoveR3216	= 1027,
-    Mips_MultRxRy16	= 1028,
-    Mips_MultRxRyRz16	= 1029,
-    Mips_MultuRxRy16	= 1030,
-    Mips_MultuRxRyRz16	= 1031,
-    Mips_NLOC_B	= 1032,
-    Mips_NLOC_D	= 1033,
-    Mips_NLOC_H	= 1034,
-    Mips_NLOC_W	= 1035,
-    Mips_NLZC_B	= 1036,
-    Mips_NLZC_D	= 1037,
-    Mips_NLZC_H	= 1038,
-    Mips_NLZC_W	= 1039,
-    Mips_NMADD_D32	= 1040,
-    Mips_NMADD_D32_MM	= 1041,
-    Mips_NMADD_D64	= 1042,
-    Mips_NMADD_S	= 1043,
-    Mips_NMADD_S_MM	= 1044,
-    Mips_NMSUB_D32	= 1045,
-    Mips_NMSUB_D32_MM	= 1046,
-    Mips_NMSUB_D64	= 1047,
-    Mips_NMSUB_S	= 1048,
-    Mips_NMSUB_S_MM	= 1049,
-    Mips_NOP	= 1050,
-    Mips_NOR	= 1051,
-    Mips_NOR64	= 1052,
-    Mips_NORI_B	= 1053,
-    Mips_NOR_MM	= 1054,
-    Mips_NOR_V	= 1055,
-    Mips_NOR_V_D_PSEUDO	= 1056,
-    Mips_NOR_V_H_PSEUDO	= 1057,
-    Mips_NOR_V_W_PSEUDO	= 1058,
-    Mips_NegRxRy16	= 1059,
-    Mips_NotRxRy16	= 1060,
-    Mips_OR	= 1061,
-    Mips_OR64	= 1062,
-    Mips_ORI_B	= 1063,
-    Mips_OR_MM	= 1064,
-    Mips_OR_V	= 1065,
-    Mips_OR_V_D_PSEUDO	= 1066,
-    Mips_OR_V_H_PSEUDO	= 1067,
-    Mips_OR_V_W_PSEUDO	= 1068,
-    Mips_ORi	= 1069,
-    Mips_ORi64	= 1070,
-    Mips_ORi_MM	= 1071,
-    Mips_OrRxRxRy16	= 1072,
-    Mips_PACKRL_PH	= 1073,
-    Mips_PCKEV_B	= 1074,
-    Mips_PCKEV_D	= 1075,
-    Mips_PCKEV_H	= 1076,
-    Mips_PCKEV_W	= 1077,
-    Mips_PCKOD_B	= 1078,
-    Mips_PCKOD_D	= 1079,
-    Mips_PCKOD_H	= 1080,
-    Mips_PCKOD_W	= 1081,
-    Mips_PCNT_B	= 1082,
-    Mips_PCNT_D	= 1083,
-    Mips_PCNT_H	= 1084,
-    Mips_PCNT_W	= 1085,
-    Mips_PICK_PH	= 1086,
-    Mips_PICK_QB	= 1087,
-    Mips_PRECEQU_PH_QBL	= 1088,
-    Mips_PRECEQU_PH_QBLA	= 1089,
-    Mips_PRECEQU_PH_QBR	= 1090,
-    Mips_PRECEQU_PH_QBRA	= 1091,
-    Mips_PRECEQ_W_PHL	= 1092,
-    Mips_PRECEQ_W_PHR	= 1093,
-    Mips_PRECEU_PH_QBL	= 1094,
-    Mips_PRECEU_PH_QBLA	= 1095,
-    Mips_PRECEU_PH_QBR	= 1096,
-    Mips_PRECEU_PH_QBRA	= 1097,
-    Mips_PRECRQU_S_QB_PH	= 1098,
-    Mips_PRECRQ_PH_W	= 1099,
-    Mips_PRECRQ_QB_PH	= 1100,
-    Mips_PRECRQ_RS_PH_W	= 1101,
-    Mips_PRECR_QB_PH	= 1102,
-    Mips_PRECR_SRA_PH_W	= 1103,
-    Mips_PRECR_SRA_R_PH_W	= 1104,
-    Mips_PREPEND	= 1105,
-    Mips_PseudoCMPU_EQ_QB	= 1106,
-    Mips_PseudoCMPU_LE_QB	= 1107,
-    Mips_PseudoCMPU_LT_QB	= 1108,
-    Mips_PseudoCMP_EQ_PH	= 1109,
-    Mips_PseudoCMP_LE_PH	= 1110,
-    Mips_PseudoCMP_LT_PH	= 1111,
-    Mips_PseudoCVT_D32_W	= 1112,
-    Mips_PseudoCVT_D64_L	= 1113,
-    Mips_PseudoCVT_D64_W	= 1114,
-    Mips_PseudoCVT_S_L	= 1115,
-    Mips_PseudoCVT_S_W	= 1116,
-    Mips_PseudoDMULT	= 1117,
-    Mips_PseudoDMULTu	= 1118,
-    Mips_PseudoDSDIV	= 1119,
-    Mips_PseudoDUDIV	= 1120,
-    Mips_PseudoMADD	= 1121,
-    Mips_PseudoMADDU	= 1122,
-    Mips_PseudoMFHI	= 1123,
-    Mips_PseudoMFHI64	= 1124,
-    Mips_PseudoMFLO	= 1125,
-    Mips_PseudoMFLO64	= 1126,
-    Mips_PseudoMSUB	= 1127,
-    Mips_PseudoMSUBU	= 1128,
-    Mips_PseudoMTLOHI	= 1129,
-    Mips_PseudoMTLOHI64	= 1130,
-    Mips_PseudoMTLOHI_DSP	= 1131,
-    Mips_PseudoMULT	= 1132,
-    Mips_PseudoMULTu	= 1133,
-    Mips_PseudoPICK_PH	= 1134,
-    Mips_PseudoPICK_QB	= 1135,
-    Mips_PseudoSDIV	= 1136,
-    Mips_PseudoUDIV	= 1137,
-    Mips_RADDU_W_QB	= 1138,
-    Mips_RDDSP	= 1139,
-    Mips_RDHWR	= 1140,
-    Mips_RDHWR64	= 1141,
-    Mips_REPLV_PH	= 1142,
-    Mips_REPLV_QB	= 1143,
-    Mips_REPL_PH	= 1144,
-    Mips_REPL_QB	= 1145,
-    Mips_RET	= 1146,
-    Mips_RET_MM	= 1147,
-    Mips_ROTR	= 1148,
-    Mips_ROTRV	= 1149,
-    Mips_ROTRV_MM	= 1150,
-    Mips_ROTR_MM	= 1151,
-    Mips_ROUND_L_D64	= 1152,
-    Mips_ROUND_L_S	= 1153,
-    Mips_ROUND_W_D32	= 1154,
-    Mips_ROUND_W_D64	= 1155,
-    Mips_ROUND_W_MM	= 1156,
-    Mips_ROUND_W_S	= 1157,
-    Mips_ROUND_W_S_MM	= 1158,
-    Mips_Restore16	= 1159,
-    Mips_RestoreX16	= 1160,
-    Mips_RetRA	= 1161,
-    Mips_RetRA16	= 1162,
-    Mips_SAT_S_B	= 1163,
-    Mips_SAT_S_D	= 1164,
-    Mips_SAT_S_H	= 1165,
-    Mips_SAT_S_W	= 1166,
-    Mips_SAT_U_B	= 1167,
-    Mips_SAT_U_D	= 1168,
-    Mips_SAT_U_H	= 1169,
-    Mips_SAT_U_W	= 1170,
-    Mips_SB	= 1171,
-    Mips_SB64	= 1172,
-    Mips_SB_MM	= 1173,
-    Mips_SC	= 1174,
-    Mips_SCD	= 1175,
-    Mips_SC_MM	= 1176,
-    Mips_SD	= 1177,
-    Mips_SDC1	= 1178,
-    Mips_SDC164	= 1179,
-    Mips_SDC1_MM	= 1180,
-    Mips_SDC2	= 1181,
-    Mips_SDIV	= 1182,
-    Mips_SDIV_MM	= 1183,
-    Mips_SDL	= 1184,
-    Mips_SDR	= 1185,
-    Mips_SDXC1	= 1186,
-    Mips_SDXC164	= 1187,
-    Mips_SEB	= 1188,
-    Mips_SEB64	= 1189,
-    Mips_SEB_MM	= 1190,
-    Mips_SEH	= 1191,
-    Mips_SEH64	= 1192,
-    Mips_SEH_MM	= 1193,
-    Mips_SH	= 1194,
-    Mips_SH64	= 1195,
-    Mips_SHF_B	= 1196,
-    Mips_SHF_H	= 1197,
-    Mips_SHF_W	= 1198,
-    Mips_SHILO	= 1199,
-    Mips_SHILOV	= 1200,
-    Mips_SHLLV_PH	= 1201,
-    Mips_SHLLV_QB	= 1202,
-    Mips_SHLLV_S_PH	= 1203,
-    Mips_SHLLV_S_W	= 1204,
-    Mips_SHLL_PH	= 1205,
-    Mips_SHLL_QB	= 1206,
-    Mips_SHLL_S_PH	= 1207,
-    Mips_SHLL_S_W	= 1208,
-    Mips_SHRAV_PH	= 1209,
-    Mips_SHRAV_QB	= 1210,
-    Mips_SHRAV_R_PH	= 1211,
-    Mips_SHRAV_R_QB	= 1212,
-    Mips_SHRAV_R_W	= 1213,
-    Mips_SHRA_PH	= 1214,
-    Mips_SHRA_QB	= 1215,
-    Mips_SHRA_R_PH	= 1216,
-    Mips_SHRA_R_QB	= 1217,
-    Mips_SHRA_R_W	= 1218,
-    Mips_SHRLV_PH	= 1219,
-    Mips_SHRLV_QB	= 1220,
-    Mips_SHRL_PH	= 1221,
-    Mips_SHRL_QB	= 1222,
-    Mips_SH_MM	= 1223,
-    Mips_SLDI_B	= 1224,
-    Mips_SLDI_D	= 1225,
-    Mips_SLDI_H	= 1226,
-    Mips_SLDI_W	= 1227,
-    Mips_SLD_B	= 1228,
-    Mips_SLD_D	= 1229,
-    Mips_SLD_H	= 1230,
-    Mips_SLD_W	= 1231,
-    Mips_SLL	= 1232,
-    Mips_SLL64_32	= 1233,
-    Mips_SLL64_64	= 1234,
-    Mips_SLLI_B	= 1235,
-    Mips_SLLI_D	= 1236,
-    Mips_SLLI_H	= 1237,
-    Mips_SLLI_W	= 1238,
-    Mips_SLLV	= 1239,
-    Mips_SLLV_MM	= 1240,
-    Mips_SLL_B	= 1241,
-    Mips_SLL_D	= 1242,
-    Mips_SLL_H	= 1243,
-    Mips_SLL_MM	= 1244,
-    Mips_SLL_W	= 1245,
-    Mips_SLT	= 1246,
-    Mips_SLT64	= 1247,
-    Mips_SLT_MM	= 1248,
-    Mips_SLTi	= 1249,
-    Mips_SLTi64	= 1250,
-    Mips_SLTi_MM	= 1251,
-    Mips_SLTiu	= 1252,
-    Mips_SLTiu64	= 1253,
-    Mips_SLTiu_MM	= 1254,
-    Mips_SLTu	= 1255,
-    Mips_SLTu64	= 1256,
-    Mips_SLTu_MM	= 1257,
-    Mips_SNZ_B_PSEUDO	= 1258,
-    Mips_SNZ_D_PSEUDO	= 1259,
-    Mips_SNZ_H_PSEUDO	= 1260,
-    Mips_SNZ_V_PSEUDO	= 1261,
-    Mips_SNZ_W_PSEUDO	= 1262,
-    Mips_SPLATI_B	= 1263,
-    Mips_SPLATI_D	= 1264,
-    Mips_SPLATI_H	= 1265,
-    Mips_SPLATI_W	= 1266,
-    Mips_SPLAT_B	= 1267,
-    Mips_SPLAT_D	= 1268,
-    Mips_SPLAT_H	= 1269,
-    Mips_SPLAT_W	= 1270,
-    Mips_SRA	= 1271,
-    Mips_SRAI_B	= 1272,
-    Mips_SRAI_D	= 1273,
-    Mips_SRAI_H	= 1274,
-    Mips_SRAI_W	= 1275,
-    Mips_SRARI_B	= 1276,
-    Mips_SRARI_D	= 1277,
-    Mips_SRARI_H	= 1278,
-    Mips_SRARI_W	= 1279,
-    Mips_SRAR_B	= 1280,
-    Mips_SRAR_D	= 1281,
-    Mips_SRAR_H	= 1282,
-    Mips_SRAR_W	= 1283,
-    Mips_SRAV	= 1284,
-    Mips_SRAV_MM	= 1285,
-    Mips_SRA_B	= 1286,
-    Mips_SRA_D	= 1287,
-    Mips_SRA_H	= 1288,
-    Mips_SRA_MM	= 1289,
-    Mips_SRA_W	= 1290,
-    Mips_SRL	= 1291,
-    Mips_SRLI_B	= 1292,
-    Mips_SRLI_D	= 1293,
-    Mips_SRLI_H	= 1294,
-    Mips_SRLI_W	= 1295,
-    Mips_SRLRI_B	= 1296,
-    Mips_SRLRI_D	= 1297,
-    Mips_SRLRI_H	= 1298,
-    Mips_SRLRI_W	= 1299,
-    Mips_SRLR_B	= 1300,
-    Mips_SRLR_D	= 1301,
-    Mips_SRLR_H	= 1302,
-    Mips_SRLR_W	= 1303,
-    Mips_SRLV	= 1304,
-    Mips_SRLV_MM	= 1305,
-    Mips_SRL_B	= 1306,
-    Mips_SRL_D	= 1307,
-    Mips_SRL_H	= 1308,
-    Mips_SRL_MM	= 1309,
-    Mips_SRL_W	= 1310,
-    Mips_STORE_ACC128	= 1311,
-    Mips_STORE_ACC64	= 1312,
-    Mips_STORE_ACC64DSP	= 1313,
-    Mips_STORE_CCOND_DSP	= 1314,
-    Mips_ST_B	= 1315,
-    Mips_ST_D	= 1316,
-    Mips_ST_H	= 1317,
-    Mips_ST_W	= 1318,
-    Mips_SUB	= 1319,
-    Mips_SUBQH_PH	= 1320,
-    Mips_SUBQH_R_PH	= 1321,
-    Mips_SUBQH_R_W	= 1322,
-    Mips_SUBQH_W	= 1323,
-    Mips_SUBQ_PH	= 1324,
-    Mips_SUBQ_S_PH	= 1325,
-    Mips_SUBQ_S_W	= 1326,
-    Mips_SUBSUS_U_B	= 1327,
-    Mips_SUBSUS_U_D	= 1328,
-    Mips_SUBSUS_U_H	= 1329,
-    Mips_SUBSUS_U_W	= 1330,
-    Mips_SUBSUU_S_B	= 1331,
-    Mips_SUBSUU_S_D	= 1332,
-    Mips_SUBSUU_S_H	= 1333,
-    Mips_SUBSUU_S_W	= 1334,
-    Mips_SUBS_S_B	= 1335,
-    Mips_SUBS_S_D	= 1336,
-    Mips_SUBS_S_H	= 1337,
-    Mips_SUBS_S_W	= 1338,
-    Mips_SUBS_U_B	= 1339,
-    Mips_SUBS_U_D	= 1340,
-    Mips_SUBS_U_H	= 1341,
-    Mips_SUBS_U_W	= 1342,
-    Mips_SUBUH_QB	= 1343,
-    Mips_SUBUH_R_QB	= 1344,
-    Mips_SUBU_PH	= 1345,
-    Mips_SUBU_QB	= 1346,
-    Mips_SUBU_S_PH	= 1347,
-    Mips_SUBU_S_QB	= 1348,
-    Mips_SUBVI_B	= 1349,
-    Mips_SUBVI_D	= 1350,
-    Mips_SUBVI_H	= 1351,
-    Mips_SUBVI_W	= 1352,
-    Mips_SUBV_B	= 1353,
-    Mips_SUBV_D	= 1354,
-    Mips_SUBV_H	= 1355,
-    Mips_SUBV_W	= 1356,
-    Mips_SUB_MM	= 1357,
-    Mips_SUBu	= 1358,
-    Mips_SUBu_MM	= 1359,
-    Mips_SUXC1	= 1360,
-    Mips_SUXC164	= 1361,
-    Mips_SUXC1_MM	= 1362,
-    Mips_SW	= 1363,
-    Mips_SW64	= 1364,
-    Mips_SWC1	= 1365,
-    Mips_SWC1_MM	= 1366,
-    Mips_SWC2	= 1367,
-    Mips_SWL	= 1368,
-    Mips_SWL64	= 1369,
-    Mips_SWL_MM	= 1370,
-    Mips_SWR	= 1371,
-    Mips_SWR64	= 1372,
-    Mips_SWR_MM	= 1373,
-    Mips_SWXC1	= 1374,
-    Mips_SWXC1_MM	= 1375,
-    Mips_SW_MM	= 1376,
-    Mips_SYNC	= 1377,
-    Mips_SYNC_MM	= 1378,
-    Mips_SYSCALL	= 1379,
-    Mips_SYSCALL_MM	= 1380,
-    Mips_SZ_B_PSEUDO	= 1381,
-    Mips_SZ_D_PSEUDO	= 1382,
-    Mips_SZ_H_PSEUDO	= 1383,
-    Mips_SZ_V_PSEUDO	= 1384,
-    Mips_SZ_W_PSEUDO	= 1385,
-    Mips_Save16	= 1386,
-    Mips_SaveX16	= 1387,
-    Mips_SbRxRyOffMemX16	= 1388,
-    Mips_SebRx16	= 1389,
-    Mips_SehRx16	= 1390,
-    Mips_SelBeqZ	= 1391,
-    Mips_SelBneZ	= 1392,
-    Mips_SelTBteqZCmp	= 1393,
-    Mips_SelTBteqZCmpi	= 1394,
-    Mips_SelTBteqZSlt	= 1395,
-    Mips_SelTBteqZSlti	= 1396,
-    Mips_SelTBteqZSltiu	= 1397,
-    Mips_SelTBteqZSltu	= 1398,
-    Mips_SelTBtneZCmp	= 1399,
-    Mips_SelTBtneZCmpi	= 1400,
-    Mips_SelTBtneZSlt	= 1401,
-    Mips_SelTBtneZSlti	= 1402,
-    Mips_SelTBtneZSltiu	= 1403,
-    Mips_SelTBtneZSltu	= 1404,
-    Mips_ShRxRyOffMemX16	= 1405,
-    Mips_SllX16	= 1406,
-    Mips_SllvRxRy16	= 1407,
-    Mips_SltCCRxRy16	= 1408,
-    Mips_SltRxRy16	= 1409,
-    Mips_SltiCCRxImmX16	= 1410,
-    Mips_SltiRxImm16	= 1411,
-    Mips_SltiRxImmX16	= 1412,
-    Mips_SltiuCCRxImmX16	= 1413,
-    Mips_SltiuRxImm16	= 1414,
-    Mips_SltiuRxImmX16	= 1415,
-    Mips_SltuCCRxRy16	= 1416,
-    Mips_SltuRxRy16	= 1417,
-    Mips_SltuRxRyRz16	= 1418,
-    Mips_SraX16	= 1419,
-    Mips_SravRxRy16	= 1420,
-    Mips_SrlX16	= 1421,
-    Mips_SrlvRxRy16	= 1422,
-    Mips_SubuRxRyRz16	= 1423,
-    Mips_SwRxRyOffMemX16	= 1424,
-    Mips_SwRxSpImmX16	= 1425,
-    Mips_TAILCALL	= 1426,
-    Mips_TAILCALL64_R	= 1427,
-    Mips_TAILCALL_R	= 1428,
-    Mips_TEQ	= 1429,
-    Mips_TEQI	= 1430,
-    Mips_TEQI_MM	= 1431,
-    Mips_TEQ_MM	= 1432,
-    Mips_TGE	= 1433,
-    Mips_TGEI	= 1434,
-    Mips_TGEIU	= 1435,
-    Mips_TGEIU_MM	= 1436,
-    Mips_TGEI_MM	= 1437,
-    Mips_TGEU	= 1438,
-    Mips_TGEU_MM	= 1439,
-    Mips_TGE_MM	= 1440,
-    Mips_TLT	= 1441,
-    Mips_TLTI	= 1442,
-    Mips_TLTIU_MM	= 1443,
-    Mips_TLTI_MM	= 1444,
-    Mips_TLTU	= 1445,
-    Mips_TLTU_MM	= 1446,
-    Mips_TLT_MM	= 1447,
-    Mips_TNE	= 1448,
-    Mips_TNEI	= 1449,
-    Mips_TNEI_MM	= 1450,
-    Mips_TNE_MM	= 1451,
-    Mips_TRAP	= 1452,
-    Mips_TRUNC_L_D64	= 1453,
-    Mips_TRUNC_L_S	= 1454,
-    Mips_TRUNC_W_D32	= 1455,
-    Mips_TRUNC_W_D64	= 1456,
-    Mips_TRUNC_W_MM	= 1457,
-    Mips_TRUNC_W_S	= 1458,
-    Mips_TRUNC_W_S_MM	= 1459,
-    Mips_TTLTIU	= 1460,
-    Mips_UDIV	= 1461,
-    Mips_UDIV_MM	= 1462,
-    Mips_VSHF_B	= 1463,
-    Mips_VSHF_D	= 1464,
-    Mips_VSHF_H	= 1465,
-    Mips_VSHF_W	= 1466,
-    Mips_WAIT	= 1467,
-    Mips_WAIT_MM	= 1468,
-    Mips_WRDSP	= 1469,
-    Mips_WSBH	= 1470,
-    Mips_WSBH_MM	= 1471,
-    Mips_XOR	= 1472,
-    Mips_XOR64	= 1473,
-    Mips_XORI_B	= 1474,
-    Mips_XOR_MM	= 1475,
-    Mips_XOR_V	= 1476,
-    Mips_XOR_V_D_PSEUDO	= 1477,
-    Mips_XOR_V_H_PSEUDO	= 1478,
-    Mips_XOR_V_W_PSEUDO	= 1479,
-    Mips_XORi	= 1480,
-    Mips_XORi64	= 1481,
-    Mips_XORi_MM	= 1482,
-    Mips_XorRxRxRy16	= 1483,
-    Mips_INSTRUCTION_LIST_END = 1484
+    Mips_LOAD_STACK_GUARD	= 19,
+    Mips_ABSQ_S_PH	= 20,
+    Mips_ABSQ_S_QB	= 21,
+    Mips_ABSQ_S_W	= 22,
+    Mips_ADD	= 23,
+    Mips_ADDIUPC	= 24,
+    Mips_ADDQH_PH	= 25,
+    Mips_ADDQH_R_PH	= 26,
+    Mips_ADDQH_R_W	= 27,
+    Mips_ADDQH_W	= 28,
+    Mips_ADDQ_PH	= 29,
+    Mips_ADDQ_S_PH	= 30,
+    Mips_ADDQ_S_W	= 31,
+    Mips_ADDSC	= 32,
+    Mips_ADDS_A_B	= 33,
+    Mips_ADDS_A_D	= 34,
+    Mips_ADDS_A_H	= 35,
+    Mips_ADDS_A_W	= 36,
+    Mips_ADDS_S_B	= 37,
+    Mips_ADDS_S_D	= 38,
+    Mips_ADDS_S_H	= 39,
+    Mips_ADDS_S_W	= 40,
+    Mips_ADDS_U_B	= 41,
+    Mips_ADDS_U_D	= 42,
+    Mips_ADDS_U_H	= 43,
+    Mips_ADDS_U_W	= 44,
+    Mips_ADDUH_QB	= 45,
+    Mips_ADDUH_R_QB	= 46,
+    Mips_ADDU_PH	= 47,
+    Mips_ADDU_QB	= 48,
+    Mips_ADDU_S_PH	= 49,
+    Mips_ADDU_S_QB	= 50,
+    Mips_ADDVI_B	= 51,
+    Mips_ADDVI_D	= 52,
+    Mips_ADDVI_H	= 53,
+    Mips_ADDVI_W	= 54,
+    Mips_ADDV_B	= 55,
+    Mips_ADDV_D	= 56,
+    Mips_ADDV_H	= 57,
+    Mips_ADDV_W	= 58,
+    Mips_ADDWC	= 59,
+    Mips_ADD_A_B	= 60,
+    Mips_ADD_A_D	= 61,
+    Mips_ADD_A_H	= 62,
+    Mips_ADD_A_W	= 63,
+    Mips_ADD_MM	= 64,
+    Mips_ADDi	= 65,
+    Mips_ADDi_MM	= 66,
+    Mips_ADDiu	= 67,
+    Mips_ADDiu_MM	= 68,
+    Mips_ADDu	= 69,
+    Mips_ADDu_MM	= 70,
+    Mips_ADJCALLSTACKDOWN	= 71,
+    Mips_ADJCALLSTACKUP	= 72,
+    Mips_ALIGN	= 73,
+    Mips_ALUIPC	= 74,
+    Mips_AND	= 75,
+    Mips_AND64	= 76,
+    Mips_ANDI_B	= 77,
+    Mips_AND_MM	= 78,
+    Mips_AND_V	= 79,
+    Mips_AND_V_D_PSEUDO	= 80,
+    Mips_AND_V_H_PSEUDO	= 81,
+    Mips_AND_V_W_PSEUDO	= 82,
+    Mips_ANDi	= 83,
+    Mips_ANDi64	= 84,
+    Mips_ANDi_MM	= 85,
+    Mips_APPEND	= 86,
+    Mips_ASUB_S_B	= 87,
+    Mips_ASUB_S_D	= 88,
+    Mips_ASUB_S_H	= 89,
+    Mips_ASUB_S_W	= 90,
+    Mips_ASUB_U_B	= 91,
+    Mips_ASUB_U_D	= 92,
+    Mips_ASUB_U_H	= 93,
+    Mips_ASUB_U_W	= 94,
+    Mips_ATOMIC_CMP_SWAP_I16	= 95,
+    Mips_ATOMIC_CMP_SWAP_I32	= 96,
+    Mips_ATOMIC_CMP_SWAP_I64	= 97,
+    Mips_ATOMIC_CMP_SWAP_I8	= 98,
+    Mips_ATOMIC_LOAD_ADD_I16	= 99,
+    Mips_ATOMIC_LOAD_ADD_I32	= 100,
+    Mips_ATOMIC_LOAD_ADD_I64	= 101,
+    Mips_ATOMIC_LOAD_ADD_I8	= 102,
+    Mips_ATOMIC_LOAD_AND_I16	= 103,
+    Mips_ATOMIC_LOAD_AND_I32	= 104,
+    Mips_ATOMIC_LOAD_AND_I64	= 105,
+    Mips_ATOMIC_LOAD_AND_I8	= 106,
+    Mips_ATOMIC_LOAD_NAND_I16	= 107,
+    Mips_ATOMIC_LOAD_NAND_I32	= 108,
+    Mips_ATOMIC_LOAD_NAND_I64	= 109,
+    Mips_ATOMIC_LOAD_NAND_I8	= 110,
+    Mips_ATOMIC_LOAD_OR_I16	= 111,
+    Mips_ATOMIC_LOAD_OR_I32	= 112,
+    Mips_ATOMIC_LOAD_OR_I64	= 113,
+    Mips_ATOMIC_LOAD_OR_I8	= 114,
+    Mips_ATOMIC_LOAD_SUB_I16	= 115,
+    Mips_ATOMIC_LOAD_SUB_I32	= 116,
+    Mips_ATOMIC_LOAD_SUB_I64	= 117,
+    Mips_ATOMIC_LOAD_SUB_I8	= 118,
+    Mips_ATOMIC_LOAD_XOR_I16	= 119,
+    Mips_ATOMIC_LOAD_XOR_I32	= 120,
+    Mips_ATOMIC_LOAD_XOR_I64	= 121,
+    Mips_ATOMIC_LOAD_XOR_I8	= 122,
+    Mips_ATOMIC_SWAP_I16	= 123,
+    Mips_ATOMIC_SWAP_I32	= 124,
+    Mips_ATOMIC_SWAP_I64	= 125,
+    Mips_ATOMIC_SWAP_I8	= 126,
+    Mips_AUI	= 127,
+    Mips_AUIPC	= 128,
+    Mips_AVER_S_B	= 129,
+    Mips_AVER_S_D	= 130,
+    Mips_AVER_S_H	= 131,
+    Mips_AVER_S_W	= 132,
+    Mips_AVER_U_B	= 133,
+    Mips_AVER_U_D	= 134,
+    Mips_AVER_U_H	= 135,
+    Mips_AVER_U_W	= 136,
+    Mips_AVE_S_B	= 137,
+    Mips_AVE_S_D	= 138,
+    Mips_AVE_S_H	= 139,
+    Mips_AVE_S_W	= 140,
+    Mips_AVE_U_B	= 141,
+    Mips_AVE_U_D	= 142,
+    Mips_AVE_U_H	= 143,
+    Mips_AVE_U_W	= 144,
+    Mips_AddiuRxImmX16	= 145,
+    Mips_AddiuRxPcImmX16	= 146,
+    Mips_AddiuRxRxImm16	= 147,
+    Mips_AddiuRxRxImmX16	= 148,
+    Mips_AddiuRxRyOffMemX16	= 149,
+    Mips_AddiuSpImm16	= 150,
+    Mips_AddiuSpImmX16	= 151,
+    Mips_AdduRxRyRz16	= 152,
+    Mips_AndRxRxRy16	= 153,
+    Mips_B	= 154,
+    Mips_BADDu	= 155,
+    Mips_BAL	= 156,
+    Mips_BALC	= 157,
+    Mips_BALIGN	= 158,
+    Mips_BAL_BR	= 159,
+    Mips_BC	= 160,
+    Mips_BC1EQZ	= 161,
+    Mips_BC1F	= 162,
+    Mips_BC1F_MM	= 163,
+    Mips_BC1NEZ	= 164,
+    Mips_BC1T	= 165,
+    Mips_BC1T_MM	= 166,
+    Mips_BC2EQZ	= 167,
+    Mips_BC2NEZ	= 168,
+    Mips_BCLRI_B	= 169,
+    Mips_BCLRI_D	= 170,
+    Mips_BCLRI_H	= 171,
+    Mips_BCLRI_W	= 172,
+    Mips_BCLR_B	= 173,
+    Mips_BCLR_D	= 174,
+    Mips_BCLR_H	= 175,
+    Mips_BCLR_W	= 176,
+    Mips_BEQ	= 177,
+    Mips_BEQ64	= 178,
+    Mips_BEQC	= 179,
+    Mips_BEQZALC	= 180,
+    Mips_BEQZC	= 181,
+    Mips_BEQ_MM	= 182,
+    Mips_BGEC	= 183,
+    Mips_BGEUC	= 184,
+    Mips_BGEZ	= 185,
+    Mips_BGEZ64	= 186,
+    Mips_BGEZAL	= 187,
+    Mips_BGEZALC	= 188,
+    Mips_BGEZAL_MM	= 189,
+    Mips_BGEZC	= 190,
+    Mips_BGEZ_MM	= 191,
+    Mips_BGTZ	= 192,
+    Mips_BGTZ64	= 193,
+    Mips_BGTZALC	= 194,
+    Mips_BGTZC	= 195,
+    Mips_BGTZ_MM	= 196,
+    Mips_BINSLI_B	= 197,
+    Mips_BINSLI_D	= 198,
+    Mips_BINSLI_H	= 199,
+    Mips_BINSLI_W	= 200,
+    Mips_BINSL_B	= 201,
+    Mips_BINSL_D	= 202,
+    Mips_BINSL_H	= 203,
+    Mips_BINSL_W	= 204,
+    Mips_BINSRI_B	= 205,
+    Mips_BINSRI_D	= 206,
+    Mips_BINSRI_H	= 207,
+    Mips_BINSRI_W	= 208,
+    Mips_BINSR_B	= 209,
+    Mips_BINSR_D	= 210,
+    Mips_BINSR_H	= 211,
+    Mips_BINSR_W	= 212,
+    Mips_BITREV	= 213,
+    Mips_BITSWAP	= 214,
+    Mips_BLEZ	= 215,
+    Mips_BLEZ64	= 216,
+    Mips_BLEZALC	= 217,
+    Mips_BLEZC	= 218,
+    Mips_BLEZ_MM	= 219,
+    Mips_BLTC	= 220,
+    Mips_BLTUC	= 221,
+    Mips_BLTZ	= 222,
+    Mips_BLTZ64	= 223,
+    Mips_BLTZAL	= 224,
+    Mips_BLTZALC	= 225,
+    Mips_BLTZAL_MM	= 226,
+    Mips_BLTZC	= 227,
+    Mips_BLTZ_MM	= 228,
+    Mips_BMNZI_B	= 229,
+    Mips_BMNZ_V	= 230,
+    Mips_BMZI_B	= 231,
+    Mips_BMZ_V	= 232,
+    Mips_BNE	= 233,
+    Mips_BNE64	= 234,
+    Mips_BNEC	= 235,
+    Mips_BNEGI_B	= 236,
+    Mips_BNEGI_D	= 237,
+    Mips_BNEGI_H	= 238,
+    Mips_BNEGI_W	= 239,
+    Mips_BNEG_B	= 240,
+    Mips_BNEG_D	= 241,
+    Mips_BNEG_H	= 242,
+    Mips_BNEG_W	= 243,
+    Mips_BNEZALC	= 244,
+    Mips_BNEZC	= 245,
+    Mips_BNE_MM	= 246,
+    Mips_BNVC	= 247,
+    Mips_BNZ_B	= 248,
+    Mips_BNZ_D	= 249,
+    Mips_BNZ_H	= 250,
+    Mips_BNZ_V	= 251,
+    Mips_BNZ_W	= 252,
+    Mips_BOVC	= 253,
+    Mips_BPOSGE32	= 254,
+    Mips_BPOSGE32_PSEUDO	= 255,
+    Mips_BREAK	= 256,
+    Mips_BREAK_MM	= 257,
+    Mips_BSELI_B	= 258,
+    Mips_BSEL_D_PSEUDO	= 259,
+    Mips_BSEL_FD_PSEUDO	= 260,
+    Mips_BSEL_FW_PSEUDO	= 261,
+    Mips_BSEL_H_PSEUDO	= 262,
+    Mips_BSEL_V	= 263,
+    Mips_BSEL_W_PSEUDO	= 264,
+    Mips_BSETI_B	= 265,
+    Mips_BSETI_D	= 266,
+    Mips_BSETI_H	= 267,
+    Mips_BSETI_W	= 268,
+    Mips_BSET_B	= 269,
+    Mips_BSET_D	= 270,
+    Mips_BSET_H	= 271,
+    Mips_BSET_W	= 272,
+    Mips_BZ_B	= 273,
+    Mips_BZ_D	= 274,
+    Mips_BZ_H	= 275,
+    Mips_BZ_V	= 276,
+    Mips_BZ_W	= 277,
+    Mips_BeqzRxImm16	= 278,
+    Mips_BeqzRxImmX16	= 279,
+    Mips_Bimm16	= 280,
+    Mips_BimmX16	= 281,
+    Mips_BnezRxImm16	= 282,
+    Mips_BnezRxImmX16	= 283,
+    Mips_Break16	= 284,
+    Mips_Bteqz16	= 285,
+    Mips_BteqzT8CmpX16	= 286,
+    Mips_BteqzT8CmpiX16	= 287,
+    Mips_BteqzT8SltX16	= 288,
+    Mips_BteqzT8SltiX16	= 289,
+    Mips_BteqzT8SltiuX16	= 290,
+    Mips_BteqzT8SltuX16	= 291,
+    Mips_BteqzX16	= 292,
+    Mips_Btnez16	= 293,
+    Mips_BtnezT8CmpX16	= 294,
+    Mips_BtnezT8CmpiX16	= 295,
+    Mips_BtnezT8SltX16	= 296,
+    Mips_BtnezT8SltiX16	= 297,
+    Mips_BtnezT8SltiuX16	= 298,
+    Mips_BtnezT8SltuX16	= 299,
+    Mips_BtnezX16	= 300,
+    Mips_BuildPairF64	= 301,
+    Mips_BuildPairF64_64	= 302,
+    Mips_CACHE	= 303,
+    Mips_CACHE_R6	= 304,
+    Mips_CEIL_L_D64	= 305,
+    Mips_CEIL_L_S	= 306,
+    Mips_CEIL_W_D32	= 307,
+    Mips_CEIL_W_D64	= 308,
+    Mips_CEIL_W_MM	= 309,
+    Mips_CEIL_W_S	= 310,
+    Mips_CEIL_W_S_MM	= 311,
+    Mips_CEQI_B	= 312,
+    Mips_CEQI_D	= 313,
+    Mips_CEQI_H	= 314,
+    Mips_CEQI_W	= 315,
+    Mips_CEQ_B	= 316,
+    Mips_CEQ_D	= 317,
+    Mips_CEQ_H	= 318,
+    Mips_CEQ_W	= 319,
+    Mips_CFC1	= 320,
+    Mips_CFC1_MM	= 321,
+    Mips_CFCMSA	= 322,
+    Mips_CINS	= 323,
+    Mips_CINS32	= 324,
+    Mips_CLASS_D	= 325,
+    Mips_CLASS_S	= 326,
+    Mips_CLEI_S_B	= 327,
+    Mips_CLEI_S_D	= 328,
+    Mips_CLEI_S_H	= 329,
+    Mips_CLEI_S_W	= 330,
+    Mips_CLEI_U_B	= 331,
+    Mips_CLEI_U_D	= 332,
+    Mips_CLEI_U_H	= 333,
+    Mips_CLEI_U_W	= 334,
+    Mips_CLE_S_B	= 335,
+    Mips_CLE_S_D	= 336,
+    Mips_CLE_S_H	= 337,
+    Mips_CLE_S_W	= 338,
+    Mips_CLE_U_B	= 339,
+    Mips_CLE_U_D	= 340,
+    Mips_CLE_U_H	= 341,
+    Mips_CLE_U_W	= 342,
+    Mips_CLO	= 343,
+    Mips_CLO_MM	= 344,
+    Mips_CLO_R6	= 345,
+    Mips_CLTI_S_B	= 346,
+    Mips_CLTI_S_D	= 347,
+    Mips_CLTI_S_H	= 348,
+    Mips_CLTI_S_W	= 349,
+    Mips_CLTI_U_B	= 350,
+    Mips_CLTI_U_D	= 351,
+    Mips_CLTI_U_H	= 352,
+    Mips_CLTI_U_W	= 353,
+    Mips_CLT_S_B	= 354,
+    Mips_CLT_S_D	= 355,
+    Mips_CLT_S_H	= 356,
+    Mips_CLT_S_W	= 357,
+    Mips_CLT_U_B	= 358,
+    Mips_CLT_U_D	= 359,
+    Mips_CLT_U_H	= 360,
+    Mips_CLT_U_W	= 361,
+    Mips_CLZ	= 362,
+    Mips_CLZ_MM	= 363,
+    Mips_CLZ_R6	= 364,
+    Mips_CMPGDU_EQ_QB	= 365,
+    Mips_CMPGDU_LE_QB	= 366,
+    Mips_CMPGDU_LT_QB	= 367,
+    Mips_CMPGU_EQ_QB	= 368,
+    Mips_CMPGU_LE_QB	= 369,
+    Mips_CMPGU_LT_QB	= 370,
+    Mips_CMPU_EQ_QB	= 371,
+    Mips_CMPU_LE_QB	= 372,
+    Mips_CMPU_LT_QB	= 373,
+    Mips_CMP_EQ_D	= 374,
+    Mips_CMP_EQ_PH	= 375,
+    Mips_CMP_EQ_S	= 376,
+    Mips_CMP_F_D	= 377,
+    Mips_CMP_F_S	= 378,
+    Mips_CMP_LE_D	= 379,
+    Mips_CMP_LE_PH	= 380,
+    Mips_CMP_LE_S	= 381,
+    Mips_CMP_LT_D	= 382,
+    Mips_CMP_LT_PH	= 383,
+    Mips_CMP_LT_S	= 384,
+    Mips_CMP_SAF_D	= 385,
+    Mips_CMP_SAF_S	= 386,
+    Mips_CMP_SEQ_D	= 387,
+    Mips_CMP_SEQ_S	= 388,
+    Mips_CMP_SLE_D	= 389,
+    Mips_CMP_SLE_S	= 390,
+    Mips_CMP_SLT_D	= 391,
+    Mips_CMP_SLT_S	= 392,
+    Mips_CMP_SUEQ_D	= 393,
+    Mips_CMP_SUEQ_S	= 394,
+    Mips_CMP_SULE_D	= 395,
+    Mips_CMP_SULE_S	= 396,
+    Mips_CMP_SULT_D	= 397,
+    Mips_CMP_SULT_S	= 398,
+    Mips_CMP_SUN_D	= 399,
+    Mips_CMP_SUN_S	= 400,
+    Mips_CMP_UEQ_D	= 401,
+    Mips_CMP_UEQ_S	= 402,
+    Mips_CMP_ULE_D	= 403,
+    Mips_CMP_ULE_S	= 404,
+    Mips_CMP_ULT_D	= 405,
+    Mips_CMP_ULT_S	= 406,
+    Mips_CMP_UN_D	= 407,
+    Mips_CMP_UN_S	= 408,
+    Mips_CONSTPOOL_ENTRY	= 409,
+    Mips_COPY_FD_PSEUDO	= 410,
+    Mips_COPY_FW_PSEUDO	= 411,
+    Mips_COPY_S_B	= 412,
+    Mips_COPY_S_D	= 413,
+    Mips_COPY_S_H	= 414,
+    Mips_COPY_S_W	= 415,
+    Mips_COPY_U_B	= 416,
+    Mips_COPY_U_D	= 417,
+    Mips_COPY_U_H	= 418,
+    Mips_COPY_U_W	= 419,
+    Mips_CTC1	= 420,
+    Mips_CTC1_MM	= 421,
+    Mips_CTCMSA	= 422,
+    Mips_CVT_D32_S	= 423,
+    Mips_CVT_D32_W	= 424,
+    Mips_CVT_D32_W_MM	= 425,
+    Mips_CVT_D64_L	= 426,
+    Mips_CVT_D64_S	= 427,
+    Mips_CVT_D64_W	= 428,
+    Mips_CVT_D_S_MM	= 429,
+    Mips_CVT_L_D64	= 430,
+    Mips_CVT_L_D64_MM	= 431,
+    Mips_CVT_L_S	= 432,
+    Mips_CVT_L_S_MM	= 433,
+    Mips_CVT_S_D32	= 434,
+    Mips_CVT_S_D32_MM	= 435,
+    Mips_CVT_S_D64	= 436,
+    Mips_CVT_S_L	= 437,
+    Mips_CVT_S_W	= 438,
+    Mips_CVT_S_W_MM	= 439,
+    Mips_CVT_W_D32	= 440,
+    Mips_CVT_W_D64	= 441,
+    Mips_CVT_W_MM	= 442,
+    Mips_CVT_W_S	= 443,
+    Mips_CVT_W_S_MM	= 444,
+    Mips_C_EQ_D32	= 445,
+    Mips_C_EQ_D64	= 446,
+    Mips_C_EQ_S	= 447,
+    Mips_C_F_D32	= 448,
+    Mips_C_F_D64	= 449,
+    Mips_C_F_S	= 450,
+    Mips_C_LE_D32	= 451,
+    Mips_C_LE_D64	= 452,
+    Mips_C_LE_S	= 453,
+    Mips_C_LT_D32	= 454,
+    Mips_C_LT_D64	= 455,
+    Mips_C_LT_S	= 456,
+    Mips_C_NGE_D32	= 457,
+    Mips_C_NGE_D64	= 458,
+    Mips_C_NGE_S	= 459,
+    Mips_C_NGLE_D32	= 460,
+    Mips_C_NGLE_D64	= 461,
+    Mips_C_NGLE_S	= 462,
+    Mips_C_NGL_D32	= 463,
+    Mips_C_NGL_D64	= 464,
+    Mips_C_NGL_S	= 465,
+    Mips_C_NGT_D32	= 466,
+    Mips_C_NGT_D64	= 467,
+    Mips_C_NGT_S	= 468,
+    Mips_C_OLE_D32	= 469,
+    Mips_C_OLE_D64	= 470,
+    Mips_C_OLE_S	= 471,
+    Mips_C_OLT_D32	= 472,
+    Mips_C_OLT_D64	= 473,
+    Mips_C_OLT_S	= 474,
+    Mips_C_SEQ_D32	= 475,
+    Mips_C_SEQ_D64	= 476,
+    Mips_C_SEQ_S	= 477,
+    Mips_C_SF_D32	= 478,
+    Mips_C_SF_D64	= 479,
+    Mips_C_SF_S	= 480,
+    Mips_C_UEQ_D32	= 481,
+    Mips_C_UEQ_D64	= 482,
+    Mips_C_UEQ_S	= 483,
+    Mips_C_ULE_D32	= 484,
+    Mips_C_ULE_D64	= 485,
+    Mips_C_ULE_S	= 486,
+    Mips_C_ULT_D32	= 487,
+    Mips_C_ULT_D64	= 488,
+    Mips_C_ULT_S	= 489,
+    Mips_C_UN_D32	= 490,
+    Mips_C_UN_D64	= 491,
+    Mips_C_UN_S	= 492,
+    Mips_CmpRxRy16	= 493,
+    Mips_CmpiRxImm16	= 494,
+    Mips_CmpiRxImmX16	= 495,
+    Mips_Constant32	= 496,
+    Mips_DADD	= 497,
+    Mips_DADDi	= 498,
+    Mips_DADDiu	= 499,
+    Mips_DADDu	= 500,
+    Mips_DAHI	= 501,
+    Mips_DALIGN	= 502,
+    Mips_DATI	= 503,
+    Mips_DAUI	= 504,
+    Mips_DBITSWAP	= 505,
+    Mips_DCLO	= 506,
+    Mips_DCLO_R6	= 507,
+    Mips_DCLZ	= 508,
+    Mips_DCLZ_R6	= 509,
+    Mips_DDIV	= 510,
+    Mips_DDIVU	= 511,
+    Mips_DERET	= 512,
+    Mips_DERET_MM	= 513,
+    Mips_DEXT	= 514,
+    Mips_DEXTM	= 515,
+    Mips_DEXTU	= 516,
+    Mips_DI	= 517,
+    Mips_DINS	= 518,
+    Mips_DINSM	= 519,
+    Mips_DINSU	= 520,
+    Mips_DIV	= 521,
+    Mips_DIVU	= 522,
+    Mips_DIV_S_B	= 523,
+    Mips_DIV_S_D	= 524,
+    Mips_DIV_S_H	= 525,
+    Mips_DIV_S_W	= 526,
+    Mips_DIV_U_B	= 527,
+    Mips_DIV_U_D	= 528,
+    Mips_DIV_U_H	= 529,
+    Mips_DIV_U_W	= 530,
+    Mips_DI_MM	= 531,
+    Mips_DLSA	= 532,
+    Mips_DLSA_R6	= 533,
+    Mips_DMFC0	= 534,
+    Mips_DMFC1	= 535,
+    Mips_DMFC2	= 536,
+    Mips_DMOD	= 537,
+    Mips_DMODU	= 538,
+    Mips_DMTC0	= 539,
+    Mips_DMTC1	= 540,
+    Mips_DMTC2	= 541,
+    Mips_DMUH	= 542,
+    Mips_DMUHU	= 543,
+    Mips_DMUL	= 544,
+    Mips_DMULT	= 545,
+    Mips_DMULTu	= 546,
+    Mips_DMULU	= 547,
+    Mips_DMUL_R6	= 548,
+    Mips_DOTP_S_D	= 549,
+    Mips_DOTP_S_H	= 550,
+    Mips_DOTP_S_W	= 551,
+    Mips_DOTP_U_D	= 552,
+    Mips_DOTP_U_H	= 553,
+    Mips_DOTP_U_W	= 554,
+    Mips_DPADD_S_D	= 555,
+    Mips_DPADD_S_H	= 556,
+    Mips_DPADD_S_W	= 557,
+    Mips_DPADD_U_D	= 558,
+    Mips_DPADD_U_H	= 559,
+    Mips_DPADD_U_W	= 560,
+    Mips_DPAQX_SA_W_PH	= 561,
+    Mips_DPAQX_S_W_PH	= 562,
+    Mips_DPAQ_SA_L_W	= 563,
+    Mips_DPAQ_S_W_PH	= 564,
+    Mips_DPAU_H_QBL	= 565,
+    Mips_DPAU_H_QBR	= 566,
+    Mips_DPAX_W_PH	= 567,
+    Mips_DPA_W_PH	= 568,
+    Mips_DPOP	= 569,
+    Mips_DPSQX_SA_W_PH	= 570,
+    Mips_DPSQX_S_W_PH	= 571,
+    Mips_DPSQ_SA_L_W	= 572,
+    Mips_DPSQ_S_W_PH	= 573,
+    Mips_DPSUB_S_D	= 574,
+    Mips_DPSUB_S_H	= 575,
+    Mips_DPSUB_S_W	= 576,
+    Mips_DPSUB_U_D	= 577,
+    Mips_DPSUB_U_H	= 578,
+    Mips_DPSUB_U_W	= 579,
+    Mips_DPSU_H_QBL	= 580,
+    Mips_DPSU_H_QBR	= 581,
+    Mips_DPSX_W_PH	= 582,
+    Mips_DPS_W_PH	= 583,
+    Mips_DROTR	= 584,
+    Mips_DROTR32	= 585,
+    Mips_DROTRV	= 586,
+    Mips_DSBH	= 587,
+    Mips_DSDIV	= 588,
+    Mips_DSHD	= 589,
+    Mips_DSLL	= 590,
+    Mips_DSLL32	= 591,
+    Mips_DSLL64_32	= 592,
+    Mips_DSLLV	= 593,
+    Mips_DSRA	= 594,
+    Mips_DSRA32	= 595,
+    Mips_DSRAV	= 596,
+    Mips_DSRL	= 597,
+    Mips_DSRL32	= 598,
+    Mips_DSRLV	= 599,
+    Mips_DSUB	= 600,
+    Mips_DSUBu	= 601,
+    Mips_DUDIV	= 602,
+    Mips_DivRxRy16	= 603,
+    Mips_DivuRxRy16	= 604,
+    Mips_EHB	= 605,
+    Mips_EI	= 606,
+    Mips_EI_MM	= 607,
+    Mips_ERET	= 608,
+    Mips_ERET_MM	= 609,
+    Mips_EXT	= 610,
+    Mips_EXTP	= 611,
+    Mips_EXTPDP	= 612,
+    Mips_EXTPDPV	= 613,
+    Mips_EXTPV	= 614,
+    Mips_EXTRV_RS_W	= 615,
+    Mips_EXTRV_R_W	= 616,
+    Mips_EXTRV_S_H	= 617,
+    Mips_EXTRV_W	= 618,
+    Mips_EXTR_RS_W	= 619,
+    Mips_EXTR_R_W	= 620,
+    Mips_EXTR_S_H	= 621,
+    Mips_EXTR_W	= 622,
+    Mips_EXTS	= 623,
+    Mips_EXTS32	= 624,
+    Mips_EXT_MM	= 625,
+    Mips_ExtractElementF64	= 626,
+    Mips_ExtractElementF64_64	= 627,
+    Mips_FABS_D	= 628,
+    Mips_FABS_D32	= 629,
+    Mips_FABS_D64	= 630,
+    Mips_FABS_MM	= 631,
+    Mips_FABS_S	= 632,
+    Mips_FABS_S_MM	= 633,
+    Mips_FABS_W	= 634,
+    Mips_FADD_D	= 635,
+    Mips_FADD_D32	= 636,
+    Mips_FADD_D64	= 637,
+    Mips_FADD_MM	= 638,
+    Mips_FADD_S	= 639,
+    Mips_FADD_S_MM	= 640,
+    Mips_FADD_W	= 641,
+    Mips_FCAF_D	= 642,
+    Mips_FCAF_W	= 643,
+    Mips_FCEQ_D	= 644,
+    Mips_FCEQ_W	= 645,
+    Mips_FCLASS_D	= 646,
+    Mips_FCLASS_W	= 647,
+    Mips_FCLE_D	= 648,
+    Mips_FCLE_W	= 649,
+    Mips_FCLT_D	= 650,
+    Mips_FCLT_W	= 651,
+    Mips_FCMP_D32	= 652,
+    Mips_FCMP_D32_MM	= 653,
+    Mips_FCMP_D64	= 654,
+    Mips_FCMP_S32	= 655,
+    Mips_FCMP_S32_MM	= 656,
+    Mips_FCNE_D	= 657,
+    Mips_FCNE_W	= 658,
+    Mips_FCOR_D	= 659,
+    Mips_FCOR_W	= 660,
+    Mips_FCUEQ_D	= 661,
+    Mips_FCUEQ_W	= 662,
+    Mips_FCULE_D	= 663,
+    Mips_FCULE_W	= 664,
+    Mips_FCULT_D	= 665,
+    Mips_FCULT_W	= 666,
+    Mips_FCUNE_D	= 667,
+    Mips_FCUNE_W	= 668,
+    Mips_FCUN_D	= 669,
+    Mips_FCUN_W	= 670,
+    Mips_FDIV_D	= 671,
+    Mips_FDIV_D32	= 672,
+    Mips_FDIV_D64	= 673,
+    Mips_FDIV_MM	= 674,
+    Mips_FDIV_S	= 675,
+    Mips_FDIV_S_MM	= 676,
+    Mips_FDIV_W	= 677,
+    Mips_FEXDO_H	= 678,
+    Mips_FEXDO_W	= 679,
+    Mips_FEXP2_D	= 680,
+    Mips_FEXP2_D_1_PSEUDO	= 681,
+    Mips_FEXP2_W	= 682,
+    Mips_FEXP2_W_1_PSEUDO	= 683,
+    Mips_FEXUPL_D	= 684,
+    Mips_FEXUPL_W	= 685,
+    Mips_FEXUPR_D	= 686,
+    Mips_FEXUPR_W	= 687,
+    Mips_FFINT_S_D	= 688,
+    Mips_FFINT_S_W	= 689,
+    Mips_FFINT_U_D	= 690,
+    Mips_FFINT_U_W	= 691,
+    Mips_FFQL_D	= 692,
+    Mips_FFQL_W	= 693,
+    Mips_FFQR_D	= 694,
+    Mips_FFQR_W	= 695,
+    Mips_FILL_B	= 696,
+    Mips_FILL_D	= 697,
+    Mips_FILL_FD_PSEUDO	= 698,
+    Mips_FILL_FW_PSEUDO	= 699,
+    Mips_FILL_H	= 700,
+    Mips_FILL_W	= 701,
+    Mips_FLOG2_D	= 702,
+    Mips_FLOG2_W	= 703,
+    Mips_FLOOR_L_D64	= 704,
+    Mips_FLOOR_L_S	= 705,
+    Mips_FLOOR_W_D32	= 706,
+    Mips_FLOOR_W_D64	= 707,
+    Mips_FLOOR_W_MM	= 708,
+    Mips_FLOOR_W_S	= 709,
+    Mips_FLOOR_W_S_MM	= 710,
+    Mips_FMADD_D	= 711,
+    Mips_FMADD_W	= 712,
+    Mips_FMAX_A_D	= 713,
+    Mips_FMAX_A_W	= 714,
+    Mips_FMAX_D	= 715,
+    Mips_FMAX_W	= 716,
+    Mips_FMIN_A_D	= 717,
+    Mips_FMIN_A_W	= 718,
+    Mips_FMIN_D	= 719,
+    Mips_FMIN_W	= 720,
+    Mips_FMOV_D32	= 721,
+    Mips_FMOV_D32_MM	= 722,
+    Mips_FMOV_D64	= 723,
+    Mips_FMOV_S	= 724,
+    Mips_FMOV_S_MM	= 725,
+    Mips_FMSUB_D	= 726,
+    Mips_FMSUB_W	= 727,
+    Mips_FMUL_D	= 728,
+    Mips_FMUL_D32	= 729,
+    Mips_FMUL_D64	= 730,
+    Mips_FMUL_MM	= 731,
+    Mips_FMUL_S	= 732,
+    Mips_FMUL_S_MM	= 733,
+    Mips_FMUL_W	= 734,
+    Mips_FNEG_D32	= 735,
+    Mips_FNEG_D64	= 736,
+    Mips_FNEG_MM	= 737,
+    Mips_FNEG_S	= 738,
+    Mips_FNEG_S_MM	= 739,
+    Mips_FRCP_D	= 740,
+    Mips_FRCP_W	= 741,
+    Mips_FRINT_D	= 742,
+    Mips_FRINT_W	= 743,
+    Mips_FRSQRT_D	= 744,
+    Mips_FRSQRT_W	= 745,
+    Mips_FSAF_D	= 746,
+    Mips_FSAF_W	= 747,
+    Mips_FSEQ_D	= 748,
+    Mips_FSEQ_W	= 749,
+    Mips_FSLE_D	= 750,
+    Mips_FSLE_W	= 751,
+    Mips_FSLT_D	= 752,
+    Mips_FSLT_W	= 753,
+    Mips_FSNE_D	= 754,
+    Mips_FSNE_W	= 755,
+    Mips_FSOR_D	= 756,
+    Mips_FSOR_W	= 757,
+    Mips_FSQRT_D	= 758,
+    Mips_FSQRT_D32	= 759,
+    Mips_FSQRT_D64	= 760,
+    Mips_FSQRT_MM	= 761,
+    Mips_FSQRT_S	= 762,
+    Mips_FSQRT_S_MM	= 763,
+    Mips_FSQRT_W	= 764,
+    Mips_FSUB_D	= 765,
+    Mips_FSUB_D32	= 766,
+    Mips_FSUB_D64	= 767,
+    Mips_FSUB_MM	= 768,
+    Mips_FSUB_S	= 769,
+    Mips_FSUB_S_MM	= 770,
+    Mips_FSUB_W	= 771,
+    Mips_FSUEQ_D	= 772,
+    Mips_FSUEQ_W	= 773,
+    Mips_FSULE_D	= 774,
+    Mips_FSULE_W	= 775,
+    Mips_FSULT_D	= 776,
+    Mips_FSULT_W	= 777,
+    Mips_FSUNE_D	= 778,
+    Mips_FSUNE_W	= 779,
+    Mips_FSUN_D	= 780,
+    Mips_FSUN_W	= 781,
+    Mips_FTINT_S_D	= 782,
+    Mips_FTINT_S_W	= 783,
+    Mips_FTINT_U_D	= 784,
+    Mips_FTINT_U_W	= 785,
+    Mips_FTQ_H	= 786,
+    Mips_FTQ_W	= 787,
+    Mips_FTRUNC_S_D	= 788,
+    Mips_FTRUNC_S_W	= 789,
+    Mips_FTRUNC_U_D	= 790,
+    Mips_FTRUNC_U_W	= 791,
+    Mips_GotPrologue16	= 792,
+    Mips_HADD_S_D	= 793,
+    Mips_HADD_S_H	= 794,
+    Mips_HADD_S_W	= 795,
+    Mips_HADD_U_D	= 796,
+    Mips_HADD_U_H	= 797,
+    Mips_HADD_U_W	= 798,
+    Mips_HSUB_S_D	= 799,
+    Mips_HSUB_S_H	= 800,
+    Mips_HSUB_S_W	= 801,
+    Mips_HSUB_U_D	= 802,
+    Mips_HSUB_U_H	= 803,
+    Mips_HSUB_U_W	= 804,
+    Mips_ILVEV_B	= 805,
+    Mips_ILVEV_D	= 806,
+    Mips_ILVEV_H	= 807,
+    Mips_ILVEV_W	= 808,
+    Mips_ILVL_B	= 809,
+    Mips_ILVL_D	= 810,
+    Mips_ILVL_H	= 811,
+    Mips_ILVL_W	= 812,
+    Mips_ILVOD_B	= 813,
+    Mips_ILVOD_D	= 814,
+    Mips_ILVOD_H	= 815,
+    Mips_ILVOD_W	= 816,
+    Mips_ILVR_B	= 817,
+    Mips_ILVR_D	= 818,
+    Mips_ILVR_H	= 819,
+    Mips_ILVR_W	= 820,
+    Mips_INS	= 821,
+    Mips_INSERT_B	= 822,
+    Mips_INSERT_B_VIDX_PSEUDO	= 823,
+    Mips_INSERT_D	= 824,
+    Mips_INSERT_D_VIDX_PSEUDO	= 825,
+    Mips_INSERT_FD_PSEUDO	= 826,
+    Mips_INSERT_FD_VIDX_PSEUDO	= 827,
+    Mips_INSERT_FW_PSEUDO	= 828,
+    Mips_INSERT_FW_VIDX_PSEUDO	= 829,
+    Mips_INSERT_H	= 830,
+    Mips_INSERT_H_VIDX_PSEUDO	= 831,
+    Mips_INSERT_W	= 832,
+    Mips_INSERT_W_VIDX_PSEUDO	= 833,
+    Mips_INSV	= 834,
+    Mips_INSVE_B	= 835,
+    Mips_INSVE_D	= 836,
+    Mips_INSVE_H	= 837,
+    Mips_INSVE_W	= 838,
+    Mips_INS_MM	= 839,
+    Mips_J	= 840,
+    Mips_JAL	= 841,
+    Mips_JALR	= 842,
+    Mips_JALR16_MM	= 843,
+    Mips_JALR64	= 844,
+    Mips_JALR64Pseudo	= 845,
+    Mips_JALRPseudo	= 846,
+    Mips_JALR_HB	= 847,
+    Mips_JALR_MM	= 848,
+    Mips_JALX	= 849,
+    Mips_JAL_MM	= 850,
+    Mips_JIALC	= 851,
+    Mips_JIC	= 852,
+    Mips_JR	= 853,
+    Mips_JR64	= 854,
+    Mips_JR_HB	= 855,
+    Mips_JR_HB_R6	= 856,
+    Mips_JR_MM	= 857,
+    Mips_J_MM	= 858,
+    Mips_Jal16	= 859,
+    Mips_JalB16	= 860,
+    Mips_JrRa16	= 861,
+    Mips_JrcRa16	= 862,
+    Mips_JrcRx16	= 863,
+    Mips_JumpLinkReg16	= 864,
+    Mips_LB	= 865,
+    Mips_LB64	= 866,
+    Mips_LBUX	= 867,
+    Mips_LB_MM	= 868,
+    Mips_LBu	= 869,
+    Mips_LBu64	= 870,
+    Mips_LBu_MM	= 871,
+    Mips_LD	= 872,
+    Mips_LDC1	= 873,
+    Mips_LDC164	= 874,
+    Mips_LDC1_MM	= 875,
+    Mips_LDC2	= 876,
+    Mips_LDC2_R6	= 877,
+    Mips_LDC3	= 878,
+    Mips_LDI_B	= 879,
+    Mips_LDI_D	= 880,
+    Mips_LDI_H	= 881,
+    Mips_LDI_W	= 882,
+    Mips_LDL	= 883,
+    Mips_LDPC	= 884,
+    Mips_LDR	= 885,
+    Mips_LDXC1	= 886,
+    Mips_LDXC164	= 887,
+    Mips_LD_B	= 888,
+    Mips_LD_D	= 889,
+    Mips_LD_H	= 890,
+    Mips_LD_W	= 891,
+    Mips_LEA_ADDiu	= 892,
+    Mips_LEA_ADDiu64	= 893,
+    Mips_LEA_ADDiu_MM	= 894,
+    Mips_LH	= 895,
+    Mips_LH64	= 896,
+    Mips_LHX	= 897,
+    Mips_LH_MM	= 898,
+    Mips_LHu	= 899,
+    Mips_LHu64	= 900,
+    Mips_LHu_MM	= 901,
+    Mips_LL	= 902,
+    Mips_LLD	= 903,
+    Mips_LLD_R6	= 904,
+    Mips_LL_MM	= 905,
+    Mips_LL_R6	= 906,
+    Mips_LOAD_ACC128	= 907,
+    Mips_LOAD_ACC64	= 908,
+    Mips_LOAD_ACC64DSP	= 909,
+    Mips_LOAD_CCOND_DSP	= 910,
+    Mips_LONG_BRANCH_ADDiu	= 911,
+    Mips_LONG_BRANCH_DADDiu	= 912,
+    Mips_LONG_BRANCH_LUi	= 913,
+    Mips_LSA	= 914,
+    Mips_LSA_R6	= 915,
+    Mips_LUXC1	= 916,
+    Mips_LUXC164	= 917,
+    Mips_LUXC1_MM	= 918,
+    Mips_LUi	= 919,
+    Mips_LUi64	= 920,
+    Mips_LUi_MM	= 921,
+    Mips_LW	= 922,
+    Mips_LW64	= 923,
+    Mips_LWC1	= 924,
+    Mips_LWC1_MM	= 925,
+    Mips_LWC2	= 926,
+    Mips_LWC2_R6	= 927,
+    Mips_LWC3	= 928,
+    Mips_LWL	= 929,
+    Mips_LWL64	= 930,
+    Mips_LWL_MM	= 931,
+    Mips_LWPC	= 932,
+    Mips_LWR	= 933,
+    Mips_LWR64	= 934,
+    Mips_LWR_MM	= 935,
+    Mips_LWUPC	= 936,
+    Mips_LWU_MM	= 937,
+    Mips_LWX	= 938,
+    Mips_LWXC1	= 939,
+    Mips_LWXC1_MM	= 940,
+    Mips_LW_MM	= 941,
+    Mips_LWu	= 942,
+    Mips_LbRxRyOffMemX16	= 943,
+    Mips_LbuRxRyOffMemX16	= 944,
+    Mips_LhRxRyOffMemX16	= 945,
+    Mips_LhuRxRyOffMemX16	= 946,
+    Mips_LiRxImm16	= 947,
+    Mips_LiRxImmAlignX16	= 948,
+    Mips_LiRxImmX16	= 949,
+    Mips_LoadAddr32Imm	= 950,
+    Mips_LoadAddr32Reg	= 951,
+    Mips_LoadImm32Reg	= 952,
+    Mips_LoadImm64Reg	= 953,
+    Mips_LwConstant32	= 954,
+    Mips_LwRxPcTcp16	= 955,
+    Mips_LwRxPcTcpX16	= 956,
+    Mips_LwRxRyOffMemX16	= 957,
+    Mips_LwRxSpImmX16	= 958,
+    Mips_MADD	= 959,
+    Mips_MADDF_D	= 960,
+    Mips_MADDF_S	= 961,
+    Mips_MADDR_Q_H	= 962,
+    Mips_MADDR_Q_W	= 963,
+    Mips_MADDU	= 964,
+    Mips_MADDU_DSP	= 965,
+    Mips_MADDU_MM	= 966,
+    Mips_MADDV_B	= 967,
+    Mips_MADDV_D	= 968,
+    Mips_MADDV_H	= 969,
+    Mips_MADDV_W	= 970,
+    Mips_MADD_D32	= 971,
+    Mips_MADD_D32_MM	= 972,
+    Mips_MADD_D64	= 973,
+    Mips_MADD_DSP	= 974,
+    Mips_MADD_MM	= 975,
+    Mips_MADD_Q_H	= 976,
+    Mips_MADD_Q_W	= 977,
+    Mips_MADD_S	= 978,
+    Mips_MADD_S_MM	= 979,
+    Mips_MAQ_SA_W_PHL	= 980,
+    Mips_MAQ_SA_W_PHR	= 981,
+    Mips_MAQ_S_W_PHL	= 982,
+    Mips_MAQ_S_W_PHR	= 983,
+    Mips_MAXA_D	= 984,
+    Mips_MAXA_S	= 985,
+    Mips_MAXI_S_B	= 986,
+    Mips_MAXI_S_D	= 987,
+    Mips_MAXI_S_H	= 988,
+    Mips_MAXI_S_W	= 989,
+    Mips_MAXI_U_B	= 990,
+    Mips_MAXI_U_D	= 991,
+    Mips_MAXI_U_H	= 992,
+    Mips_MAXI_U_W	= 993,
+    Mips_MAX_A_B	= 994,
+    Mips_MAX_A_D	= 995,
+    Mips_MAX_A_H	= 996,
+    Mips_MAX_A_W	= 997,
+    Mips_MAX_D	= 998,
+    Mips_MAX_S	= 999,
+    Mips_MAX_S_B	= 1000,
+    Mips_MAX_S_D	= 1001,
+    Mips_MAX_S_H	= 1002,
+    Mips_MAX_S_W	= 1003,
+    Mips_MAX_U_B	= 1004,
+    Mips_MAX_U_D	= 1005,
+    Mips_MAX_U_H	= 1006,
+    Mips_MAX_U_W	= 1007,
+    Mips_MFC0	= 1008,
+    Mips_MFC1	= 1009,
+    Mips_MFC1_MM	= 1010,
+    Mips_MFC2	= 1011,
+    Mips_MFHC1_D32	= 1012,
+    Mips_MFHC1_D64	= 1013,
+    Mips_MFHC1_MM	= 1014,
+    Mips_MFHI	= 1015,
+    Mips_MFHI16_MM	= 1016,
+    Mips_MFHI64	= 1017,
+    Mips_MFHI_DSP	= 1018,
+    Mips_MFHI_MM	= 1019,
+    Mips_MFLO	= 1020,
+    Mips_MFLO16_MM	= 1021,
+    Mips_MFLO64	= 1022,
+    Mips_MFLO_DSP	= 1023,
+    Mips_MFLO_MM	= 1024,
+    Mips_MINA_D	= 1025,
+    Mips_MINA_S	= 1026,
+    Mips_MINI_S_B	= 1027,
+    Mips_MINI_S_D	= 1028,
+    Mips_MINI_S_H	= 1029,
+    Mips_MINI_S_W	= 1030,
+    Mips_MINI_U_B	= 1031,
+    Mips_MINI_U_D	= 1032,
+    Mips_MINI_U_H	= 1033,
+    Mips_MINI_U_W	= 1034,
+    Mips_MIN_A_B	= 1035,
+    Mips_MIN_A_D	= 1036,
+    Mips_MIN_A_H	= 1037,
+    Mips_MIN_A_W	= 1038,
+    Mips_MIN_D	= 1039,
+    Mips_MIN_S	= 1040,
+    Mips_MIN_S_B	= 1041,
+    Mips_MIN_S_D	= 1042,
+    Mips_MIN_S_H	= 1043,
+    Mips_MIN_S_W	= 1044,
+    Mips_MIN_U_B	= 1045,
+    Mips_MIN_U_D	= 1046,
+    Mips_MIN_U_H	= 1047,
+    Mips_MIN_U_W	= 1048,
+    Mips_MIPSeh_return32	= 1049,
+    Mips_MIPSeh_return64	= 1050,
+    Mips_MOD	= 1051,
+    Mips_MODSUB	= 1052,
+    Mips_MODU	= 1053,
+    Mips_MOD_S_B	= 1054,
+    Mips_MOD_S_D	= 1055,
+    Mips_MOD_S_H	= 1056,
+    Mips_MOD_S_W	= 1057,
+    Mips_MOD_U_B	= 1058,
+    Mips_MOD_U_D	= 1059,
+    Mips_MOD_U_H	= 1060,
+    Mips_MOD_U_W	= 1061,
+    Mips_MOVE16_MM	= 1062,
+    Mips_MOVE_V	= 1063,
+    Mips_MOVF_D32	= 1064,
+    Mips_MOVF_D32_MM	= 1065,
+    Mips_MOVF_D64	= 1066,
+    Mips_MOVF_I	= 1067,
+    Mips_MOVF_I64	= 1068,
+    Mips_MOVF_I_MM	= 1069,
+    Mips_MOVF_S	= 1070,
+    Mips_MOVF_S_MM	= 1071,
+    Mips_MOVN_I64_D64	= 1072,
+    Mips_MOVN_I64_I	= 1073,
+    Mips_MOVN_I64_I64	= 1074,
+    Mips_MOVN_I64_S	= 1075,
+    Mips_MOVN_I_D32	= 1076,
+    Mips_MOVN_I_D32_MM	= 1077,
+    Mips_MOVN_I_D64	= 1078,
+    Mips_MOVN_I_I	= 1079,
+    Mips_MOVN_I_I64	= 1080,
+    Mips_MOVN_I_MM	= 1081,
+    Mips_MOVN_I_S	= 1082,
+    Mips_MOVN_I_S_MM	= 1083,
+    Mips_MOVT_D32	= 1084,
+    Mips_MOVT_D32_MM	= 1085,
+    Mips_MOVT_D64	= 1086,
+    Mips_MOVT_I	= 1087,
+    Mips_MOVT_I64	= 1088,
+    Mips_MOVT_I_MM	= 1089,
+    Mips_MOVT_S	= 1090,
+    Mips_MOVT_S_MM	= 1091,
+    Mips_MOVZ_I64_D64	= 1092,
+    Mips_MOVZ_I64_I	= 1093,
+    Mips_MOVZ_I64_I64	= 1094,
+    Mips_MOVZ_I64_S	= 1095,
+    Mips_MOVZ_I_D32	= 1096,
+    Mips_MOVZ_I_D32_MM	= 1097,
+    Mips_MOVZ_I_D64	= 1098,
+    Mips_MOVZ_I_I	= 1099,
+    Mips_MOVZ_I_I64	= 1100,
+    Mips_MOVZ_I_MM	= 1101,
+    Mips_MOVZ_I_S	= 1102,
+    Mips_MOVZ_I_S_MM	= 1103,
+    Mips_MSUB	= 1104,
+    Mips_MSUBF_D	= 1105,
+    Mips_MSUBF_S	= 1106,
+    Mips_MSUBR_Q_H	= 1107,
+    Mips_MSUBR_Q_W	= 1108,
+    Mips_MSUBU	= 1109,
+    Mips_MSUBU_DSP	= 1110,
+    Mips_MSUBU_MM	= 1111,
+    Mips_MSUBV_B	= 1112,
+    Mips_MSUBV_D	= 1113,
+    Mips_MSUBV_H	= 1114,
+    Mips_MSUBV_W	= 1115,
+    Mips_MSUB_D32	= 1116,
+    Mips_MSUB_D32_MM	= 1117,
+    Mips_MSUB_D64	= 1118,
+    Mips_MSUB_DSP	= 1119,
+    Mips_MSUB_MM	= 1120,
+    Mips_MSUB_Q_H	= 1121,
+    Mips_MSUB_Q_W	= 1122,
+    Mips_MSUB_S	= 1123,
+    Mips_MSUB_S_MM	= 1124,
+    Mips_MTC0	= 1125,
+    Mips_MTC1	= 1126,
+    Mips_MTC1_MM	= 1127,
+    Mips_MTC2	= 1128,
+    Mips_MTHC1_D32	= 1129,
+    Mips_MTHC1_D64	= 1130,
+    Mips_MTHC1_MM	= 1131,
+    Mips_MTHI	= 1132,
+    Mips_MTHI64	= 1133,
+    Mips_MTHI_DSP	= 1134,
+    Mips_MTHI_MM	= 1135,
+    Mips_MTHLIP	= 1136,
+    Mips_MTLO	= 1137,
+    Mips_MTLO64	= 1138,
+    Mips_MTLO_DSP	= 1139,
+    Mips_MTLO_MM	= 1140,
+    Mips_MTM0	= 1141,
+    Mips_MTM1	= 1142,
+    Mips_MTM2	= 1143,
+    Mips_MTP0	= 1144,
+    Mips_MTP1	= 1145,
+    Mips_MTP2	= 1146,
+    Mips_MUH	= 1147,
+    Mips_MUHU	= 1148,
+    Mips_MUL	= 1149,
+    Mips_MULEQ_S_W_PHL	= 1150,
+    Mips_MULEQ_S_W_PHR	= 1151,
+    Mips_MULEU_S_PH_QBL	= 1152,
+    Mips_MULEU_S_PH_QBR	= 1153,
+    Mips_MULQ_RS_PH	= 1154,
+    Mips_MULQ_RS_W	= 1155,
+    Mips_MULQ_S_PH	= 1156,
+    Mips_MULQ_S_W	= 1157,
+    Mips_MULR_Q_H	= 1158,
+    Mips_MULR_Q_W	= 1159,
+    Mips_MULSAQ_S_W_PH	= 1160,
+    Mips_MULSA_W_PH	= 1161,
+    Mips_MULT	= 1162,
+    Mips_MULTU_DSP	= 1163,
+    Mips_MULT_DSP	= 1164,
+    Mips_MULT_MM	= 1165,
+    Mips_MULTu	= 1166,
+    Mips_MULTu_MM	= 1167,
+    Mips_MULU	= 1168,
+    Mips_MULV_B	= 1169,
+    Mips_MULV_D	= 1170,
+    Mips_MULV_H	= 1171,
+    Mips_MULV_W	= 1172,
+    Mips_MUL_MM	= 1173,
+    Mips_MUL_PH	= 1174,
+    Mips_MUL_Q_H	= 1175,
+    Mips_MUL_Q_W	= 1176,
+    Mips_MUL_R6	= 1177,
+    Mips_MUL_S_PH	= 1178,
+    Mips_Mfhi16	= 1179,
+    Mips_Mflo16	= 1180,
+    Mips_Move32R16	= 1181,
+    Mips_MoveR3216	= 1182,
+    Mips_MultRxRy16	= 1183,
+    Mips_MultRxRyRz16	= 1184,
+    Mips_MultuRxRy16	= 1185,
+    Mips_MultuRxRyRz16	= 1186,
+    Mips_NLOC_B	= 1187,
+    Mips_NLOC_D	= 1188,
+    Mips_NLOC_H	= 1189,
+    Mips_NLOC_W	= 1190,
+    Mips_NLZC_B	= 1191,
+    Mips_NLZC_D	= 1192,
+    Mips_NLZC_H	= 1193,
+    Mips_NLZC_W	= 1194,
+    Mips_NMADD_D32	= 1195,
+    Mips_NMADD_D32_MM	= 1196,
+    Mips_NMADD_D64	= 1197,
+    Mips_NMADD_S	= 1198,
+    Mips_NMADD_S_MM	= 1199,
+    Mips_NMSUB_D32	= 1200,
+    Mips_NMSUB_D32_MM	= 1201,
+    Mips_NMSUB_D64	= 1202,
+    Mips_NMSUB_S	= 1203,
+    Mips_NMSUB_S_MM	= 1204,
+    Mips_NOP	= 1205,
+    Mips_NOR	= 1206,
+    Mips_NOR64	= 1207,
+    Mips_NORI_B	= 1208,
+    Mips_NOR_MM	= 1209,
+    Mips_NOR_V	= 1210,
+    Mips_NOR_V_D_PSEUDO	= 1211,
+    Mips_NOR_V_H_PSEUDO	= 1212,
+    Mips_NOR_V_W_PSEUDO	= 1213,
+    Mips_NegRxRy16	= 1214,
+    Mips_NotRxRy16	= 1215,
+    Mips_OR	= 1216,
+    Mips_OR64	= 1217,
+    Mips_ORI_B	= 1218,
+    Mips_OR_MM	= 1219,
+    Mips_OR_V	= 1220,
+    Mips_OR_V_D_PSEUDO	= 1221,
+    Mips_OR_V_H_PSEUDO	= 1222,
+    Mips_OR_V_W_PSEUDO	= 1223,
+    Mips_ORi	= 1224,
+    Mips_ORi64	= 1225,
+    Mips_ORi_MM	= 1226,
+    Mips_OrRxRxRy16	= 1227,
+    Mips_PACKRL_PH	= 1228,
+    Mips_PAUSE	= 1229,
+    Mips_PCKEV_B	= 1230,
+    Mips_PCKEV_D	= 1231,
+    Mips_PCKEV_H	= 1232,
+    Mips_PCKEV_W	= 1233,
+    Mips_PCKOD_B	= 1234,
+    Mips_PCKOD_D	= 1235,
+    Mips_PCKOD_H	= 1236,
+    Mips_PCKOD_W	= 1237,
+    Mips_PCNT_B	= 1238,
+    Mips_PCNT_D	= 1239,
+    Mips_PCNT_H	= 1240,
+    Mips_PCNT_W	= 1241,
+    Mips_PICK_PH	= 1242,
+    Mips_PICK_QB	= 1243,
+    Mips_POP	= 1244,
+    Mips_PRECEQU_PH_QBL	= 1245,
+    Mips_PRECEQU_PH_QBLA	= 1246,
+    Mips_PRECEQU_PH_QBR	= 1247,
+    Mips_PRECEQU_PH_QBRA	= 1248,
+    Mips_PRECEQ_W_PHL	= 1249,
+    Mips_PRECEQ_W_PHR	= 1250,
+    Mips_PRECEU_PH_QBL	= 1251,
+    Mips_PRECEU_PH_QBLA	= 1252,
+    Mips_PRECEU_PH_QBR	= 1253,
+    Mips_PRECEU_PH_QBRA	= 1254,
+    Mips_PRECRQU_S_QB_PH	= 1255,
+    Mips_PRECRQ_PH_W	= 1256,
+    Mips_PRECRQ_QB_PH	= 1257,
+    Mips_PRECRQ_RS_PH_W	= 1258,
+    Mips_PRECR_QB_PH	= 1259,
+    Mips_PRECR_SRA_PH_W	= 1260,
+    Mips_PRECR_SRA_R_PH_W	= 1261,
+    Mips_PREF	= 1262,
+    Mips_PREF_R6	= 1263,
+    Mips_PREPEND	= 1264,
+    Mips_PseudoCMPU_EQ_QB	= 1265,
+    Mips_PseudoCMPU_LE_QB	= 1266,
+    Mips_PseudoCMPU_LT_QB	= 1267,
+    Mips_PseudoCMP_EQ_PH	= 1268,
+    Mips_PseudoCMP_LE_PH	= 1269,
+    Mips_PseudoCMP_LT_PH	= 1270,
+    Mips_PseudoCVT_D32_W	= 1271,
+    Mips_PseudoCVT_D64_L	= 1272,
+    Mips_PseudoCVT_D64_W	= 1273,
+    Mips_PseudoCVT_S_L	= 1274,
+    Mips_PseudoCVT_S_W	= 1275,
+    Mips_PseudoDMULT	= 1276,
+    Mips_PseudoDMULTu	= 1277,
+    Mips_PseudoDSDIV	= 1278,
+    Mips_PseudoDUDIV	= 1279,
+    Mips_PseudoIndirectBranch	= 1280,
+    Mips_PseudoIndirectBranch64	= 1281,
+    Mips_PseudoMADD	= 1282,
+    Mips_PseudoMADDU	= 1283,
+    Mips_PseudoMFHI	= 1284,
+    Mips_PseudoMFHI64	= 1285,
+    Mips_PseudoMFLO	= 1286,
+    Mips_PseudoMFLO64	= 1287,
+    Mips_PseudoMSUB	= 1288,
+    Mips_PseudoMSUBU	= 1289,
+    Mips_PseudoMTLOHI	= 1290,
+    Mips_PseudoMTLOHI64	= 1291,
+    Mips_PseudoMTLOHI_DSP	= 1292,
+    Mips_PseudoMULT	= 1293,
+    Mips_PseudoMULTu	= 1294,
+    Mips_PseudoPICK_PH	= 1295,
+    Mips_PseudoPICK_QB	= 1296,
+    Mips_PseudoReturn	= 1297,
+    Mips_PseudoReturn64	= 1298,
+    Mips_PseudoSDIV	= 1299,
+    Mips_PseudoUDIV	= 1300,
+    Mips_RADDU_W_QB	= 1301,
+    Mips_RDDSP	= 1302,
+    Mips_RDHWR	= 1303,
+    Mips_RDHWR64	= 1304,
+    Mips_REPLV_PH	= 1305,
+    Mips_REPLV_QB	= 1306,
+    Mips_REPL_PH	= 1307,
+    Mips_REPL_QB	= 1308,
+    Mips_RINT_D	= 1309,
+    Mips_RINT_S	= 1310,
+    Mips_ROTR	= 1311,
+    Mips_ROTRV	= 1312,
+    Mips_ROTRV_MM	= 1313,
+    Mips_ROTR_MM	= 1314,
+    Mips_ROUND_L_D64	= 1315,
+    Mips_ROUND_L_S	= 1316,
+    Mips_ROUND_W_D32	= 1317,
+    Mips_ROUND_W_D64	= 1318,
+    Mips_ROUND_W_MM	= 1319,
+    Mips_ROUND_W_S	= 1320,
+    Mips_ROUND_W_S_MM	= 1321,
+    Mips_Restore16	= 1322,
+    Mips_RestoreX16	= 1323,
+    Mips_RetRA	= 1324,
+    Mips_RetRA16	= 1325,
+    Mips_SAT_S_B	= 1326,
+    Mips_SAT_S_D	= 1327,
+    Mips_SAT_S_H	= 1328,
+    Mips_SAT_S_W	= 1329,
+    Mips_SAT_U_B	= 1330,
+    Mips_SAT_U_D	= 1331,
+    Mips_SAT_U_H	= 1332,
+    Mips_SAT_U_W	= 1333,
+    Mips_SB	= 1334,
+    Mips_SB64	= 1335,
+    Mips_SB_MM	= 1336,
+    Mips_SC	= 1337,
+    Mips_SCD	= 1338,
+    Mips_SCD_R6	= 1339,
+    Mips_SC_MM	= 1340,
+    Mips_SC_R6	= 1341,
+    Mips_SD	= 1342,
+    Mips_SDBBP	= 1343,
+    Mips_SDBBP_R6	= 1344,
+    Mips_SDC1	= 1345,
+    Mips_SDC164	= 1346,
+    Mips_SDC1_MM	= 1347,
+    Mips_SDC2	= 1348,
+    Mips_SDC2_R6	= 1349,
+    Mips_SDC3	= 1350,
+    Mips_SDIV	= 1351,
+    Mips_SDIV_MM	= 1352,
+    Mips_SDL	= 1353,
+    Mips_SDR	= 1354,
+    Mips_SDXC1	= 1355,
+    Mips_SDXC164	= 1356,
+    Mips_SEB	= 1357,
+    Mips_SEB64	= 1358,
+    Mips_SEB_MM	= 1359,
+    Mips_SEH	= 1360,
+    Mips_SEH64	= 1361,
+    Mips_SEH_MM	= 1362,
+    Mips_SELEQZ	= 1363,
+    Mips_SELEQZ64	= 1364,
+    Mips_SELEQZ_D	= 1365,
+    Mips_SELEQZ_S	= 1366,
+    Mips_SELNEZ	= 1367,
+    Mips_SELNEZ64	= 1368,
+    Mips_SELNEZ_D	= 1369,
+    Mips_SELNEZ_S	= 1370,
+    Mips_SEL_D	= 1371,
+    Mips_SEL_S	= 1372,
+    Mips_SEQ	= 1373,
+    Mips_SEQi	= 1374,
+    Mips_SH	= 1375,
+    Mips_SH64	= 1376,
+    Mips_SHF_B	= 1377,
+    Mips_SHF_H	= 1378,
+    Mips_SHF_W	= 1379,
+    Mips_SHILO	= 1380,
+    Mips_SHILOV	= 1381,
+    Mips_SHLLV_PH	= 1382,
+    Mips_SHLLV_QB	= 1383,
+    Mips_SHLLV_S_PH	= 1384,
+    Mips_SHLLV_S_W	= 1385,
+    Mips_SHLL_PH	= 1386,
+    Mips_SHLL_QB	= 1387,
+    Mips_SHLL_S_PH	= 1388,
+    Mips_SHLL_S_W	= 1389,
+    Mips_SHRAV_PH	= 1390,
+    Mips_SHRAV_QB	= 1391,
+    Mips_SHRAV_R_PH	= 1392,
+    Mips_SHRAV_R_QB	= 1393,
+    Mips_SHRAV_R_W	= 1394,
+    Mips_SHRA_PH	= 1395,
+    Mips_SHRA_QB	= 1396,
+    Mips_SHRA_R_PH	= 1397,
+    Mips_SHRA_R_QB	= 1398,
+    Mips_SHRA_R_W	= 1399,
+    Mips_SHRLV_PH	= 1400,
+    Mips_SHRLV_QB	= 1401,
+    Mips_SHRL_PH	= 1402,
+    Mips_SHRL_QB	= 1403,
+    Mips_SH_MM	= 1404,
+    Mips_SLDI_B	= 1405,
+    Mips_SLDI_D	= 1406,
+    Mips_SLDI_H	= 1407,
+    Mips_SLDI_W	= 1408,
+    Mips_SLD_B	= 1409,
+    Mips_SLD_D	= 1410,
+    Mips_SLD_H	= 1411,
+    Mips_SLD_W	= 1412,
+    Mips_SLL	= 1413,
+    Mips_SLL64_32	= 1414,
+    Mips_SLL64_64	= 1415,
+    Mips_SLLI_B	= 1416,
+    Mips_SLLI_D	= 1417,
+    Mips_SLLI_H	= 1418,
+    Mips_SLLI_W	= 1419,
+    Mips_SLLV	= 1420,
+    Mips_SLLV_MM	= 1421,
+    Mips_SLL_B	= 1422,
+    Mips_SLL_D	= 1423,
+    Mips_SLL_H	= 1424,
+    Mips_SLL_MM	= 1425,
+    Mips_SLL_W	= 1426,
+    Mips_SLT	= 1427,
+    Mips_SLT64	= 1428,
+    Mips_SLT_MM	= 1429,
+    Mips_SLTi	= 1430,
+    Mips_SLTi64	= 1431,
+    Mips_SLTi_MM	= 1432,
+    Mips_SLTiu	= 1433,
+    Mips_SLTiu64	= 1434,
+    Mips_SLTiu_MM	= 1435,
+    Mips_SLTu	= 1436,
+    Mips_SLTu64	= 1437,
+    Mips_SLTu_MM	= 1438,
+    Mips_SNE	= 1439,
+    Mips_SNEi	= 1440,
+    Mips_SNZ_B_PSEUDO	= 1441,
+    Mips_SNZ_D_PSEUDO	= 1442,
+    Mips_SNZ_H_PSEUDO	= 1443,
+    Mips_SNZ_V_PSEUDO	= 1444,
+    Mips_SNZ_W_PSEUDO	= 1445,
+    Mips_SPLATI_B	= 1446,
+    Mips_SPLATI_D	= 1447,
+    Mips_SPLATI_H	= 1448,
+    Mips_SPLATI_W	= 1449,
+    Mips_SPLAT_B	= 1450,
+    Mips_SPLAT_D	= 1451,
+    Mips_SPLAT_H	= 1452,
+    Mips_SPLAT_W	= 1453,
+    Mips_SRA	= 1454,
+    Mips_SRAI_B	= 1455,
+    Mips_SRAI_D	= 1456,
+    Mips_SRAI_H	= 1457,
+    Mips_SRAI_W	= 1458,
+    Mips_SRARI_B	= 1459,
+    Mips_SRARI_D	= 1460,
+    Mips_SRARI_H	= 1461,
+    Mips_SRARI_W	= 1462,
+    Mips_SRAR_B	= 1463,
+    Mips_SRAR_D	= 1464,
+    Mips_SRAR_H	= 1465,
+    Mips_SRAR_W	= 1466,
+    Mips_SRAV	= 1467,
+    Mips_SRAV_MM	= 1468,
+    Mips_SRA_B	= 1469,
+    Mips_SRA_D	= 1470,
+    Mips_SRA_H	= 1471,
+    Mips_SRA_MM	= 1472,
+    Mips_SRA_W	= 1473,
+    Mips_SRL	= 1474,
+    Mips_SRLI_B	= 1475,
+    Mips_SRLI_D	= 1476,
+    Mips_SRLI_H	= 1477,
+    Mips_SRLI_W	= 1478,
+    Mips_SRLRI_B	= 1479,
+    Mips_SRLRI_D	= 1480,
+    Mips_SRLRI_H	= 1481,
+    Mips_SRLRI_W	= 1482,
+    Mips_SRLR_B	= 1483,
+    Mips_SRLR_D	= 1484,
+    Mips_SRLR_H	= 1485,
+    Mips_SRLR_W	= 1486,
+    Mips_SRLV	= 1487,
+    Mips_SRLV_MM	= 1488,
+    Mips_SRL_B	= 1489,
+    Mips_SRL_D	= 1490,
+    Mips_SRL_H	= 1491,
+    Mips_SRL_MM	= 1492,
+    Mips_SRL_W	= 1493,
+    Mips_SSNOP	= 1494,
+    Mips_STORE_ACC128	= 1495,
+    Mips_STORE_ACC64	= 1496,
+    Mips_STORE_ACC64DSP	= 1497,
+    Mips_STORE_CCOND_DSP	= 1498,
+    Mips_ST_B	= 1499,
+    Mips_ST_D	= 1500,
+    Mips_ST_H	= 1501,
+    Mips_ST_W	= 1502,
+    Mips_SUB	= 1503,
+    Mips_SUBQH_PH	= 1504,
+    Mips_SUBQH_R_PH	= 1505,
+    Mips_SUBQH_R_W	= 1506,
+    Mips_SUBQH_W	= 1507,
+    Mips_SUBQ_PH	= 1508,
+    Mips_SUBQ_S_PH	= 1509,
+    Mips_SUBQ_S_W	= 1510,
+    Mips_SUBSUS_U_B	= 1511,
+    Mips_SUBSUS_U_D	= 1512,
+    Mips_SUBSUS_U_H	= 1513,
+    Mips_SUBSUS_U_W	= 1514,
+    Mips_SUBSUU_S_B	= 1515,
+    Mips_SUBSUU_S_D	= 1516,
+    Mips_SUBSUU_S_H	= 1517,
+    Mips_SUBSUU_S_W	= 1518,
+    Mips_SUBS_S_B	= 1519,
+    Mips_SUBS_S_D	= 1520,
+    Mips_SUBS_S_H	= 1521,
+    Mips_SUBS_S_W	= 1522,
+    Mips_SUBS_U_B	= 1523,
+    Mips_SUBS_U_D	= 1524,
+    Mips_SUBS_U_H	= 1525,
+    Mips_SUBS_U_W	= 1526,
+    Mips_SUBUH_QB	= 1527,
+    Mips_SUBUH_R_QB	= 1528,
+    Mips_SUBU_PH	= 1529,
+    Mips_SUBU_QB	= 1530,
+    Mips_SUBU_S_PH	= 1531,
+    Mips_SUBU_S_QB	= 1532,
+    Mips_SUBVI_B	= 1533,
+    Mips_SUBVI_D	= 1534,
+    Mips_SUBVI_H	= 1535,
+    Mips_SUBVI_W	= 1536,
+    Mips_SUBV_B	= 1537,
+    Mips_SUBV_D	= 1538,
+    Mips_SUBV_H	= 1539,
+    Mips_SUBV_W	= 1540,
+    Mips_SUB_MM	= 1541,
+    Mips_SUBu	= 1542,
+    Mips_SUBu_MM	= 1543,
+    Mips_SUXC1	= 1544,
+    Mips_SUXC164	= 1545,
+    Mips_SUXC1_MM	= 1546,
+    Mips_SW	= 1547,
+    Mips_SW64	= 1548,
+    Mips_SWC1	= 1549,
+    Mips_SWC1_MM	= 1550,
+    Mips_SWC2	= 1551,
+    Mips_SWC2_R6	= 1552,
+    Mips_SWC3	= 1553,
+    Mips_SWL	= 1554,
+    Mips_SWL64	= 1555,
+    Mips_SWL_MM	= 1556,
+    Mips_SWR	= 1557,
+    Mips_SWR64	= 1558,
+    Mips_SWR_MM	= 1559,
+    Mips_SWXC1	= 1560,
+    Mips_SWXC1_MM	= 1561,
+    Mips_SW_MM	= 1562,
+    Mips_SYNC	= 1563,
+    Mips_SYNC_MM	= 1564,
+    Mips_SYSCALL	= 1565,
+    Mips_SYSCALL_MM	= 1566,
+    Mips_SZ_B_PSEUDO	= 1567,
+    Mips_SZ_D_PSEUDO	= 1568,
+    Mips_SZ_H_PSEUDO	= 1569,
+    Mips_SZ_V_PSEUDO	= 1570,
+    Mips_SZ_W_PSEUDO	= 1571,
+    Mips_Save16	= 1572,
+    Mips_SaveX16	= 1573,
+    Mips_SbRxRyOffMemX16	= 1574,
+    Mips_SebRx16	= 1575,
+    Mips_SehRx16	= 1576,
+    Mips_SelBeqZ	= 1577,
+    Mips_SelBneZ	= 1578,
+    Mips_SelTBteqZCmp	= 1579,
+    Mips_SelTBteqZCmpi	= 1580,
+    Mips_SelTBteqZSlt	= 1581,
+    Mips_SelTBteqZSlti	= 1582,
+    Mips_SelTBteqZSltiu	= 1583,
+    Mips_SelTBteqZSltu	= 1584,
+    Mips_SelTBtneZCmp	= 1585,
+    Mips_SelTBtneZCmpi	= 1586,
+    Mips_SelTBtneZSlt	= 1587,
+    Mips_SelTBtneZSlti	= 1588,
+    Mips_SelTBtneZSltiu	= 1589,
+    Mips_SelTBtneZSltu	= 1590,
+    Mips_ShRxRyOffMemX16	= 1591,
+    Mips_SllX16	= 1592,
+    Mips_SllvRxRy16	= 1593,
+    Mips_SltCCRxRy16	= 1594,
+    Mips_SltRxRy16	= 1595,
+    Mips_SltiCCRxImmX16	= 1596,
+    Mips_SltiRxImm16	= 1597,
+    Mips_SltiRxImmX16	= 1598,
+    Mips_SltiuCCRxImmX16	= 1599,
+    Mips_SltiuRxImm16	= 1600,
+    Mips_SltiuRxImmX16	= 1601,
+    Mips_SltuCCRxRy16	= 1602,
+    Mips_SltuRxRy16	= 1603,
+    Mips_SltuRxRyRz16	= 1604,
+    Mips_SraX16	= 1605,
+    Mips_SravRxRy16	= 1606,
+    Mips_SrlX16	= 1607,
+    Mips_SrlvRxRy16	= 1608,
+    Mips_SubuRxRyRz16	= 1609,
+    Mips_SwRxRyOffMemX16	= 1610,
+    Mips_SwRxSpImmX16	= 1611,
+    Mips_TAILCALL	= 1612,
+    Mips_TAILCALL64_R	= 1613,
+    Mips_TAILCALL_R	= 1614,
+    Mips_TEQ	= 1615,
+    Mips_TEQI	= 1616,
+    Mips_TEQI_MM	= 1617,
+    Mips_TEQ_MM	= 1618,
+    Mips_TGE	= 1619,
+    Mips_TGEI	= 1620,
+    Mips_TGEIU	= 1621,
+    Mips_TGEIU_MM	= 1622,
+    Mips_TGEI_MM	= 1623,
+    Mips_TGEU	= 1624,
+    Mips_TGEU_MM	= 1625,
+    Mips_TGE_MM	= 1626,
+    Mips_TLBP	= 1627,
+    Mips_TLBR	= 1628,
+    Mips_TLBWI	= 1629,
+    Mips_TLBWR	= 1630,
+    Mips_TLT	= 1631,
+    Mips_TLTI	= 1632,
+    Mips_TLTIU_MM	= 1633,
+    Mips_TLTI_MM	= 1634,
+    Mips_TLTU	= 1635,
+    Mips_TLTU_MM	= 1636,
+    Mips_TLT_MM	= 1637,
+    Mips_TNE	= 1638,
+    Mips_TNEI	= 1639,
+    Mips_TNEI_MM	= 1640,
+    Mips_TNE_MM	= 1641,
+    Mips_TRAP	= 1642,
+    Mips_TRUNC_L_D64	= 1643,
+    Mips_TRUNC_L_S	= 1644,
+    Mips_TRUNC_W_D32	= 1645,
+    Mips_TRUNC_W_D64	= 1646,
+    Mips_TRUNC_W_MM	= 1647,
+    Mips_TRUNC_W_S	= 1648,
+    Mips_TRUNC_W_S_MM	= 1649,
+    Mips_TTLTIU	= 1650,
+    Mips_UDIV	= 1651,
+    Mips_UDIV_MM	= 1652,
+    Mips_V3MULU	= 1653,
+    Mips_VMM0	= 1654,
+    Mips_VMULU	= 1655,
+    Mips_VSHF_B	= 1656,
+    Mips_VSHF_D	= 1657,
+    Mips_VSHF_H	= 1658,
+    Mips_VSHF_W	= 1659,
+    Mips_WAIT	= 1660,
+    Mips_WAIT_MM	= 1661,
+    Mips_WRDSP	= 1662,
+    Mips_WSBH	= 1663,
+    Mips_WSBH_MM	= 1664,
+    Mips_XOR	= 1665,
+    Mips_XOR64	= 1666,
+    Mips_XORI_B	= 1667,
+    Mips_XOR_MM	= 1668,
+    Mips_XOR_V	= 1669,
+    Mips_XOR_V_D_PSEUDO	= 1670,
+    Mips_XOR_V_H_PSEUDO	= 1671,
+    Mips_XOR_V_W_PSEUDO	= 1672,
+    Mips_XORi	= 1673,
+    Mips_XORi64	= 1674,
+    Mips_XORi_MM	= 1675,
+    Mips_XorRxRxRy16	= 1676,
+    Mips_INSTRUCTION_LIST_END = 1677
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/Mips/MipsGenRegisterInfo.inc b/arch/Mips/MipsGenRegisterInfo.inc
index 2ee0545..2cf8220 100644
--- a/arch/Mips/MipsGenRegisterInfo.inc
+++ b/arch/Mips/MipsGenRegisterInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_ENUM
@@ -55,321 +55,403 @@
   Mips_COP27 = 38,
   Mips_COP28 = 39,
   Mips_COP29 = 40,
-  Mips_COP210 = 41,
-  Mips_COP211 = 42,
-  Mips_COP212 = 43,
-  Mips_COP213 = 44,
-  Mips_COP214 = 45,
-  Mips_COP215 = 46,
-  Mips_COP216 = 47,
-  Mips_COP217 = 48,
-  Mips_COP218 = 49,
-  Mips_COP219 = 50,
-  Mips_COP220 = 51,
-  Mips_COP221 = 52,
-  Mips_COP222 = 53,
-  Mips_COP223 = 54,
-  Mips_COP224 = 55,
-  Mips_COP225 = 56,
-  Mips_COP226 = 57,
-  Mips_COP227 = 58,
-  Mips_COP228 = 59,
-  Mips_COP229 = 60,
-  Mips_COP230 = 61,
-  Mips_COP231 = 62,
-  Mips_D0 = 63,
-  Mips_D1 = 64,
-  Mips_D2 = 65,
-  Mips_D3 = 66,
-  Mips_D4 = 67,
-  Mips_D5 = 68,
-  Mips_D6 = 69,
-  Mips_D7 = 70,
-  Mips_D8 = 71,
-  Mips_D9 = 72,
-  Mips_D10 = 73,
-  Mips_D11 = 74,
-  Mips_D12 = 75,
-  Mips_D13 = 76,
-  Mips_D14 = 77,
-  Mips_D15 = 78,
-  Mips_DSPOutFlag20 = 79,
-  Mips_DSPOutFlag21 = 80,
-  Mips_DSPOutFlag22 = 81,
-  Mips_DSPOutFlag23 = 82,
-  Mips_F0 = 83,
-  Mips_F1 = 84,
-  Mips_F2 = 85,
-  Mips_F3 = 86,
-  Mips_F4 = 87,
-  Mips_F5 = 88,
-  Mips_F6 = 89,
-  Mips_F7 = 90,
-  Mips_F8 = 91,
-  Mips_F9 = 92,
-  Mips_F10 = 93,
-  Mips_F11 = 94,
-  Mips_F12 = 95,
-  Mips_F13 = 96,
-  Mips_F14 = 97,
-  Mips_F15 = 98,
-  Mips_F16 = 99,
-  Mips_F17 = 100,
-  Mips_F18 = 101,
-  Mips_F19 = 102,
-  Mips_F20 = 103,
-  Mips_F21 = 104,
-  Mips_F22 = 105,
-  Mips_F23 = 106,
-  Mips_F24 = 107,
-  Mips_F25 = 108,
-  Mips_F26 = 109,
-  Mips_F27 = 110,
-  Mips_F28 = 111,
-  Mips_F29 = 112,
-  Mips_F30 = 113,
-  Mips_F31 = 114,
-  Mips_FCC0 = 115,
-  Mips_FCC1 = 116,
-  Mips_FCC2 = 117,
-  Mips_FCC3 = 118,
-  Mips_FCC4 = 119,
-  Mips_FCC5 = 120,
-  Mips_FCC6 = 121,
-  Mips_FCC7 = 122,
-  Mips_FCR0 = 123,
-  Mips_FCR1 = 124,
-  Mips_FCR2 = 125,
-  Mips_FCR3 = 126,
-  Mips_FCR4 = 127,
-  Mips_FCR5 = 128,
-  Mips_FCR6 = 129,
-  Mips_FCR7 = 130,
-  Mips_FCR8 = 131,
-  Mips_FCR9 = 132,
-  Mips_FCR10 = 133,
-  Mips_FCR11 = 134,
-  Mips_FCR12 = 135,
-  Mips_FCR13 = 136,
-  Mips_FCR14 = 137,
-  Mips_FCR15 = 138,
-  Mips_FCR16 = 139,
-  Mips_FCR17 = 140,
-  Mips_FCR18 = 141,
-  Mips_FCR19 = 142,
-  Mips_FCR20 = 143,
-  Mips_FCR21 = 144,
-  Mips_FCR22 = 145,
-  Mips_FCR23 = 146,
-  Mips_FCR24 = 147,
-  Mips_FCR25 = 148,
-  Mips_FCR26 = 149,
-  Mips_FCR27 = 150,
-  Mips_FCR28 = 151,
-  Mips_FCR29 = 152,
-  Mips_FCR30 = 153,
-  Mips_FCR31 = 154,
-  Mips_FP_64 = 155,
-  Mips_F_HI0 = 156,
-  Mips_F_HI1 = 157,
-  Mips_F_HI2 = 158,
-  Mips_F_HI3 = 159,
-  Mips_F_HI4 = 160,
-  Mips_F_HI5 = 161,
-  Mips_F_HI6 = 162,
-  Mips_F_HI7 = 163,
-  Mips_F_HI8 = 164,
-  Mips_F_HI9 = 165,
-  Mips_F_HI10 = 166,
-  Mips_F_HI11 = 167,
-  Mips_F_HI12 = 168,
-  Mips_F_HI13 = 169,
-  Mips_F_HI14 = 170,
-  Mips_F_HI15 = 171,
-  Mips_F_HI16 = 172,
-  Mips_F_HI17 = 173,
-  Mips_F_HI18 = 174,
-  Mips_F_HI19 = 175,
-  Mips_F_HI20 = 176,
-  Mips_F_HI21 = 177,
-  Mips_F_HI22 = 178,
-  Mips_F_HI23 = 179,
-  Mips_F_HI24 = 180,
-  Mips_F_HI25 = 181,
-  Mips_F_HI26 = 182,
-  Mips_F_HI27 = 183,
-  Mips_F_HI28 = 184,
-  Mips_F_HI29 = 185,
-  Mips_F_HI30 = 186,
-  Mips_F_HI31 = 187,
-  Mips_GP_64 = 188,
-  Mips_HI0 = 189,
-  Mips_HI1 = 190,
-  Mips_HI2 = 191,
-  Mips_HI3 = 192,
-  Mips_HWR29 = 193,
-  Mips_K0 = 194,
-  Mips_K1 = 195,
-  Mips_LO0 = 196,
-  Mips_LO1 = 197,
-  Mips_LO2 = 198,
-  Mips_LO3 = 199,
-  Mips_RA_64 = 200,
-  Mips_S0 = 201,
-  Mips_S1 = 202,
-  Mips_S2 = 203,
-  Mips_S3 = 204,
-  Mips_S4 = 205,
-  Mips_S5 = 206,
-  Mips_S6 = 207,
-  Mips_S7 = 208,
-  Mips_SP_64 = 209,
-  Mips_T0 = 210,
-  Mips_T1 = 211,
-  Mips_T2 = 212,
-  Mips_T3 = 213,
-  Mips_T4 = 214,
-  Mips_T5 = 215,
-  Mips_T6 = 216,
-  Mips_T7 = 217,
-  Mips_T8 = 218,
-  Mips_T9 = 219,
-  Mips_V0 = 220,
-  Mips_V1 = 221,
-  Mips_W0 = 222,
-  Mips_W1 = 223,
-  Mips_W2 = 224,
-  Mips_W3 = 225,
-  Mips_W4 = 226,
-  Mips_W5 = 227,
-  Mips_W6 = 228,
-  Mips_W7 = 229,
-  Mips_W8 = 230,
-  Mips_W9 = 231,
-  Mips_W10 = 232,
-  Mips_W11 = 233,
-  Mips_W12 = 234,
-  Mips_W13 = 235,
-  Mips_W14 = 236,
-  Mips_W15 = 237,
-  Mips_W16 = 238,
-  Mips_W17 = 239,
-  Mips_W18 = 240,
-  Mips_W19 = 241,
-  Mips_W20 = 242,
-  Mips_W21 = 243,
-  Mips_W22 = 244,
-  Mips_W23 = 245,
-  Mips_W24 = 246,
-  Mips_W25 = 247,
-  Mips_W26 = 248,
-  Mips_W27 = 249,
-  Mips_W28 = 250,
-  Mips_W29 = 251,
-  Mips_W30 = 252,
-  Mips_W31 = 253,
-  Mips_ZERO_64 = 254,
-  Mips_A0_64 = 255,
-  Mips_A1_64 = 256,
-  Mips_A2_64 = 257,
-  Mips_A3_64 = 258,
-  Mips_AC0_64 = 259,
-  Mips_D0_64 = 260,
-  Mips_D1_64 = 261,
-  Mips_D2_64 = 262,
-  Mips_D3_64 = 263,
-  Mips_D4_64 = 264,
-  Mips_D5_64 = 265,
-  Mips_D6_64 = 266,
-  Mips_D7_64 = 267,
-  Mips_D8_64 = 268,
-  Mips_D9_64 = 269,
-  Mips_D10_64 = 270,
-  Mips_D11_64 = 271,
-  Mips_D12_64 = 272,
-  Mips_D13_64 = 273,
-  Mips_D14_64 = 274,
-  Mips_D15_64 = 275,
-  Mips_D16_64 = 276,
-  Mips_D17_64 = 277,
-  Mips_D18_64 = 278,
-  Mips_D19_64 = 279,
-  Mips_D20_64 = 280,
-  Mips_D21_64 = 281,
-  Mips_D22_64 = 282,
-  Mips_D23_64 = 283,
-  Mips_D24_64 = 284,
-  Mips_D25_64 = 285,
-  Mips_D26_64 = 286,
-  Mips_D27_64 = 287,
-  Mips_D28_64 = 288,
-  Mips_D29_64 = 289,
-  Mips_D30_64 = 290,
-  Mips_D31_64 = 291,
-  Mips_DSPOutFlag16_19 = 292,
-  Mips_HI0_64 = 293,
-  Mips_K0_64 = 294,
-  Mips_K1_64 = 295,
-  Mips_LO0_64 = 296,
-  Mips_S0_64 = 297,
-  Mips_S1_64 = 298,
-  Mips_S2_64 = 299,
-  Mips_S3_64 = 300,
-  Mips_S4_64 = 301,
-  Mips_S5_64 = 302,
-  Mips_S6_64 = 303,
-  Mips_S7_64 = 304,
-  Mips_T0_64 = 305,
-  Mips_T1_64 = 306,
-  Mips_T2_64 = 307,
-  Mips_T3_64 = 308,
-  Mips_T4_64 = 309,
-  Mips_T5_64 = 310,
-  Mips_T6_64 = 311,
-  Mips_T7_64 = 312,
-  Mips_T8_64 = 313,
-  Mips_T9_64 = 314,
-  Mips_V0_64 = 315,
-  Mips_V1_64 = 316,
-  Mips_NUM_TARGET_REGS 	// 317
+  Mips_COP30 = 41,
+  Mips_COP31 = 42,
+  Mips_COP32 = 43,
+  Mips_COP33 = 44,
+  Mips_COP34 = 45,
+  Mips_COP35 = 46,
+  Mips_COP36 = 47,
+  Mips_COP37 = 48,
+  Mips_COP38 = 49,
+  Mips_COP39 = 50,
+  Mips_COP210 = 51,
+  Mips_COP211 = 52,
+  Mips_COP212 = 53,
+  Mips_COP213 = 54,
+  Mips_COP214 = 55,
+  Mips_COP215 = 56,
+  Mips_COP216 = 57,
+  Mips_COP217 = 58,
+  Mips_COP218 = 59,
+  Mips_COP219 = 60,
+  Mips_COP220 = 61,
+  Mips_COP221 = 62,
+  Mips_COP222 = 63,
+  Mips_COP223 = 64,
+  Mips_COP224 = 65,
+  Mips_COP225 = 66,
+  Mips_COP226 = 67,
+  Mips_COP227 = 68,
+  Mips_COP228 = 69,
+  Mips_COP229 = 70,
+  Mips_COP230 = 71,
+  Mips_COP231 = 72,
+  Mips_COP310 = 73,
+  Mips_COP311 = 74,
+  Mips_COP312 = 75,
+  Mips_COP313 = 76,
+  Mips_COP314 = 77,
+  Mips_COP315 = 78,
+  Mips_COP316 = 79,
+  Mips_COP317 = 80,
+  Mips_COP318 = 81,
+  Mips_COP319 = 82,
+  Mips_COP320 = 83,
+  Mips_COP321 = 84,
+  Mips_COP322 = 85,
+  Mips_COP323 = 86,
+  Mips_COP324 = 87,
+  Mips_COP325 = 88,
+  Mips_COP326 = 89,
+  Mips_COP327 = 90,
+  Mips_COP328 = 91,
+  Mips_COP329 = 92,
+  Mips_COP330 = 93,
+  Mips_COP331 = 94,
+  Mips_D0 = 95,
+  Mips_D1 = 96,
+  Mips_D2 = 97,
+  Mips_D3 = 98,
+  Mips_D4 = 99,
+  Mips_D5 = 100,
+  Mips_D6 = 101,
+  Mips_D7 = 102,
+  Mips_D8 = 103,
+  Mips_D9 = 104,
+  Mips_D10 = 105,
+  Mips_D11 = 106,
+  Mips_D12 = 107,
+  Mips_D13 = 108,
+  Mips_D14 = 109,
+  Mips_D15 = 110,
+  Mips_DSPOutFlag20 = 111,
+  Mips_DSPOutFlag21 = 112,
+  Mips_DSPOutFlag22 = 113,
+  Mips_DSPOutFlag23 = 114,
+  Mips_F0 = 115,
+  Mips_F1 = 116,
+  Mips_F2 = 117,
+  Mips_F3 = 118,
+  Mips_F4 = 119,
+  Mips_F5 = 120,
+  Mips_F6 = 121,
+  Mips_F7 = 122,
+  Mips_F8 = 123,
+  Mips_F9 = 124,
+  Mips_F10 = 125,
+  Mips_F11 = 126,
+  Mips_F12 = 127,
+  Mips_F13 = 128,
+  Mips_F14 = 129,
+  Mips_F15 = 130,
+  Mips_F16 = 131,
+  Mips_F17 = 132,
+  Mips_F18 = 133,
+  Mips_F19 = 134,
+  Mips_F20 = 135,
+  Mips_F21 = 136,
+  Mips_F22 = 137,
+  Mips_F23 = 138,
+  Mips_F24 = 139,
+  Mips_F25 = 140,
+  Mips_F26 = 141,
+  Mips_F27 = 142,
+  Mips_F28 = 143,
+  Mips_F29 = 144,
+  Mips_F30 = 145,
+  Mips_F31 = 146,
+  Mips_FCC0 = 147,
+  Mips_FCC1 = 148,
+  Mips_FCC2 = 149,
+  Mips_FCC3 = 150,
+  Mips_FCC4 = 151,
+  Mips_FCC5 = 152,
+  Mips_FCC6 = 153,
+  Mips_FCC7 = 154,
+  Mips_FCR0 = 155,
+  Mips_FCR1 = 156,
+  Mips_FCR2 = 157,
+  Mips_FCR3 = 158,
+  Mips_FCR4 = 159,
+  Mips_FCR5 = 160,
+  Mips_FCR6 = 161,
+  Mips_FCR7 = 162,
+  Mips_FCR8 = 163,
+  Mips_FCR9 = 164,
+  Mips_FCR10 = 165,
+  Mips_FCR11 = 166,
+  Mips_FCR12 = 167,
+  Mips_FCR13 = 168,
+  Mips_FCR14 = 169,
+  Mips_FCR15 = 170,
+  Mips_FCR16 = 171,
+  Mips_FCR17 = 172,
+  Mips_FCR18 = 173,
+  Mips_FCR19 = 174,
+  Mips_FCR20 = 175,
+  Mips_FCR21 = 176,
+  Mips_FCR22 = 177,
+  Mips_FCR23 = 178,
+  Mips_FCR24 = 179,
+  Mips_FCR25 = 180,
+  Mips_FCR26 = 181,
+  Mips_FCR27 = 182,
+  Mips_FCR28 = 183,
+  Mips_FCR29 = 184,
+  Mips_FCR30 = 185,
+  Mips_FCR31 = 186,
+  Mips_FP_64 = 187,
+  Mips_F_HI0 = 188,
+  Mips_F_HI1 = 189,
+  Mips_F_HI2 = 190,
+  Mips_F_HI3 = 191,
+  Mips_F_HI4 = 192,
+  Mips_F_HI5 = 193,
+  Mips_F_HI6 = 194,
+  Mips_F_HI7 = 195,
+  Mips_F_HI8 = 196,
+  Mips_F_HI9 = 197,
+  Mips_F_HI10 = 198,
+  Mips_F_HI11 = 199,
+  Mips_F_HI12 = 200,
+  Mips_F_HI13 = 201,
+  Mips_F_HI14 = 202,
+  Mips_F_HI15 = 203,
+  Mips_F_HI16 = 204,
+  Mips_F_HI17 = 205,
+  Mips_F_HI18 = 206,
+  Mips_F_HI19 = 207,
+  Mips_F_HI20 = 208,
+  Mips_F_HI21 = 209,
+  Mips_F_HI22 = 210,
+  Mips_F_HI23 = 211,
+  Mips_F_HI24 = 212,
+  Mips_F_HI25 = 213,
+  Mips_F_HI26 = 214,
+  Mips_F_HI27 = 215,
+  Mips_F_HI28 = 216,
+  Mips_F_HI29 = 217,
+  Mips_F_HI30 = 218,
+  Mips_F_HI31 = 219,
+  Mips_GP_64 = 220,
+  Mips_HI0 = 221,
+  Mips_HI1 = 222,
+  Mips_HI2 = 223,
+  Mips_HI3 = 224,
+  Mips_HWR0 = 225,
+  Mips_HWR1 = 226,
+  Mips_HWR2 = 227,
+  Mips_HWR3 = 228,
+  Mips_HWR4 = 229,
+  Mips_HWR5 = 230,
+  Mips_HWR6 = 231,
+  Mips_HWR7 = 232,
+  Mips_HWR8 = 233,
+  Mips_HWR9 = 234,
+  Mips_HWR10 = 235,
+  Mips_HWR11 = 236,
+  Mips_HWR12 = 237,
+  Mips_HWR13 = 238,
+  Mips_HWR14 = 239,
+  Mips_HWR15 = 240,
+  Mips_HWR16 = 241,
+  Mips_HWR17 = 242,
+  Mips_HWR18 = 243,
+  Mips_HWR19 = 244,
+  Mips_HWR20 = 245,
+  Mips_HWR21 = 246,
+  Mips_HWR22 = 247,
+  Mips_HWR23 = 248,
+  Mips_HWR24 = 249,
+  Mips_HWR25 = 250,
+  Mips_HWR26 = 251,
+  Mips_HWR27 = 252,
+  Mips_HWR28 = 253,
+  Mips_HWR29 = 254,
+  Mips_HWR30 = 255,
+  Mips_HWR31 = 256,
+  Mips_K0 = 257,
+  Mips_K1 = 258,
+  Mips_LO0 = 259,
+  Mips_LO1 = 260,
+  Mips_LO2 = 261,
+  Mips_LO3 = 262,
+  Mips_MPL0 = 263,
+  Mips_MPL1 = 264,
+  Mips_MPL2 = 265,
+  Mips_P0 = 266,
+  Mips_P1 = 267,
+  Mips_P2 = 268,
+  Mips_RA_64 = 269,
+  Mips_S0 = 270,
+  Mips_S1 = 271,
+  Mips_S2 = 272,
+  Mips_S3 = 273,
+  Mips_S4 = 274,
+  Mips_S5 = 275,
+  Mips_S6 = 276,
+  Mips_S7 = 277,
+  Mips_SP_64 = 278,
+  Mips_T0 = 279,
+  Mips_T1 = 280,
+  Mips_T2 = 281,
+  Mips_T3 = 282,
+  Mips_T4 = 283,
+  Mips_T5 = 284,
+  Mips_T6 = 285,
+  Mips_T7 = 286,
+  Mips_T8 = 287,
+  Mips_T9 = 288,
+  Mips_V0 = 289,
+  Mips_V1 = 290,
+  Mips_W0 = 291,
+  Mips_W1 = 292,
+  Mips_W2 = 293,
+  Mips_W3 = 294,
+  Mips_W4 = 295,
+  Mips_W5 = 296,
+  Mips_W6 = 297,
+  Mips_W7 = 298,
+  Mips_W8 = 299,
+  Mips_W9 = 300,
+  Mips_W10 = 301,
+  Mips_W11 = 302,
+  Mips_W12 = 303,
+  Mips_W13 = 304,
+  Mips_W14 = 305,
+  Mips_W15 = 306,
+  Mips_W16 = 307,
+  Mips_W17 = 308,
+  Mips_W18 = 309,
+  Mips_W19 = 310,
+  Mips_W20 = 311,
+  Mips_W21 = 312,
+  Mips_W22 = 313,
+  Mips_W23 = 314,
+  Mips_W24 = 315,
+  Mips_W25 = 316,
+  Mips_W26 = 317,
+  Mips_W27 = 318,
+  Mips_W28 = 319,
+  Mips_W29 = 320,
+  Mips_W30 = 321,
+  Mips_W31 = 322,
+  Mips_ZERO_64 = 323,
+  Mips_A0_64 = 324,
+  Mips_A1_64 = 325,
+  Mips_A2_64 = 326,
+  Mips_A3_64 = 327,
+  Mips_AC0_64 = 328,
+  Mips_D0_64 = 329,
+  Mips_D1_64 = 330,
+  Mips_D2_64 = 331,
+  Mips_D3_64 = 332,
+  Mips_D4_64 = 333,
+  Mips_D5_64 = 334,
+  Mips_D6_64 = 335,
+  Mips_D7_64 = 336,
+  Mips_D8_64 = 337,
+  Mips_D9_64 = 338,
+  Mips_D10_64 = 339,
+  Mips_D11_64 = 340,
+  Mips_D12_64 = 341,
+  Mips_D13_64 = 342,
+  Mips_D14_64 = 343,
+  Mips_D15_64 = 344,
+  Mips_D16_64 = 345,
+  Mips_D17_64 = 346,
+  Mips_D18_64 = 347,
+  Mips_D19_64 = 348,
+  Mips_D20_64 = 349,
+  Mips_D21_64 = 350,
+  Mips_D22_64 = 351,
+  Mips_D23_64 = 352,
+  Mips_D24_64 = 353,
+  Mips_D25_64 = 354,
+  Mips_D26_64 = 355,
+  Mips_D27_64 = 356,
+  Mips_D28_64 = 357,
+  Mips_D29_64 = 358,
+  Mips_D30_64 = 359,
+  Mips_D31_64 = 360,
+  Mips_DSPOutFlag16_19 = 361,
+  Mips_HI0_64 = 362,
+  Mips_K0_64 = 363,
+  Mips_K1_64 = 364,
+  Mips_LO0_64 = 365,
+  Mips_S0_64 = 366,
+  Mips_S1_64 = 367,
+  Mips_S2_64 = 368,
+  Mips_S3_64 = 369,
+  Mips_S4_64 = 370,
+  Mips_S5_64 = 371,
+  Mips_S6_64 = 372,
+  Mips_S7_64 = 373,
+  Mips_T0_64 = 374,
+  Mips_T1_64 = 375,
+  Mips_T2_64 = 376,
+  Mips_T3_64 = 377,
+  Mips_T4_64 = 378,
+  Mips_T5_64 = 379,
+  Mips_T6_64 = 380,
+  Mips_T7_64 = 381,
+  Mips_T8_64 = 382,
+  Mips_T9_64 = 383,
+  Mips_V0_64 = 384,
+  Mips_V1_64 = 385,
+  Mips_NUM_TARGET_REGS 	// 386
 };
 
 // Register classes
 enum {
-  Mips_CCRRegClassID = 0,
-  Mips_COP2RegClassID = 1,
-  Mips_DSPRRegClassID = 2,
-  Mips_FGR32RegClassID = 3,
-  Mips_FGRH32RegClassID = 4,
-  Mips_GPR32RegClassID = 5,
-  Mips_CPU16RegsPlusSPRegClassID = 6,
-  Mips_CPU16RegsRegClassID = 7,
-  Mips_FCCRegClassID = 8,
-  Mips_MSACtrlRegClassID = 9,
-  Mips_HI32DSPRegClassID = 10,
-  Mips_LO32DSPRegClassID = 11,
-  Mips_CPURARegRegClassID = 12,
-  Mips_CPUSPRegRegClassID = 13,
-  Mips_DSPCCRegClassID = 14,
-  Mips_HI32RegClassID = 15,
-  Mips_HWRegsRegClassID = 16,
-  Mips_LO32RegClassID = 17,
-  Mips_FGR64RegClassID = 18,
-  Mips_GPR64RegClassID = 19,
-  Mips_AFGR64RegClassID = 20,
-  Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID = 21,
-  Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID = 22,
-  Mips_ACC64DSPRegClassID = 23,
-  Mips_ACC64RegClassID = 24,
-  Mips_GPR64_with_sub_32_in_CPURARegRegClassID = 25,
-  Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID = 26,
-  Mips_HI64RegClassID = 27,
-  Mips_LO64RegClassID = 28,
-  Mips_MSA128BRegClassID = 29,
-  Mips_MSA128DRegClassID = 30,
-  Mips_MSA128HRegClassID = 31,
-  Mips_MSA128WRegClassID = 32,
-  Mips_ACC128RegClassID = 33
+  Mips_OddSPRegClassID = 0,
+  Mips_CCRRegClassID = 1,
+  Mips_COP2RegClassID = 2,
+  Mips_COP3RegClassID = 3,
+  Mips_DSPRRegClassID = 4,
+  Mips_FGR32RegClassID = 5,
+  Mips_FGRCCRegClassID = 6,
+  Mips_FGRH32RegClassID = 7,
+  Mips_GPR32RegClassID = 8,
+  Mips_HWRegsRegClassID = 9,
+  Mips_OddSP_with_sub_hiRegClassID = 10,
+  Mips_FGR32_and_OddSPRegClassID = 11,
+  Mips_FGRH32_and_OddSPRegClassID = 12,
+  Mips_OddSP_with_sub_hi_with_sub_hi_in_FGRH32RegClassID = 13,
+  Mips_CPU16RegsPlusSPRegClassID = 14,
+  Mips_CPU16RegsRegClassID = 15,
+  Mips_FCCRegClassID = 16,
+  Mips_MSACtrlRegClassID = 17,
+  Mips_OddSP_with_sub_hi_with_sub_hi_in_FGR32RegClassID = 18,
+  Mips_HI32DSPRegClassID = 19,
+  Mips_LO32DSPRegClassID = 20,
+  Mips_CPURARegRegClassID = 21,
+  Mips_CPUSPRegRegClassID = 22,
+  Mips_DSPCCRegClassID = 23,
+  Mips_HI32RegClassID = 24,
+  Mips_LO32RegClassID = 25,
+  Mips_FGR64RegClassID = 26,
+  Mips_GPR64RegClassID = 27,
+  Mips_AFGR64RegClassID = 28,
+  Mips_FGR64_and_OddSPRegClassID = 29,
+  Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID = 30,
+  Mips_AFGR64_and_OddSPRegClassID = 31,
+  Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID = 32,
+  Mips_ACC64DSPRegClassID = 33,
+  Mips_OCTEON_MPLRegClassID = 34,
+  Mips_OCTEON_PRegClassID = 35,
+  Mips_ACC64RegClassID = 36,
+  Mips_GPR64_with_sub_32_in_CPURARegRegClassID = 37,
+  Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID = 38,
+  Mips_HI64RegClassID = 39,
+  Mips_LO64RegClassID = 40,
+  Mips_MSA128BRegClassID = 41,
+  Mips_MSA128DRegClassID = 42,
+  Mips_MSA128HRegClassID = 43,
+  Mips_MSA128WRegClassID = 44,
+  Mips_MSA128B_with_sub_64_in_OddSPRegClassID = 45,
+  Mips_ACC128RegClassID = 46
 };
 
 // Subregister indices
@@ -400,7 +482,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_MC_DESC
@@ -409,7 +491,7 @@
 static MCPhysReg MipsRegDiffLists[] = {
   /* 0 */ 0, 0,
   /* 2 */ 4, 1, 1, 1, 1, 0,
-  /* 8 */ 287, 65323, 1, 1, 1, 0,
+  /* 8 */ 356, 65286, 1, 1, 1, 0,
   /* 14 */ 20, 1, 0,
   /* 17 */ 21, 1, 0,
   /* 20 */ 22, 1, 0,
@@ -426,7 +508,7 @@
   /* 53 */ 33, 1, 0,
   /* 56 */ 34, 1, 0,
   /* 59 */ 35, 1, 0,
-  /* 62 */ 65479, 1, 0,
+  /* 62 */ 65447, 1, 0,
   /* 65 */ 65513, 1, 0,
   /* 68 */ 3, 0,
   /* 70 */ 4, 0,
@@ -437,65 +519,65 @@
   /* 80 */ 23, 0,
   /* 82 */ 29, 0,
   /* 84 */ 30, 0,
-  /* 86 */ 65345, 72, 0,
-  /* 89 */ 65383, 72, 0,
-  /* 92 */ 38, 65359, 73, 0,
+  /* 86 */ 65308, 72, 0,
+  /* 89 */ 65346, 72, 0,
+  /* 92 */ 38, 65322, 73, 0,
   /* 96 */ 95, 0,
   /* 98 */ 96, 0,
-  /* 100 */ 100, 0,
-  /* 102 */ 147, 0,
-  /* 104 */ 179, 0,
-  /* 106 */ 181, 0,
-  /* 108 */ 189, 0,
-  /* 110 */ 233, 0,
-  /* 112 */ 65173, 0,
-  /* 114 */ 65188, 0,
-  /* 116 */ 65249, 0,
-  /* 118 */ 65303, 0,
-  /* 120 */ 65306, 0,
-  /* 122 */ 65347, 0,
-  /* 124 */ 65355, 0,
-  /* 126 */ 65357, 0,
-  /* 128 */ 65366, 0,
-  /* 130 */ 37, 65436, 97, 65432, 65373, 0,
-  /* 136 */ 65389, 0,
-  /* 138 */ 65415, 0,
-  /* 140 */ 65416, 0,
-  /* 142 */ 65432, 0,
-  /* 144 */ 65436, 0,
-  /* 146 */ 65440, 0,
-  /* 148 */ 65441, 0,
-  /* 150 */ 65459, 0,
-  /* 152 */ 65460, 0,
-  /* 154 */ 65461, 0,
-  /* 156 */ 65462, 0,
-  /* 158 */ 104, 65498, 0,
-  /* 161 */ 65516, 197, 65498, 0,
-  /* 165 */ 65515, 198, 65498, 0,
-  /* 169 */ 65514, 199, 65498, 0,
-  /* 173 */ 65513, 200, 65498, 0,
-  /* 177 */ 65512, 201, 65498, 0,
-  /* 181 */ 65511, 202, 65498, 0,
-  /* 185 */ 65510, 203, 65498, 0,
-  /* 189 */ 65509, 204, 65498, 0,
-  /* 193 */ 65508, 205, 65498, 0,
-  /* 197 */ 65507, 206, 65498, 0,
-  /* 201 */ 65506, 207, 65498, 0,
-  /* 205 */ 65505, 208, 65498, 0,
-  /* 209 */ 65504, 209, 65498, 0,
-  /* 213 */ 65503, 210, 65498, 0,
-  /* 217 */ 65502, 211, 65498, 0,
-  /* 221 */ 65501, 212, 65498, 0,
-  /* 225 */ 65500, 213, 65498, 0,
-  /* 229 */ 65366, 270, 65499, 0,
-  /* 233 */ 65373, 267, 65502, 0,
-  /* 237 */ 65507, 0,
-  /* 239 */ 65510, 0,
-  /* 241 */ 65511, 0,
-  /* 243 */ 65516, 0,
-  /* 245 */ 65521, 0,
-  /* 247 */ 65522, 0,
-  /* 249 */ 170, 65529, 0,
+  /* 100 */ 106, 0,
+  /* 102 */ 179, 0,
+  /* 104 */ 211, 0,
+  /* 106 */ 250, 0,
+  /* 108 */ 258, 0,
+  /* 110 */ 302, 0,
+  /* 112 */ 65047, 0,
+  /* 114 */ 65124, 0,
+  /* 116 */ 65180, 0,
+  /* 118 */ 65234, 0,
+  /* 120 */ 65237, 0,
+  /* 122 */ 65278, 0,
+  /* 124 */ 65286, 0,
+  /* 126 */ 65303, 0,
+  /* 128 */ 65325, 0,
+  /* 130 */ 37, 65430, 103, 65395, 65341, 0,
+  /* 136 */ 65357, 0,
+  /* 138 */ 65395, 0,
+  /* 140 */ 65410, 0,
+  /* 142 */ 65415, 0,
+  /* 144 */ 65427, 0,
+  /* 146 */ 65428, 0,
+  /* 148 */ 65429, 0,
+  /* 150 */ 65430, 0,
+  /* 152 */ 65440, 0,
+  /* 154 */ 65441, 0,
+  /* 156 */ 141, 65498, 0,
+  /* 159 */ 233, 65498, 0,
+  /* 162 */ 65516, 234, 65498, 0,
+  /* 166 */ 65515, 235, 65498, 0,
+  /* 170 */ 65514, 236, 65498, 0,
+  /* 174 */ 65513, 237, 65498, 0,
+  /* 178 */ 65512, 238, 65498, 0,
+  /* 182 */ 65511, 239, 65498, 0,
+  /* 186 */ 65510, 240, 65498, 0,
+  /* 190 */ 65509, 241, 65498, 0,
+  /* 194 */ 65508, 242, 65498, 0,
+  /* 198 */ 65507, 243, 65498, 0,
+  /* 202 */ 65506, 244, 65498, 0,
+  /* 206 */ 65505, 245, 65498, 0,
+  /* 210 */ 65504, 246, 65498, 0,
+  /* 214 */ 65503, 247, 65498, 0,
+  /* 218 */ 65502, 248, 65498, 0,
+  /* 222 */ 65501, 249, 65498, 0,
+  /* 226 */ 65500, 250, 65498, 0,
+  /* 230 */ 65303, 339, 65499, 0,
+  /* 234 */ 65341, 336, 65502, 0,
+  /* 238 */ 65507, 0,
+  /* 240 */ 65510, 0,
+  /* 242 */ 65511, 0,
+  /* 244 */ 65512, 0,
+  /* 246 */ 65516, 0,
+  /* 248 */ 65521, 0,
+  /* 250 */ 65522, 0,
   /* 252 */ 65535, 0,
 };
 
@@ -508,416 +590,565 @@
 
 static MCRegisterDesc MipsRegDesc[] = { // Descriptors
   { 6, 0, 0, 0, 0 },
-  { 1593, 1, 82, 1, 4033 },
-  { 1596, 1, 1, 1, 4033 },
-  { 1688, 1, 1, 1, 4033 },
-  { 1559, 1, 1, 1, 4033 },
-  { 1613, 8, 1, 2, 32 },
-  { 1640, 1, 1, 1, 1089 },
-  { 1657, 1, 1, 1, 1089 },
-  { 1571, 1, 102, 1, 1089 },
-  { 1574, 1, 104, 1, 1089 },
-  { 1647, 1, 1, 1, 1089 },
-  { 1586, 1, 1, 1, 1089 },
-  { 1580, 1, 1, 1, 1089 },
-  { 1624, 1, 1, 1, 1089 },
-  { 1678, 1, 1, 1, 1089 },
-  { 1667, 1, 1, 1, 1089 },
-  { 1605, 1, 1, 1, 1089 },
-  { 1631, 1, 1, 1, 1089 },
-  { 1556, 1, 1, 1, 1089 },
-  { 1553, 1, 106, 1, 1089 },
-  { 1577, 1, 108, 1, 1089 },
-  { 1566, 1, 110, 1, 1089 },
-  { 107, 1, 110, 1, 1089 },
-  { 262, 1, 110, 1, 1089 },
-  { 389, 1, 110, 1, 1089 },
-  { 510, 1, 110, 1, 1089 },
-  { 110, 249, 110, 9, 1042 },
-  { 265, 249, 1, 9, 1042 },
-  { 392, 249, 1, 9, 1042 },
-  { 513, 249, 1, 9, 1042 },
-  { 1041, 237, 1, 0, 0 },
-  { 50, 1, 1, 1, 1153 },
-  { 205, 1, 1, 1, 1153 },
-  { 360, 1, 1, 1, 1153 },
-  { 481, 1, 1, 1, 1153 },
-  { 602, 1, 1, 1, 1153 },
-  { 1128, 1, 1, 1, 1153 },
-  { 1221, 1, 1, 1, 1153 },
-  { 1314, 1, 1, 1, 1153 },
-  { 1407, 1, 1, 1, 1153 },
-  { 1508, 1, 1, 1, 1153 },
+  { 2007, 1, 82, 1, 4033 },
+  { 2010, 1, 1, 1, 4033 },
+  { 2102, 1, 1, 1, 4033 },
+  { 1973, 1, 1, 1, 4033 },
+  { 2027, 8, 1, 2, 32 },
+  { 2054, 1, 1, 1, 1089 },
+  { 2071, 1, 1, 1, 1089 },
+  { 1985, 1, 102, 1, 1089 },
+  { 1988, 1, 104, 1, 1089 },
+  { 2061, 1, 1, 1, 1089 },
+  { 2000, 1, 1, 1, 1089 },
+  { 1994, 1, 1, 1, 1089 },
+  { 2038, 1, 1, 1, 1089 },
+  { 2092, 1, 1, 1, 1089 },
+  { 2081, 1, 1, 1, 1089 },
+  { 2019, 1, 1, 1, 1089 },
+  { 2045, 1, 1, 1, 1089 },
+  { 1970, 1, 1, 1, 1089 },
+  { 1967, 1, 106, 1, 1089 },
+  { 1991, 1, 108, 1, 1089 },
+  { 1980, 1, 110, 1, 1089 },
+  { 152, 1, 110, 1, 1089 },
+  { 365, 1, 110, 1, 1089 },
+  { 537, 1, 110, 1, 1089 },
+  { 703, 1, 110, 1, 1089 },
+  { 155, 159, 110, 9, 1042 },
+  { 368, 159, 1, 9, 1042 },
+  { 540, 159, 1, 9, 1042 },
+  { 706, 159, 1, 9, 1042 },
+  { 1271, 238, 1, 0, 0 },
+  { 70, 1, 1, 1, 1153 },
+  { 283, 1, 1, 1, 1153 },
+  { 496, 1, 1, 1, 1153 },
+  { 662, 1, 1, 1, 1153 },
+  { 820, 1, 1, 1, 1153 },
+  { 1383, 1, 1, 1, 1153 },
+  { 1513, 1, 1, 1, 1153 },
+  { 1643, 1, 1, 1, 1153 },
+  { 1773, 1, 1, 1, 1153 },
+  { 1911, 1, 1, 1, 1153 },
+  { 130, 1, 1, 1, 1153 },
+  { 343, 1, 1, 1, 1153 },
+  { 531, 1, 1, 1, 1153 },
+  { 697, 1, 1, 1, 1153 },
+  { 842, 1, 1, 1, 1153 },
+  { 1405, 1, 1, 1, 1153 },
+  { 1535, 1, 1, 1, 1153 },
+  { 1665, 1, 1, 1, 1153 },
+  { 1795, 1, 1, 1, 1153 },
+  { 1933, 1, 1, 1, 1153 },
   { 0, 1, 1, 1, 1153 },
-  { 155, 1, 1, 1, 1153 },
-  { 310, 1, 1, 1, 1153 },
-  { 431, 1, 1, 1, 1153 },
-  { 552, 1, 1, 1, 1153 },
-  { 1078, 1, 1, 1, 1153 },
-  { 1175, 1, 1, 1, 1153 },
-  { 1268, 1, 1, 1, 1153 },
-  { 1361, 1, 1, 1, 1153 },
-  { 1446, 1, 1, 1, 1153 },
-  { 32, 1, 1, 1, 1153 },
-  { 187, 1, 1, 1, 1153 },
-  { 342, 1, 1, 1, 1153 },
-  { 463, 1, 1, 1, 1153 },
-  { 584, 1, 1, 1, 1153 },
-  { 1110, 1, 1, 1, 1153 },
-  { 1203, 1, 1, 1, 1153 },
-  { 1296, 1, 1, 1, 1153 },
-  { 1389, 1, 1, 1, 1153 },
-  { 1490, 1, 1, 1, 1153 },
-  { 79, 1, 1, 1, 1153 },
-  { 234, 1, 1, 1, 1153 },
-  { 119, 14, 1, 9, 994 },
-  { 274, 17, 1, 9, 994 },
-  { 401, 20, 1, 9, 994 },
-  { 522, 23, 1, 9, 994 },
-  { 1052, 26, 1, 9, 994 },
-  { 1149, 29, 1, 9, 994 },
-  { 1242, 32, 1, 9, 994 },
-  { 1335, 35, 1, 9, 994 },
-  { 1423, 38, 1, 9, 994 },
-  { 1530, 41, 1, 9, 994 },
-  { 7, 44, 1, 9, 994 },
-  { 162, 47, 1, 9, 994 },
-  { 317, 50, 1, 9, 994 },
-  { 438, 53, 1, 9, 994 },
-  { 559, 56, 1, 9, 994 },
-  { 1085, 59, 1, 9, 994 },
-  { 66, 1, 156, 1, 2497 },
-  { 221, 1, 154, 1, 2497 },
-  { 376, 1, 152, 1, 2497 },
-  { 497, 1, 150, 1, 2497 },
-  { 122, 1, 161, 1, 3953 },
-  { 277, 1, 165, 1, 3953 },
-  { 404, 1, 165, 1, 3953 },
-  { 525, 1, 169, 1, 3953 },
-  { 1055, 1, 169, 1, 3953 },
-  { 1152, 1, 173, 1, 3953 },
-  { 1245, 1, 173, 1, 3953 },
-  { 1338, 1, 177, 1, 3953 },
-  { 1426, 1, 177, 1, 3953 },
-  { 1533, 1, 181, 1, 3953 },
-  { 11, 1, 181, 1, 3953 },
-  { 166, 1, 185, 1, 3953 },
-  { 321, 1, 185, 1, 3953 },
-  { 442, 1, 189, 1, 3953 },
-  { 563, 1, 189, 1, 3953 },
-  { 1089, 1, 193, 1, 3953 },
-  { 1182, 1, 193, 1, 3953 },
-  { 1275, 1, 197, 1, 3953 },
-  { 1368, 1, 197, 1, 3953 },
-  { 1453, 1, 201, 1, 3953 },
-  { 39, 1, 201, 1, 3953 },
-  { 194, 1, 205, 1, 3953 },
-  { 349, 1, 205, 1, 3953 },
-  { 470, 1, 209, 1, 3953 },
-  { 591, 1, 209, 1, 3953 },
-  { 1117, 1, 213, 1, 3953 },
-  { 1210, 1, 213, 1, 3953 },
-  { 1303, 1, 217, 1, 3953 },
-  { 1396, 1, 217, 1, 3953 },
-  { 1497, 1, 221, 1, 3953 },
-  { 86, 1, 221, 1, 3953 },
-  { 241, 1, 225, 1, 3953 },
-  { 114, 1, 1, 1, 3953 },
-  { 269, 1, 1, 1, 3953 },
-  { 396, 1, 1, 1, 3953 },
-  { 517, 1, 1, 1, 3953 },
-  { 1047, 1, 1, 1, 3953 },
-  { 1144, 1, 1, 1, 3953 },
-  { 1237, 1, 1, 1, 3953 },
-  { 1330, 1, 1, 1, 3953 },
-  { 138, 1, 1, 1, 3953 },
-  { 293, 1, 1, 1, 3953 },
-  { 417, 1, 1, 1, 3953 },
-  { 538, 1, 1, 1, 3953 },
-  { 1064, 1, 1, 1, 3953 },
-  { 1161, 1, 1, 1, 3953 },
-  { 1254, 1, 1, 1, 3953 },
-  { 1347, 1, 1, 1, 3953 },
-  { 1435, 1, 1, 1, 3953 },
-  { 1542, 1, 1, 1, 3953 },
-  { 22, 1, 1, 1, 3953 },
-  { 177, 1, 1, 1, 3953 },
-  { 332, 1, 1, 1, 3953 },
-  { 453, 1, 1, 1, 3953 },
-  { 574, 1, 1, 1, 3953 },
-  { 1100, 1, 1, 1, 3953 },
-  { 1193, 1, 1, 1, 3953 },
-  { 1286, 1, 1, 1, 3953 },
-  { 1379, 1, 1, 1, 3953 },
-  { 1464, 1, 1, 1, 3953 },
-  { 56, 1, 1, 1, 3953 },
-  { 211, 1, 1, 1, 3953 },
-  { 366, 1, 1, 1, 3953 },
-  { 487, 1, 1, 1, 3953 },
-  { 608, 1, 1, 1, 3953 },
-  { 1134, 1, 1, 1, 3953 },
-  { 1227, 1, 1, 1, 3953 },
-  { 1320, 1, 1, 1, 3953 },
-  { 1413, 1, 1, 1, 3953 },
-  { 1514, 1, 1, 1, 3953 },
-  { 97, 1, 1, 1, 3953 },
-  { 252, 1, 1, 1, 3953 },
-  { 1023, 136, 1, 0, 1184 },
-  { 125, 1, 158, 1, 3921 },
-  { 280, 1, 158, 1, 3921 },
-  { 407, 1, 158, 1, 3921 },
-  { 528, 1, 158, 1, 3921 },
-  { 1058, 1, 158, 1, 3921 },
-  { 1155, 1, 158, 1, 3921 },
-  { 1248, 1, 158, 1, 3921 },
-  { 1341, 1, 158, 1, 3921 },
-  { 1429, 1, 158, 1, 3921 },
-  { 1536, 1, 158, 1, 3921 },
-  { 15, 1, 158, 1, 3921 },
-  { 170, 1, 158, 1, 3921 },
-  { 325, 1, 158, 1, 3921 },
-  { 446, 1, 158, 1, 3921 },
-  { 567, 1, 158, 1, 3921 },
-  { 1093, 1, 158, 1, 3921 },
-  { 1186, 1, 158, 1, 3921 },
-  { 1279, 1, 158, 1, 3921 },
-  { 1372, 1, 158, 1, 3921 },
-  { 1457, 1, 158, 1, 3921 },
-  { 43, 1, 158, 1, 3921 },
-  { 198, 1, 158, 1, 3921 },
-  { 353, 1, 158, 1, 3921 },
-  { 474, 1, 158, 1, 3921 },
-  { 595, 1, 158, 1, 3921 },
-  { 1121, 1, 158, 1, 3921 },
-  { 1214, 1, 158, 1, 3921 },
-  { 1307, 1, 158, 1, 3921 },
-  { 1400, 1, 158, 1, 3921 },
-  { 1501, 1, 158, 1, 3921 },
-  { 90, 1, 158, 1, 3921 },
-  { 245, 1, 158, 1, 3921 },
-  { 1029, 126, 1, 0, 1216 },
-  { 127, 1, 233, 1, 1826 },
-  { 282, 1, 134, 1, 1826 },
-  { 409, 1, 134, 1, 1826 },
-  { 530, 1, 134, 1, 1826 },
-  { 1520, 1, 1, 1, 3889 },
-  { 131, 1, 100, 1, 3889 },
-  { 286, 1, 100, 1, 3889 },
-  { 134, 1, 229, 1, 1794 },
-  { 289, 1, 128, 1, 1794 },
-  { 413, 1, 128, 1, 1794 },
-  { 534, 1, 128, 1, 1794 },
-  { 1009, 124, 1, 0, 1248 },
-  { 143, 1, 98, 1, 3857 },
-  { 298, 1, 98, 1, 3857 },
-  { 422, 1, 98, 1, 3857 },
-  { 543, 1, 98, 1, 3857 },
-  { 1069, 1, 98, 1, 3857 },
-  { 1166, 1, 98, 1, 3857 },
-  { 1259, 1, 98, 1, 3857 },
-  { 1352, 1, 98, 1, 3857 },
-  { 1035, 122, 1, 0, 1280 },
-  { 146, 1, 96, 1, 3825 },
-  { 301, 1, 96, 1, 3825 },
-  { 425, 1, 96, 1, 3825 },
-  { 546, 1, 96, 1, 3825 },
-  { 1072, 1, 96, 1, 3825 },
-  { 1169, 1, 96, 1, 3825 },
-  { 1262, 1, 96, 1, 3825 },
-  { 1355, 1, 96, 1, 3825 },
-  { 1440, 1, 96, 1, 3825 },
-  { 1547, 1, 96, 1, 3825 },
-  { 149, 1, 96, 1, 3825 },
-  { 304, 1, 96, 1, 3825 },
-  { 152, 92, 1, 8, 1425 },
-  { 307, 92, 1, 8, 1425 },
-  { 428, 92, 1, 8, 1425 },
-  { 549, 92, 1, 8, 1425 },
-  { 1075, 92, 1, 8, 1425 },
-  { 1172, 92, 1, 8, 1425 },
-  { 1265, 92, 1, 8, 1425 },
-  { 1358, 92, 1, 8, 1425 },
-  { 1443, 92, 1, 8, 1425 },
-  { 1550, 92, 1, 8, 1425 },
-  { 28, 92, 1, 8, 1425 },
-  { 183, 92, 1, 8, 1425 },
-  { 338, 92, 1, 8, 1425 },
-  { 459, 92, 1, 8, 1425 },
-  { 580, 92, 1, 8, 1425 },
-  { 1106, 92, 1, 8, 1425 },
-  { 1199, 92, 1, 8, 1425 },
-  { 1292, 92, 1, 8, 1425 },
-  { 1385, 92, 1, 8, 1425 },
-  { 1470, 92, 1, 8, 1425 },
-  { 62, 92, 1, 8, 1425 },
-  { 217, 92, 1, 8, 1425 },
-  { 372, 92, 1, 8, 1425 },
-  { 493, 92, 1, 8, 1425 },
-  { 614, 92, 1, 8, 1425 },
-  { 1140, 92, 1, 8, 1425 },
-  { 1233, 92, 1, 8, 1425 },
-  { 1326, 92, 1, 8, 1425 },
-  { 1419, 92, 1, 8, 1425 },
-  { 1526, 92, 1, 8, 1425 },
-  { 103, 92, 1, 8, 1425 },
-  { 258, 92, 1, 8, 1425 },
-  { 1015, 118, 1, 0, 1921 },
-  { 639, 118, 1, 0, 1921 },
-  { 717, 118, 1, 0, 1921 },
-  { 767, 118, 1, 0, 1921 },
-  { 805, 118, 1, 0, 1921 },
-  { 645, 130, 1, 12, 656 },
-  { 652, 93, 159, 9, 1377 },
-  { 723, 93, 159, 9, 1377 },
-  { 773, 93, 159, 9, 1377 },
-  { 811, 93, 159, 9, 1377 },
-  { 843, 93, 159, 9, 1377 },
-  { 875, 93, 159, 9, 1377 },
-  { 907, 93, 159, 9, 1377 },
-  { 939, 93, 159, 9, 1377 },
-  { 971, 93, 159, 9, 1377 },
-  { 997, 93, 159, 9, 1377 },
-  { 618, 93, 159, 9, 1377 },
-  { 696, 93, 159, 9, 1377 },
-  { 753, 93, 159, 9, 1377 },
-  { 791, 93, 159, 9, 1377 },
-  { 829, 93, 159, 9, 1377 },
-  { 861, 93, 159, 9, 1377 },
-  { 893, 93, 159, 9, 1377 },
-  { 925, 93, 159, 9, 1377 },
-  { 957, 93, 159, 9, 1377 },
-  { 983, 93, 159, 9, 1377 },
-  { 625, 93, 159, 9, 1377 },
-  { 703, 93, 159, 9, 1377 },
-  { 760, 93, 159, 9, 1377 },
-  { 798, 93, 159, 9, 1377 },
-  { 836, 93, 159, 9, 1377 },
-  { 868, 93, 159, 9, 1377 },
-  { 900, 93, 159, 9, 1377 },
-  { 932, 93, 159, 9, 1377 },
-  { 964, 93, 159, 9, 1377 },
-  { 990, 93, 159, 9, 1377 },
-  { 632, 93, 159, 9, 1377 },
-  { 710, 93, 159, 9, 1377 },
-  { 1474, 1, 116, 1, 1120 },
-  { 658, 142, 235, 0, 1344 },
-  { 665, 144, 1, 0, 2241 },
-  { 729, 144, 1, 0, 2241 },
-  { 671, 144, 231, 0, 1312 },
-  { 678, 146, 1, 0, 2209 },
-  { 735, 146, 1, 0, 2209 },
-  { 779, 146, 1, 0, 2209 },
-  { 817, 146, 1, 0, 2209 },
-  { 849, 146, 1, 0, 2209 },
-  { 881, 146, 1, 0, 2209 },
-  { 913, 146, 1, 0, 2209 },
-  { 945, 146, 1, 0, 2209 },
-  { 684, 148, 1, 0, 2209 },
-  { 741, 148, 1, 0, 2209 },
-  { 785, 148, 1, 0, 2209 },
-  { 823, 148, 1, 0, 2209 },
-  { 855, 148, 1, 0, 2209 },
-  { 887, 148, 1, 0, 2209 },
-  { 919, 148, 1, 0, 2209 },
-  { 951, 148, 1, 0, 2209 },
-  { 977, 148, 1, 0, 2209 },
-  { 1003, 148, 1, 0, 2209 },
-  { 690, 148, 1, 0, 2209 },
-  { 747, 148, 1, 0, 2209 },
+  { 213, 1, 1, 1, 1153 },
+  { 426, 1, 1, 1, 1153 },
+  { 592, 1, 1, 1, 1153 },
+  { 750, 1, 1, 1, 1153 },
+  { 1313, 1, 1, 1, 1153 },
+  { 1447, 1, 1, 1, 1153 },
+  { 1577, 1, 1, 1, 1153 },
+  { 1707, 1, 1, 1, 1153 },
+  { 1829, 1, 1, 1, 1153 },
+  { 45, 1, 1, 1, 1153 },
+  { 258, 1, 1, 1, 1153 },
+  { 471, 1, 1, 1, 1153 },
+  { 637, 1, 1, 1, 1153 },
+  { 795, 1, 1, 1, 1153 },
+  { 1358, 1, 1, 1, 1153 },
+  { 1488, 1, 1, 1, 1153 },
+  { 1618, 1, 1, 1, 1153 },
+  { 1748, 1, 1, 1, 1153 },
+  { 1886, 1, 1, 1, 1153 },
+  { 105, 1, 1, 1, 1153 },
+  { 318, 1, 1, 1, 1153 },
+  { 7, 1, 1, 1, 1153 },
+  { 220, 1, 1, 1, 1153 },
+  { 433, 1, 1, 1, 1153 },
+  { 599, 1, 1, 1, 1153 },
+  { 757, 1, 1, 1, 1153 },
+  { 1320, 1, 1, 1, 1153 },
+  { 1454, 1, 1, 1, 1153 },
+  { 1584, 1, 1, 1, 1153 },
+  { 1714, 1, 1, 1, 1153 },
+  { 1836, 1, 1, 1, 1153 },
+  { 52, 1, 1, 1, 1153 },
+  { 265, 1, 1, 1, 1153 },
+  { 478, 1, 1, 1, 1153 },
+  { 644, 1, 1, 1, 1153 },
+  { 802, 1, 1, 1, 1153 },
+  { 1365, 1, 1, 1, 1153 },
+  { 1495, 1, 1, 1, 1153 },
+  { 1625, 1, 1, 1, 1153 },
+  { 1755, 1, 1, 1, 1153 },
+  { 1893, 1, 1, 1, 1153 },
+  { 112, 1, 1, 1, 1153 },
+  { 325, 1, 1, 1, 1153 },
+  { 164, 14, 1, 9, 994 },
+  { 377, 17, 1, 9, 994 },
+  { 549, 20, 1, 9, 994 },
+  { 715, 23, 1, 9, 994 },
+  { 1282, 26, 1, 9, 994 },
+  { 1416, 29, 1, 9, 994 },
+  { 1546, 32, 1, 9, 994 },
+  { 1676, 35, 1, 9, 994 },
+  { 1801, 38, 1, 9, 994 },
+  { 1939, 41, 1, 9, 994 },
+  { 14, 44, 1, 9, 994 },
+  { 227, 47, 1, 9, 994 },
+  { 440, 50, 1, 9, 994 },
+  { 606, 53, 1, 9, 994 },
+  { 764, 56, 1, 9, 994 },
+  { 1327, 59, 1, 9, 994 },
+  { 92, 1, 150, 1, 2401 },
+  { 305, 1, 148, 1, 2401 },
+  { 518, 1, 146, 1, 2401 },
+  { 684, 1, 144, 1, 2401 },
+  { 167, 1, 162, 1, 4001 },
+  { 380, 1, 166, 1, 4001 },
+  { 552, 1, 166, 1, 4001 },
+  { 718, 1, 170, 1, 4001 },
+  { 1285, 1, 170, 1, 4001 },
+  { 1419, 1, 174, 1, 4001 },
+  { 1549, 1, 174, 1, 4001 },
+  { 1679, 1, 178, 1, 4001 },
+  { 1804, 1, 178, 1, 4001 },
+  { 1942, 1, 182, 1, 4001 },
+  { 18, 1, 182, 1, 4001 },
+  { 231, 1, 186, 1, 4001 },
+  { 444, 1, 186, 1, 4001 },
+  { 610, 1, 190, 1, 4001 },
+  { 768, 1, 190, 1, 4001 },
+  { 1331, 1, 194, 1, 4001 },
+  { 1461, 1, 194, 1, 4001 },
+  { 1591, 1, 198, 1, 4001 },
+  { 1721, 1, 198, 1, 4001 },
+  { 1843, 1, 202, 1, 4001 },
+  { 59, 1, 202, 1, 4001 },
+  { 272, 1, 206, 1, 4001 },
+  { 485, 1, 206, 1, 4001 },
+  { 651, 1, 210, 1, 4001 },
+  { 809, 1, 210, 1, 4001 },
+  { 1372, 1, 214, 1, 4001 },
+  { 1502, 1, 214, 1, 4001 },
+  { 1632, 1, 218, 1, 4001 },
+  { 1762, 1, 218, 1, 4001 },
+  { 1900, 1, 222, 1, 4001 },
+  { 119, 1, 222, 1, 4001 },
+  { 332, 1, 226, 1, 4001 },
+  { 159, 1, 1, 1, 4001 },
+  { 372, 1, 1, 1, 4001 },
+  { 544, 1, 1, 1, 4001 },
+  { 710, 1, 1, 1, 4001 },
+  { 1277, 1, 1, 1, 4001 },
+  { 1411, 1, 1, 1, 4001 },
+  { 1541, 1, 1, 1, 4001 },
+  { 1671, 1, 1, 1, 4001 },
+  { 191, 1, 1, 1, 4001 },
+  { 404, 1, 1, 1, 4001 },
+  { 573, 1, 1, 1, 4001 },
+  { 731, 1, 1, 1, 4001 },
+  { 1294, 1, 1, 1, 4001 },
+  { 1428, 1, 1, 1, 4001 },
+  { 1558, 1, 1, 1, 4001 },
+  { 1688, 1, 1, 1, 4001 },
+  { 1813, 1, 1, 1, 4001 },
+  { 1951, 1, 1, 1, 4001 },
+  { 29, 1, 1, 1, 4001 },
+  { 242, 1, 1, 1, 4001 },
+  { 455, 1, 1, 1, 4001 },
+  { 621, 1, 1, 1, 4001 },
+  { 779, 1, 1, 1, 4001 },
+  { 1342, 1, 1, 1, 4001 },
+  { 1472, 1, 1, 1, 4001 },
+  { 1602, 1, 1, 1, 4001 },
+  { 1732, 1, 1, 1, 4001 },
+  { 1854, 1, 1, 1, 4001 },
+  { 76, 1, 1, 1, 4001 },
+  { 289, 1, 1, 1, 4001 },
+  { 502, 1, 1, 1, 4001 },
+  { 668, 1, 1, 1, 4001 },
+  { 826, 1, 1, 1, 4001 },
+  { 1389, 1, 1, 1, 4001 },
+  { 1519, 1, 1, 1, 4001 },
+  { 1649, 1, 1, 1, 4001 },
+  { 1779, 1, 1, 1, 4001 },
+  { 1917, 1, 1, 1, 4001 },
+  { 136, 1, 1, 1, 4001 },
+  { 349, 1, 1, 1, 4001 },
+  { 1253, 136, 1, 0, 1184 },
+  { 170, 1, 156, 1, 3969 },
+  { 383, 1, 156, 1, 3969 },
+  { 555, 1, 156, 1, 3969 },
+  { 721, 1, 156, 1, 3969 },
+  { 1288, 1, 156, 1, 3969 },
+  { 1422, 1, 156, 1, 3969 },
+  { 1552, 1, 156, 1, 3969 },
+  { 1682, 1, 156, 1, 3969 },
+  { 1807, 1, 156, 1, 3969 },
+  { 1945, 1, 156, 1, 3969 },
+  { 22, 1, 156, 1, 3969 },
+  { 235, 1, 156, 1, 3969 },
+  { 448, 1, 156, 1, 3969 },
+  { 614, 1, 156, 1, 3969 },
+  { 772, 1, 156, 1, 3969 },
+  { 1335, 1, 156, 1, 3969 },
+  { 1465, 1, 156, 1, 3969 },
+  { 1595, 1, 156, 1, 3969 },
+  { 1725, 1, 156, 1, 3969 },
+  { 1847, 1, 156, 1, 3969 },
+  { 63, 1, 156, 1, 3969 },
+  { 276, 1, 156, 1, 3969 },
+  { 489, 1, 156, 1, 3969 },
+  { 655, 1, 156, 1, 3969 },
+  { 813, 1, 156, 1, 3969 },
+  { 1376, 1, 156, 1, 3969 },
+  { 1506, 1, 156, 1, 3969 },
+  { 1636, 1, 156, 1, 3969 },
+  { 1766, 1, 156, 1, 3969 },
+  { 1904, 1, 156, 1, 3969 },
+  { 123, 1, 156, 1, 3969 },
+  { 336, 1, 156, 1, 3969 },
+  { 1259, 128, 1, 0, 1216 },
+  { 172, 1, 234, 1, 1826 },
+  { 385, 1, 134, 1, 1826 },
+  { 557, 1, 134, 1, 1826 },
+  { 723, 1, 134, 1, 1826 },
+  { 196, 1, 1, 1, 3937 },
+  { 409, 1, 1, 1, 3937 },
+  { 578, 1, 1, 1, 3937 },
+  { 736, 1, 1, 1, 3937 },
+  { 1299, 1, 1, 1, 3937 },
+  { 1433, 1, 1, 1, 3937 },
+  { 1563, 1, 1, 1, 3937 },
+  { 1693, 1, 1, 1, 3937 },
+  { 1818, 1, 1, 1, 3937 },
+  { 1956, 1, 1, 1, 3937 },
+  { 35, 1, 1, 1, 3937 },
+  { 248, 1, 1, 1, 3937 },
+  { 461, 1, 1, 1, 3937 },
+  { 627, 1, 1, 1, 3937 },
+  { 785, 1, 1, 1, 3937 },
+  { 1348, 1, 1, 1, 3937 },
+  { 1478, 1, 1, 1, 3937 },
+  { 1608, 1, 1, 1, 3937 },
+  { 1738, 1, 1, 1, 3937 },
+  { 1860, 1, 1, 1, 3937 },
+  { 82, 1, 1, 1, 3937 },
+  { 295, 1, 1, 1, 3937 },
+  { 508, 1, 1, 1, 3937 },
+  { 674, 1, 1, 1, 3937 },
+  { 832, 1, 1, 1, 3937 },
+  { 1395, 1, 1, 1, 3937 },
+  { 1525, 1, 1, 1, 3937 },
+  { 1655, 1, 1, 1, 3937 },
+  { 1785, 1, 1, 1, 3937 },
+  { 1923, 1, 1, 1, 3937 },
+  { 142, 1, 1, 1, 3937 },
+  { 355, 1, 1, 1, 3937 },
+  { 176, 1, 100, 1, 3937 },
+  { 389, 1, 100, 1, 3937 },
+  { 184, 1, 230, 1, 1794 },
+  { 397, 1, 126, 1, 1794 },
+  { 566, 1, 126, 1, 1794 },
+  { 727, 1, 126, 1, 1794 },
+  { 179, 1, 1, 1, 3905 },
+  { 392, 1, 1, 1, 3905 },
+  { 561, 1, 1, 1, 3905 },
+  { 188, 1, 1, 1, 3905 },
+  { 401, 1, 1, 1, 3905 },
+  { 570, 1, 1, 1, 3905 },
+  { 1239, 124, 1, 0, 1248 },
+  { 201, 1, 98, 1, 3873 },
+  { 414, 1, 98, 1, 3873 },
+  { 583, 1, 98, 1, 3873 },
+  { 741, 1, 98, 1, 3873 },
+  { 1304, 1, 98, 1, 3873 },
+  { 1438, 1, 98, 1, 3873 },
+  { 1568, 1, 98, 1, 3873 },
+  { 1698, 1, 98, 1, 3873 },
+  { 1265, 122, 1, 0, 1280 },
+  { 204, 1, 96, 1, 3841 },
+  { 417, 1, 96, 1, 3841 },
+  { 586, 1, 96, 1, 3841 },
+  { 744, 1, 96, 1, 3841 },
+  { 1307, 1, 96, 1, 3841 },
+  { 1441, 1, 96, 1, 3841 },
+  { 1571, 1, 96, 1, 3841 },
+  { 1701, 1, 96, 1, 3841 },
+  { 1823, 1, 96, 1, 3841 },
+  { 1961, 1, 96, 1, 3841 },
+  { 207, 1, 96, 1, 3841 },
+  { 420, 1, 96, 1, 3841 },
+  { 210, 92, 1, 8, 1425 },
+  { 423, 92, 1, 8, 1425 },
+  { 589, 92, 1, 8, 1425 },
+  { 747, 92, 1, 8, 1425 },
+  { 1310, 92, 1, 8, 1425 },
+  { 1444, 92, 1, 8, 1425 },
+  { 1574, 92, 1, 8, 1425 },
+  { 1704, 92, 1, 8, 1425 },
+  { 1826, 92, 1, 8, 1425 },
+  { 1964, 92, 1, 8, 1425 },
+  { 41, 92, 1, 8, 1425 },
+  { 254, 92, 1, 8, 1425 },
+  { 467, 92, 1, 8, 1425 },
+  { 633, 92, 1, 8, 1425 },
+  { 791, 92, 1, 8, 1425 },
+  { 1354, 92, 1, 8, 1425 },
+  { 1484, 92, 1, 8, 1425 },
+  { 1614, 92, 1, 8, 1425 },
+  { 1744, 92, 1, 8, 1425 },
+  { 1866, 92, 1, 8, 1425 },
+  { 88, 92, 1, 8, 1425 },
+  { 301, 92, 1, 8, 1425 },
+  { 514, 92, 1, 8, 1425 },
+  { 680, 92, 1, 8, 1425 },
+  { 838, 92, 1, 8, 1425 },
+  { 1401, 92, 1, 8, 1425 },
+  { 1531, 92, 1, 8, 1425 },
+  { 1661, 92, 1, 8, 1425 },
+  { 1791, 92, 1, 8, 1425 },
+  { 1929, 92, 1, 8, 1425 },
+  { 148, 92, 1, 8, 1425 },
+  { 361, 92, 1, 8, 1425 },
+  { 1245, 118, 1, 0, 1921 },
+  { 869, 118, 1, 0, 1921 },
+  { 947, 118, 1, 0, 1921 },
+  { 997, 118, 1, 0, 1921 },
+  { 1035, 118, 1, 0, 1921 },
+  { 875, 130, 1, 12, 656 },
+  { 882, 93, 157, 9, 1377 },
+  { 953, 93, 157, 9, 1377 },
+  { 1003, 93, 157, 9, 1377 },
+  { 1041, 93, 157, 9, 1377 },
+  { 1073, 93, 157, 9, 1377 },
+  { 1105, 93, 157, 9, 1377 },
+  { 1137, 93, 157, 9, 1377 },
+  { 1169, 93, 157, 9, 1377 },
+  { 1201, 93, 157, 9, 1377 },
+  { 1227, 93, 157, 9, 1377 },
+  { 848, 93, 157, 9, 1377 },
+  { 926, 93, 157, 9, 1377 },
+  { 983, 93, 157, 9, 1377 },
+  { 1021, 93, 157, 9, 1377 },
+  { 1059, 93, 157, 9, 1377 },
+  { 1091, 93, 157, 9, 1377 },
+  { 1123, 93, 157, 9, 1377 },
+  { 1155, 93, 157, 9, 1377 },
+  { 1187, 93, 157, 9, 1377 },
+  { 1213, 93, 157, 9, 1377 },
+  { 855, 93, 157, 9, 1377 },
+  { 933, 93, 157, 9, 1377 },
+  { 990, 93, 157, 9, 1377 },
+  { 1028, 93, 157, 9, 1377 },
+  { 1066, 93, 157, 9, 1377 },
+  { 1098, 93, 157, 9, 1377 },
+  { 1130, 93, 157, 9, 1377 },
+  { 1162, 93, 157, 9, 1377 },
+  { 1194, 93, 157, 9, 1377 },
+  { 1220, 93, 157, 9, 1377 },
+  { 862, 93, 157, 9, 1377 },
+  { 940, 93, 157, 9, 1377 },
+  { 1870, 1, 116, 1, 1120 },
+  { 888, 138, 236, 0, 1344 },
+  { 895, 150, 1, 0, 2241 },
+  { 959, 150, 1, 0, 2241 },
+  { 901, 150, 232, 0, 1312 },
+  { 908, 152, 1, 0, 2273 },
+  { 965, 152, 1, 0, 2273 },
+  { 1009, 152, 1, 0, 2273 },
+  { 1047, 152, 1, 0, 2273 },
+  { 1079, 152, 1, 0, 2273 },
+  { 1111, 152, 1, 0, 2273 },
+  { 1143, 152, 1, 0, 2273 },
+  { 1175, 152, 1, 0, 2273 },
+  { 914, 154, 1, 0, 2273 },
+  { 971, 154, 1, 0, 2273 },
+  { 1015, 154, 1, 0, 2273 },
+  { 1053, 154, 1, 0, 2273 },
+  { 1085, 154, 1, 0, 2273 },
+  { 1117, 154, 1, 0, 2273 },
+  { 1149, 154, 1, 0, 2273 },
+  { 1181, 154, 1, 0, 2273 },
+  { 1207, 154, 1, 0, 2273 },
+  { 1233, 154, 1, 0, 2273 },
+  { 920, 154, 1, 0, 2273 },
+  { 977, 154, 1, 0, 2273 },
 };
 
+  // OddSP Register Class...
+  static MCPhysReg OddSP[] = {
+    Mips_F1, Mips_F3, Mips_F5, Mips_F7, Mips_F9, Mips_F11, Mips_F13, Mips_F15, Mips_F17, Mips_F19, Mips_F21, Mips_F23, Mips_F25, Mips_F27, Mips_F29, Mips_F31, Mips_F_HI1, Mips_F_HI3, Mips_F_HI5, Mips_F_HI7, Mips_F_HI9, Mips_F_HI11, Mips_F_HI13, Mips_F_HI15, Mips_F_HI17, Mips_F_HI19, Mips_F_HI21, Mips_F_HI23, Mips_F_HI25, Mips_F_HI27, Mips_F_HI29, Mips_F_HI31, Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // OddSP Bit set.
+  static uint8_t OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x50, 0x55, 0x55, 0x55, 0x05, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+  };
+
   // CCR Register Class...
-  static uint16_t CCR[] = {
+  static MCPhysReg CCR[] = {
     Mips_FCR0, Mips_FCR1, Mips_FCR2, Mips_FCR3, Mips_FCR4, Mips_FCR5, Mips_FCR6, Mips_FCR7, Mips_FCR8, Mips_FCR9, Mips_FCR10, Mips_FCR11, Mips_FCR12, Mips_FCR13, Mips_FCR14, Mips_FCR15, Mips_FCR16, Mips_FCR17, Mips_FCR18, Mips_FCR19, Mips_FCR20, Mips_FCR21, Mips_FCR22, Mips_FCR23, Mips_FCR24, Mips_FCR25, Mips_FCR26, Mips_FCR27, Mips_FCR28, Mips_FCR29, Mips_FCR30, Mips_FCR31, 
   };
 
   // CCR Bit set.
   static uint8_t CCRBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // COP2 Register Class...
-  static uint16_t COP2[] = {
+  static MCPhysReg COP2[] = {
     Mips_COP20, Mips_COP21, Mips_COP22, Mips_COP23, Mips_COP24, Mips_COP25, Mips_COP26, Mips_COP27, Mips_COP28, Mips_COP29, Mips_COP210, Mips_COP211, Mips_COP212, Mips_COP213, Mips_COP214, Mips_COP215, Mips_COP216, Mips_COP217, Mips_COP218, Mips_COP219, Mips_COP220, Mips_COP221, Mips_COP222, Mips_COP223, Mips_COP224, Mips_COP225, Mips_COP226, Mips_COP227, Mips_COP228, Mips_COP229, Mips_COP230, Mips_COP231, 
   };
 
   // COP2 Bit set.
   static uint8_t COP2Bits[] = {
-    0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x7f, 
+    0x00, 0x00, 0x00, 0x80, 0xff, 0x01, 0xf8, 0xff, 0xff, 0x01, 
+  };
+
+  // COP3 Register Class...
+  static MCPhysReg COP3[] = {
+    Mips_COP30, Mips_COP31, Mips_COP32, Mips_COP33, Mips_COP34, Mips_COP35, Mips_COP36, Mips_COP37, Mips_COP38, Mips_COP39, Mips_COP310, Mips_COP311, Mips_COP312, Mips_COP313, Mips_COP314, Mips_COP315, Mips_COP316, Mips_COP317, Mips_COP318, Mips_COP319, Mips_COP320, Mips_COP321, Mips_COP322, Mips_COP323, Mips_COP324, Mips_COP325, Mips_COP326, Mips_COP327, Mips_COP328, Mips_COP329, Mips_COP330, Mips_COP331, 
+  };
+
+  // COP3 Bit set.
+  static uint8_t COP3Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0x07, 0x00, 0x00, 0xfe, 0xff, 0x7f, 
   };
 
   // DSPR Register Class...
-  static uint16_t DSPR[] = {
+  static MCPhysReg DSPR[] = {
     Mips_ZERO, Mips_AT, Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_T0, Mips_T1, Mips_T2, Mips_T3, Mips_T4, Mips_T5, Mips_T6, Mips_T7, Mips_S0, Mips_S1, Mips_S2, Mips_S3, Mips_S4, Mips_S5, Mips_S6, Mips_S7, Mips_T8, Mips_T9, Mips_K0, Mips_K1, Mips_GP, Mips_SP, Mips_FP, Mips_RA, 
   };
 
   // DSPR Bit set.
   static uint8_t DSPRBits[] = {
-    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0c, 0xfe, 0xfd, 0x3f, 
+    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
   };
 
   // FGR32 Register Class...
-  static uint16_t FGR32[] = {
+  static MCPhysReg FGR32[] = {
     Mips_F0, Mips_F1, Mips_F2, Mips_F3, Mips_F4, Mips_F5, Mips_F6, Mips_F7, Mips_F8, Mips_F9, Mips_F10, Mips_F11, Mips_F12, Mips_F13, Mips_F14, Mips_F15, Mips_F16, Mips_F17, Mips_F18, Mips_F19, Mips_F20, Mips_F21, Mips_F22, Mips_F23, Mips_F24, Mips_F25, Mips_F26, Mips_F27, Mips_F28, Mips_F29, Mips_F30, Mips_F31, 
   };
 
   // FGR32 Bit set.
   static uint8_t FGR32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+  };
+
+  // FGRCC Register Class...
+  static MCPhysReg FGRCC[] = {
+    Mips_F0, Mips_F1, Mips_F2, Mips_F3, Mips_F4, Mips_F5, Mips_F6, Mips_F7, Mips_F8, Mips_F9, Mips_F10, Mips_F11, Mips_F12, Mips_F13, Mips_F14, Mips_F15, Mips_F16, Mips_F17, Mips_F18, Mips_F19, Mips_F20, Mips_F21, Mips_F22, Mips_F23, Mips_F24, Mips_F25, Mips_F26, Mips_F27, Mips_F28, Mips_F29, Mips_F30, Mips_F31, 
+  };
+
+  // FGRCC Bit set.
+  static uint8_t FGRCCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // FGRH32 Register Class...
-  static uint16_t FGRH32[] = {
+  static MCPhysReg FGRH32[] = {
     Mips_F_HI0, Mips_F_HI1, Mips_F_HI2, Mips_F_HI3, Mips_F_HI4, Mips_F_HI5, Mips_F_HI6, Mips_F_HI7, Mips_F_HI8, Mips_F_HI9, Mips_F_HI10, Mips_F_HI11, Mips_F_HI12, Mips_F_HI13, Mips_F_HI14, Mips_F_HI15, Mips_F_HI16, Mips_F_HI17, Mips_F_HI18, Mips_F_HI19, Mips_F_HI20, Mips_F_HI21, Mips_F_HI22, Mips_F_HI23, Mips_F_HI24, Mips_F_HI25, Mips_F_HI26, Mips_F_HI27, Mips_F_HI28, Mips_F_HI29, Mips_F_HI30, Mips_F_HI31, 
   };
 
   // FGRH32 Bit set.
   static uint8_t FGRH32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
   // GPR32 Register Class...
-  static uint16_t GPR32[] = {
+  static MCPhysReg GPR32[] = {
     Mips_ZERO, Mips_AT, Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_T0, Mips_T1, Mips_T2, Mips_T3, Mips_T4, Mips_T5, Mips_T6, Mips_T7, Mips_S0, Mips_S1, Mips_S2, Mips_S3, Mips_S4, Mips_S5, Mips_S6, Mips_S7, Mips_T8, Mips_T9, Mips_K0, Mips_K1, Mips_GP, Mips_SP, Mips_FP, Mips_RA, 
   };
 
   // GPR32 Bit set.
   static uint8_t GPR32Bits[] = {
-    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x0c, 0xfe, 0xfd, 0x3f, 
+    0x02, 0x03, 0xf8, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0xc0, 0xbf, 0xff, 0x07, 
+  };
+
+  // HWRegs Register Class...
+  static MCPhysReg HWRegs[] = {
+    Mips_HWR0, Mips_HWR1, Mips_HWR2, Mips_HWR3, Mips_HWR4, Mips_HWR5, Mips_HWR6, Mips_HWR7, Mips_HWR8, Mips_HWR9, Mips_HWR10, Mips_HWR11, Mips_HWR12, Mips_HWR13, Mips_HWR14, Mips_HWR15, Mips_HWR16, Mips_HWR17, Mips_HWR18, Mips_HWR19, Mips_HWR20, Mips_HWR21, Mips_HWR22, Mips_HWR23, Mips_HWR24, Mips_HWR25, Mips_HWR26, Mips_HWR27, Mips_HWR28, Mips_HWR29, Mips_HWR30, Mips_HWR31, 
+  };
+
+  // HWRegs Bit set.
+  static uint8_t HWRegsBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
+  };
+
+  // OddSP_with_sub_hi Register Class...
+  static MCPhysReg OddSP_with_sub_hi[] = {
+    Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // OddSP_with_sub_hi Bit set.
+  static uint8_t OddSP_with_sub_hiBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
+  };
+
+  // FGR32_and_OddSP Register Class...
+  static MCPhysReg FGR32_and_OddSP[] = {
+    Mips_F1, Mips_F3, Mips_F5, Mips_F7, Mips_F9, Mips_F11, Mips_F13, Mips_F15, Mips_F17, Mips_F19, Mips_F21, Mips_F23, Mips_F25, Mips_F27, Mips_F29, Mips_F31, 
+  };
+
+  // FGR32_and_OddSP Bit set.
+  static uint8_t FGR32_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
+  };
+
+  // FGRH32_and_OddSP Register Class...
+  static MCPhysReg FGRH32_and_OddSP[] = {
+    Mips_F_HI1, Mips_F_HI3, Mips_F_HI5, Mips_F_HI7, Mips_F_HI9, Mips_F_HI11, Mips_F_HI13, Mips_F_HI15, Mips_F_HI17, Mips_F_HI19, Mips_F_HI21, Mips_F_HI23, Mips_F_HI25, Mips_F_HI27, Mips_F_HI29, Mips_F_HI31, 
+  };
+
+  // FGRH32_and_OddSP Bit set.
+  static uint8_t FGRH32_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xa0, 0xaa, 0xaa, 0xaa, 0x0a, 
+  };
+
+  // OddSP_with_sub_hi_with_sub_hi_in_FGRH32 Register Class...
+  static MCPhysReg OddSP_with_sub_hi_with_sub_hi_in_FGRH32[] = {
+    Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // OddSP_with_sub_hi_with_sub_hi_in_FGRH32 Bit set.
+  static uint8_t OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // CPU16RegsPlusSP Register Class...
-  static uint16_t CPU16RegsPlusSP[] = {
+  static MCPhysReg CPU16RegsPlusSP[] = {
     Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_S0, Mips_S1, Mips_SP, 
   };
 
   // CPU16RegsPlusSP Bit set.
   static uint8_t CPU16RegsPlusSPBits[] = {
-    0x00, 0x00, 0xd0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x30, 
+    0x00, 0x00, 0xd0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
   };
 
   // CPU16Regs Register Class...
-  static uint16_t CPU16Regs[] = {
+  static MCPhysReg CPU16Regs[] = {
     Mips_V0, Mips_V1, Mips_A0, Mips_A1, Mips_A2, Mips_A3, Mips_S0, Mips_S1, 
   };
 
   // CPU16Regs Bit set.
   static uint8_t CPU16RegsBits[] = {
-    0x00, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x30, 
+    0x00, 0x00, 0xc0, 0x03, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x06, 
   };
 
   // FCC Register Class...
-  static uint16_t FCC[] = {
+  static MCPhysReg FCC[] = {
     Mips_FCC0, Mips_FCC1, Mips_FCC2, Mips_FCC3, Mips_FCC4, Mips_FCC5, Mips_FCC6, Mips_FCC7, 
   };
 
   // FCC Bit set.
   static uint8_t FCCBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x07, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x07, 
   };
 
   // MSACtrl Register Class...
-  static uint16_t MSACtrl[] = {
+  static MCPhysReg MSACtrl[] = {
     Mips_MSAIR, Mips_MSACSR, Mips_MSAAccess, Mips_MSASave, Mips_MSAModify, Mips_MSARequest, Mips_MSAMap, Mips_MSAUnmap, 
   };
 
@@ -926,28 +1157,38 @@
     0x00, 0xfc, 0x03, 
   };
 
+  // OddSP_with_sub_hi_with_sub_hi_in_FGR32 Register Class...
+  static MCPhysReg OddSP_with_sub_hi_with_sub_hi_in_FGR32[] = {
+    Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, 
+  };
+
+  // OddSP_with_sub_hi_with_sub_hi_in_FGR32 Bit set.
+  static uint8_t OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
+  };
+
   // HI32DSP Register Class...
-  static uint16_t HI32DSP[] = {
+  static MCPhysReg HI32DSP[] = {
     Mips_HI0, Mips_HI1, Mips_HI2, Mips_HI3, 
   };
 
   // HI32DSP Bit set.
   static uint8_t HI32DSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0x01, 
   };
 
   // LO32DSP Register Class...
-  static uint16_t LO32DSP[] = {
+  static MCPhysReg LO32DSP[] = {
     Mips_LO0, Mips_LO1, Mips_LO2, Mips_LO3, 
   };
 
   // LO32DSP Bit set.
   static uint8_t LO32DSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x78, 
   };
 
   // CPURAReg Register Class...
-  static uint16_t CPURAReg[] = {
+  static MCPhysReg CPURAReg[] = {
     Mips_RA, 
   };
 
@@ -957,7 +1198,7 @@
   };
 
   // CPUSPReg Register Class...
-  static uint16_t CPUSPReg[] = {
+  static MCPhysReg CPUSPReg[] = {
     Mips_SP, 
   };
 
@@ -967,7 +1208,7 @@
   };
 
   // DSPCC Register Class...
-  static uint16_t DSPCC[] = {
+  static MCPhysReg DSPCC[] = {
     Mips_DSPCCond, 
   };
 
@@ -977,87 +1218,97 @@
   };
 
   // HI32 Register Class...
-  static uint16_t HI32[] = {
+  static MCPhysReg HI32[] = {
     Mips_HI0, 
   };
 
   // HI32 Bit set.
   static uint8_t HI32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
-  };
-
-  // HWRegs Register Class...
-  static uint16_t HWRegs[] = {
-    Mips_HWR29, 
-  };
-
-  // HWRegs Bit set.
-  static uint8_t HWRegsBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // LO32 Register Class...
-  static uint16_t LO32[] = {
+  static MCPhysReg LO32[] = {
     Mips_LO0, 
   };
 
   // LO32 Bit set.
   static uint8_t LO32Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x10, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 
   };
 
   // FGR64 Register Class...
-  static uint16_t FGR64[] = {
+  static MCPhysReg FGR64[] = {
     Mips_D0_64, Mips_D1_64, Mips_D2_64, Mips_D3_64, Mips_D4_64, Mips_D5_64, Mips_D6_64, Mips_D7_64, Mips_D8_64, Mips_D9_64, Mips_D10_64, Mips_D11_64, Mips_D12_64, Mips_D13_64, Mips_D14_64, Mips_D15_64, Mips_D16_64, Mips_D17_64, Mips_D18_64, Mips_D19_64, Mips_D20_64, Mips_D21_64, Mips_D22_64, Mips_D23_64, Mips_D24_64, Mips_D25_64, Mips_D26_64, Mips_D27_64, Mips_D28_64, Mips_D29_64, Mips_D30_64, Mips_D31_64, 
   };
 
   // FGR64 Bit set.
   static uint8_t FGR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfe, 0xff, 0xff, 0xff, 0x01, 
   };
 
   // GPR64 Register Class...
-  static uint16_t GPR64[] = {
+  static MCPhysReg GPR64[] = {
     Mips_ZERO_64, Mips_AT_64, Mips_V0_64, Mips_V1_64, Mips_A0_64, Mips_A1_64, Mips_A2_64, Mips_A3_64, Mips_T0_64, Mips_T1_64, Mips_T2_64, Mips_T3_64, Mips_T4_64, Mips_T5_64, Mips_T6_64, Mips_T7_64, Mips_S0_64, Mips_S1_64, Mips_S2_64, Mips_S3_64, Mips_S4_64, Mips_S5_64, Mips_S6_64, Mips_S7_64, Mips_T8_64, Mips_T9_64, Mips_K0_64, Mips_K1_64, Mips_GP_64, Mips_SP_64, Mips_FP_64, Mips_RA_64, 
   };
 
   // GPR64 Bit set.
   static uint8_t GPR64Bits[] = {
-    0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x10, 0x00, 0x01, 0x02, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x07, 0x00, 0x00, 0x00, 0xc0, 0xfe, 0xff, 0x1f, 
+    0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x00, 0x00, 0x00, 0x00, 0xd8, 0xff, 0xff, 0x03, 
   };
 
   // AFGR64 Register Class...
-  static uint16_t AFGR64[] = {
+  static MCPhysReg AFGR64[] = {
     Mips_D0, Mips_D1, Mips_D2, Mips_D3, Mips_D4, Mips_D5, Mips_D6, Mips_D7, Mips_D8, Mips_D9, Mips_D10, Mips_D11, Mips_D12, Mips_D13, Mips_D14, Mips_D15, 
   };
 
   // AFGR64 Bit set.
   static uint8_t AFGR64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x7f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0x7f, 
+  };
+
+  // FGR64_and_OddSP Register Class...
+  static MCPhysReg FGR64_and_OddSP[] = {
+    Mips_D1_64, Mips_D3_64, Mips_D5_64, Mips_D7_64, Mips_D9_64, Mips_D11_64, Mips_D13_64, Mips_D15_64, Mips_D17_64, Mips_D19_64, Mips_D21_64, Mips_D23_64, Mips_D25_64, Mips_D27_64, Mips_D29_64, Mips_D31_64, 
+  };
+
+  // FGR64_and_OddSP Bit set.
+  static uint8_t FGR64_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x54, 0x55, 0x55, 0x55, 0x01, 
   };
 
   // GPR64_with_sub_32_in_CPU16RegsPlusSP Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPU16RegsPlusSP[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPU16RegsPlusSP[] = {
     Mips_V0_64, Mips_V1_64, Mips_A0_64, Mips_A1_64, Mips_A2_64, Mips_A3_64, Mips_S0_64, Mips_S1_64, Mips_SP_64, 
   };
 
   // GPR64_with_sub_32_in_CPU16RegsPlusSP Bit set.
   static uint8_t GPR64_with_sub_32_in_CPU16RegsPlusSPBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x80, 0x07, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x18, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
+  };
+
+  // AFGR64_and_OddSP Register Class...
+  static MCPhysReg AFGR64_and_OddSP[] = {
+    Mips_D1, Mips_D3, Mips_D5, Mips_D7, Mips_D9, Mips_D11, Mips_D13, Mips_D15, 
+  };
+
+  // AFGR64_and_OddSP Bit set.
+  static uint8_t AFGR64_and_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x55, 0x55, 
   };
 
   // GPR64_with_sub_32_in_CPU16Regs Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPU16Regs[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPU16Regs[] = {
     Mips_V0_64, Mips_V1_64, Mips_A0_64, Mips_A1_64, Mips_A2_64, Mips_A3_64, Mips_S0_64, Mips_S1_64, 
   };
 
   // GPR64_with_sub_32_in_CPU16Regs Bit set.
   static uint8_t GPR64_with_sub_32_in_CPU16RegsBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x07, 0x00, 0x00, 0x00, 0x00, 0x06, 0x00, 0x18, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf0, 0x00, 0x00, 0x00, 0x00, 0xc0, 0x00, 0x00, 0x03, 
   };
 
   // ACC64DSP Register Class...
-  static uint16_t ACC64DSP[] = {
+  static MCPhysReg ACC64DSP[] = {
     Mips_AC0, Mips_AC1, Mips_AC2, Mips_AC3, 
   };
 
@@ -1066,8 +1317,28 @@
     0x00, 0x00, 0x00, 0x3c, 
   };
 
+  // OCTEON_MPL Register Class...
+  static MCPhysReg OCTEON_MPL[] = {
+    Mips_MPL0, Mips_MPL1, Mips_MPL2, 
+  };
+
+  // OCTEON_MPL Bit set.
+  static uint8_t OCTEON_MPLBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0x03, 
+  };
+
+  // OCTEON_P Register Class...
+  static MCPhysReg OCTEON_P[] = {
+    Mips_P0, Mips_P1, Mips_P2, 
+  };
+
+  // OCTEON_P Bit set.
+  static uint8_t OCTEON_PBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x1c, 
+  };
+
   // ACC64 Register Class...
-  static uint16_t ACC64[] = {
+  static MCPhysReg ACC64[] = {
     Mips_AC0, 
   };
 
@@ -1077,120 +1348,142 @@
   };
 
   // GPR64_with_sub_32_in_CPURAReg Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPURAReg[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPURAReg[] = {
     Mips_RA_64, 
   };
 
   // GPR64_with_sub_32_in_CPURAReg Bit set.
   static uint8_t GPR64_with_sub_32_in_CPURARegBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // GPR64_with_sub_32_in_CPUSPReg Register Class...
-  static uint16_t GPR64_with_sub_32_in_CPUSPReg[] = {
+  static MCPhysReg GPR64_with_sub_32_in_CPUSPReg[] = {
     Mips_SP_64, 
   };
 
   // GPR64_with_sub_32_in_CPUSPReg Bit set.
   static uint8_t GPR64_with_sub_32_in_CPUSPRegBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x02, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x40, 
   };
 
   // HI64 Register Class...
-  static uint16_t HI64[] = {
+  static MCPhysReg HI64[] = {
     Mips_HI0_64, 
   };
 
   // HI64 Bit set.
   static uint8_t HI64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x04, 
   };
 
   // LO64 Register Class...
-  static uint16_t LO64[] = {
+  static MCPhysReg LO64[] = {
     Mips_LO0_64, 
   };
 
   // LO64 Bit set.
   static uint8_t LO64Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x20, 
   };
 
   // MSA128B Register Class...
-  static uint16_t MSA128B[] = {
+  static MCPhysReg MSA128B[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128B Bit set.
   static uint8_t MSA128BBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128D Register Class...
-  static uint16_t MSA128D[] = {
+  static MCPhysReg MSA128D[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128D Bit set.
   static uint8_t MSA128DBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128H Register Class...
-  static uint16_t MSA128H[] = {
+  static MCPhysReg MSA128H[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128H Bit set.
   static uint8_t MSA128HBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
   };
 
   // MSA128W Register Class...
-  static uint16_t MSA128W[] = {
+  static MCPhysReg MSA128W[] = {
     Mips_W0, Mips_W1, Mips_W2, Mips_W3, Mips_W4, Mips_W5, Mips_W6, Mips_W7, Mips_W8, Mips_W9, Mips_W10, Mips_W11, Mips_W12, Mips_W13, Mips_W14, Mips_W15, Mips_W16, Mips_W17, Mips_W18, Mips_W19, Mips_W20, Mips_W21, Mips_W22, Mips_W23, Mips_W24, Mips_W25, Mips_W26, Mips_W27, Mips_W28, Mips_W29, Mips_W30, Mips_W31, 
   };
 
   // MSA128W Bit set.
   static uint8_t MSA128WBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+  };
+
+  // MSA128B_with_sub_64_in_OddSP Register Class...
+  static MCPhysReg MSA128B_with_sub_64_in_OddSP[] = {
+    Mips_W1, Mips_W3, Mips_W5, Mips_W7, Mips_W9, Mips_W11, Mips_W13, Mips_W15, Mips_W17, Mips_W19, Mips_W21, Mips_W23, Mips_W25, Mips_W27, Mips_W29, Mips_W31, 
+  };
+
+  // MSA128B_with_sub_64_in_OddSP Bit set.
+  static uint8_t MSA128B_with_sub_64_in_OddSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x50, 0x55, 0x55, 0x55, 0x05, 
   };
 
   // ACC128 Register Class...
-  static uint16_t ACC128[] = {
+  static MCPhysReg ACC128[] = {
     Mips_AC0_64, 
   };
 
   // ACC128 Bit set.
   static uint8_t ACC128Bits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x08, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
   };
 
 static MCRegisterClass MipsMCRegisterClasses[] = {
+  { "OddSP", OddSP, OddSPBits, 56, sizeof(OddSPBits), Mips_OddSPRegClassID, 4, 4, 1, 0 },
   { "CCR", CCR, CCRBits, 32, sizeof(CCRBits), Mips_CCRRegClassID, 4, 4, 1, 0 },
   { "COP2", COP2, COP2Bits, 32, sizeof(COP2Bits), Mips_COP2RegClassID, 4, 4, 1, 0 },
+  { "COP3", COP3, COP3Bits, 32, sizeof(COP3Bits), Mips_COP3RegClassID, 4, 4, 1, 0 },
   { "DSPR", DSPR, DSPRBits, 32, sizeof(DSPRBits), Mips_DSPRRegClassID, 4, 4, 1, 1 },
   { "FGR32", FGR32, FGR32Bits, 32, sizeof(FGR32Bits), Mips_FGR32RegClassID, 4, 4, 1, 1 },
+  { "FGRCC", FGRCC, FGRCCBits, 32, sizeof(FGRCCBits), Mips_FGRCCRegClassID, 4, 4, 1, 1 },
   { "FGRH32", FGRH32, FGRH32Bits, 32, sizeof(FGRH32Bits), Mips_FGRH32RegClassID, 4, 4, 1, 0 },
   { "GPR32", GPR32, GPR32Bits, 32, sizeof(GPR32Bits), Mips_GPR32RegClassID, 4, 4, 1, 1 },
+  { "HWRegs", HWRegs, HWRegsBits, 32, sizeof(HWRegsBits), Mips_HWRegsRegClassID, 4, 4, 1, 0 },
+  { "OddSP_with_sub_hi", OddSP_with_sub_hi, OddSP_with_sub_hiBits, 24, sizeof(OddSP_with_sub_hiBits), Mips_OddSP_with_sub_hiRegClassID, 4, 4, 1, 0 },
+  { "FGR32_and_OddSP", FGR32_and_OddSP, FGR32_and_OddSPBits, 16, sizeof(FGR32_and_OddSPBits), Mips_FGR32_and_OddSPRegClassID, 4, 4, 1, 1 },
+  { "FGRH32_and_OddSP", FGRH32_and_OddSP, FGRH32_and_OddSPBits, 16, sizeof(FGRH32_and_OddSPBits), Mips_FGRH32_and_OddSPRegClassID, 4, 4, 1, 0 },
+  { "OddSP_with_sub_hi_with_sub_hi_in_FGRH32", OddSP_with_sub_hi_with_sub_hi_in_FGRH32, OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits, 16, sizeof(OddSP_with_sub_hi_with_sub_hi_in_FGRH32Bits), Mips_OddSP_with_sub_hi_with_sub_hi_in_FGRH32RegClassID, 4, 4, 1, 0 },
   { "CPU16RegsPlusSP", CPU16RegsPlusSP, CPU16RegsPlusSPBits, 9, sizeof(CPU16RegsPlusSPBits), Mips_CPU16RegsPlusSPRegClassID, 4, 4, 1, 1 },
   { "CPU16Regs", CPU16Regs, CPU16RegsBits, 8, sizeof(CPU16RegsBits), Mips_CPU16RegsRegClassID, 4, 4, 1, 1 },
   { "FCC", FCC, FCCBits, 8, sizeof(FCCBits), Mips_FCCRegClassID, 4, 4, 1, 0 },
   { "MSACtrl", MSACtrl, MSACtrlBits, 8, sizeof(MSACtrlBits), Mips_MSACtrlRegClassID, 4, 4, 1, 1 },
+  { "OddSP_with_sub_hi_with_sub_hi_in_FGR32", OddSP_with_sub_hi_with_sub_hi_in_FGR32, OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits, 8, sizeof(OddSP_with_sub_hi_with_sub_hi_in_FGR32Bits), Mips_OddSP_with_sub_hi_with_sub_hi_in_FGR32RegClassID, 4, 4, 1, 0 },
   { "HI32DSP", HI32DSP, HI32DSPBits, 4, sizeof(HI32DSPBits), Mips_HI32DSPRegClassID, 4, 4, 1, 1 },
   { "LO32DSP", LO32DSP, LO32DSPBits, 4, sizeof(LO32DSPBits), Mips_LO32DSPRegClassID, 4, 4, 1, 1 },
   { "CPURAReg", CPURAReg, CPURARegBits, 1, sizeof(CPURARegBits), Mips_CPURARegRegClassID, 4, 4, 1, 0 },
   { "CPUSPReg", CPUSPReg, CPUSPRegBits, 1, sizeof(CPUSPRegBits), Mips_CPUSPRegRegClassID, 4, 4, 1, 0 },
   { "DSPCC", DSPCC, DSPCCBits, 1, sizeof(DSPCCBits), Mips_DSPCCRegClassID, 4, 4, 1, 1 },
   { "HI32", HI32, HI32Bits, 1, sizeof(HI32Bits), Mips_HI32RegClassID, 4, 4, 1, 1 },
-  { "HWRegs", HWRegs, HWRegsBits, 1, sizeof(HWRegsBits), Mips_HWRegsRegClassID, 4, 4, 1, 0 },
   { "LO32", LO32, LO32Bits, 1, sizeof(LO32Bits), Mips_LO32RegClassID, 4, 4, 1, 1 },
   { "FGR64", FGR64, FGR64Bits, 32, sizeof(FGR64Bits), Mips_FGR64RegClassID, 8, 8, 1, 1 },
   { "GPR64", GPR64, GPR64Bits, 32, sizeof(GPR64Bits), Mips_GPR64RegClassID, 8, 8, 1, 1 },
   { "AFGR64", AFGR64, AFGR64Bits, 16, sizeof(AFGR64Bits), Mips_AFGR64RegClassID, 8, 8, 1, 1 },
+  { "FGR64_and_OddSP", FGR64_and_OddSP, FGR64_and_OddSPBits, 16, sizeof(FGR64_and_OddSPBits), Mips_FGR64_and_OddSPRegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPU16RegsPlusSP", GPR64_with_sub_32_in_CPU16RegsPlusSP, GPR64_with_sub_32_in_CPU16RegsPlusSPBits, 9, sizeof(GPR64_with_sub_32_in_CPU16RegsPlusSPBits), Mips_GPR64_with_sub_32_in_CPU16RegsPlusSPRegClassID, 8, 8, 1, 1 },
+  { "AFGR64_and_OddSP", AFGR64_and_OddSP, AFGR64_and_OddSPBits, 8, sizeof(AFGR64_and_OddSPBits), Mips_AFGR64_and_OddSPRegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPU16Regs", GPR64_with_sub_32_in_CPU16Regs, GPR64_with_sub_32_in_CPU16RegsBits, 8, sizeof(GPR64_with_sub_32_in_CPU16RegsBits), Mips_GPR64_with_sub_32_in_CPU16RegsRegClassID, 8, 8, 1, 1 },
   { "ACC64DSP", ACC64DSP, ACC64DSPBits, 4, sizeof(ACC64DSPBits), Mips_ACC64DSPRegClassID, 8, 8, 1, 1 },
+  { "OCTEON_MPL", OCTEON_MPL, OCTEON_MPLBits, 3, sizeof(OCTEON_MPLBits), Mips_OCTEON_MPLRegClassID, 8, 8, 1, 0 },
+  { "OCTEON_P", OCTEON_P, OCTEON_PBits, 3, sizeof(OCTEON_PBits), Mips_OCTEON_PRegClassID, 8, 8, 1, 0 },
   { "ACC64", ACC64, ACC64Bits, 1, sizeof(ACC64Bits), Mips_ACC64RegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPURAReg", GPR64_with_sub_32_in_CPURAReg, GPR64_with_sub_32_in_CPURARegBits, 1, sizeof(GPR64_with_sub_32_in_CPURARegBits), Mips_GPR64_with_sub_32_in_CPURARegRegClassID, 8, 8, 1, 1 },
   { "GPR64_with_sub_32_in_CPUSPReg", GPR64_with_sub_32_in_CPUSPReg, GPR64_with_sub_32_in_CPUSPRegBits, 1, sizeof(GPR64_with_sub_32_in_CPUSPRegBits), Mips_GPR64_with_sub_32_in_CPUSPRegRegClassID, 8, 8, 1, 1 },
@@ -1200,6 +1493,7 @@
   { "MSA128D", MSA128D, MSA128DBits, 32, sizeof(MSA128DBits), Mips_MSA128DRegClassID, 16, 16, 1, 1 },
   { "MSA128H", MSA128H, MSA128HBits, 32, sizeof(MSA128HBits), Mips_MSA128HRegClassID, 16, 16, 1, 1 },
   { "MSA128W", MSA128W, MSA128WBits, 32, sizeof(MSA128WBits), Mips_MSA128WRegClassID, 16, 16, 1, 1 },
+  { "MSA128B_with_sub_64_in_OddSP", MSA128B_with_sub_64_in_OddSP, MSA128B_with_sub_64_in_OddSPBits, 16, sizeof(MSA128B_with_sub_64_in_OddSPBits), Mips_MSA128B_with_sub_64_in_OddSPRegClassID, 16, 16, 1, 1 },
   { "ACC128", ACC128, ACC128Bits, 1, sizeof(ACC128Bits), Mips_ACC128RegClassID, 16, 16, 1, 1 },
 };
 
diff --git a/arch/Mips/MipsGenSubtargetInfo.inc b/arch/Mips/MipsGenSubtargetInfo.inc
index 0dbb0a5..0cba4db 100644
--- a/arch/Mips/MipsGenSubtargetInfo.inc
+++ b/arch/Mips/MipsGenSubtargetInfo.inc
@@ -7,36 +7,46 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_SUBTARGETINFO_ENUM
 #undef GET_SUBTARGETINFO_ENUM
 
-enum {
-  Mips_FeatureBitCount =  1ULL << 0,
-  Mips_FeatureCondMov =  1ULL << 1,
-  Mips_FeatureDSP =  1ULL << 2,
-  Mips_FeatureDSPR2 =  1ULL << 3,
-  Mips_FeatureEABI =  1ULL << 4,
-  Mips_FeatureFP64Bit =  1ULL << 5,
-  Mips_FeatureFPIdx =  1ULL << 6,
-  Mips_FeatureGP64Bit =  1ULL << 7,
-  Mips_FeatureMSA =  1ULL << 8,
-  Mips_FeatureMicroMips =  1ULL << 9,
-  Mips_FeatureMips16 =  1ULL << 10,
-  Mips_FeatureMips32 =  1ULL << 11,
-  Mips_FeatureMips32r2 =  1ULL << 12,
-  Mips_FeatureMips64 =  1ULL << 13,
-  Mips_FeatureMips64r2 =  1ULL << 14,
-  Mips_FeatureN32 =  1ULL << 15,
-  Mips_FeatureN64 =  1ULL << 16,
-  Mips_FeatureO32 =  1ULL << 17,
-  Mips_FeatureSEInReg =  1ULL << 18,
-  Mips_FeatureSingleFloat =  1ULL << 19,
-  Mips_FeatureSwap =  1ULL << 20,
-  Mips_FeatureVFPU =  1ULL << 21
-};
+#define Mips_FeatureCnMips (1ULL << 0)
+#define Mips_FeatureDSP (1ULL << 1)
+#define Mips_FeatureDSPR2 (1ULL << 2)
+#define Mips_FeatureEABI (1ULL << 3)
+#define Mips_FeatureFP64Bit (1ULL << 4)
+#define Mips_FeatureFPXX (1ULL << 5)
+#define Mips_FeatureGP64Bit (1ULL << 6)
+#define Mips_FeatureMSA (1ULL << 7)
+#define Mips_FeatureMicroMips (1ULL << 8)
+#define Mips_FeatureMips1 (1ULL << 9)
+#define Mips_FeatureMips2 (1ULL << 10)
+#define Mips_FeatureMips3 (1ULL << 11)
+#define Mips_FeatureMips3_32 (1ULL << 12)
+#define Mips_FeatureMips3_32r2 (1ULL << 13)
+#define Mips_FeatureMips4 (1ULL << 14)
+#define Mips_FeatureMips4_32 (1ULL << 15)
+#define Mips_FeatureMips4_32r2 (1ULL << 16)
+#define Mips_FeatureMips5 (1ULL << 17)
+#define Mips_FeatureMips5_32r2 (1ULL << 18)
+#define Mips_FeatureMips16 (1ULL << 19)
+#define Mips_FeatureMips32 (1ULL << 20)
+#define Mips_FeatureMips32r2 (1ULL << 21)
+#define Mips_FeatureMips32r6 (1ULL << 22)
+#define Mips_FeatureMips64 (1ULL << 23)
+#define Mips_FeatureMips64r2 (1ULL << 24)
+#define Mips_FeatureMips64r6 (1ULL << 25)
+#define Mips_FeatureN32 (1ULL << 26)
+#define Mips_FeatureN64 (1ULL << 27)
+#define Mips_FeatureNaN2008 (1ULL << 28)
+#define Mips_FeatureNoABICalls (1ULL << 29)
+#define Mips_FeatureNoOddSPReg (1ULL << 30)
+#define Mips_FeatureO32 (1ULL << 31)
+#define Mips_FeatureSingleFloat (1ULL << 32)
+#define Mips_FeatureVFPU (1ULL << 33)
 
 #endif // GET_SUBTARGETINFO_ENUM
 
diff --git a/arch/Mips/MipsInstPrinter.c b/arch/Mips/MipsInstPrinter.c
index a107377..07178fe 100644
--- a/arch/Mips/MipsInstPrinter.c
+++ b/arch/Mips/MipsInstPrinter.c
@@ -221,6 +221,24 @@
 	}
 }
 
+// check to see if @id is opcode of a relative branch instruction
+static bool relativeBranch(unsigned int id)
+{
+	static unsigned int branchIns[] = {
+		Mips_BEQ, Mips_BC1F, Mips_BGEZ, Mips_BGEZAL, Mips_BGTZ,
+		Mips_BLEZ, Mips_BLTZ, Mips_BLTZAL, Mips_BNE, Mips_BC1T,
+	};
+	int i;
+
+	for(i = 0; i < ARR_SIZE(branchIns); i++) {
+		if (id == branchIns[i])
+			return true;
+	}
+
+	// not found
+	return false;
+}
+
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	MCOperand *Op = MCInst_getOperand(MI, OpNo);
@@ -237,9 +255,7 @@
 				MI->flat_insn->detail->mips.op_count++;
 			}
 		}
-	}
-
-	if (MCOperand_isImm(Op)) {
+	} else if (MCOperand_isImm(Op)) {
 		int64_t imm = MCOperand_getImm(Op);
 		if (MI->csh->doing_mem) {
 			if (imm) {	// only print Imm offset if it is not 0
@@ -258,6 +274,10 @@
 			if (MI->csh->detail)
 				MI->flat_insn->detail->mips.operands[MI->flat_insn->detail->mips.op_count].mem.disp = imm;
 		} else {
+			if (relativeBranch(MI->Opcode)) {
+				imm += MI->address;
+			}
+
 			if (imm >= 0) {
 				if (imm > HEX_THRESHOLD)
 					SStream_concat(O, "0x%"PRIx64, imm);
diff --git a/arch/Mips/MipsMapping.c b/arch/Mips/MipsMapping.c
index eda3362..d80d2ea 100644
--- a/arch/Mips/MipsMapping.c
+++ b/arch/Mips/MipsMapping.c
@@ -226,6 +226,12 @@
 #endif
 	},
 	{
+		Mips_ADDIUPC, MIPS_INS_ADDIUPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_ADDQH_PH, MIPS_INS_ADDQH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -468,7 +474,7 @@
 	{
 		Mips_ADDi, MIPS_INS_ADDI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -502,6 +508,18 @@
 #endif
 	},
 	{
+		Mips_ALIGN, MIPS_INS_ALIGN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_ALUIPC, MIPS_INS_ALUIPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AND, MIPS_INS_AND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
@@ -604,6 +622,18 @@
 #endif
 	},
 	{
+		Mips_AUI, MIPS_INS_AUI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_AUIPC, MIPS_INS_AUIPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AVER_S_B, MIPS_INS_AVER_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -712,6 +742,12 @@
 #endif
 	},
 	{
+		Mips_AddiuRxRxImm16, MIPS_INS_ADDIU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AddiuRxRxImmX16, MIPS_INS_ADDIU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -724,6 +760,12 @@
 #endif
 	},
 	{
+		Mips_AddiuSpImm16, MIPS_INS_ADDIU,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_SP, 0 }, { MIPS_REG_SP, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_AddiuSpImmX16, MIPS_INS_ADDIU,
 #ifndef CAPSTONE_DIET
 		{ MIPS_REG_SP, 0 }, { MIPS_REG_SP, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -742,15 +784,45 @@
 #endif
 	},
 	{
+		Mips_BADDu, MIPS_INS_BADDU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_BAL, MIPS_INS_BAL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BALC, MIPS_INS_BALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BALIGN, MIPS_INS_BALIGN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_BC, MIPS_INS_BC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC1EQZ, MIPS_INS_BC1EQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1F, MIPS_INS_BC1F,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -760,9 +832,15 @@
 #endif
 	},
 	{
+		Mips_BC1NEZ, MIPS_INS_BC1NEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BC1T, MIPS_INS_BC1T,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -772,6 +850,18 @@
 #endif
 	},
 	{
+		Mips_BC2EQZ, MIPS_INS_BC2EQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BC2NEZ, MIPS_INS_BC2NEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BCLRI_B, MIPS_INS_BCLRI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -832,12 +922,42 @@
 #endif
 	},
 	{
+		Mips_BEQC, MIPS_INS_BEQC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BEQZALC, MIPS_INS_BEQZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BEQZC, MIPS_INS_BEQZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BEQ_MM, MIPS_INS_BEQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BGEC, MIPS_INS_BGEC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BGEUC, MIPS_INS_BGEUC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGEZ, MIPS_INS_BGEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
@@ -852,7 +972,13 @@
 	{
 		Mips_BGEZAL, MIPS_INS_BGEZAL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_BGEZALC, MIPS_INS_BGEZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -862,6 +988,12 @@
 #endif
 	},
 	{
+		Mips_BGEZC, MIPS_INS_BGEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGEZ_MM, MIPS_INS_BGEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -880,6 +1012,18 @@
 #endif
 	},
 	{
+		Mips_BGTZALC, MIPS_INS_BGTZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BGTZC, MIPS_INS_BGTZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BGTZ_MM, MIPS_INS_BGTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -988,6 +1132,12 @@
 #endif
 	},
 	{
+		Mips_BITSWAP, MIPS_INS_BITSWAP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_BLEZ, MIPS_INS_BLEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
@@ -1000,12 +1150,36 @@
 #endif
 	},
 	{
+		Mips_BLEZALC, MIPS_INS_BLEZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BLEZC, MIPS_INS_BLEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLEZ_MM, MIPS_INS_BLEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BLTC, MIPS_INS_BLTC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BLTUC, MIPS_INS_BLTUC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLTZ, MIPS_INS_BLTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, 0 }, 1, 0
@@ -1020,7 +1194,13 @@
 	{
 		Mips_BLTZAL, MIPS_INS_BLTZAL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_BLTZALC, MIPS_INS_BLTZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
 #endif
 	},
 	{
@@ -1030,6 +1210,12 @@
 #endif
 	},
 	{
+		Mips_BLTZC, MIPS_INS_BLTZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BLTZ_MM, MIPS_INS_BLTZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
@@ -1072,6 +1258,12 @@
 #endif
 	},
 	{
+		Mips_BNEC, MIPS_INS_BNEC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNEGI_B, MIPS_INS_BNEGI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1120,12 +1312,30 @@
 #endif
 	},
 	{
+		Mips_BNEZALC, MIPS_INS_BNEZALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
+		Mips_BNEZC, MIPS_INS_BNEZC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNE_MM, MIPS_INS_BNE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BNVC, MIPS_INS_BNVC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BNZ_B, MIPS_INS_BNZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_MSA, 0 }, 1, 0
@@ -1156,6 +1366,12 @@
 #endif
 	},
 	{
+		Mips_BOVC, MIPS_INS_BOVC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BPOSGE32, MIPS_INS_BPOSGE32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSP, 0 }, 1, 0
@@ -1264,18 +1480,36 @@
 #endif
 	},
 	{
+		Mips_BeqzRxImm16, MIPS_INS_BEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BeqzRxImmX16, MIPS_INS_BEQZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_Bimm16, MIPS_INS_B,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BimmX16, MIPS_INS_B,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_BnezRxImm16, MIPS_INS_BNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BnezRxImmX16, MIPS_INS_BNEZ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
@@ -1288,39 +1522,63 @@
 #endif
 	},
 	{
+		Mips_Bteqz16, MIPS_INS_BTEQZ,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BteqzX16, MIPS_INS_BTEQZ,
 #ifndef CAPSTONE_DIET
 		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_Btnez16, MIPS_INS_BTNEZ,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
+#endif
+	},
+	{
 		Mips_BtnezX16, MIPS_INS_BTNEZ,
 #ifndef CAPSTONE_DIET
 		{ MIPS_REG_T8, 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 1, 0
 #endif
 	},
 	{
+		Mips_CACHE, MIPS_INS_CACHE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CACHE_R6, MIPS_INS_CACHE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CEIL_L_D64, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CEIL_L_S, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CEIL_W_D32, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CEIL_W_D64, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1332,7 +1590,7 @@
 	{
 		Mips_CEIL_W_S, MIPS_INS_CEIL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1408,6 +1666,30 @@
 #endif
 	},
 	{
+		Mips_CINS, MIPS_INS_CINS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CINS32, MIPS_INS_CINS32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CLASS_D, MIPS_INS_CLASS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CLASS_S, MIPS_INS_CLASS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CLEI_S_B, MIPS_INS_CLEI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1506,7 +1788,7 @@
 	{
 		Mips_CLO, MIPS_INS_CLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1516,6 +1798,12 @@
 #endif
 	},
 	{
+		Mips_CLO_R6, MIPS_INS_CLO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CLTI_S_B, MIPS_INS_CLTI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1614,7 +1902,7 @@
 	{
 		Mips_CLZ, MIPS_INS_CLZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1624,6 +1912,12 @@
 #endif
 	},
 	{
+		Mips_CLZ_R6, MIPS_INS_CLZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMPGDU_EQ_QB, MIPS_INS_CMPGDU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -1678,24 +1972,216 @@
 #endif
 	},
 	{
+		Mips_CMP_EQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMP_EQ_PH, MIPS_INS_CMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_CMP_EQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_F_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_F_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_LE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMP_LE_PH, MIPS_INS_CMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_CMP_LE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_LT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CMP_LT_PH, MIPS_INS_CMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPCCOND, 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_CMP_LT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SAF_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SAF_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SEQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SEQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SLT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUEQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUEQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SULT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUN_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_SUN_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UEQ_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UEQ_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULE_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULE_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULT_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_ULT_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UN_D, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_CMP_UN_S, MIPS_INS_CMP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_COPY_S_B, MIPS_INS_COPY_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -1764,13 +2250,13 @@
 	{
 		Mips_CVT_D32_S, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_D32_W, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1782,19 +2268,19 @@
 	{
 		Mips_CVT_D64_L, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_D64_S, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_D64_W, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1806,7 +2292,7 @@
 	{
 		Mips_CVT_L_D64, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1818,7 +2304,7 @@
 	{
 		Mips_CVT_L_S, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1830,7 +2316,7 @@
 	{
 		Mips_CVT_S_D32, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1842,13 +2328,13 @@
 	{
 		Mips_CVT_S_D64, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_S_L, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1866,13 +2352,13 @@
 	{
 		Mips_CVT_W_D32, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_CVT_W_D64, MIPS_INS_CVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1896,289 +2382,289 @@
 	{
 		Mips_C_EQ_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_EQ_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_EQ_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_F_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_F_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_F_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_LT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGLE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGLE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGLE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGL_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGL_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGL_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_NGT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_OLT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SEQ_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SEQ_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SEQ_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SF_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SF_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_SF_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UEQ_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UEQ_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UEQ_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULE_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULE_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULE_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULT_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULT_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_ULT_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UN_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UN_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_C_UN_S, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2188,6 +2674,12 @@
 #endif
 	},
 	{
+		Mips_CmpiRxImm16, MIPS_INS_CMPI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_CmpiRxImmX16, MIPS_INS_CMPI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -2196,43 +2688,97 @@
 	{
 		Mips_DADD, MIPS_INS_DADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DADDi, MIPS_INS_DADDI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DADDiu, MIPS_INS_DADDIU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DADDu, MIPS_INS_DADDU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DAHI, MIPS_INS_DAHI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DALIGN, MIPS_INS_DALIGN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DATI, MIPS_INS_DATI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DAUI, MIPS_INS_DAUI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DBITSWAP, MIPS_INS_DBITSWAP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DCLO, MIPS_INS_DCLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DCLO_R6, MIPS_INS_DCLO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DCLZ, MIPS_INS_DCLZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_BITCOUNT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DCLZ_R6, MIPS_INS_DCLZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DDIV, MIPS_INS_DDIV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DDIVU, MIPS_INS_DDIVU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DERET, MIPS_INS_DERET,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2244,43 +2790,55 @@
 	{
 		Mips_DEXT, MIPS_INS_DEXT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DEXTM, MIPS_INS_DEXTM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DEXTU, MIPS_INS_DEXTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DI, MIPS_INS_DI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DINS, MIPS_INS_DINS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DINSM, MIPS_INS_DINSM,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DINSU, MIPS_INS_DINSU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DIV, MIPS_INS_DIV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DIVU, MIPS_INS_DIVU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2344,6 +2902,12 @@
 #endif
 	},
 	{
+		Mips_DLSA_R6, MIPS_INS_DLSA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DMFC0, MIPS_INS_DMFC0,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, 0 }, 0, 0
@@ -2352,7 +2916,7 @@
 	{
 		Mips_DMFC1, MIPS_INS_DMFC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2362,6 +2926,18 @@
 #endif
 	},
 	{
+		Mips_DMOD, MIPS_INS_DMOD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMODU, MIPS_INS_DMODU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DMTC0, MIPS_INS_DMTC0,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, 0 }, 0, 0
@@ -2370,7 +2946,7 @@
 	{
 		Mips_DMTC1, MIPS_INS_DMTC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2380,15 +2956,45 @@
 #endif
 	},
 	{
+		Mips_DMUH, MIPS_INS_DMUH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMUHU, MIPS_INS_DMUHU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMUL, MIPS_INS_DMUL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DMULT, MIPS_INS_DMULT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DMULTu, MIPS_INS_DMULTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMULU, MIPS_INS_DMULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DMUL_R6, MIPS_INS_DMUL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2512,6 +3118,12 @@
 #endif
 	},
 	{
+		Mips_DPOP, MIPS_INS_DPOP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_DPSQX_SA_W_PH, MIPS_INS_DPSQX_SA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPOUTFLAG16_19, 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -2598,49 +3210,49 @@
 	{
 		Mips_DROTR, MIPS_INS_DROTR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DROTR32, MIPS_INS_DROTR32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DROTRV, MIPS_INS_DROTRV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSBH, MIPS_INS_DSBH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SWAP, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSDIV, MIPS_INS_DDIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSHD, MIPS_INS_DSHD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SWAP, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSLL, MIPS_INS_DSLL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSLL32, MIPS_INS_DSLL32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2652,55 +3264,61 @@
 	{
 		Mips_DSLLV, MIPS_INS_DSLLV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRA, MIPS_INS_DSRA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRA32, MIPS_INS_DSRA32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRAV, MIPS_INS_DSRAV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRL, MIPS_INS_DSRL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRL32, MIPS_INS_DSRL32,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSRLV, MIPS_INS_DSRLV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_DSUB, MIPS_INS_DSUB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DSUBu, MIPS_INS_DSUBU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_DUDIV, MIPS_INS_DDIVU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2716,12 +3334,18 @@
 #endif
 	},
 	{
-		Mips_EI, MIPS_INS_EI,
+		Mips_EHB, MIPS_INS_EHB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_EI, MIPS_INS_EI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_EI_MM, MIPS_INS_EI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -2730,7 +3354,7 @@
 	{
 		Mips_ERET, MIPS_INS_ERET,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2742,7 +3366,7 @@
 	{
 		Mips_EXT, MIPS_INS_EXT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2818,6 +3442,18 @@
 #endif
 	},
 	{
+		Mips_EXTS, MIPS_INS_EXTS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_EXTS32, MIPS_INS_EXTS32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_EXT_MM, MIPS_INS_EXT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -2826,13 +3462,13 @@
 	{
 		Mips_FABS_D32, MIPS_INS_ABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FABS_D64, MIPS_INS_ABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2844,7 +3480,7 @@
 	{
 		Mips_FABS_S, MIPS_INS_ABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2862,13 +3498,13 @@
 	{
 		Mips_FADD_D32, MIPS_INS_ADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FADD_D64, MIPS_INS_ADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2958,7 +3594,7 @@
 	{
 		Mips_FCMP_D32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2970,13 +3606,13 @@
 	{
 		Mips_FCMP_D64, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FCMP_S32, MIPS_INS_C,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_FCC0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3078,13 +3714,13 @@
 	{
 		Mips_FDIV_D32, MIPS_INS_DIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FDIV_D64, MIPS_INS_DIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3246,25 +3882,25 @@
 	{
 		Mips_FLOOR_L_D64, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FLOOR_L_S, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FLOOR_W_D32, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FLOOR_W_D64, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3276,7 +3912,7 @@
 	{
 		Mips_FLOOR_W_S, MIPS_INS_FLOOR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3348,7 +3984,7 @@
 	{
 		Mips_FMOV_D32, MIPS_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3360,7 +3996,7 @@
 	{
 		Mips_FMOV_D64, MIPS_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3396,13 +4032,13 @@
 	{
 		Mips_FMUL_D32, MIPS_INS_MUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FMUL_D64, MIPS_INS_MUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3432,13 +4068,13 @@
 	{
 		Mips_FNEG_D32, MIPS_INS_NEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FNEG_D64, MIPS_INS_NEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3450,7 +4086,7 @@
 	{
 		Mips_FNEG_S, MIPS_INS_NEG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3576,13 +4212,13 @@
 	{
 		Mips_FSQRT_D32, MIPS_INS_SQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FSQRT_D64, MIPS_INS_SQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3594,7 +4230,7 @@
 	{
 		Mips_FSQRT_S, MIPS_INS_SQRT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3618,13 +4254,13 @@
 	{
 		Mips_FSUB_D32, MIPS_INS_SUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_FSUB_D64, MIPS_INS_SUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3942,7 +4578,7 @@
 	{
 		Mips_INS, MIPS_INS_INS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4020,7 +4656,13 @@
 	{
 		Mips_JALR, MIPS_INS_JALR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_JALR16_MM, MIPS_INS_JALR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4030,18 +4672,42 @@
 #endif
 	},
 	{
+		Mips_JALR_HB, MIPS_INS_JALR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 1
+#endif
+	},
+	{
 		Mips_JALR_MM, MIPS_INS_JALR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_JALX, MIPS_INS_JALX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_JAL_MM, MIPS_INS_JAL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_JIALC, MIPS_INS_JIALC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_RA, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_JIC, MIPS_INS_JIC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_AT, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_JR, MIPS_INS_JR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 1, 1
@@ -4054,6 +4720,18 @@
 #endif
 	},
 	{
+		Mips_JR_HB, MIPS_INS_JR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 1, 1
+#endif
+	},
+	{
+		Mips_JR_HB_R6, MIPS_INS_JR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 1, 1
+#endif
+	},
+	{
 		Mips_JR_MM, MIPS_INS_JR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 1, 1
@@ -4140,19 +4818,19 @@
 	{
 		Mips_LD, MIPS_INS_LD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDC1, MIPS_INS_LDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDC164, MIPS_INS_LDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4164,7 +4842,19 @@
 	{
 		Mips_LDC2, MIPS_INS_LDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LDC2_R6, MIPS_INS_LDC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LDC3, MIPS_INS_LDC3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4194,25 +4884,31 @@
 	{
 		Mips_LDL, MIPS_INS_LDL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LDPC, MIPS_INS_LDPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDR, MIPS_INS_LDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDXC1, MIPS_INS_LDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LDXC164, MIPS_INS_LDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4302,13 +4998,19 @@
 	{
 		Mips_LL, MIPS_INS_LL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LLD, MIPS_INS_LLD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LLD_R6, MIPS_INS_LLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4318,21 +5020,33 @@
 #endif
 	},
 	{
+		Mips_LL_R6, MIPS_INS_LL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LSA, MIPS_INS_LSA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_LSA_R6, MIPS_INS_LSA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LUXC1, MIPS_INS_LUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LUXC164, MIPS_INS_LUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4386,13 +5100,25 @@
 	{
 		Mips_LWC2, MIPS_INS_LWC2,
 #ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LWC2_R6, MIPS_INS_LWC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_LWC3, MIPS_INS_LWC3,
+#ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_LWL, MIPS_INS_LWL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4408,9 +5134,15 @@
 #endif
 	},
 	{
+		Mips_LWPC, MIPS_INS_LWPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LWR, MIPS_INS_LWR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4426,6 +5158,12 @@
 #endif
 	},
 	{
+		Mips_LWUPC, MIPS_INS_LWUPC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LWU_MM, MIPS_INS_LWU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
@@ -4440,7 +5178,7 @@
 	{
 		Mips_LWXC1, MIPS_INS_LWXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4458,7 +5196,7 @@
 	{
 		Mips_LWu, MIPS_INS_LWU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4486,12 +5224,24 @@
 #endif
 	},
 	{
+		Mips_LiRxImm16, MIPS_INS_LI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LiRxImmX16, MIPS_INS_LI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_LwRxPcTcp16, MIPS_INS_LW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_LwRxPcTcpX16, MIPS_INS_LW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -4512,7 +5262,19 @@
 	{
 		Mips_MADD, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MADDF_D, MIPS_INS_MADDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MADDF_S, MIPS_INS_MADDF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4530,7 +5292,7 @@
 	{
 		Mips_MADDU, MIPS_INS_MADDU,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4572,7 +5334,7 @@
 	{
 		Mips_MADD_D32, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4584,7 +5346,7 @@
 	{
 		Mips_MADD_D64, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4614,7 +5376,7 @@
 	{
 		Mips_MADD_S, MIPS_INS_MADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4648,6 +5410,18 @@
 #endif
 	},
 	{
+		Mips_MAXA_D, MIPS_INS_MAXA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MAXA_S, MIPS_INS_MAXA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MAXI_S_B, MIPS_INS_MAXI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4720,6 +5494,18 @@
 #endif
 	},
 	{
+		Mips_MAX_D, MIPS_INS_MAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MAX_S, MIPS_INS_MAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MAX_S_B, MIPS_INS_MAX_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4770,7 +5556,7 @@
 	{
 		Mips_MFC0, MIPS_INS_MFC0,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4792,9 +5578,15 @@
 #endif
 	},
 	{
-		Mips_MFHC1, MIPS_INS_MFHC1,
+		Mips_MFHC1_D32, MIPS_INS_MFHC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MFHC1_D64, MIPS_INS_MFHC1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4806,13 +5598,19 @@
 	{
 		Mips_MFHI, MIPS_INS_MFHI,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MFHI16_MM, MIPS_INS_MFHI,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MFHI64, MIPS_INS_MFHI,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4830,13 +5628,19 @@
 	{
 		Mips_MFLO, MIPS_INS_MFLO,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MFLO16_MM, MIPS_INS_MFLO,
+#ifndef CAPSTONE_DIET
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MFLO64, MIPS_INS_MFLO,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_AC0, 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4852,6 +5656,18 @@
 #endif
 	},
 	{
+		Mips_MINA_D, MIPS_INS_MINA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MINA_S, MIPS_INS_MINA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MINI_S_B, MIPS_INS_MINI_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4924,6 +5740,18 @@
 #endif
 	},
 	{
+		Mips_MIN_D, MIPS_INS_MIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MIN_S, MIPS_INS_MIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MIN_S_B, MIPS_INS_MIN_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -4972,12 +5800,24 @@
 #endif
 	},
 	{
+		Mips_MOD, MIPS_INS_MOD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MODSUB, MIPS_INS_MODSUB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_MODU, MIPS_INS_MODU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MOD_S_B, MIPS_INS_MOD_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5026,6 +5866,12 @@
 #endif
 	},
 	{
+		Mips_MOVE16_MM, MIPS_INS_MOVE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MOVE_V, MIPS_INS_MOVE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5034,7 +5880,7 @@
 	{
 		Mips_MOVF_D32, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5046,19 +5892,19 @@
 	{
 		Mips_MOVF_D64, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVF_I, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVF_I64, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_GP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5070,7 +5916,7 @@
 	{
 		Mips_MOVF_S, MIPS_INS_MOVF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5082,31 +5928,31 @@
 	{
 		Mips_MOVN_I64_D64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I64_I, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I64_I64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I64_S, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_GP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I_D32, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5118,19 +5964,19 @@
 	{
 		Mips_MOVN_I_D64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I_I, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVN_I_I64, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5142,7 +5988,7 @@
 	{
 		Mips_MOVN_I_S, MIPS_INS_MOVN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5154,7 +6000,7 @@
 	{
 		Mips_MOVT_D32, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5166,19 +6012,19 @@
 	{
 		Mips_MOVT_D64, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVT_I, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVT_I64, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_GP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5190,7 +6036,7 @@
 	{
 		Mips_MOVT_S, MIPS_INS_MOVT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5202,31 +6048,31 @@
 	{
 		Mips_MOVZ_I64_D64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I64_I, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I64_I64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I64_S, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS64, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_MIPS64, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I_D32, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5238,19 +6084,19 @@
 	{
 		Mips_MOVZ_I_D64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I_I, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MOVZ_I_I64, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5262,7 +6108,7 @@
 	{
 		Mips_MOVZ_I_S, MIPS_INS_MOVZ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5274,7 +6120,19 @@
 	{
 		Mips_MSUB, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MSUBF_D, MIPS_INS_MSUBF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MSUBF_S, MIPS_INS_MSUBF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5292,7 +6150,7 @@
 	{
 		Mips_MSUBU, MIPS_INS_MSUBU,
 #ifndef CAPSTONE_DIET
-		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5334,7 +6192,7 @@
 	{
 		Mips_MSUB_D32, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5346,7 +6204,7 @@
 	{
 		Mips_MSUB_D64, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5376,7 +6234,7 @@
 	{
 		Mips_MSUB_S, MIPS_INS_MSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5388,7 +6246,7 @@
 	{
 		Mips_MTC0, MIPS_INS_MTC0,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5410,9 +6268,15 @@
 #endif
 	},
 	{
-		Mips_MTHC1, MIPS_INS_MTHC1,
+		Mips_MTHC1_D32, MIPS_INS_MTHC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTHC1_D64, MIPS_INS_MTHC1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5424,13 +6288,13 @@
 	{
 		Mips_MTHI, MIPS_INS_MTHI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MTHI64, MIPS_INS_MTHI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5454,13 +6318,13 @@
 	{
 		Mips_MTLO, MIPS_INS_MTLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_MTLO64, MIPS_INS_MTLO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5476,9 +6340,57 @@
 #endif
 	},
 	{
+		Mips_MTM0, MIPS_INS_MTM0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL0, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTM1, MIPS_INS_MTM1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL1, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTM2, MIPS_INS_MTM2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL2, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTP0, MIPS_INS_MTP0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P0, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTP1, MIPS_INS_MTP1,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P1, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MTP2, MIPS_INS_MTP2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MUH, MIPS_INS_MUH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_MUHU, MIPS_INS_MUHU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MUL, MIPS_INS_MUL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5556,7 +6468,7 @@
 	{
 		Mips_MULT, MIPS_INS_MULT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5580,7 +6492,7 @@
 	{
 		Mips_MULTu, MIPS_INS_MULTU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5590,6 +6502,12 @@
 #endif
 	},
 	{
+		Mips_MULU, MIPS_INS_MULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MULV_B, MIPS_INS_MULV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5638,6 +6556,12 @@
 #endif
 	},
 	{
+		Mips_MUL_R6, MIPS_INS_MUL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_MUL_S_PH, MIPS_INS_MUL_S,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_DSPOUTFLAG21, 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -5718,7 +6642,7 @@
 	{
 		Mips_NMADD_D32, MIPS_INS_NMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5730,13 +6654,13 @@
 	{
 		Mips_NMADD_D64, MIPS_INS_NMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_NMADD_S, MIPS_INS_NMADD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5748,7 +6672,7 @@
 	{
 		Mips_NMSUB_D32, MIPS_INS_NMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NOTFP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5760,13 +6684,13 @@
 	{
 		Mips_NMSUB_D64, MIPS_INS_NMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_FP64BIT, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_NMSUB_S, MIPS_INS_NMSUB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_NONANSFPMATH, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NONANSFPMATH, 0 }, 0, 0
 #endif
 	},
 	{
@@ -5878,6 +6802,12 @@
 #endif
 	},
 	{
+		Mips_PAUSE, MIPS_INS_PAUSE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_PCKEV_B, MIPS_INS_PCKEV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -5962,6 +6892,12 @@
 #endif
 	},
 	{
+		Mips_POP, MIPS_INS_POP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_PRECEQU_PH_QBL, MIPS_INS_PRECEQU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSP, 0 }, 0, 0
@@ -6064,6 +7000,18 @@
 #endif
 	},
 	{
+		Mips_PREF, MIPS_INS_PREF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3_32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_PREF_R6, MIPS_INS_PREF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_PREPEND, MIPS_INS_PREPEND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_DSPR2, 0 }, 0, 0
@@ -6118,27 +7066,27 @@
 #endif
 	},
 	{
-		Mips_RET, MIPS_INS_JR,
+		Mips_RINT_D, MIPS_INS_RINT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
-		Mips_RET_MM, MIPS_INS_JR,
+		Mips_RINT_S, MIPS_INS_RINT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROTR, MIPS_INS_ROTR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROTRV, MIPS_INS_ROTRV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_MIPS32R2, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6156,25 +7104,25 @@
 	{
 		Mips_ROUND_L_D64, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROUND_L_S, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROUND_W_D32, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_ROUND_W_D64, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6186,7 +7134,7 @@
 	{
 		Mips_ROUND_W_S, MIPS_INS_ROUND,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6264,13 +7212,19 @@
 	{
 		Mips_SC, MIPS_INS_SC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SCD, MIPS_INS_SCD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SCD_R6, MIPS_INS_SCD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6280,21 +7234,39 @@
 #endif
 	},
 	{
+		Mips_SC_R6, MIPS_INS_SC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SD, MIPS_INS_SD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDBBP, MIPS_INS_SDBBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDBBP_R6, MIPS_INS_SDBBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDC1, MIPS_INS_SDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDC164, MIPS_INS_SDC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6306,13 +7278,25 @@
 	{
 		Mips_SDC2, MIPS_INS_SDC2,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDC2_R6, MIPS_INS_SDC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SDC3, MIPS_INS_SDC3,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDIV, MIPS_INS_DIV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6324,37 +7308,37 @@
 	{
 		Mips_SDL, MIPS_INS_SDL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDR, MIPS_INS_SDR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS3, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDXC1, MIPS_INS_SDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SDXC164, MIPS_INS_SDXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SEB, MIPS_INS_SEB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SEB64, MIPS_INS_SEB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6366,13 +7350,13 @@
 	{
 		Mips_SEH, MIPS_INS_SEH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SEH64, MIPS_INS_SEH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SEINREG, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6382,6 +7366,78 @@
 #endif
 	},
 	{
+		Mips_SELEQZ, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP32BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELEQZ64, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP64BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELEQZ_D, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELEQZ_S, MIPS_INS_SELEQZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP32BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ64, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_GP64BIT, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ_D, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SELNEZ_S, MIPS_INS_SELNEZ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEL_D, MIPS_INS_SEL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEL_S, MIPS_INS_SEL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEQ, MIPS_INS_SEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SEQi, MIPS_INS_SEQI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SH, MIPS_INS_SH,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
@@ -6766,6 +7822,18 @@
 #endif
 	},
 	{
+		Mips_SNE, MIPS_INS_SNE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SNEi, MIPS_INS_SNEI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SPLATI_B, MIPS_INS_SPLATI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -7054,6 +8122,12 @@
 #endif
 	},
 	{
+		Mips_SSNOP, MIPS_INS_SSNOP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_ST_B, MIPS_INS_ST,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -7326,13 +8400,13 @@
 	{
 		Mips_SUXC1, MIPS_INS_SUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTFP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SUXC164, MIPS_INS_SUXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, MIPS_GRP_MIPS5_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7368,13 +8442,25 @@
 	{
 		Mips_SWC2, MIPS_INS_SWC2,
 #ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SWC2_R6, MIPS_INS_SWC2,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R6, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_SWC3, MIPS_INS_SWC3,
+#ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_SWL, MIPS_INS_SWL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7392,7 +8478,7 @@
 	{
 		Mips_SWR, MIPS_INS_SWR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7410,7 +8496,7 @@
 	{
 		Mips_SWXC1, MIPS_INS_SWXC1,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FPIDX, MIPS_GRP_STDENC, MIPS_GRP_NOTNACL, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS4_32R2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, MIPS_GRP_NOTNACL, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7428,7 +8514,7 @@
 	{
 		Mips_SYNC, MIPS_INS_SYNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7492,12 +8578,24 @@
 #endif
 	},
 	{
+		Mips_SltiRxImm16, MIPS_INS_SLTI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SltiRxImmX16, MIPS_INS_SLTI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
 #endif
 	},
 	{
+		Mips_SltiuRxImm16, MIPS_INS_SLTIU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_SltiuRxImmX16, MIPS_INS_SLTIU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { MIPS_REG_T8, 0 }, { MIPS_GRP_MIPS16MODE, 0 }, 0, 0
@@ -7560,7 +8658,7 @@
 	{
 		Mips_TEQI, MIPS_INS_TEQI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7584,13 +8682,13 @@
 	{
 		Mips_TGEI, MIPS_INS_TGEI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TGEIU, MIPS_INS_TGEIU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7624,6 +8722,30 @@
 #endif
 	},
 	{
+		Mips_TLBP, MIPS_INS_TLBP,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_TLBR, MIPS_INS_TLBR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_TLBWI, MIPS_INS_TLBWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_TLBWR, MIPS_INS_TLBWR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_TLT, MIPS_INS_TLT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
@@ -7632,7 +8754,7 @@
 	{
 		Mips_TLTI, MIPS_INS_TLTI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7674,7 +8796,7 @@
 	{
 		Mips_TNEI, MIPS_INS_TNEI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7692,25 +8814,25 @@
 	{
 		Mips_TRUNC_L_D64, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TRUNC_L_S, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TRUNC_W_D32, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_NOTFP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTFP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_TRUNC_W_D64, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_FP64BIT, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_FP64BIT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7722,7 +8844,7 @@
 	{
 		Mips_TRUNC_W_S, MIPS_INS_TRUNC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7734,13 +8856,13 @@
 	{
 		Mips_TTLTIU, MIPS_INS_TLTIU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS2, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
 		Mips_UDIV, MIPS_INS_DIVU,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { MIPS_REG_HI0, MIPS_REG_LO0, 0 }, { MIPS_GRP_STDENC, MIPS_GRP_NOTMIPS32R6, MIPS_GRP_NOTMIPS64R6, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7750,6 +8872,24 @@
 #endif
 	},
 	{
+		Mips_V3MULU, MIPS_INS_V3MULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_VMM0, MIPS_INS_VMM0,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL0, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
+		Mips_VMULU, MIPS_INS_VMULU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { MIPS_REG_MPL1, MIPS_REG_MPL2, MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, 0 }, { MIPS_GRP_CNMIPS, 0 }, 0, 0
+#endif
+	},
+	{
 		Mips_VSHF_B, MIPS_INS_VSHF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { MIPS_GRP_MSA, 0 }, 0, 0
@@ -7776,7 +8916,7 @@
 	{
 		Mips_WAIT, MIPS_INS_WAIT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_NOTINMICROMIPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7794,7 +8934,7 @@
 	{
 		Mips_WSBH, MIPS_INS_WSBH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { MIPS_GRP_SWAP, MIPS_GRP_STDENC, 0 }, 0, 0
+		{ 0 }, { 0 }, { MIPS_GRP_STDENC, MIPS_GRP_MIPS32R2, 0 }, 0, 0
 #endif
 	},
 	{
@@ -7937,6 +9077,7 @@
 
 	{ MIPS_INS_ABSQ_S, "absq_s" },
 	{ MIPS_INS_ADD, "add" },
+	{ MIPS_INS_ADDIUPC, "addiupc" },
 	{ MIPS_INS_ADDQH, "addqh" },
 	{ MIPS_INS_ADDQH_R, "addqh_r" },
 	{ MIPS_INS_ADDQ, "addq" },
@@ -7955,40 +9096,73 @@
 	{ MIPS_INS_ADD_A, "add_a" },
 	{ MIPS_INS_ADDI, "addi" },
 	{ MIPS_INS_ADDIU, "addiu" },
+	{ MIPS_INS_ALIGN, "align" },
+	{ MIPS_INS_ALUIPC, "aluipc" },
 	{ MIPS_INS_AND, "and" },
 	{ MIPS_INS_ANDI, "andi" },
 	{ MIPS_INS_APPEND, "append" },
 	{ MIPS_INS_ASUB_S, "asub_s" },
 	{ MIPS_INS_ASUB_U, "asub_u" },
+	{ MIPS_INS_AUI, "aui" },
+	{ MIPS_INS_AUIPC, "auipc" },
 	{ MIPS_INS_AVER_S, "aver_s" },
 	{ MIPS_INS_AVER_U, "aver_u" },
 	{ MIPS_INS_AVE_S, "ave_s" },
 	{ MIPS_INS_AVE_U, "ave_u" },
+	{ MIPS_INS_BADDU, "baddu" },
+	{ MIPS_INS_BAL, "bal" },
+	{ MIPS_INS_BALC, "balc" },
 	{ MIPS_INS_BALIGN, "balign" },
+	{ MIPS_INS_BC, "bc" },
+	{ MIPS_INS_BC1EQZ, "bc1eqz" },
 	{ MIPS_INS_BC1F, "bc1f" },
+	{ MIPS_INS_BC1NEZ, "bc1nez" },
 	{ MIPS_INS_BC1T, "bc1t" },
+	{ MIPS_INS_BC2EQZ, "bc2eqz" },
+	{ MIPS_INS_BC2NEZ, "bc2nez" },
 	{ MIPS_INS_BCLRI, "bclri" },
 	{ MIPS_INS_BCLR, "bclr" },
 	{ MIPS_INS_BEQ, "beq" },
+	{ MIPS_INS_BEQC, "beqc" },
+	{ MIPS_INS_BEQZALC, "beqzalc" },
+	{ MIPS_INS_BEQZC, "beqzc" },
+	{ MIPS_INS_BGEC, "bgec" },
+	{ MIPS_INS_BGEUC, "bgeuc" },
 	{ MIPS_INS_BGEZ, "bgez" },
 	{ MIPS_INS_BGEZAL, "bgezal" },
+	{ MIPS_INS_BGEZALC, "bgezalc" },
+	{ MIPS_INS_BGEZC, "bgezc" },
 	{ MIPS_INS_BGTZ, "bgtz" },
+	{ MIPS_INS_BGTZALC, "bgtzalc" },
+	{ MIPS_INS_BGTZC, "bgtzc" },
 	{ MIPS_INS_BINSLI, "binsli" },
 	{ MIPS_INS_BINSL, "binsl" },
 	{ MIPS_INS_BINSRI, "binsri" },
 	{ MIPS_INS_BINSR, "binsr" },
 	{ MIPS_INS_BITREV, "bitrev" },
+	{ MIPS_INS_BITSWAP, "bitswap" },
 	{ MIPS_INS_BLEZ, "blez" },
+	{ MIPS_INS_BLEZALC, "blezalc" },
+	{ MIPS_INS_BLEZC, "blezc" },
+	{ MIPS_INS_BLTC, "bltc" },
+	{ MIPS_INS_BLTUC, "bltuc" },
 	{ MIPS_INS_BLTZ, "bltz" },
 	{ MIPS_INS_BLTZAL, "bltzal" },
+	{ MIPS_INS_BLTZALC, "bltzalc" },
+	{ MIPS_INS_BLTZC, "bltzc" },
 	{ MIPS_INS_BMNZI, "bmnzi" },
 	{ MIPS_INS_BMNZ, "bmnz" },
 	{ MIPS_INS_BMZI, "bmzi" },
 	{ MIPS_INS_BMZ, "bmz" },
 	{ MIPS_INS_BNE, "bne" },
+	{ MIPS_INS_BNEC, "bnec" },
 	{ MIPS_INS_BNEGI, "bnegi" },
 	{ MIPS_INS_BNEG, "bneg" },
+	{ MIPS_INS_BNEZALC, "bnezalc" },
+	{ MIPS_INS_BNEZC, "bnezc" },
+	{ MIPS_INS_BNVC, "bnvc" },
 	{ MIPS_INS_BNZ, "bnz" },
+	{ MIPS_INS_BOVC, "bovc" },
 	{ MIPS_INS_BPOSGE32, "bposge32" },
 	{ MIPS_INS_BREAK, "break" },
 	{ MIPS_INS_BSELI, "bseli" },
@@ -8001,11 +9175,15 @@
 	{ MIPS_INS_BNEZ, "bnez" },
 	{ MIPS_INS_BTEQZ, "bteqz" },
 	{ MIPS_INS_BTNEZ, "btnez" },
+	{ MIPS_INS_CACHE, "cache" },
 	{ MIPS_INS_CEIL, "ceil" },
 	{ MIPS_INS_CEQI, "ceqi" },
 	{ MIPS_INS_CEQ, "ceq" },
 	{ MIPS_INS_CFC1, "cfc1" },
 	{ MIPS_INS_CFCMSA, "cfcmsa" },
+	{ MIPS_INS_CINS, "cins" },
+	{ MIPS_INS_CINS32, "cins32" },
+	{ MIPS_INS_CLASS, "class" },
 	{ MIPS_INS_CLEI_S, "clei_s" },
 	{ MIPS_INS_CLEI_U, "clei_u" },
 	{ MIPS_INS_CLE_S, "cle_s" },
@@ -8031,8 +9209,15 @@
 	{ MIPS_INS_DADDI, "daddi" },
 	{ MIPS_INS_DADDIU, "daddiu" },
 	{ MIPS_INS_DADDU, "daddu" },
+	{ MIPS_INS_DAHI, "dahi" },
+	{ MIPS_INS_DALIGN, "dalign" },
+	{ MIPS_INS_DATI, "dati" },
+	{ MIPS_INS_DAUI, "daui" },
+	{ MIPS_INS_DBITSWAP, "dbitswap" },
 	{ MIPS_INS_DCLO, "dclo" },
 	{ MIPS_INS_DCLZ, "dclz" },
+	{ MIPS_INS_DDIV, "ddiv" },
+	{ MIPS_INS_DDIVU, "ddivu" },
 	{ MIPS_INS_DERET, "deret" },
 	{ MIPS_INS_DEXT, "dext" },
 	{ MIPS_INS_DEXTM, "dextm" },
@@ -8041,17 +9226,25 @@
 	{ MIPS_INS_DINS, "dins" },
 	{ MIPS_INS_DINSM, "dinsm" },
 	{ MIPS_INS_DINSU, "dinsu" },
+	{ MIPS_INS_DIV, "div" },
+	{ MIPS_INS_DIVU, "divu" },
 	{ MIPS_INS_DIV_S, "div_s" },
 	{ MIPS_INS_DIV_U, "div_u" },
 	{ MIPS_INS_DLSA, "dlsa" },
 	{ MIPS_INS_DMFC0, "dmfc0" },
 	{ MIPS_INS_DMFC1, "dmfc1" },
 	{ MIPS_INS_DMFC2, "dmfc2" },
+	{ MIPS_INS_DMOD, "dmod" },
+	{ MIPS_INS_DMODU, "dmodu" },
 	{ MIPS_INS_DMTC0, "dmtc0" },
 	{ MIPS_INS_DMTC1, "dmtc1" },
 	{ MIPS_INS_DMTC2, "dmtc2" },
+	{ MIPS_INS_DMUH, "dmuh" },
+	{ MIPS_INS_DMUHU, "dmuhu" },
+	{ MIPS_INS_DMUL, "dmul" },
 	{ MIPS_INS_DMULT, "dmult" },
 	{ MIPS_INS_DMULTU, "dmultu" },
+	{ MIPS_INS_DMULU, "dmulu" },
 	{ MIPS_INS_DOTP_S, "dotp_s" },
 	{ MIPS_INS_DOTP_U, "dotp_u" },
 	{ MIPS_INS_DPADD_S, "dpadd_s" },
@@ -8063,6 +9256,7 @@
 	{ MIPS_INS_DPAU, "dpau" },
 	{ MIPS_INS_DPAX, "dpax" },
 	{ MIPS_INS_DPA, "dpa" },
+	{ MIPS_INS_DPOP, "dpop" },
 	{ MIPS_INS_DPSQX_SA, "dpsqx_sa" },
 	{ MIPS_INS_DPSQX_S, "dpsqx_s" },
 	{ MIPS_INS_DPSQ_SA, "dpsq_sa" },
@@ -8076,7 +9270,6 @@
 	{ MIPS_INS_DROTR32, "drotr32" },
 	{ MIPS_INS_DROTRV, "drotrv" },
 	{ MIPS_INS_DSBH, "dsbh" },
-	{ MIPS_INS_DDIV, "ddiv" },
 	{ MIPS_INS_DSHD, "dshd" },
 	{ MIPS_INS_DSLL, "dsll" },
 	{ MIPS_INS_DSLL32, "dsll32" },
@@ -8087,10 +9280,9 @@
 	{ MIPS_INS_DSRL, "dsrl" },
 	{ MIPS_INS_DSRL32, "dsrl32" },
 	{ MIPS_INS_DSRLV, "dsrlv" },
+	{ MIPS_INS_DSUB, "dsub" },
 	{ MIPS_INS_DSUBU, "dsubu" },
-	{ MIPS_INS_DDIVU, "ddivu" },
-	{ MIPS_INS_DIV, "div" },
-	{ MIPS_INS_DIVU, "divu" },
+	{ MIPS_INS_EHB, "ehb" },
 	{ MIPS_INS_EI, "ei" },
 	{ MIPS_INS_ERET, "eret" },
 	{ MIPS_INS_EXT, "ext" },
@@ -8106,6 +9298,8 @@
 	{ MIPS_INS_EXTR_R, "extr_r" },
 	{ MIPS_INS_EXTR_S, "extr_s" },
 	{ MIPS_INS_EXTR, "extr" },
+	{ MIPS_INS_EXTS, "exts" },
+	{ MIPS_INS_EXTS32, "exts32" },
 	{ MIPS_INS_ABS, "abs" },
 	{ MIPS_INS_FADD, "fadd" },
 	{ MIPS_INS_FCAF, "fcaf" },
@@ -8180,6 +9374,9 @@
 	{ MIPS_INS_J, "j" },
 	{ MIPS_INS_JAL, "jal" },
 	{ MIPS_INS_JALR, "jalr" },
+	{ MIPS_INS_JALX, "jalx" },
+	{ MIPS_INS_JIALC, "jialc" },
+	{ MIPS_INS_JIC, "jic" },
 	{ MIPS_INS_JR, "jr" },
 	{ MIPS_INS_JRC, "jrc" },
 	{ MIPS_INS_JALRC, "jalrc" },
@@ -8189,8 +9386,10 @@
 	{ MIPS_INS_LD, "ld" },
 	{ MIPS_INS_LDC1, "ldc1" },
 	{ MIPS_INS_LDC2, "ldc2" },
+	{ MIPS_INS_LDC3, "ldc3" },
 	{ MIPS_INS_LDI, "ldi" },
 	{ MIPS_INS_LDL, "ldl" },
+	{ MIPS_INS_LDPC, "ldpc" },
 	{ MIPS_INS_LDR, "ldr" },
 	{ MIPS_INS_LDXC1, "ldxc1" },
 	{ MIPS_INS_LH, "lh" },
@@ -8204,22 +9403,28 @@
 	{ MIPS_INS_LW, "lw" },
 	{ MIPS_INS_LWC1, "lwc1" },
 	{ MIPS_INS_LWC2, "lwc2" },
+	{ MIPS_INS_LWC3, "lwc3" },
 	{ MIPS_INS_LWL, "lwl" },
+	{ MIPS_INS_LWPC, "lwpc" },
 	{ MIPS_INS_LWR, "lwr" },
+	{ MIPS_INS_LWUPC, "lwupc" },
 	{ MIPS_INS_LWU, "lwu" },
 	{ MIPS_INS_LWX, "lwx" },
 	{ MIPS_INS_LWXC1, "lwxc1" },
 	{ MIPS_INS_LI, "li" },
 	{ MIPS_INS_MADD, "madd" },
+	{ MIPS_INS_MADDF, "maddf" },
 	{ MIPS_INS_MADDR_Q, "maddr_q" },
 	{ MIPS_INS_MADDU, "maddu" },
 	{ MIPS_INS_MADDV, "maddv" },
 	{ MIPS_INS_MADD_Q, "madd_q" },
 	{ MIPS_INS_MAQ_SA, "maq_sa" },
 	{ MIPS_INS_MAQ_S, "maq_s" },
+	{ MIPS_INS_MAXA, "maxa" },
 	{ MIPS_INS_MAXI_S, "maxi_s" },
 	{ MIPS_INS_MAXI_U, "maxi_u" },
 	{ MIPS_INS_MAX_A, "max_a" },
+	{ MIPS_INS_MAX, "max" },
 	{ MIPS_INS_MAX_S, "max_s" },
 	{ MIPS_INS_MAX_U, "max_u" },
 	{ MIPS_INS_MFC0, "mfc0" },
@@ -8228,12 +9433,16 @@
 	{ MIPS_INS_MFHC1, "mfhc1" },
 	{ MIPS_INS_MFHI, "mfhi" },
 	{ MIPS_INS_MFLO, "mflo" },
+	{ MIPS_INS_MINA, "mina" },
 	{ MIPS_INS_MINI_S, "mini_s" },
 	{ MIPS_INS_MINI_U, "mini_u" },
 	{ MIPS_INS_MIN_A, "min_a" },
+	{ MIPS_INS_MIN, "min" },
 	{ MIPS_INS_MIN_S, "min_s" },
 	{ MIPS_INS_MIN_U, "min_u" },
+	{ MIPS_INS_MOD, "mod" },
 	{ MIPS_INS_MODSUB, "modsub" },
+	{ MIPS_INS_MODU, "modu" },
 	{ MIPS_INS_MOD_S, "mod_s" },
 	{ MIPS_INS_MOD_U, "mod_u" },
 	{ MIPS_INS_MOVE, "move" },
@@ -8242,6 +9451,7 @@
 	{ MIPS_INS_MOVT, "movt" },
 	{ MIPS_INS_MOVZ, "movz" },
 	{ MIPS_INS_MSUB, "msub" },
+	{ MIPS_INS_MSUBF, "msubf" },
 	{ MIPS_INS_MSUBR_Q, "msubr_q" },
 	{ MIPS_INS_MSUBU, "msubu" },
 	{ MIPS_INS_MSUBV, "msubv" },
@@ -8253,6 +9463,14 @@
 	{ MIPS_INS_MTHI, "mthi" },
 	{ MIPS_INS_MTHLIP, "mthlip" },
 	{ MIPS_INS_MTLO, "mtlo" },
+	{ MIPS_INS_MTM0, "mtm0" },
+	{ MIPS_INS_MTM1, "mtm1" },
+	{ MIPS_INS_MTM2, "mtm2" },
+	{ MIPS_INS_MTP0, "mtp0" },
+	{ MIPS_INS_MTP1, "mtp1" },
+	{ MIPS_INS_MTP2, "mtp2" },
+	{ MIPS_INS_MUH, "muh" },
+	{ MIPS_INS_MUHU, "muhu" },
 	{ MIPS_INS_MULEQ_S, "muleq_s" },
 	{ MIPS_INS_MULEU_S, "muleu_s" },
 	{ MIPS_INS_MULQ_RS, "mulq_rs" },
@@ -8262,6 +9480,7 @@
 	{ MIPS_INS_MULSA, "mulsa" },
 	{ MIPS_INS_MULT, "mult" },
 	{ MIPS_INS_MULTU, "multu" },
+	{ MIPS_INS_MULU, "mulu" },
 	{ MIPS_INS_MULV, "mulv" },
 	{ MIPS_INS_MUL_Q, "mul_q" },
 	{ MIPS_INS_MUL_S, "mul_s" },
@@ -8275,10 +9494,12 @@
 	{ MIPS_INS_OR, "or" },
 	{ MIPS_INS_ORI, "ori" },
 	{ MIPS_INS_PACKRL, "packrl" },
+	{ MIPS_INS_PAUSE, "pause" },
 	{ MIPS_INS_PCKEV, "pckev" },
 	{ MIPS_INS_PCKOD, "pckod" },
 	{ MIPS_INS_PCNT, "pcnt" },
 	{ MIPS_INS_PICK, "pick" },
+	{ MIPS_INS_POP, "pop" },
 	{ MIPS_INS_PRECEQU, "precequ" },
 	{ MIPS_INS_PRECEQ, "preceq" },
 	{ MIPS_INS_PRECEU, "preceu" },
@@ -8288,12 +9509,14 @@
 	{ MIPS_INS_PRECR, "precr" },
 	{ MIPS_INS_PRECR_SRA, "precr_sra" },
 	{ MIPS_INS_PRECR_SRA_R, "precr_sra_r" },
+	{ MIPS_INS_PREF, "pref" },
 	{ MIPS_INS_PREPEND, "prepend" },
 	{ MIPS_INS_RADDU, "raddu" },
 	{ MIPS_INS_RDDSP, "rddsp" },
 	{ MIPS_INS_RDHWR, "rdhwr" },
 	{ MIPS_INS_REPLV, "replv" },
 	{ MIPS_INS_REPL, "repl" },
+	{ MIPS_INS_RINT, "rint" },
 	{ MIPS_INS_ROTR, "rotr" },
 	{ MIPS_INS_ROTRV, "rotrv" },
 	{ MIPS_INS_ROUND, "round" },
@@ -8303,13 +9526,20 @@
 	{ MIPS_INS_SC, "sc" },
 	{ MIPS_INS_SCD, "scd" },
 	{ MIPS_INS_SD, "sd" },
+	{ MIPS_INS_SDBBP, "sdbbp" },
 	{ MIPS_INS_SDC1, "sdc1" },
 	{ MIPS_INS_SDC2, "sdc2" },
+	{ MIPS_INS_SDC3, "sdc3" },
 	{ MIPS_INS_SDL, "sdl" },
 	{ MIPS_INS_SDR, "sdr" },
 	{ MIPS_INS_SDXC1, "sdxc1" },
 	{ MIPS_INS_SEB, "seb" },
 	{ MIPS_INS_SEH, "seh" },
+	{ MIPS_INS_SELEQZ, "seleqz" },
+	{ MIPS_INS_SELNEZ, "selnez" },
+	{ MIPS_INS_SEL, "sel" },
+	{ MIPS_INS_SEQ, "seq" },
+	{ MIPS_INS_SEQI, "seqi" },
 	{ MIPS_INS_SH, "sh" },
 	{ MIPS_INS_SHF, "shf" },
 	{ MIPS_INS_SHILO, "shilo" },
@@ -8333,6 +9563,8 @@
 	{ MIPS_INS_SLTI, "slti" },
 	{ MIPS_INS_SLTIU, "sltiu" },
 	{ MIPS_INS_SLTU, "sltu" },
+	{ MIPS_INS_SNE, "sne" },
+	{ MIPS_INS_SNEI, "snei" },
 	{ MIPS_INS_SPLATI, "splati" },
 	{ MIPS_INS_SPLAT, "splat" },
 	{ MIPS_INS_SRA, "sra" },
@@ -8345,6 +9577,7 @@
 	{ MIPS_INS_SRLRI, "srlri" },
 	{ MIPS_INS_SRLR, "srlr" },
 	{ MIPS_INS_SRLV, "srlv" },
+	{ MIPS_INS_SSNOP, "ssnop" },
 	{ MIPS_INS_ST, "st" },
 	{ MIPS_INS_SUBQH, "subqh" },
 	{ MIPS_INS_SUBQH_R, "subqh_r" },
@@ -8364,6 +9597,7 @@
 	{ MIPS_INS_SW, "sw" },
 	{ MIPS_INS_SWC1, "swc1" },
 	{ MIPS_INS_SWC2, "swc2" },
+	{ MIPS_INS_SWC3, "swc3" },
 	{ MIPS_INS_SWL, "swl" },
 	{ MIPS_INS_SWR, "swr" },
 	{ MIPS_INS_SWXC1, "swxc1" },
@@ -8375,6 +9609,10 @@
 	{ MIPS_INS_TGEI, "tgei" },
 	{ MIPS_INS_TGEIU, "tgeiu" },
 	{ MIPS_INS_TGEU, "tgeu" },
+	{ MIPS_INS_TLBP, "tlbp" },
+	{ MIPS_INS_TLBR, "tlbr" },
+	{ MIPS_INS_TLBWI, "tlbwi" },
+	{ MIPS_INS_TLBWR, "tlbwr" },
 	{ MIPS_INS_TLT, "tlt" },
 	{ MIPS_INS_TLTI, "tlti" },
 	{ MIPS_INS_TLTIU, "tltiu" },
@@ -8382,12 +9620,17 @@
 	{ MIPS_INS_TNE, "tne" },
 	{ MIPS_INS_TNEI, "tnei" },
 	{ MIPS_INS_TRUNC, "trunc" },
+	{ MIPS_INS_V3MULU, "v3mulu" },
+	{ MIPS_INS_VMM0, "vmm0" },
+	{ MIPS_INS_VMULU, "vmulu" },
 	{ MIPS_INS_VSHF, "vshf" },
 	{ MIPS_INS_WAIT, "wait" },
 	{ MIPS_INS_WRDSP, "wrdsp" },
 	{ MIPS_INS_WSBH, "wsbh" },
 	{ MIPS_INS_XOR, "xor" },
 	{ MIPS_INS_XORI, "xori" },
+
+	// alias instructions
 	{ MIPS_INS_NOP, "nop" },
 	{ MIPS_INS_NEGU, "negu" },
 };
@@ -8395,8 +9638,8 @@
 // special alias insn
 static name_map alias_insn_names[] = {
 	{ MIPS_INS_NOP, "nop" },
-	{ MIPS_INS_BEQ, "beqz" },
-	{ MIPS_INS_BGEZAL, "bal" },
+	{ MIPS_INS_BEQZ, "beqz" },
+	{ MIPS_INS_BAL, "bal" },
 	{ MIPS_INS_BC1T, "bc1t" },
 	{ MIPS_INS_BC1F, "bc1f" },
 	{ MIPS_INS_NEGU, "negu" },
@@ -8407,7 +9650,7 @@
 #ifndef CAPSTONE_DIET
 	unsigned int i;
 
-	if (id >= MIPS_INS_MAX)
+	if (id >= MIPS_INS_MAXIMUM)
 		return NULL;
 
 	// handle special alias first
@@ -8422,6 +9665,65 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ MIPS_GRP_INVALID, NULL },
+	{ MIPS_GRP_BITCOUNT, "bitcount" },
+	{ MIPS_GRP_DSP, "dsp" },
+	{ MIPS_GRP_DSPR2, "dspr2" },
+	{ MIPS_GRP_FPIDX, "fpidx" },
+	{ MIPS_GRP_MSA, "msa" },
+	{ MIPS_GRP_MIPS32R2, "mips32r2" },
+	{ MIPS_GRP_MIPS64, "mips64" },
+	{ MIPS_GRP_MIPS64R2, "mips64r2" },
+	{ MIPS_GRP_SEINREG, "seinreg" },
+	{ MIPS_GRP_STDENC, "stdenc" },
+	{ MIPS_GRP_SWAP, "swap" },
+	{ MIPS_GRP_MICROMIPS, "micromips" },
+	{ MIPS_GRP_MIPS16MODE, "mips16mode" },
+	{ MIPS_GRP_FP64BIT, "fp64bit" },
+	{ MIPS_GRP_NONANSFPMATH, "nonansfpmath" },
+	{ MIPS_GRP_NOTFP64BIT, "notfp64bit" },
+	{ MIPS_GRP_NOTINMICROMIPS, "notinmicromips" },
+	{ MIPS_GRP_NOTNACL, "notnacl" },
+
+	{ MIPS_GRP_NOTMIPS32R6, "notmips32r6" },
+	{ MIPS_GRP_NOTMIPS64R6, "notmips64r6" },
+	{ MIPS_GRP_CNMIPS, "cnmips" },
+
+	{ MIPS_GRP_MIPS32, "mips32" },
+	{ MIPS_GRP_MIPS32R6, "mips32r6" },
+	{ MIPS_GRP_MIPS64R6, "mips64r6" },
+
+	{ MIPS_GRP_MIPS2, "mips2" },
+	{ MIPS_GRP_MIPS3, "mips3" },
+	{ MIPS_GRP_MIPS3_32, "mips3_32"},
+	{ MIPS_GRP_MIPS3_32R2, "mips3_32r2" },
+
+	{ MIPS_GRP_MIPS4_32, "mips4_32" },
+	{ MIPS_GRP_MIPS4_32R2, "mips4_32r2" },
+	{ MIPS_GRP_MIPS5_32R2, "mips5_32r2" },
+
+	{ MIPS_GRP_GP32BIT, "gp32bit" },
+	{ MIPS_GRP_GP64BIT, "gp64bit" },
+
+	{ MIPS_GRP_JUMP, "jump" }
+};
+#endif
+
+const char *Mips_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= MIPS_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
+// map instruction name to public instruction ID
 mips_reg Mips_map_insn(const char *name)
 {
 	// handle special alias first
@@ -8445,71 +9747,84 @@
 	// the same Mips register. this function handles the issue for exposing Mips
 	// operands by mapping internal registers to 'public' register.
 	unsigned int map[] = { 0,
-		MIPS_REG_1, MIPS_REG_DSPCCOND, MIPS_REG_DSPCARRY, MIPS_REG_DSPEFI, MIPS_REG_DSPOUTFLAG,
+		MIPS_REG_AT, MIPS_REG_DSPCCOND, MIPS_REG_DSPCARRY, MIPS_REG_DSPEFI, MIPS_REG_DSPOUTFLAG,
 		MIPS_REG_DSPPOS, MIPS_REG_DSPSCOUNT, MIPS_REG_FP, MIPS_REG_GP, MIPS_REG_2,
 		MIPS_REG_1, MIPS_REG_0, MIPS_REG_6, MIPS_REG_4, MIPS_REG_5,
 		MIPS_REG_3, MIPS_REG_7, MIPS_REG_PC, MIPS_REG_RA, MIPS_REG_SP,
-		MIPS_REG_ZERO, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6, MIPS_REG_7,
-		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_1,
+		MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1, MIPS_REG_A2, MIPS_REG_A3,
+		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_AT,
+		MIPS_REG_0, MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4,
+		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9,
 		MIPS_REG_0, MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4,
 		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9,
 		MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14,
 		MIPS_REG_15, MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19,
 		MIPS_REG_20, MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24,
 		MIPS_REG_25, MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29,
-		MIPS_REG_30, MIPS_REG_31, MIPS_REG_F0, MIPS_REG_F2, MIPS_REG_F4,
-		MIPS_REG_F6, MIPS_REG_F8, MIPS_REG_F10, MIPS_REG_F12, MIPS_REG_F14,
-		MIPS_REG_F16, MIPS_REG_F18, MIPS_REG_F20, MIPS_REG_F22, MIPS_REG_F24,
-		MIPS_REG_F26, MIPS_REG_F28, MIPS_REG_F30, MIPS_REG_DSPOUTFLAG20, MIPS_REG_DSPOUTFLAG21,
-		MIPS_REG_DSPOUTFLAG22, MIPS_REG_DSPOUTFLAG23, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2,
-		MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7,
-		MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12,
-		MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17,
-		MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22,
-		MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27,
-		MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_FCC0,
-		MIPS_REG_FCC1, MIPS_REG_FCC2, MIPS_REG_FCC3, MIPS_REG_FCC4, MIPS_REG_FCC5,
-		MIPS_REG_FCC6, MIPS_REG_FCC7, MIPS_REG_0, MIPS_REG_1, MIPS_REG_2,
-		MIPS_REG_3, MIPS_REG_4, MIPS_REG_5, MIPS_REG_6, MIPS_REG_7,
-		MIPS_REG_8, MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
+		MIPS_REG_30, MIPS_REG_31, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12,
 		MIPS_REG_13, MIPS_REG_14, MIPS_REG_15, MIPS_REG_16, MIPS_REG_17,
 		MIPS_REG_18, MIPS_REG_19, MIPS_REG_20, MIPS_REG_21, MIPS_REG_22,
 		MIPS_REG_23, MIPS_REG_24, MIPS_REG_25, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_FP,
-		MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4,
-		MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9,
-		MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14,
-		MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19,
-		MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24,
-		MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29,
-		MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_GP, MIPS_REG_AC0, MIPS_REG_AC1,
-		MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_29, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_RA,
-		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
-		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_SP, MIPS_REG_8,
-		MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13,
-		MIPS_REG_14, MIPS_REG_15, MIPS_REG_24, MIPS_REG_25, MIPS_REG_2,
-		MIPS_REG_3, MIPS_REG_W0, MIPS_REG_W1, MIPS_REG_W2, MIPS_REG_W3,
-		MIPS_REG_W4, MIPS_REG_W5, MIPS_REG_W6, MIPS_REG_W7, MIPS_REG_W8,
-		MIPS_REG_W9, MIPS_REG_W10, MIPS_REG_W11, MIPS_REG_W12, MIPS_REG_W13,
-		MIPS_REG_W14, MIPS_REG_W15, MIPS_REG_W16, MIPS_REG_W17, MIPS_REG_W18,
-		MIPS_REG_W19, MIPS_REG_W20, MIPS_REG_W21, MIPS_REG_W22, MIPS_REG_W23,
-		MIPS_REG_W24, MIPS_REG_W25, MIPS_REG_W26, MIPS_REG_W27, MIPS_REG_W28,
-		MIPS_REG_W29, MIPS_REG_W30, MIPS_REG_W31, MIPS_REG_ZERO, MIPS_REG_4,
-		MIPS_REG_5, MIPS_REG_6, MIPS_REG_7, MIPS_REG_AC0, MIPS_REG_F0,
-		MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5,
+		MIPS_REG_28, MIPS_REG_29, MIPS_REG_30, MIPS_REG_31, MIPS_REG_F0,
+		MIPS_REG_F2, MIPS_REG_F4, MIPS_REG_F6, MIPS_REG_F8, MIPS_REG_F10,
+		MIPS_REG_F12, MIPS_REG_F14, MIPS_REG_F16, MIPS_REG_F18, MIPS_REG_F20,
+		MIPS_REG_F22, MIPS_REG_F24, MIPS_REG_F26, MIPS_REG_F28, MIPS_REG_F30,
+		MIPS_REG_DSPOUTFLAG20, MIPS_REG_DSPOUTFLAG21, MIPS_REG_DSPOUTFLAG22, MIPS_REG_DSPOUTFLAG23,
+		MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5,
 		MIPS_REG_F6, MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10,
 		MIPS_REG_F11, MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15,
 		MIPS_REG_F16, MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20,
 		MIPS_REG_F21, MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25,
 		MIPS_REG_F26, MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30,
-		MIPS_REG_F31, MIPS_REG_DSPOUTFLAG16_19, MIPS_REG_HI, MIPS_REG_26, MIPS_REG_27,
-		MIPS_REG_LO, MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19,
-		MIPS_REG_20, MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_8,
-		MIPS_REG_9, MIPS_REG_10, MIPS_REG_11, MIPS_REG_12, MIPS_REG_13,
-		MIPS_REG_14, MIPS_REG_15, MIPS_REG_24, MIPS_REG_25, MIPS_REG_2,
-		MIPS_REG_3 };
-
+		MIPS_REG_F31, MIPS_REG_FCC0, MIPS_REG_FCC1, MIPS_REG_FCC2, MIPS_REG_FCC3,
+		MIPS_REG_FCC4, MIPS_REG_FCC5, MIPS_REG_FCC6, MIPS_REG_FCC7, MIPS_REG_0,
+		MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5,
+		MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10,
+		MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15,
+		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
+		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25,
+		MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30,
+		MIPS_REG_31, MIPS_REG_FP, MIPS_REG_F0, MIPS_REG_F1, MIPS_REG_F2,
+		MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6, MIPS_REG_F7,
+		MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11, MIPS_REG_F12,
+		MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16, MIPS_REG_F17,
+		MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21, MIPS_REG_F22,
+		MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26, MIPS_REG_F27,
+		MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31, MIPS_REG_GP,
+		MIPS_REG_AC0, MIPS_REG_AC1, MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_0,
+		MIPS_REG_1, MIPS_REG_2, MIPS_REG_3, MIPS_REG_4, MIPS_REG_5,
+		MIPS_REG_6, MIPS_REG_7, MIPS_REG_8, MIPS_REG_9, MIPS_REG_10,
+		MIPS_REG_11, MIPS_REG_12, MIPS_REG_13, MIPS_REG_14, MIPS_REG_15,
+		MIPS_REG_16, MIPS_REG_17, MIPS_REG_18, MIPS_REG_19, MIPS_REG_20,
+		MIPS_REG_21, MIPS_REG_22, MIPS_REG_23, MIPS_REG_24, MIPS_REG_25,
+		MIPS_REG_26, MIPS_REG_27, MIPS_REG_28, MIPS_REG_29, MIPS_REG_30,
+		MIPS_REG_31, MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_AC0, MIPS_REG_AC1,
+		MIPS_REG_AC2, MIPS_REG_AC3, MIPS_REG_MPL0, MIPS_REG_MPL1, MIPS_REG_MPL2,
+		MIPS_REG_P0, MIPS_REG_P1, MIPS_REG_P2, MIPS_REG_RA, MIPS_REG_S0,
+		MIPS_REG_S1, MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4, MIPS_REG_S5,
+		MIPS_REG_S6, MIPS_REG_S7, MIPS_REG_SP, MIPS_REG_T0, MIPS_REG_T1,
+		MIPS_REG_T2, MIPS_REG_T3, MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6,
+		MIPS_REG_T7, MIPS_REG_T8, MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
+		MIPS_REG_W0, MIPS_REG_W1, MIPS_REG_W2, MIPS_REG_W3, MIPS_REG_W4,
+		MIPS_REG_W5, MIPS_REG_W6, MIPS_REG_W7, MIPS_REG_W8, MIPS_REG_W9,
+		MIPS_REG_W10, MIPS_REG_W11, MIPS_REG_W12, MIPS_REG_W13, MIPS_REG_W14,
+		MIPS_REG_W15, MIPS_REG_W16, MIPS_REG_W17, MIPS_REG_W18, MIPS_REG_W19,
+		MIPS_REG_W20, MIPS_REG_W21, MIPS_REG_W22, MIPS_REG_W23, MIPS_REG_W24,
+		MIPS_REG_W25, MIPS_REG_W26, MIPS_REG_W27, MIPS_REG_W28, MIPS_REG_W29,
+		MIPS_REG_W30, MIPS_REG_W31, MIPS_REG_ZERO, MIPS_REG_A0, MIPS_REG_A1,
+		MIPS_REG_A2, MIPS_REG_A3, MIPS_REG_AC0, MIPS_REG_F0, MIPS_REG_F1,
+		MIPS_REG_F2, MIPS_REG_F3, MIPS_REG_F4, MIPS_REG_F5, MIPS_REG_F6,
+		MIPS_REG_F7, MIPS_REG_F8, MIPS_REG_F9, MIPS_REG_F10, MIPS_REG_F11,
+		MIPS_REG_F12, MIPS_REG_F13, MIPS_REG_F14, MIPS_REG_F15, MIPS_REG_F16,
+		MIPS_REG_F17, MIPS_REG_F18, MIPS_REG_F19, MIPS_REG_F20, MIPS_REG_F21,
+		MIPS_REG_F22, MIPS_REG_F23, MIPS_REG_F24, MIPS_REG_F25, MIPS_REG_F26,
+		MIPS_REG_F27, MIPS_REG_F28, MIPS_REG_F29, MIPS_REG_F30, MIPS_REG_F31,
+		MIPS_REG_DSPOUTFLAG16_19, MIPS_REG_HI, MIPS_REG_K0, MIPS_REG_K1, MIPS_REG_LO,
+		MIPS_REG_S0, MIPS_REG_S1, MIPS_REG_S2, MIPS_REG_S3, MIPS_REG_S4,
+		MIPS_REG_S5, MIPS_REG_S6, MIPS_REG_S7, MIPS_REG_T0, MIPS_REG_T1,
+		MIPS_REG_T2, MIPS_REG_T3, MIPS_REG_T4, MIPS_REG_T5, MIPS_REG_T6,
+		MIPS_REG_T7, MIPS_REG_T8, MIPS_REG_T9, MIPS_REG_V0, MIPS_REG_V1,
+	};
 	if (r < ARR_SIZE(map))
 		return map[r];
 
diff --git a/arch/Mips/MipsMapping.h b/arch/Mips/MipsMapping.h
index 9365c55..f6d4b4f 100644
--- a/arch/Mips/MipsMapping.h
+++ b/arch/Mips/MipsMapping.h
@@ -12,9 +12,10 @@
 // given internal insn id, return public instruction info
 void Mips_get_insn_id(cs_struct *h, cs_insn *insn, unsigned int id);
 
-// given public insn id, return internal insn id
 const char *Mips_insn_name(csh handle, unsigned int id);
 
+const char *Mips_group_name(csh handle, unsigned int id);
+
 // map instruction name to instruction ID
 mips_reg Mips_map_insn(const char *name);
 
diff --git a/arch/Mips/MipsModule.c b/arch/Mips/MipsModule.c
index 42316f3..4686799 100644
--- a/arch/Mips/MipsModule.c
+++ b/arch/Mips/MipsModule.c
@@ -27,6 +27,7 @@
 	ud->reg_name = Mips_reg_name;
 	ud->insn_id = Mips_get_insn_id;
 	ud->insn_name = Mips_insn_name;
+	ud->group_name = Mips_group_name;
 
 	if (ud->mode & CS_MODE_32)
 		ud->disasm = Mips_getInstruction;
diff --git a/arch/PowerPC/PPCDisassembler.c b/arch/PowerPC/PPCDisassembler.c
index eccc4d1..8294073 100644
--- a/arch/PowerPC/PPCDisassembler.c
+++ b/arch/PowerPC/PPCDisassembler.c
@@ -71,6 +71,46 @@
 	PPC_V28, PPC_V29, PPC_V30, PPC_V31
 };
 
+static const unsigned VSRegs[] = {
+	PPC_VSL0, PPC_VSL1, PPC_VSL2, PPC_VSL3,
+	PPC_VSL4, PPC_VSL5, PPC_VSL6, PPC_VSL7,
+	PPC_VSL8, PPC_VSL9, PPC_VSL10, PPC_VSL11,
+	PPC_VSL12, PPC_VSL13, PPC_VSL14, PPC_VSL15,
+	PPC_VSL16, PPC_VSL17, PPC_VSL18, PPC_VSL19,
+	PPC_VSL20, PPC_VSL21, PPC_VSL22, PPC_VSL23,
+	PPC_VSL24, PPC_VSL25, PPC_VSL26, PPC_VSL27,
+	PPC_VSL28, PPC_VSL29, PPC_VSL30, PPC_VSL31,
+
+	PPC_VSH0, PPC_VSH1, PPC_VSH2, PPC_VSH3,
+	PPC_VSH4, PPC_VSH5, PPC_VSH6, PPC_VSH7,
+	PPC_VSH8, PPC_VSH9, PPC_VSH10, PPC_VSH11,
+	PPC_VSH12, PPC_VSH13, PPC_VSH14, PPC_VSH15,
+	PPC_VSH16, PPC_VSH17, PPC_VSH18, PPC_VSH19,
+	PPC_VSH20, PPC_VSH21, PPC_VSH22, PPC_VSH23,
+	PPC_VSH24, PPC_VSH25, PPC_VSH26, PPC_VSH27,
+	PPC_VSH28, PPC_VSH29, PPC_VSH30, PPC_VSH31
+};
+
+static const unsigned VSFRegs[] = {
+	PPC_F0, PPC_F1, PPC_F2, PPC_F3,
+	PPC_F4, PPC_F5, PPC_F6, PPC_F7,
+	PPC_F8, PPC_F9, PPC_F10, PPC_F11,
+	PPC_F12, PPC_F13, PPC_F14, PPC_F15,
+	PPC_F16, PPC_F17, PPC_F18, PPC_F19,
+	PPC_F20, PPC_F21, PPC_F22, PPC_F23,
+	PPC_F24, PPC_F25, PPC_F26, PPC_F27,
+	PPC_F28, PPC_F29, PPC_F30, PPC_F31,
+
+	PPC_VF0, PPC_VF1, PPC_VF2, PPC_VF3,
+	PPC_VF4, PPC_VF5, PPC_VF6, PPC_VF7,
+	PPC_VF8, PPC_VF9, PPC_VF10, PPC_VF11,
+	PPC_VF12, PPC_VF13, PPC_VF14, PPC_VF15,
+	PPC_VF16, PPC_VF17, PPC_VF18, PPC_VF19,
+	PPC_VF20, PPC_VF21, PPC_VF22, PPC_VF23,
+	PPC_VF24, PPC_VF25, PPC_VF26, PPC_VF27,
+	PPC_VF28, PPC_VF29, PPC_VF30, PPC_VF31
+};
+
 static const unsigned GPRegs[] = {
 	PPC_R0, PPC_R1, PPC_R2, PPC_R3,
 	PPC_R4, PPC_R5, PPC_R6, PPC_R7,
@@ -153,6 +193,20 @@
 	return decodeRegisterClass(Inst, RegNo, VRegs);
 }
 
+static DecodeStatus DecodeVSRCRegisterClass(MCInst *Inst, uint64_t RegNo,
+		uint64_t Address,
+		const void *Decoder)
+{
+	return decodeRegisterClass(Inst, RegNo, VSRegs);
+}
+
+static DecodeStatus DecodeVSFRCRegisterClass(MCInst *Inst, uint64_t RegNo,
+		uint64_t Address,
+		const void *Decoder)
+{
+	return decodeRegisterClass(Inst, RegNo, VSFRegs);
+}
+
 static DecodeStatus DecodeGPRCRegisterClass(MCInst *Inst, uint64_t RegNo,
 		uint64_t Address,
 		const void *Decoder)
@@ -292,7 +346,7 @@
 			(code[1] <<  8) | (code[0] <<  0);
 
 	if (MI->flat_insn->detail) {
-		memset(&MI->flat_insn->detail->ppc, 0, offsetof(cs_ppc, operands));
+		memset(MI->flat_insn->detail, 0, sizeof(cs_detail));
 	}
 
 	result = decodeInstruction_4(DecoderTable32, MI, insn, Address, 4);
@@ -323,25 +377,25 @@
 void PPC_init(MCRegisterInfo *MRI)
 {
 	/*
-	   InitMCRegisterInfo( PPCRegDesc, 182, RA, PC,
-	   PPCMCRegisterClasses, 15,
-	   PPCRegUnitRoots,
-	   138,
-	   PPCRegDiffLists,
-	   PPCRegStrings,
-	   PPCSubRegIdxLists,
-	   6,
-	   PPCSubRegIdxRanges,
-	   PPCRegEncodingTable);
-	 */
+	InitMCRegisterInfo(PPCRegDesc, 279, RA, PC,
+			PPCMCRegisterClasses, 21,
+			PPCRegUnitRoots,
+			146,
+			PPCRegDiffLists,
+			PPCRegStrings,
+			PPCSubRegIdxLists,
+			8,
+			PPCSubRegIdxRanges,
+			PPCRegEncodingTable);
+	*/
 
-	MCRegisterInfo_InitMCRegisterInfo(MRI, PPCRegDesc, 182,
+	MCRegisterInfo_InitMCRegisterInfo(MRI, PPCRegDesc, 279,
 			0, 0,
-			PPCMCRegisterClasses, 15,
+			PPCMCRegisterClasses, 21,
 			0, 0,
 			PPCRegDiffLists,
 			0,
-			PPCSubRegIdxLists, 6,
+			PPCSubRegIdxLists, 8,
 			0);
 }
 
diff --git a/arch/PowerPC/PPCGenAsmWriter.inc b/arch/PowerPC/PPCGenAsmWriter.inc
index 01f3dd8..deb028c 100644
--- a/arch/PowerPC/PPCGenAsmWriter.inc
+++ b/arch/PowerPC/PPCGenAsmWriter.inc
@@ -19,7 +19,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -28,887 +28,1271 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    5390U,	// DBG_VALUE
+    9032U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    5383U,	// BUNDLE
-    5588U,	// LIFETIME_START
-    5370U,	// LIFETIME_END
+    9025U,	// BUNDLE
+    9323U,	// LIFETIME_START
+    9012U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    9932U,	// ADD4
-    9932U,	// ADD4TLS
-    8479U,	// ADD4o
-    9932U,	// ADD8
-    9932U,	// ADD8TLS
-    9932U,	// ADD8TLS_
-    8479U,	// ADD8o
-    9838U,	// ADDC
-    9838U,	// ADDC8
-    8419U,	// ADDC8o
-    8419U,	// ADDCo
-    10066U,	// ADDE
-    10066U,	// ADDE8
-    8569U,	// ADDE8o
-    8569U,	// ADDEo
-    536881348U,	// ADDI
-    536881348U,	// ADDI8
-    536880785U,	// ADDIC
-    536880785U,	// ADDIC8
-    536879361U,	// ADDICo
-    536882412U,	// ADDIS
-    536882412U,	// ADDIS8
-    5329U,	// ADDISdtprelHA
-    5312U,	// ADDISgotTprelHA
-    5284U,	// ADDIStlsgdHA
-    5298U,	// ADDIStlsldHA
-    5272U,	// ADDIStocHA
-    5495U,	// ADDIdtprelL
-    5458U,	// ADDItlsgdL
-    5470U,	// ADDItlsldL
-    5448U,	// ADDItocL
-    67118966U,	// ADDME
-    67118966U,	// ADDME8
-    67117448U,	// ADDME8o
-    67117448U,	// ADDMEo
-    67119003U,	// ADDZE
-    67119003U,	// ADDZE8
-    67117481U,	// ADDZE8o
-    67117481U,	// ADDZEo
-    148759U,	// ADJCALLSTACKDOWN
-    4474154U,	// ADJCALLSTACKUP
-    10006U,	// AND
-    10006U,	// AND8
-    8541U,	// AND8o
-    9846U,	// ANDC
-    9846U,	// ANDC8
-    8426U,	// ANDC8o
-    8426U,	// ANDCo
-    1073750934U,	// ANDISo
-    1073750934U,	// ANDISo8
-    1073750541U,	// ANDIo
-    1073750541U,	// ANDIo8
-    8541U,	// ANDo
-    142877896U,	// ATOMIC_CMP_SWAP_I16
-    142877874U,	// ATOMIC_CMP_SWAP_I32
-    4746U,	// ATOMIC_CMP_SWAP_I64
-    5185U,	// ATOMIC_CMP_SWAP_I8
-    4943U,	// ATOMIC_LOAD_ADD_I16
-    4491U,	// ATOMIC_LOAD_ADD_I32
-    4686U,	// ATOMIC_LOAD_ADD_I64
-    5124U,	// ATOMIC_LOAD_ADD_I8
-    4986U,	// ATOMIC_LOAD_AND_I16
-    4534U,	// ATOMIC_LOAD_AND_I32
-    4845U,	// ATOMIC_LOAD_AND_I64
-    5165U,	// ATOMIC_LOAD_AND_I8
-    4964U,	// ATOMIC_LOAD_NAND_I16
-    4512U,	// ATOMIC_LOAD_NAND_I32
-    4707U,	// ATOMIC_LOAD_NAND_I64
-    5144U,	// ATOMIC_LOAD_NAND_I8
-    5045U,	// ATOMIC_LOAD_OR_I16
-    4593U,	// ATOMIC_LOAD_OR_I32
-    4788U,	// ATOMIC_LOAD_OR_I64
-    5224U,	// ATOMIC_LOAD_OR_I8
-    4922U,	// ATOMIC_LOAD_SUB_I16
-    4470U,	// ATOMIC_LOAD_SUB_I32
-    4665U,	// ATOMIC_LOAD_SUB_I64
-    5090U,	// ATOMIC_LOAD_SUB_I8
-    5024U,	// ATOMIC_LOAD_XOR_I16
-    4572U,	// ATOMIC_LOAD_XOR_I32
-    4767U,	// ATOMIC_LOAD_XOR_I64
-    5205U,	// ATOMIC_LOAD_XOR_I8
-    5007U,	// ATOMIC_SWAP_I16
-    4555U,	// ATOMIC_SWAP_I32
-    4729U,	// ATOMIC_SWAP_I64
-    5256U,	// ATOMIC_SWAP_I8
-    157064U,	// B
-    165194U,	// BA
-    439785U,	// BCC
-    570857U,	// BCCA
-    701929U,	// BCCL
-    833001U,	// BCCLA
-    964073U,	// BCCTR
-    964073U,	// BCCTR8
-    1095145U,	// BCCTRL
-    1095145U,	// BCCTRL8
-    1226217U,	// BCLR
-    1357289U,	// BCLRL
-    155725U,	// BCLalways
-    5703U,	// BCTR
-    5703U,	// BCTR8
-    5665U,	// BCTRL
-    5665U,	// BCTRL8
-    159972U,	// BDNZ
-    159972U,	// BDNZ8
-    165245U,	// BDNZA
-    163966U,	// BDNZAm
-    163881U,	// BDNZAp
-    158193U,	// BDNZL
-    165226U,	// BDNZLA
-    163950U,	// BDNZLAm
-    163865U,	// BDNZLAp
-    5696U,	// BDNZLR
-    5696U,	// BDNZLR8
-    5657U,	// BDNZLRL
-    4422U,	// BDNZLRLm
-    4390U,	// BDNZLRLp
-    4438U,	// BDNZLRm
-    4406U,	// BDNZLRp
-    155789U,	// BDNZLm
-    155704U,	// BDNZLp
-    155803U,	// BDNZm
-    155718U,	// BDNZp
-    159941U,	// BDZ
-    159941U,	// BDZ8
-    165239U,	// BDZA
-    163959U,	// BDZAm
-    163874U,	// BDZAp
-    158187U,	// BDZL
-    165219U,	// BDZLA
-    163942U,	// BDZLAm
-    163857U,	// BDZLAp
-    5690U,	// BDZLR
-    5690U,	// BDZLR8
-    5650U,	// BDZLRL
-    4414U,	// BDZLRLm
-    4382U,	// BDZLRLp
-    4431U,	// BDZLRm
-    4399U,	// BDZLRp
-    155782U,	// BDZLm
-    155697U,	// BDZLp
-    155797U,	// BDZm
-    155712U,	// BDZp
-    158090U,	// BL
-    158090U,	// BL8
-    1468810U,	// BL8_NOP
-    1493386U,	// BL8_NOP_TLS
-    182666U,	// BL8_TLS
-    182666U,	// BL8_TLS_
-    165208U,	// BLA
-    165208U,	// BLA8
-    1475928U,	// BLA8_NOP
-    5686U,	// BLR
-    5645U,	// BLRL
-    1610623186U,	// CLRLSLDI
-    1610621433U,	// CLRLSLDIo
-    2147494212U,	// CLRLSLWI
-    2147492434U,	// CLRLSLWIo
-    1610623211U,	// CLRRDI
-    1610621460U,	// CLRRDIo
-    2147494245U,	// CLRRWI
-    2147492463U,	// CLRRWIo
-    10024U,	// CMPD
-    536881380U,	// CMPDI
-    9991U,	// CMPLD
-    1073752266U,	// CMPLDI
-    11921U,	// CMPLW
-    1073752380U,	// CMPLWI
-    11951U,	// CMPW
-    536881502U,	// CMPWI
-    67118922U,	// CNTLZD
-    67117424U,	// CNTLZDo
-    67120968U,	// CNTLZW
-    67118172U,	// CNTLZWo
-    4908U,	// CR6SET
-    4894U,	// CR6UNSET
-    10011U,	// CRAND
-    9844U,	// CRANDC
-    11870U,	// CREQV
-    10003U,	// CRNAND
-    11154U,	// CRNOR
-    11167U,	// CROR
-    9901U,	// CRORC
-    12594782U,	// CRSET
-    12594090U,	// CRUNSET
-    11178U,	// CRXOR
-    58696U,	// DCBA
-    59306U,	// DCBF
-    59563U,	// DCBI
-    60851U,	// DCBST
-    60832U,	// DCBT
-    60863U,	// DCBTST
-    61626U,	// DCBZ
-    59876U,	// DCBZL
-    10052U,	// DIVD
-    11792U,	// DIVDU
-    9179U,	// DIVDUo
-    8553U,	// DIVDo
-    12098U,	// DIVW
-    11839U,	// DIVWU
-    9196U,	// DIVWUo
-    9301U,	// DIVWo
-    68870U,	// DSS
-    5638U,	// DSSALL
-    77242U,	// DST
-    77242U,	// DST64
-    77255U,	// DSTST
-    77255U,	// DSTST64
-    77268U,	// DSTSTT
-    77268U,	// DSTSTT64
-    77262U,	// DSTT
-    77262U,	// DSTT64
-    5344U,	// DYNALLOC
-    5065U,	// DYNALLOC8
-    4628U,	// EH_SjLj_LongJmp32
-    4808U,	// EH_SjLj_LongJmp64
-    4647U,	// EH_SjLj_SetJmp32
-    4827U,	// EH_SjLj_SetJmp64
-    155649U,	// EH_SjLj_Setup
-    5671U,	// EIEIO
-    11872U,	// EQV
-    11872U,	// EQV8
-    9211U,	// EQV8o
-    9211U,	// EQVo
-    1610623196U,	// EXTLDI
-    1610621444U,	// EXTLDIo
-    2147494230U,	// EXTLWI
-    2147492454U,	// EXTLWIo
-    1610623235U,	// EXTRDI
-    1610621487U,	// EXTRDIo
-    2147494269U,	// EXTRWI
-    2147492490U,	// EXTRWIo
-    67118581U,	// EXTSB
-    67118581U,	// EXTSB8
-    67118581U,	// EXTSB8_32_64
-    67117229U,	// EXTSB8o
-    67117229U,	// EXTSBo
-    67119185U,	// EXTSH
-    67119185U,	// EXTSH8
-    67119185U,	// EXTSH8_32_64
-    67117523U,	// EXTSH8o
-    67117523U,	// EXTSHo
-    67120863U,	// EXTSW
-    67120863U,	// EXTSW_32_64
-    67118135U,	// EXTSW_32_64o
-    67118135U,	// EXTSWo
-    67120110U,	// FABSD
-    67117878U,	// FABSDo
-    67120110U,	// FABSS
-    67117878U,	// FABSSo
-    9931U,	// FADD
-    11339U,	// FADDS
-    9056U,	// FADDSo
-    8478U,	// FADDo
+    0U,	// LOAD_STACK_GUARD
+    18692U,	// ADD4
+    18692U,	// ADD4TLS
+    16794U,	// ADD4o
+    18692U,	// ADD8
+    18692U,	// ADD8TLS
+    18692U,	// ADD8TLS_
+    16794U,	// ADD8o
+    18547U,	// ADDC
+    18547U,	// ADDC8
+    16734U,	// ADDC8o
+    16734U,	// ADDCo
+    18865U,	// ADDE
+    18865U,	// ADDE8
+    16884U,	// ADDE8o
+    16884U,	// ADDEo
+    2147503046U,	// ADDI
+    2147503046U,	// ADDI8
+    2147502240U,	// ADDIC
+    2147502240U,	// ADDIC8
+    2147500412U,	// ADDICo
+    2147505661U,	// ADDIS
+    2147505661U,	// ADDIS8
+    8958U,	// ADDISdtprelHA
+    7931U,	// ADDISdtprelHA32
+    8941U,	// ADDISgotTprelHA
+    8913U,	// ADDIStlsgdHA
+    8927U,	// ADDIStlsldHA
+    8901U,	// ADDIStocHA
+    9137U,	// ADDIdtprelL
+    8134U,	// ADDIdtprelL32
+    9100U,	// ADDItlsgdL
+    8091U,	// ADDItlsgdL32
+    9112U,	// ADDItlsldL
+    8105U,	// ADDItlsldL32
+    9090U,	// ADDItocL
+    134236653U,	// ADDME
+    134236653U,	// ADDME8
+    134234627U,	// ADDME8o
+    134234627U,	// ADDMEo
+    134236713U,	// ADDZE
+    134236713U,	// ADDZE8
+    134234660U,	// ADDZE8o
+    134234660U,	// ADDZEo
+    296418U,	// ADJCALLSTACKDOWN
+    8947189U,	// ADJCALLSTACKUP
+    18788U,	// AND
+    18788U,	// AND8
+    16856U,	// AND8o
+    18556U,	// ANDC
+    18556U,	// ANDC8
+    16741U,	// ANDC8o
+    16741U,	// ANDCo
+    17497U,	// ANDISo
+    17497U,	// ANDISo8
+    17032U,	// ANDIo
+    17032U,	// ANDIo8
+    9258U,	// ANDIo_1_EQ_BIT
+    8851U,	// ANDIo_1_EQ_BIT8
+    9274U,	// ANDIo_1_GT_BIT
+    8868U,	// ANDIo_1_GT_BIT8
+    16856U,	// ANDo
+    285754771U,	// ATOMIC_CMP_SWAP_I16
+    285754749U,	// ATOMIC_CMP_SWAP_I32
+    8297U,	// ATOMIC_CMP_SWAP_I64
+    8769U,	// ATOMIC_CMP_SWAP_I8
+    8516U,	// ATOMIC_LOAD_ADD_I16
+    7969U,	// ATOMIC_LOAD_ADD_I32
+    8237U,	// ATOMIC_LOAD_ADD_I64
+    8708U,	// ATOMIC_LOAD_ADD_I8
+    8559U,	// ATOMIC_LOAD_AND_I16
+    8012U,	// ATOMIC_LOAD_AND_I32
+    8396U,	// ATOMIC_LOAD_AND_I64
+    8749U,	// ATOMIC_LOAD_AND_I8
+    8537U,	// ATOMIC_LOAD_NAND_I16
+    7990U,	// ATOMIC_LOAD_NAND_I32
+    8258U,	// ATOMIC_LOAD_NAND_I64
+    8728U,	// ATOMIC_LOAD_NAND_I8
+    8618U,	// ATOMIC_LOAD_OR_I16
+    8071U,	// ATOMIC_LOAD_OR_I32
+    8339U,	// ATOMIC_LOAD_OR_I64
+    8808U,	// ATOMIC_LOAD_OR_I8
+    8495U,	// ATOMIC_LOAD_SUB_I16
+    7948U,	// ATOMIC_LOAD_SUB_I32
+    8216U,	// ATOMIC_LOAD_SUB_I64
+    8674U,	// ATOMIC_LOAD_SUB_I8
+    8597U,	// ATOMIC_LOAD_XOR_I16
+    8050U,	// ATOMIC_LOAD_XOR_I32
+    8318U,	// ATOMIC_LOAD_XOR_I64
+    8789U,	// ATOMIC_LOAD_XOR_I8
+    8580U,	// ATOMIC_SWAP_I16
+    8033U,	// ATOMIC_SWAP_I32
+    8280U,	// ATOMIC_SWAP_I64
+    8885U,	// ATOMIC_SWAP_I8
+    313227U,	// B
+    329359U,	// BA
+    25182305U,	// BC
+    877702U,	// BCC
+    1139846U,	// BCCA
+    1401990U,	// BCCCTR
+    1401990U,	// BCCCTR8
+    1664134U,	// BCCCTRL
+    1664134U,	// BCCCTRL8
+    1926278U,	// BCCL
+    2188422U,	// BCCLA
+    2450566U,	// BCCLR
+    2712710U,	// BCCLRL
+    2900115U,	// BCCTR
+    2900115U,	// BCCTR8
+    2900171U,	// BCCTR8n
+    2900093U,	// BCCTRL
+    2900093U,	// BCCTRL8
+    2900151U,	// BCCTRL8n
+    2900151U,	// BCCTRLn
+    2900171U,	// BCCTRn
+    25182313U,	// BCL
+    2900105U,	// BCLR
+    2900082U,	// BCLRL
+    2900141U,	// BCLRLn
+    2900162U,	// BCLRn
+    311373U,	// BCLalways
+    25182373U,	// BCLn
+    9489U,	// BCTR
+    9489U,	// BCTR8
+    9451U,	// BCTRL
+    9451U,	// BCTRL8
+    25182366U,	// BCn
+    319102U,	// BDNZ
+    319102U,	// BDNZ8
+    329600U,	// BDNZA
+    327929U,	// BDNZAm
+    327721U,	// BDNZAp
+    314838U,	// BDNZL
+    329574U,	// BDNZLA
+    327913U,	// BDNZLAm
+    327705U,	// BDNZLAp
+    9482U,	// BDNZLR
+    9482U,	// BDNZLR8
+    9443U,	// BDNZLRL
+    7883U,	// BDNZLRLm
+    7851U,	// BDNZLRLp
+    7899U,	// BDNZLRm
+    7867U,	// BDNZLRp
+    311560U,	// BDNZLm
+    311352U,	// BDNZLp
+    311574U,	// BDNZm
+    311366U,	// BDNZp
+    319044U,	// BDZ
+    319044U,	// BDZ8
+    329594U,	// BDZA
+    327922U,	// BDZAm
+    327714U,	// BDZAp
+    314832U,	// BDZL
+    329567U,	// BDZLA
+    327905U,	// BDZLAm
+    327697U,	// BDZLAp
+    9476U,	// BDZLR
+    9476U,	// BDZLR8
+    9436U,	// BDZLRL
+    7875U,	// BDZLRLm
+    7843U,	// BDZLRLp
+    7892U,	// BDZLRm
+    7860U,	// BDZLRp
+    311553U,	// BDZLm
+    311345U,	// BDZLp
+    311568U,	// BDZm
+    311360U,	// BDZp
+    314728U,	// BL
+    314728U,	// BL8
+    3198312U,	// BL8_NOP
+    3247464U,	// BL8_NOP_TLS
+    363880U,	// BL8_TLS
+    363880U,	// BL8_TLS_
+    329556U,	// BLA
+    329556U,	// BLA8
+    3213140U,	// BLA8_NOP
+    9472U,	// BLR
+    9431U,	// BLRL
+    363880U,	// BL_TLS
+    18641U,	// BRINC
+    2147503060U,	// CLRLSLDI
+    2147500660U,	// CLRLSLDIo
+    19725U,	// CLRLSLWI
+    17101U,	// CLRLSLWIo
+    2147503095U,	// CLRRDI
+    2147500687U,	// CLRRDIo
+    19766U,	// CLRRWI
+    17130U,	// CLRRWIo
+    18823U,	// CMPD
+    2147503088U,	// CMPDI
+    18773U,	// CMPLD
+    19404U,	// CMPLDI
+    23074U,	// CMPLW
+    19709U,	// CMPLWI
+    23314U,	// CMPW
+    2147503407U,	// CMPWI
+    134236585U,	// CNTLZD
+    134234603U,	// CNTLZDo
+    134241216U,	// CNTLZW
+    134235423U,	// CNTLZWo
+    8481U,	// CR6SET
+    8467U,	// CR6UNSET
+    18809U,	// CRAND
+    18562U,	// CRANDC
+    22623U,	// CREQV
+    18793U,	// CRNAND
+    21565U,	// CRNOR
+    21579U,	// CROR
+    18654U,	// CRORC
+    2181060703U,	// CRSET
+    2181059679U,	// CRUNSET
+    21599U,	// CRXOR
+    116365U,	// DCBA
+    117304U,	// DCBF
+    117663U,	// DCBI
+    120708U,	// DCBST
+    120689U,	// DCBT
+    120720U,	// DCBTST
+    122425U,	// DCBZ
+    118217U,	// DCBZL
+    134237105U,	// DCCCI
+    18851U,	// DIVD
+    22497U,	// DIVDU
+    17566U,	// DIVDUo
+    16868U,	// DIVDo
+    23480U,	// DIVW
+    22592U,	// DIVWU
+    17583U,	// DIVWUo
+    17688U,	// DIVWo
+    398889U,	// DSS
+    9424U,	// DSSALL
+    444749707U,	// DST
+    444749707U,	// DST64
+    444749720U,	// DSTST
+    444749720U,	// DSTST64
+    444749733U,	// DSTSTT
+    444749733U,	// DSTSTT64
+    444749727U,	// DSTT
+    444749727U,	// DSTT64
+    8973U,	// DYNALLOC
+    8638U,	// DYNALLOC8
+    8149U,	// EH_SjLj_LongJmp32
+    8359U,	// EH_SjLj_LongJmp64
+    8168U,	// EH_SjLj_SetJmp32
+    8378U,	// EH_SjLj_SetJmp64
+    311297U,	// EH_SjLj_Setup
+    9457U,	// EIEIO
+    22625U,	// EQV
+    22625U,	// EQV8
+    17598U,	// EQV8o
+    17598U,	// EQVo
+    134239421U,	// EVABS
+    50354682U,	// EVADDIW
+    134240417U,	// EVADDSMIAAW
+    134240549U,	// EVADDSSIAAW
+    134240483U,	// EVADDUMIAAW
+    134240615U,	// EVADDUSIAAW
+    22960U,	// EVADDW
+    18816U,	// EVAND
+    18570U,	// EVANDC
+    21448U,	// EVCMPEQ
+    22080U,	// EVCMPGTS
+    22548U,	// EVCMPGTU
+    22090U,	// EVCMPLTS
+    22558U,	// EVCMPLTU
+    134241072U,	// EVCNTLSW
+    134241214U,	// EVCNTLZW
+    22223U,	// EVDIVWS
+    22590U,	// EVDIVWU
+    22630U,	// EVEQV
+    134236152U,	// EVEXTSB
+    134236995U,	// EVEXTSH
+    58738968U,	// EVLDD
+    23580U,	// EVLDDX
+    58739402U,	// EVLDH
+    23665U,	// EVLDHX
+    58743224U,	// EVLDW
+    24049U,	// EVLDWX
+    58742576U,	// EVLHHESPLAT
+    23852U,	// EVLHHESPLATX
+    58742601U,	// EVLHHOSSPLAT
+    23879U,	// EVLHHOSSPLATX
+    58742615U,	// EVLHHOUSPLAT
+    23894U,	// EVLHHOUSPLATX
+    58739150U,	// EVLWHE
+    23636U,	// EVLWHEX
+    58742304U,	// EVLWHOS
+    23842U,	// EVLWHOSX
+    58742775U,	// EVLWHOU
+    23985U,	// EVLWHOUX
+    58742589U,	// EVLWHSPLAT
+    23866U,	// EVLWHSPLATX
+    58742629U,	// EVLWWSPLAT
+    23909U,	// EVLWWSPLATX
+    19503U,	// EVMERGEHI
+    20297U,	// EVMERGEHILO
+    20286U,	// EVMERGELO
+    19514U,	// EVMERGELOHI
+    17939U,	// EVMHEGSMFAA
+    20118U,	// EVMHEGSMFAN
+    17987U,	// EVMHEGSMIAA
+    20166U,	// EVMHEGSMIAN
+    18024U,	// EVMHEGUMIAA
+    20203U,	// EVMHEGUMIAN
+    19012U,	// EVMHESMF
+    18072U,	// EVMHESMFA
+    22637U,	// EVMHESMFAAW
+    23106U,	// EVMHESMFANW
+    19557U,	// EVMHESMI
+    18163U,	// EVMHESMIA
+    22702U,	// EVMHESMIAAW
+    23158U,	// EVMHESMIANW
+    19087U,	// EVMHESSF
+    18115U,	// EVMHESSFA
+    22663U,	// EVMHESSFAAW
+    23132U,	// EVMHESSFANW
+    22834U,	// EVMHESSIAAW
+    23236U,	// EVMHESSIANW
+    19596U,	// EVMHEUMI
+    18206U,	// EVMHEUMIA
+    22768U,	// EVMHEUMIAAW
+    23197U,	// EVMHEUMIANW
+    22900U,	// EVMHEUSIAAW
+    23275U,	// EVMHEUSIANW
+    17952U,	// EVMHOGSMFAA
+    20131U,	// EVMHOGSMFAN
+    18000U,	// EVMHOGSMIAA
+    20179U,	// EVMHOGSMIAN
+    18037U,	// EVMHOGUMIAA
+    20216U,	// EVMHOGUMIAN
+    19032U,	// EVMHOSMF
+    18094U,	// EVMHOSMFA
+    22650U,	// EVMHOSMFAAW
+    23119U,	// EVMHOSMFANW
+    19577U,	// EVMHOSMI
+    18185U,	// EVMHOSMIA
+    22742U,	// EVMHOSMIAAW
+    23184U,	// EVMHOSMIANW
+    19107U,	// EVMHOSSF
+    18137U,	// EVMHOSSFA
+    22676U,	// EVMHOSSFAAW
+    23145U,	// EVMHOSSFANW
+    22874U,	// EVMHOSSIAAW
+    23262U,	// EVMHOSSIANW
+    19626U,	// EVMHOUMI
+    18239U,	// EVMHOUMIA
+    22808U,	// EVMHOUMIAAW
+    23223U,	// EVMHOUMIANW
+    22940U,	// EVMHOUSIAAW
+    23301U,	// EVMHOUSIANW
+    134236014U,	// EVMRA
+    19022U,	// EVMWHSMF
+    18083U,	// EVMWHSMFA
+    19567U,	// EVMWHSMI
+    18174U,	// EVMWHSMIA
+    19097U,	// EVMWHSSF
+    18126U,	// EVMWHSSFA
+    19606U,	// EVMWHUMI
+    18217U,	// EVMWHUMIA
+    22729U,	// EVMWLSMIAAW
+    23171U,	// EVMWLSMIANW
+    22861U,	// EVMWLSSIAAW
+    23249U,	// EVMWLSSIANW
+    19616U,	// EVMWLUMI
+    18228U,	// EVMWLUMIA
+    22795U,	// EVMWLUMIAAW
+    23210U,	// EVMWLUMIANW
+    22927U,	// EVMWLUSIAAW
+    23288U,	// EVMWLUSIANW
+    19042U,	// EVMWSMF
+    18105U,	// EVMWSMFA
+    17965U,	// EVMWSMFAA
+    20144U,	// EVMWSMFAN
+    19587U,	// EVMWSMI
+    18196U,	// EVMWSMIA
+    18013U,	// EVMWSMIAA
+    20192U,	// EVMWSMIAN
+    19117U,	// EVMWSSF
+    18148U,	// EVMWSSFA
+    17976U,	// EVMWSSFAA
+    20155U,	// EVMWSSFAN
+    19636U,	// EVMWUMI
+    18250U,	// EVMWUMIA
+    18050U,	// EVMWUMIAA
+    20229U,	// EVMWUMIAN
+    18801U,	// EVNAND
+    134236860U,	// EVNEG
+    21572U,	// EVNOR
+    21585U,	// EVOR
+    18661U,	// EVORC
+    23081U,	// EVRLW
+    19717U,	// EVRLWI
+    134240703U,	// EVRNDW
+    23088U,	// EVSLW
+    19743U,	// EVSLWI
+    134237220U,	// EVSPLATFI
+    134237411U,	// EVSPLATI
+    22032U,	// EVSRWIS
+    22510U,	// EVSRWIU
+    22160U,	// EVSRWS
+    22576U,	// EVSRWU
+    58738975U,	// EVSTDD
+    23588U,	// EVSTDDX
+    58739409U,	// EVSTDH
+    23673U,	// EVSTDHX
+    58743239U,	// EVSTDW
+    24057U,	// EVSTDWX
+    58739158U,	// EVSTWHE
+    23645U,	// EVSTWHEX
+    58740533U,	// EVSTWHO
+    23703U,	// EVSTWHOX
+    58739232U,	// EVSTWWE
+    23655U,	// EVSTWWEX
+    58740578U,	// EVSTWWO
+    23713U,	// EVSTWWOX
+    134240443U,	// EVSUBFSMIAAW
+    134240575U,	// EVSUBFSSIAAW
+    134240509U,	// EVSUBFUMIAAW
+    134240641U,	// EVSUBFUSIAAW
+    22991U,	// EVSUBFW
+    67131864U,	// EVSUBIFW
+    21606U,	// EVXOR
+    2147503070U,	// EXTLDI
+    2147500671U,	// EXTLDIo
+    19751U,	// EXTLWI
+    17121U,	// EXTLWIo
+    2147503119U,	// EXTRDI
+    2147500714U,	// EXTRDIo
+    19790U,	// EXTRWI
+    17157U,	// EXTRWIo
+    134236154U,	// EXTSB
+    134236154U,	// EXTSB8
+    134236154U,	// EXTSB8_32_64
+    134234408U,	// EXTSB8o
+    134234408U,	// EXTSBo
+    134236997U,	// EXTSH
+    134236997U,	// EXTSH8
+    134236997U,	// EXTSH8_32_64
+    134234702U,	// EXTSH8o
+    134234702U,	// EXTSHo
+    134241100U,	// EXTSW
+    134241100U,	// EXTSW_32_64
+    134235386U,	// EXTSW_32_64o
+    134235386U,	// EXTSWo
+    134239408U,	// FABSD
+    134235129U,	// FABSDo
+    134239408U,	// FABSS
+    134235129U,	// FABSSo
+    18691U,	// FADD
+    21780U,	// FADDS
+    17443U,	// FADDSo
+    16793U,	// FADDo
     0U,	// FADDrtz
-    67118834U,	// FCFID
-    67120227U,	// FCFIDS
-    67117947U,	// FCFIDSo
-    67120637U,	// FCFIDU
-    67120421U,	// FCFIDUS
-    67117999U,	// FCFIDUSo
-    67118034U,	// FCFIDUo
-    67117374U,	// FCFIDo
-    11805U,	// FCMPUD
-    11805U,	// FCMPUS
-    10902U,	// FCPSGND
-    8906U,	// FCPSGNDo
-    10902U,	// FCPSGNS
-    8906U,	// FCPSGNSo
-    67118841U,	// FCTID
-    67121386U,	// FCTIDUZ
-    67118213U,	// FCTIDUZo
-    67121354U,	// FCTIDZ
-    67118197U,	// FCTIDZo
-    67117382U,	// FCTIDo
-    67120763U,	// FCTIW
-    67121395U,	// FCTIWUZ
-    67118223U,	// FCTIWUZo
-    67121404U,	// FCTIWZ
-    67118233U,	// FCTIWZo
-    67118096U,	// FCTIWo
-    11864U,	// FDIV
-    11602U,	// FDIVS
-    9145U,	// FDIVSo
-    9204U,	// FDIVo
-    9937U,	// FMADD
-    11346U,	// FMADDS
-    9064U,	// FMADDSo
-    8485U,	// FMADDo
-    67120013U,	// FMR
-    67117860U,	// FMRo
-    9801U,	// FMSUB
-    11322U,	// FMSUBS
-    9037U,	// FMSUBSo
-    8391U,	// FMSUBo
-    10705U,	// FMUL
-    11519U,	// FMULS
-    9118U,	// FMULSo
-    8875U,	// FMULo
-    67120116U,	// FNABSD
-    67117885U,	// FNABSDo
-    67120116U,	// FNABSS
-    67117885U,	// FNABSSo
-    67119066U,	// FNEGD
-    67117505U,	// FNEGDo
-    67119066U,	// FNEGS
-    67117505U,	// FNEGSo
-    9944U,	// FNMADD
-    11354U,	// FNMADDS
-    9073U,	// FNMADDSo
-    8493U,	// FNMADDo
-    9808U,	// FNMSUB
-    11330U,	// FNMSUBS
-    9046U,	// FNMSUBSo
-    8399U,	// FNMSUBo
-    67118981U,	// FRE
-    67120235U,	// FRES
-    67117956U,	// FRESo
-    67117465U,	// FREo
-    67119702U,	// FRIMD
-    67117746U,	// FRIMDo
-    67119702U,	// FRIMS
-    67117746U,	// FRIMSo
-    67119781U,	// FRIND
-    67117779U,	// FRINDo
-    67119781U,	// FRINS
-    67117779U,	// FRINSo
-    67119933U,	// FRIPD
-    67117829U,	// FRIPDo
-    67119933U,	// FRIPS
-    67117829U,	// FRIPSo
-    67121374U,	// FRIZD
-    67118206U,	// FRIZDo
-    67121374U,	// FRIZS
-    67118206U,	// FRIZSo
-    67119939U,	// FRSP
-    67117836U,	// FRSPo
-    67118994U,	// FRSQRTE
-    67120241U,	// FRSQRTES
-    67117963U,	// FRSQRTESo
-    67117471U,	// FRSQRTEo
-    10666U,	// FSELD
-    8868U,	// FSELDo
-    10666U,	// FSELS
-    8868U,	// FSELSo
-    67120556U,	// FSQRT
-    67120413U,	// FSQRTS
-    67117990U,	// FSQRTSo
-    67118017U,	// FSQRTo
-    9795U,	// FSUB
-    11315U,	// FSUBS
-    9029U,	// FSUBSo
-    8384U,	// FSUBo
-    5544U,	// GETtlsADDR
-    5530U,	// GETtlsldADDR
-    59569U,	// ICBI
-    2147494222U,	// INSLWI
-    2147492445U,	// INSLWIo
-    1610623219U,	// INSRDI
-    1610621469U,	// INSRDIo
-    2147494253U,	// INSRWI
-    2147492472U,	// INSRWIo
-    10672U,	// ISEL
-    10672U,	// ISEL8
-    5619U,	// ISYNC
-    16786777U,	// LA
-    20981081U,	// LAx
-    20984000U,	// LBZ
-    20984000U,	// LBZ8
-    25177670U,	// LBZU
-    25177670U,	// LBZU8
-    29372515U,	// LBZUX
-    29372515U,	// LBZUX8
-    75509920U,	// LBZX
-    75509920U,	// LBZX8
-    20981507U,	// LD
-    75509695U,	// LDARX
-    75509709U,	// LDBRX
-    25177605U,	// LDU
-    29372466U,	// LDUX
-    75509638U,	// LDX
-    5482U,	// LDgotTprelL
-    4613U,	// LDgotTprelL32
-    1585417U,	// LDinto_toc
-    5631U,	// LDtoc
-    5578U,	// LDtocCPT
-    5430U,	// LDtocJTI
-    5440U,	// LDtocL
-    4370U,	// LDtoc_restore
-    20981472U,	// LFD
-    25177576U,	// LFDU
-    29372451U,	// LFDUX
-    75509625U,	// LFDX
-    75509590U,	// LFIWAX
-    75509932U,	// LFIWZX
-    20982913U,	// LFS
-    25177636U,	// LFSU
-    29372493U,	// LFSUX
-    75509761U,	// LFSX
-    20981075U,	// LHA
-    20981075U,	// LHA8
-    25177564U,	// LHAU
-    25177564U,	// LHAU8
-    29372430U,	// LHAUX
-    29372430U,	// LHAUX8
-    75509584U,	// LHAX
-    75509584U,	// LHAX8
-    75509724U,	// LHBRX
-    20984018U,	// LHZ
-    20984018U,	// LHZ8
-    25177676U,	// LHZU
-    25177676U,	// LHZU8
-    29372522U,	// LHZUX
-    29372522U,	// LHZUX8
-    75509926U,	// LHZX
-    75509926U,	// LHZX8
-    33564947U,	// LI
-    33564947U,	// LI8
-    33565939U,	// LIS
-    33565939U,	// LIS8
-    20983460U,	// LMW
-    75509604U,	// LVEBX
-    75509649U,	// LVEHX
-    75509891U,	// LVEWX
-    75508171U,	// LVSL
-    75508691U,	// LVSR
-    75509880U,	// LVX
-    75508183U,	// LVXL
-    20981106U,	// LWA
-    75509702U,	// LWARX
-    29372437U,	// LWAUX
-    75509598U,	// LWAX
-    75509598U,	// LWAX_32
-    20981106U,	// LWA_32
-    75509739U,	// LWBRX
-    20984068U,	// LWZ
-    20984068U,	// LWZ8
-    25177682U,	// LWZU
-    25177682U,	// LWZU8
-    29372529U,	// LWZUX
-    29372529U,	// LWZUX8
-    75509940U,	// LWZX
-    75509940U,	// LWZX8
-    67119030U,	// MCRF
-    142173U,	// MFCR
-    142173U,	// MFCR8
-    142304U,	// MFCTR
-    142304U,	// MFCTR8
-    142459U,	// MFFS
-    142209U,	// MFLR
-    142209U,	// MFLR8
-    142277U,	// MFMSR
-    37758908U,	// MFOCRF
-    37758908U,	// MFOCRF8
-    67120055U,	// MFSPR
-    67118596U,	// MFTB
-    1715127U,	// MFTB8
-    1846199U,	// MFVRSAVE
-    1846199U,	// MFVRSAVEv
-    142187U,	// MFVSCR
-    5625U,	// MSYNC
-    67119052U,	// MTCRF
-    67119052U,	// MTCRF8
-    142311U,	// MTCTR
-    142311U,	// MTCTR8
-    142311U,	// MTCTR8loop
-    142311U,	// MTCTRloop
-    214178U,	// MTFSB0
-    214186U,	// MTFSB1
-    67119059U,	// MTFSF
-    142215U,	// MTLR
-    142215U,	// MTLR8
-    67120076U,	// MTMSR
-    67118894U,	// MTMSRD
-    92100U,	// MTOCRF
-    92100U,	// MTOCRF8
-    67120062U,	// MTSPR
-    139354U,	// MTVRSAVE
-    229466U,	// MTVRSAVEv
-    142195U,	// MTVSCR
-    9963U,	// MULHD
-    11765U,	// MULHDU
-    9161U,	// MULHDUo
-    8502U,	// MULHDo
-    11892U,	// MULHW
-    11825U,	// MULHWU
-    9187U,	// MULHWUo
-    9224U,	// MULHWo
-    9984U,	// MULLD
-    8526U,	// MULLDo
-    536881424U,	// MULLI
-    536881424U,	// MULLI8
-    11914U,	// MULLW
-    9240U,	// MULLWo
-    5556U,	// MovePCtoLR
-    5243U,	// MovePCtoLR8
-    10005U,	// NAND
-    10005U,	// NAND8
-    8540U,	// NAND8o
-    8540U,	// NANDo
-    67119067U,	// NEG
-    67119067U,	// NEG8
-    67117506U,	// NEG8o
-    67117506U,	// NEGo
-    5682U,	// NOP
-    4446U,	// NOP_GT_PWR6
-    4458U,	// NOP_GT_PWR7
-    11156U,	// NOR
-    11156U,	// NOR8
-    9002U,	// NOR8o
-    9002U,	// NORo
-    11157U,	// OR
-    11157U,	// OR8
-    9003U,	// OR8o
-    9903U,	// ORC
-    9903U,	// ORC8
-    8465U,	// ORC8o
-    8465U,	// ORCo
-    1073752368U,	// ORI
-    1073752368U,	// ORI8
-    1073753337U,	// ORIS
-    1073753337U,	// ORIS8
-    9003U,	// ORo
-    67118902U,	// POPCNTD
-    67120886U,	// POPCNTW
-    5568U,	// PPC32GOT
-    5508U,	// RESTORE_CR
-    5400U,	// RESTORE_VRSAVE
-    10643U,	// RLDCL
-    8851U,	// RLDCLo
-    11094U,	// RLDCR
-    8979U,	// RLDCRo
-    1610622616U,	// RLDIC
-    1610623386U,	// RLDICL
-    1610623386U,	// RLDICL_32_64
-    1610621595U,	// RLDICLo
-    1610623843U,	// RLDICR
-    1610621723U,	// RLDICRo
-    1610621193U,	// RLDICo
-    243280151U,	// RLDIMI
-    243278392U,	// RLDIMIo
-    310389023U,	// RLWIMI
-    310387265U,	// RLWIMIo
-    2147494492U,	// RLWINM
-    2147494492U,	// RLWINM8
-    2147492537U,	// RLWINM8o
-    2147492537U,	// RLWINMo
-    10852U,	// RLWNM
-    8898U,	// RLWNMo
-    1610623227U,	// ROTRDI
-    1610621478U,	// ROTRDIo
-    2147494261U,	// ROTRWI
-    2147492481U,	// ROTRWIo
-    140980U,	// SC
-    4866U,	// SELECT_CC_F4
-    5076U,	// SELECT_CC_F8
-    4880U,	// SELECT_CC_I4
-    5110U,	// SELECT_CC_I8
-    5354U,	// SELECT_CC_VRRC
-    5603U,	// SLBIA
-    141160U,	// SLBIE
-    67118936U,	// SLBMFEE
-    67118986U,	// SLBMTE
-    9998U,	// SLD
-    1610623190U,	// SLDI
-    1610621437U,	// SLDIo
-    8534U,	// SLDo
-    11935U,	// SLW
-    2147494216U,	// SLWI
-    2147492438U,	// SLWIo
-    9248U,	// SLWo
-    5520U,	// SPILL_CR
-    5416U,	// SPILL_VRSAVE
-    9925U,	// SRAD
-    1610623165U,	// SRADI
-    1610621425U,	// SRADIo
-    8471U,	// SRADo
-    11878U,	// SRAW
-    2147494197U,	// SRAWI
-    2147492426U,	// SRAWIo
-    9217U,	// SRAWo
-    10033U,	// SRD
-    1610623221U,	// SRDI
-    1610621471U,	// SRDIo
-    8547U,	// SRDo
-    11958U,	// SRW
-    2147494255U,	// SRWI
-    2147492474U,	// SRWIo
-    9254U,	// SRWo
-    20981266U,	// STB
-    20981266U,	// STB8
-    25267682U,	// STBU
-    25267682U,	// STBU8
-    29462556U,	// STBUX
-    29462556U,	// STBUX8
-    75509619U,	// STBX
-    75509619U,	// STBX8
-    20981567U,	// STD
-    75509716U,	// STDBRX
-    75506789U,	// STDCX
-    25267722U,	// STDU
-    29462584U,	// STDUX
-    75509643U,	// STDX
-    20981477U,	// STFD
-    25267694U,	// STFDU
-    29462570U,	// STFDUX
-    75509631U,	// STFDX
-    75509906U,	// STFIWX
-    20982918U,	// STFS
-    25267754U,	// STFSU
-    29462612U,	// STFSUX
-    75509767U,	// STFSX
-    20981864U,	// STH
-    20981864U,	// STH8
-    75509731U,	// STHBRX
-    25267735U,	// STHU
-    25267735U,	// STHU8
-    29462598U,	// STHUX
-    29462598U,	// STHUX8
-    75509664U,	// STHX
-    75509664U,	// STHX8
-    20983465U,	// STMW
-    75509611U,	// STVEBX
-    75509656U,	// STVEHX
-    75509898U,	// STVEWX
-    75509885U,	// STVX
-    75508189U,	// STVXL
-    20983551U,	// STW
-    20983551U,	// STW8
-    75509746U,	// STWBRX
-    75506797U,	// STWCX
-    25267769U,	// STWU
-    25267769U,	// STWU8
-    29462620U,	// STWUX
-    29462620U,	// STWUX8
-    75509914U,	// STWX
-    75509914U,	// STWX8
-    10160U,	// SUBF
-    10160U,	// SUBF8
-    8634U,	// SUBF8o
-    9859U,	// SUBFC
-    9859U,	// SUBFC8
-    8433U,	// SUBFC8o
-    8433U,	// SUBFCo
-    10081U,	// SUBFE
-    10081U,	// SUBFE8
-    8576U,	// SUBFE8o
-    8576U,	// SUBFEo
-    536880799U,	// SUBFIC
-    536880799U,	// SUBFIC8
-    67118973U,	// SUBFME
-    67118973U,	// SUBFME8
-    67117456U,	// SUBFME8o
-    67117456U,	// SUBFMEo
-    67119010U,	// SUBFZE
-    67119010U,	// SUBFZE8
-    67117489U,	// SUBFZE8o
-    67117489U,	// SUBFZEo
-    8634U,	// SUBFo
-    536881335U,	// SUBI
-    536880778U,	// SUBIC
-    536879353U,	// SUBICo
-    536882405U,	// SUBIS
-    140967U,	// SYNC
-    157064U,	// TAILB
-    157064U,	// TAILB8
-    165194U,	// TAILBA
-    165194U,	// TAILBA8
-    5703U,	// TAILBCTR
-    5703U,	// TAILBCTR8
-    67405115U,	// TCRETURNai
-    67405022U,	// TCRETURNai8
-    67397304U,	// TCRETURNdi
-    67396844U,	// TCRETURNdi8
-    67382089U,	// TCRETURNri
-    67380474U,	// TCRETURNri8
-    83771U,	// TD
-    536955147U,	// TDI
-    2066287U,	// TLBIE
-    141730U,	// TLBIEL
-    5611U,	// TLBSYNC
-    5677U,	// TRAP
-    85746U,	// TW
-    536955269U,	// TWI
-    67118344U,	// UPDATE_VRSAVE
-    12045U,	// VADDCUW
-    10971U,	// VADDFP
-    11278U,	// VADDSBS
-    11446U,	// VADDSHS
-    11628U,	// VADDSWS
-    10763U,	// VADDUBM
-    11306U,	// VADDUBS
-    10812U,	// VADDUHM
-    11474U,	// VADDUHS
-    10893U,	// VADDUWM
-    11655U,	// VADDUWS
-    10018U,	// VAND
-    9852U,	// VANDC
-    9654U,	// VAVGSB
-    10258U,	// VAVGSH
-    11963U,	// VAVGSW
-    9760U,	// VAVGUB
-    10358U,	// VAVGUH
-    12054U,	// VAVGUW
-    377499642U,	// VCFSX
-    402665466U,	// VCFSX_0
-    377499711U,	// VCFUX
-    402665535U,	// VCFUX_0
-    10935U,	// VCMPBFP
-    8922U,	// VCMPBFPo
-    11034U,	// VCMPEQFP
-    8943U,	// VCMPEQFPo
-    9785U,	// VCMPEQUB
-    8373U,	// VCMPEQUBo
-    10383U,	// VCMPEQUH
-    8667U,	// VCMPEQUHo
-    12070U,	// VCMPEQUW
-    9279U,	// VCMPEQUWo
-    10988U,	// VCMPGEFP
-    8932U,	// VCMPGEFPo
-    11044U,	// VCMPGTFP
-    8954U,	// VCMPGTFPo
-    9707U,	// VCMPGTSB
-    8354U,	// VCMPGTSBo
-    10311U,	// VCMPGTSH
-    8648U,	// VCMPGTSHo
-    11989U,	// VCMPGTSW
-    9260U,	// VCMPGTSWo
-    9816U,	// VCMPGTUB
-    8408U,	// VCMPGTUBo
-    10393U,	// VCMPGTUH
-    8678U,	// VCMPGTUHo
-    12080U,	// VCMPGTUW
-    9290U,	// VCMPGTUWo
-    377499024U,	// VCTSXS
-    402664848U,	// VCTSXS_0
-    377499032U,	// VCTUXS
-    402664856U,	// VCTUXS_0
-    67119869U,	// VEXPTEFP
-    67119843U,	// VLOGEFP
-    10962U,	// VMADDFP
-    11054U,	// VMAXFP
-    9724U,	// VMAXSB
-    10328U,	// VMAXSH
-    12006U,	// VMAXSW
-    9826U,	// VMAXUB
-    10403U,	// VMAXUH
-    12090U,	// VMAXUW
-    11423U,	// VMHADDSHS
-    11434U,	// VMHRADDSHS
-    11026U,	// VMINFP
-    9690U,	// VMINSB
-    10294U,	// VMINSH
-    11981U,	// VMINSW
-    9768U,	// VMINUB
-    10366U,	// VMINUH
-    12062U,	// VMINUW
-    10801U,	// VMLADDUHM
-    9611U,	// VMRGHB
-    10215U,	// VMRGHH
-    11884U,	// VMRGHW
-    9619U,	// VMRGLB
-    10223U,	// VMRGLH
-    11906U,	// VMRGLW
-    10744U,	// VMSUMMBM
-    10782U,	// VMSUMSHM
-    11455U,	// VMSUMSHS
-    10772U,	// VMSUMUBM
-    10821U,	// VMSUMUHM
-    11483U,	// VMSUMUHS
-    9645U,	// VMULESB
-    10249U,	// VMULESH
-    9751U,	// VMULEUB
-    10349U,	// VMULEUH
-    9698U,	// VMULOSB
-    10302U,	// VMULOSH
-    9776U,	// VMULOUB
-    10374U,	// VMULOUH
-    10944U,	// VNMSUBFP
-    11161U,	// VNOR
-    11173U,	// VOR
-    10859U,	// VPERM
-    12207U,	// VPKPX
-    11531U,	// VPKSHSS
-    11566U,	// VPKSHUS
-    11540U,	// VPKSWSS
-    11584U,	// VPKSWUS
-    10866U,	// VPKUHUM
-    11575U,	// VPKUHUS
-    10875U,	// VPKUWUM
-    11593U,	// VPKUWUS
-    67119862U,	// VREFP
-    67119695U,	// VRFIM
-    67119774U,	// VRFIN
-    67119926U,	// VRFIP
-    67121367U,	// VRFIZ
-    9627U,	// VRLB
-    10231U,	// VRLH
-    11928U,	// VRLW
-    67119879U,	// VRSQRTEFP
-    10678U,	// VSEL
-    10700U,	// VSL
-    9633U,	// VSLB
-    10535U,	// VSLDOI
-    10237U,	// VSLH
-    10923U,	// VSLO
-    11934U,	// VSLW
-    377497098U,	// VSPLTB
-    377497696U,	// VSPLTH
-    46147015U,	// VSPLTISB
-    46147619U,	// VSPLTISH
-    46149315U,	// VSPLTISW
-    377499374U,	// VSPLTW
-    11220U,	// VSR
-    9604U,	// VSRAB
-    10208U,	// VSRAH
-    11877U,	// VSRAW
-    9639U,	// VSRB
-    10243U,	// VSRH
-    10929U,	// VSRO
-    11957U,	// VSRW
-    12036U,	// VSUBCUW
-    10954U,	// VSUBFP
-    11269U,	// VSUBSBS
-    11414U,	// VSUBSHS
-    11619U,	// VSUBSWS
-    10754U,	// VSUBUBM
-    11297U,	// VSUBUBS
-    10792U,	// VSUBUHM
-    11465U,	// VSUBUHS
-    10884U,	// VSUBUWM
-    11646U,	// VSUBUWS
-    11609U,	// VSUM2SWS
-    11259U,	// VSUM4SBS
-    11404U,	// VSUM4SHS
-    11287U,	// VSUM4UBS
-    11637U,	// VSUMSWS
-    67121062U,	// VUPKHPX
-    67118526U,	// VUPKHSB
-    67119130U,	// VUPKHSH
-    67121078U,	// VUPKLPX
-    67118545U,	// VUPKLSB
-    67119149U,	// VUPKLSH
-    11185U,	// VXOR
-    12594097U,	// V_SET0
-    12594097U,	// V_SET0B
-    12594097U,	// V_SET0H
-    2109123U,	// V_SETALLONES
-    2109123U,	// V_SETALLONESB
-    2109123U,	// V_SETALLONESH
-    142758U,	// WAIT
-    11180U,	// XOR
-    11180U,	// XOR8
-    9008U,	// XOR8o
-    1073752367U,	// XORI
-    1073752367U,	// XORI8
-    1073753336U,	// XORIS
-    1073753336U,	// XORIS8
-    9008U,	// XORo
-    2684438122U,	// gBC
-    3221308750U,	// gBCA
-    84953U,	// gBCCTR
-    84419U,	// gBCCTRL
-    2684438926U,	// gBCL
-    3221308765U,	// gBCLA
-    84859U,	// gBCLR
-    84412U,	// gBCLRL
+    134236473U,	// FCFID
+    134239532U,	// FCFIDS
+    134235198U,	// FCFIDSo
+    134240206U,	// FCFIDU
+    134239836U,	// FCFIDUS
+    134235250U,	// FCFIDUSo
+    134235285U,	// FCFIDUo
+    134234553U,	// FCFIDo
+    22528U,	// FCMPUD
+    22528U,	// FCMPUS
+    20240U,	// FCPSGND
+    17221U,	// FCPSGNDo
+    20240U,	// FCPSGNS
+    17221U,	// FCPSGNSo
+    134236480U,	// FCTID
+    134241924U,	// FCTIDUZ
+    134235472U,	// FCTIDUZo
+    134241865U,	// FCTIDZ
+    134235456U,	// FCTIDZo
+    134234561U,	// FCTIDo
+    134240771U,	// FCTIW
+    134241933U,	// FCTIWUZ
+    134235482U,	// FCTIWUZo
+    134241942U,	// FCTIWZ
+    134235492U,	// FCTIWZo
+    134235347U,	// FCTIWo
+    22617U,	// FDIV
+    22153U,	// FDIVS
+    17532U,	// FDIVSo
+    17591U,	// FDIVo
+    18697U,	// FMADD
+    21787U,	// FMADDS
+    17451U,	// FMADDSo
+    16800U,	// FMADDo
+    134239273U,	// FMR
+    134235111U,	// FMRo
+    18510U,	// FMSUB
+    21763U,	// FMSUBS
+    17424U,	// FMSUBSo
+    16706U,	// FMSUBo
+    19894U,	// FMUL
+    22041U,	// FMULS
+    17505U,	// FMULSo
+    17190U,	// FMULo
+    134239414U,	// FNABSD
+    134235136U,	// FNABSDo
+    134239414U,	// FNABSS
+    134235136U,	// FNABSSo
+    134236854U,	// FNEGD
+    134234684U,	// FNEGDo
+    134236854U,	// FNEGS
+    134234684U,	// FNEGSo
+    18704U,	// FNMADD
+    21795U,	// FNMADDS
+    17460U,	// FNMADDSo
+    16808U,	// FNMADDo
+    18517U,	// FNMSUB
+    21771U,	// FNMSUBS
+    17433U,	// FNMSUBSo
+    16714U,	// FNMSUBo
+    134236675U,	// FRE
+    134239612U,	// FRES
+    134235207U,	// FRESo
+    134234644U,	// FREo
+    134237782U,	// FRIMD
+    134234925U,	// FRIMDo
+    134237782U,	// FRIMS
+    134234925U,	// FRIMSo
+    134237983U,	// FRIND
+    134234958U,	// FRINDo
+    134237983U,	// FRINS
+    134234958U,	// FRINSo
+    134238831U,	// FRIPD
+    134235044U,	// FRIPDo
+    134238831U,	// FRIPS
+    134235044U,	// FRIPSo
+    134241912U,	// FRIZD
+    134235465U,	// FRIZDo
+    134241912U,	// FRIZS
+    134235465U,	// FRIZSo
+    134239069U,	// FRSP
+    134235075U,	// FRSPo
+    134236688U,	// FRSQRTE
+    134239618U,	// FRSQRTES
+    134235214U,	// FRSQRTESo
+    134234650U,	// FRSQRTEo
+    19848U,	// FSELD
+    17183U,	// FSELDo
+    19848U,	// FSELS
+    17183U,	// FSELSo
+    134240125U,	// FSQRT
+    134239828U,	// FSQRTS
+    134235241U,	// FSQRTSo
+    134235268U,	// FSQRTo
+    18504U,	// FSUB
+    21756U,	// FSUBS
+    17416U,	// FSUBSo
+    16699U,	// FSUBo
+    9206U,	// GETtlsADDR
+    8202U,	// GETtlsADDR32
+    9192U,	// GETtlsldADDR
+    8186U,	// GETtlsldADDR32
+    9150U,	// GetGBRO
+    117669U,	// ICBI
+    134237112U,	// ICCCI
+    19735U,	// INSLWI
+    17112U,	// INSLWIo
+    2147503103U,	// INSRDI
+    2147500696U,	// INSRDIo
+    19774U,	// INSRWI
+    17139U,	// INSRWIo
+    19854U,	// ISEL
+    19854U,	// ISEL8
+    9360U,	// ISYNC
+    75515733U,	// LA
+    58738517U,	// LAx
+    58744383U,	// LBZ
+    58744383U,	// LBZ8
+    83908679U,	// LBZU
+    83908679U,	// LBZU8
+    92298705U,	// LBZUX
+    92298705U,	// LBZUX8
+    151019039U,	// LBZX
+    151019039U,	// LBZX8
+    58739018U,	// LD
+    151018692U,	// LDARX
+    151018706U,	// LDBRX
+    83908566U,	// LDU
+    92298646U,	// LDUX
+    151018554U,	// LDX
+    9124U,	// LDgotTprelL
+    8119U,	// LDgotTprelL32
+    163930U,	// LDinto_toc
+    9372U,	// LDtoc
+    9313U,	// LDtocCPT
+    9072U,	// LDtocJTI
+    9082U,	// LDtocL
+    58738983U,	// LFD
+    83908537U,	// LFDU
+    92298631U,	// LFDUX
+    151018541U,	// LFDX
+    151018489U,	// LFIWAX
+    151019051U,	// LFIWZX
+    58742162U,	// LFS
+    83908615U,	// LFSU
+    92298683U,	// LFSUX
+    151018773U,	// LFSX
+    58738414U,	// LHA
+    58738414U,	// LHA8
+    83908525U,	// LHAU
+    83908525U,	// LHAU8
+    92298610U,	// LHAUX
+    92298610U,	// LHAUX8
+    151018474U,	// LHAX
+    151018474U,	// LHAX8
+    151018721U,	// LHBRX
+    58744401U,	// LHZ
+    58744401U,	// LHZ8
+    83908685U,	// LHZU
+    83908685U,	// LHZU8
+    92298712U,	// LHZUX
+    92298712U,	// LHZUX8
+    151019045U,	// LHZX
+    151019045U,	// LHZX8
+    100682826U,	// LI
+    100682826U,	// LI8
+    100685316U,	// LIS
+    100685316U,	// LIS8
+    58743351U,	// LMW
+    19798U,	// LSWI
+    151018503U,	// LVEBX
+    151018626U,	// LVEHX
+    151019010U,	// LVEWX
+    151014832U,	// LVSL
+    151016597U,	// LVSR
+    151018982U,	// LVX
+    151014844U,	// LVXL
+    58738549U,	// LWA
+    151018699U,	// LWARX
+    92298617U,	// LWAUX
+    151018497U,	// LWAX
+    151018497U,	// LWAX_32
+    58738549U,	// LWA_32
+    151018736U,	// LWBRX
+    58744478U,	// LWZ
+    58744478U,	// LWZ8
+    83908691U,	// LWZU
+    83908691U,	// LWZU8
+    92298719U,	// LWZUX
+    92298719U,	// LWZUX8
+    151019059U,	// LWZX
+    151019059U,	// LWZX8
+    9379U,	// LWZtoc
+    151018559U,	// LXSDX
+    151018440U,	// LXVD2X
+    151018758U,	// LXVDSX
+    151018457U,	// LXVW4X
+    398302U,	// MBAR
+    134236779U,	// MCRF
+    283641U,	// MFCR
+    283641U,	// MFCR8
+    283810U,	// MFCTR
+    283810U,	// MFCTR8
+    134239204U,	// MFDCR
+    284044U,	// MFFS
+    283677U,	// MFLR
+    283677U,	// MFLR8
+    283777U,	// MFMSR
+    109070961U,	// MFOCRF
+    109070961U,	// MFOCRF8
+    134239341U,	// MFSPR
+    117462139U,	// MFSR
+    134237989U,	// MFSRIN
+    134236169U,	// MFTB
+    3429485U,	// MFTB8
+    3691629U,	// MFVRSAVE
+    3691629U,	// MFVRSAVEv
+    283655U,	// MFVSCR
+    9366U,	// MSYNC
+    134236801U,	// MTCRF
+    134236801U,	// MTCRF8
+    283817U,	// MTCTR
+    283817U,	// MTCTR8
+    283817U,	// MTCTR8loop
+    283817U,	// MTCTRloop
+    167924722U,	// MTDCR
+    394605U,	// MTFSB0
+    394613U,	// MTFSB1
+    134236808U,	// MTFSF
+    283683U,	// MTLR
+    283683U,	// MTLR8
+    134239368U,	// MTMSR
+    134236557U,	// MTMSRD
+    182905U,	// MTOCRF
+    182905U,	// MTOCRF8
+    134239348U,	// MTSPR
+    201871U,	// MTSR
+    134237997U,	// MTSRIN
+    278741U,	// MTVRSAVE
+    409813U,	// MTVRSAVEv
+    283663U,	// MTVSCR
+    18738U,	// MULHD
+    22470U,	// MULHDU
+    17548U,	// MULHDUo
+    16817U,	// MULHDo
+    23027U,	// MULHW
+    22568U,	// MULHWU
+    17574U,	// MULHWUo
+    17611U,	// MULHWo
+    18766U,	// MULLD
+    16841U,	// MULLDo
+    2147503182U,	// MULLI
+    2147503182U,	// MULLI8
+    23067U,	// MULLW
+    17627U,	// MULLWo
+    9218U,	// MovePCtoLR
+    8838U,	// MovePCtoLR8
+    18795U,	// NAND
+    18795U,	// NAND8
+    16855U,	// NAND8o
+    16855U,	// NANDo
+    134236855U,	// NEG
+    134236855U,	// NEG8
+    134234685U,	// NEG8o
+    134234685U,	// NEGo
+    9468U,	// NOP
+    7907U,	// NOP_GT_PWR6
+    7919U,	// NOP_GT_PWR7
+    21560U,	// NOR
+    21560U,	// NOR8
+    17389U,	// NOR8o
+    17389U,	// NORo
+    21553U,	// OR
+    21553U,	// OR8
+    17390U,	// OR8o
+    18656U,	// ORC
+    18656U,	// ORC8
+    16780U,	// ORC8o
+    16780U,	// ORCo
+    19678U,	// ORI
+    19678U,	// ORI8
+    22026U,	// ORIS
+    22026U,	// ORIS8
+    17390U,	// ORo
+    134236565U,	// POPCNTD
+    134241132U,	// POPCNTW
+    9290U,	// PPC32GOT
+    9300U,	// PPC32PICGOT
+    9170U,	// RESTORE_CR
+    9230U,	// RESTORE_CRBIT
+    9042U,	// RESTORE_VRSAVE
+    9404U,	// RFCI
+    9415U,	// RFDI
+    9420U,	// RFI
+    9387U,	// RFID
+    9409U,	// RFMCI
+    19825U,	// RLDCL
+    17166U,	// RLDCLo
+    21483U,	// RLDCR
+    17366U,	// RLDCRo
+    2147502247U,	// RLDIC
+    2147503480U,	// RLDICL
+    2147503480U,	// RLDICL_32_64
+    2147500822U,	// RLDICLo
+    2147505151U,	// RLDICR
+    2147501022U,	// RLDICRo
+    2147500420U,	// RLDICo
+    578833493U,	// RLDIMI
+    578831027U,	// RLDIMIo
+    713051229U,	// RLWIMI
+    713051229U,	// RLWIMI8
+    713048764U,	// RLWIMI8o
+    713048764U,	// RLWIMIo
+    20060U,	// RLWINM
+    20060U,	// RLWINM8
+    17204U,	// RLWINM8o
+    17204U,	// RLWINMo
+    20068U,	// RLWNM
+    17213U,	// RLWNMo
+    2147503111U,	// ROTRDI
+    2147500705U,	// ROTRDIo
+    19782U,	// ROTRWI
+    17148U,	// ROTRWIo
+    280812U,	// SC
+    8417U,	// SELECT_CC_F4
+    8649U,	// SELECT_CC_F8
+    8442U,	// SELECT_CC_I4
+    8694U,	// SELECT_CC_I8
+    8983U,	// SELECT_CC_VRRC
+    8431U,	// SELECT_F4
+    8663U,	// SELECT_F8
+    8456U,	// SELECT_I4
+    8827U,	// SELECT_I8
+    8999U,	// SELECT_VRRC
+    9338U,	// SLBIA
+    281055U,	// SLBIE
+    134236599U,	// SLBMFEE
+    134236680U,	// SLBMTE
+    18780U,	// SLD
+    2147503064U,	// SLDI
+    2147500664U,	// SLDIo
+    16849U,	// SLDo
+    23090U,	// SLW
+    19729U,	// SLWI
+    17105U,	// SLWIo
+    17635U,	// SLWo
+    9182U,	// SPILL_CR
+    9245U,	// SPILL_CRBIT
+    9058U,	// SPILL_VRSAVE
+    18685U,	// SRAD
+    2147503039U,	// SRADI
+    2147500652U,	// SRADIo
+    16786U,	// SRADo
+    22954U,	// SRAW
+    19693U,	// SRAWI
+    17093U,	// SRAWIo
+    17604U,	// SRAWo
+    18832U,	// SRD
+    2147503105U,	// SRDI
+    2147500698U,	// SRDIo
+    16862U,	// SRDo
+    23321U,	// SRW
+    19776U,	// SRWI
+    17141U,	// SRWIo
+    17641U,	// SRWo
+    58738711U,	// STB
+    58738711U,	// STB8
+    84039603U,	// STBU
+    84039603U,	// STBU8
+    92429696U,	// STBUX
+    92429696U,	// STBUX8
+    151018518U,	// STBX
+    151018518U,	// STBX8
+    58739102U,	// STD
+    151018713U,	// STDBRX
+    151012648U,	// STDCX
+    84039643U,	// STDU
+    92429724U,	// STDUX
+    151018574U,	// STDX
+    58738988U,	// STFD
+    84039615U,	// STFDU
+    92429710U,	// STFDUX
+    151018547U,	// STFDX
+    151019025U,	// STFIWX
+    58742167U,	// STFS
+    84039693U,	// STFSU
+    92429762U,	// STFSUX
+    151018779U,	// STFSX
+    58739548U,	// STH
+    58739548U,	// STH8
+    151018728U,	// STHBRX
+    84039656U,	// STHU
+    84039656U,	// STHU8
+    92429738U,	// STHUX
+    92429738U,	// STHUX8
+    151018641U,	// STHX
+    151018641U,	// STHX8
+    58743356U,	// STMW
+    19804U,	// STSWI
+    151018510U,	// STVEBX
+    151018633U,	// STVEHX
+    151019017U,	// STVEWX
+    151018987U,	// STVX
+    151014850U,	// STVXL
+    58743669U,	// STW
+    58743669U,	// STW8
+    151018743U,	// STWBRX
+    151012656U,	// STWCX
+    84039736U,	// STWU
+    84039736U,	// STWU8
+    92429770U,	// STWUX
+    92429770U,	// STWUX8
+    151019033U,	// STWX
+    151019033U,	// STWX8
+    151018566U,	// STXSDX
+    151018448U,	// STXVD2X
+    151018465U,	// STXVW4X
+    19006U,	// SUBF
+    19006U,	// SUBF8
+    16949U,	// SUBF8o
+    18578U,	// SUBFC
+    18578U,	// SUBFC8
+    16748U,	// SUBFC8o
+    16748U,	// SUBFCo
+    18887U,	// SUBFE
+    18887U,	// SUBFE8
+    16891U,	// SUBFE8o
+    16891U,	// SUBFEo
+    2147502254U,	// SUBFIC
+    2147502254U,	// SUBFIC8
+    134236660U,	// SUBFME
+    134236660U,	// SUBFME8
+    134234635U,	// SUBFME8o
+    134234635U,	// SUBFMEo
+    134236720U,	// SUBFZE
+    134236720U,	// SUBFZE8
+    134234668U,	// SUBFZE8o
+    134234668U,	// SUBFZEo
+    16949U,	// SUBFo
+    2147503019U,	// SUBI
+    2147502233U,	// SUBIC
+    2147500404U,	// SUBICo
+    2147505654U,	// SUBIS
+    280792U,	// SYNC
+    313227U,	// TAILB
+    313227U,	// TAILB8
+    329359U,	// TAILBA
+    329359U,	// TAILBA8
+    9489U,	// TAILBCTR
+    9489U,	// TAILBCTR8
+    134809094U,	// TCRETURNai
+    134809001U,	// TCRETURNai8
+    134793456U,	// TCRETURNdi
+    134792631U,	// TCRETURNdi8
+    134763473U,	// TCRETURNri
+    134759877U,	// TCRETURNri8
+    133530U,	// TD
+    2147617815U,	// TDI
+    9344U,	// TLBIA
+    4082150U,	// TLBIE
+    281984U,	// TLBIEL
+    134241264U,	// TLBIVAX
+    280903U,	// TLBLD
+    281671U,	// TLBLI
+    9392U,	// TLBRE
+    18940U,	// TLBRE2
+    134241535U,	// TLBSX
+    23807U,	// TLBSX2
+    17720U,	// TLBSX2D
+    9352U,	// TLBSYNC
+    9398U,	// TLBWE
+    18969U,	// TLBWE2
+    9463U,	// TRAP
+    138079U,	// TW
+    2147618147U,	// TWI
+    134235603U,	// UPDATE_VRSAVE
+    9159U,	// UpdateGBR
+    23427U,	// VADDCUW
+    20978U,	// VADDFP
+    21719U,	// VADDSBS
+    21959U,	// VADDSHS
+    22187U,	// VADDSWS
+    19952U,	// VADDUBM
+    21747U,	// VADDUBS
+    20001U,	// VADDUHM
+    21987U,	// VADDUHS
+    20109U,	// VADDUWM
+    22214U,	// VADDUWS
+    18817U,	// VAND
+    18571U,	// VANDC
+    18361U,	// VAVGSB
+    19204U,	// VAVGSH
+    23326U,	// VAVGSW
+    18469U,	// VAVGUB
+    19306U,	// VAVGUH
+    23436U,	// VAVGUW
+    847273230U,	// VCFSX
+    939547918U,	// VCFSX_0
+    847273379U,	// VCFUX
+    939548067U,	// VCFUX_0
+    20942U,	// VCMPBFP
+    17273U,	// VCMPBFPo
+    21041U,	// VCMPEQFP
+    17294U,	// VCMPEQFPo
+    18494U,	// VCMPEQUB
+    16688U,	// VCMPEQUBo
+    19331U,	// VCMPEQUH
+    16982U,	// VCMPEQUHo
+    23452U,	// VCMPEQUW
+    17666U,	// VCMPEQUWo
+    20995U,	// VCMPGEFP
+    17283U,	// VCMPGEFPo
+    21051U,	// VCMPGTFP
+    17305U,	// VCMPGTFPo
+    18414U,	// VCMPGTSB
+    16669U,	// VCMPGTSBo
+    19257U,	// VCMPGTSH
+    16963U,	// VCMPGTSHo
+    23362U,	// VCMPGTSW
+    17647U,	// VCMPGTSWo
+    18525U,	// VCMPGTUB
+    16723U,	// VCMPGTUBo
+    19341U,	// VCMPGTUH
+    16993U,	// VCMPGTUHo
+    23462U,	// VCMPGTUW
+    17677U,	// VCMPGTUWo
+    847271712U,	// VCTSXS
+    939546400U,	// VCTSXS_0
+    847271720U,	// VCTUXS
+    939546408U,	// VCTUXS_0
+    134238740U,	// VEXPTEFP
+    134238714U,	// VLOGEFP
+    20969U,	// VMADDFP
+    21061U,	// VMAXFP
+    18433U,	// VMAXSB
+    19276U,	// VMAXSH
+    23379U,	// VMAXSW
+    18535U,	// VMAXUB
+    19351U,	// VMAXUH
+    23472U,	// VMAXUW
+    21936U,	// VMHADDSHS
+    21947U,	// VMHRADDSHS
+    21033U,	// VMINFP
+    18397U,	// VMINSB
+    19240U,	// VMINSH
+    23354U,	// VMINSW
+    18477U,	// VMINUB
+    19314U,	// VMINUH
+    23444U,	// VMINUW
+    19990U,	// VMLADDUHM
+    18318U,	// VMRGHB
+    19161U,	// VMRGHH
+    23010U,	// VMRGHW
+    18326U,	// VMRGLB
+    19169U,	// VMRGLH
+    23050U,	// VMRGLW
+    19933U,	// VMSUMMBM
+    19971U,	// VMSUMSHM
+    21968U,	// VMSUMSHS
+    19961U,	// VMSUMUBM
+    20010U,	// VMSUMUHM
+    21996U,	// VMSUMUHS
+    18352U,	// VMULESB
+    19195U,	// VMULESH
+    18460U,	// VMULEUB
+    19297U,	// VMULEUH
+    18405U,	// VMULOSB
+    19248U,	// VMULOSH
+    18485U,	// VMULOUB
+    19322U,	// VMULOUH
+    20951U,	// VNMSUBFP
+    21573U,	// VNOR
+    21586U,	// VOR
+    20075U,	// VPERM
+    23732U,	// VPKPX
+    22062U,	// VPKSHSS
+    22117U,	// VPKSHUS
+    22071U,	// VPKSWSS
+    22135U,	// VPKSWUS
+    20082U,	// VPKUHUM
+    22126U,	// VPKUHUS
+    20091U,	// VPKUWUM
+    22144U,	// VPKUWUS
+    134238733U,	// VREFP
+    134237748U,	// VRFIM
+    134237976U,	// VRFIN
+    134238797U,	// VRFIP
+    134241878U,	// VRFIZ
+    18334U,	// VRLB
+    19177U,	// VRLH
+    23082U,	// VRLW
+    134238750U,	// VRSQRTEFP
+    19860U,	// VSEL
+    19889U,	// VSL
+    18340U,	// VSLB
+    19645U,	// VSLDOI
+    19183U,	// VSLH
+    20310U,	// VSLO
+    23089U,	// VSLW
+    847267855U,	// VSPLTB
+    847268692U,	// VSPLTH
+    125847498U,	// VSPLTISB
+    125848341U,	// VSPLTISH
+    125852454U,	// VSPLTISW
+    847272795U,	// VSPLTW
+    21654U,	// VSR
+    18311U,	// VSRAB
+    19139U,	// VSRAH
+    22953U,	// VSRAW
+    18346U,	// VSRB
+    19189U,	// VSRH
+    20316U,	// VSRO
+    23320U,	// VSRW
+    23418U,	// VSUBCUW
+    20961U,	// VSUBFP
+    21710U,	// VSUBSBS
+    21927U,	// VSUBSHS
+    22178U,	// VSUBSWS
+    19943U,	// VSUBUBM
+    21738U,	// VSUBUBS
+    19981U,	// VSUBUHM
+    21978U,	// VSUBUHS
+    20100U,	// VSUBUWM
+    22205U,	// VSUBUWS
+    22168U,	// VSUM2SWS
+    21700U,	// VSUM4SBS
+    21917U,	// VSUM4SHS
+    21728U,	// VSUM4UBS
+    22196U,	// VSUMSWS
+    134241451U,	// VUPKHPX
+    134236097U,	// VUPKHSB
+    134236940U,	// VUPKHSH
+    134241467U,	// VUPKLPX
+    134236116U,	// VUPKLSB
+    134236959U,	// VUPKLSH
+    21607U,	// VXOR
+    2181059687U,	// V_SET0
+    2181059687U,	// V_SET0B
+    2181059687U,	// V_SET0H
+    4217638U,	// V_SETALLONES
+    4217638U,	// V_SETALLONESB
+    4217638U,	// V_SETALLONESH
+    284535U,	// WAIT
+    281024U,	// WRTEE
+    281628U,	// WRTEEI
+    21594U,	// XOR
+    21594U,	// XOR8
+    17395U,	// XOR8o
+    19677U,	// XORI
+    19677U,	// XORI8
+    22025U,	// XORIS
+    22025U,	// XORIS8
+    17395U,	// XORo
+    134238511U,	// XSABSDP
+    20441U,	// XSADDDP
+    20722U,	// XSCMPODP
+    20854U,	// XSCMPUDP
+    20682U,	// XSCPSGNDP
+    134239038U,	// XSCVDPSP
+    134239540U,	// XSCVDPSXDS
+    134239960U,	// XSCVDPSXWS
+    134239576U,	// XSCVDPUXDS
+    134239996U,	// XSCVDPUXWS
+    134238460U,	// XSCVSPDP
+    134238187U,	// XSCVSXDDP
+    134238209U,	// XSCVUXDDP
+    20864U,	// XSDIVDP
+    1115705265U,	// XSMADDADP
+    1115705524U,	// XSMADDMDP
+    20924U,	// XSMAXDP
+    20704U,	// XSMINDP
+    1115705219U,	// XSMSUBADP
+    1115705478U,	// XSMSUBMDP
+    20572U,	// XSMULDP
+    134238491U,	// XSNABSDP
+    134238282U,	// XSNEGDP
+    1115705241U,	// XSNMADDADP
+    1115705500U,	// XSNMADDMDP
+    1115705195U,	// XSNMSUBADP
+    1115705454U,	// XSNMSUBMDP
+    134237381U,	// XSRDPI
+    134236342U,	// XSRDPIC
+    134237755U,	// XSRDPIM
+    134238804U,	// XSRDPIP
+    134241885U,	// XSRDPIZ
+    134238242U,	// XSREDP
+    134238258U,	// XSRSQRTEDP
+    134238540U,	// XSSQRTDP
+    20423U,	// XSSUBDP
+    20873U,	// XSTDIVDP
+    134238550U,	// XSTSQRTDP
+    134238520U,	// XVABSDP
+    134239085U,	// XVABSSP
+    20450U,	// XVADDDP
+    21164U,	// XVADDSP
+    20752U,	// XVCMPEQDP
+    17249U,	// XVCMPEQDPo
+    21330U,	// XVCMPEQSP
+    17335U,	// XVCMPEQSPo
+    20503U,	// XVCMPGEDP
+    17237U,	// XVCMPGEDPo
+    21195U,	// XVCMPGESP
+    17323U,	// XVCMPGESPo
+    20801U,	// XVCMPGTDP
+    17261U,	// XVCMPGTDPo
+    21366U,	// XVCMPGTSP
+    17354U,	// XVCMPGTSPo
+    20693U,	// XVCPSGNDP
+    21290U,	// XVCPSGNSP
+    134239048U,	// XVCVDPSP
+    134239552U,	// XVCVDPSXDS
+    134239972U,	// XVCVDPSXWS
+    134239588U,	// XVCVDPUXDS
+    134240008U,	// XVCVDPUXWS
+    134238470U,	// XVCVSPDP
+    134239564U,	// XVCVSPSXDS
+    134239984U,	// XVCVSPSXWS
+    134239600U,	// XVCVSPUXDS
+    134240020U,	// XVCVSPUXWS
+    134238198U,	// XVCVSXDDP
+    134238901U,	// XVCVSXDSP
+    134238630U,	// XVCVSXWDP
+    134239145U,	// XVCVSXWSP
+    134238220U,	// XVCVUXDDP
+    134238912U,	// XVCVUXDSP
+    134238641U,	// XVCVUXWDP
+    134239156U,	// XVCVUXWSP
+    20893U,	// XVDIVDP
+    21408U,	// XVDIVSP
+    1115705276U,	// XVMADDADP
+    1115706008U,	// XVMADDASP
+    1115705535U,	// XVMADDMDP
+    1115706143U,	// XVMADDMSP
+    20933U,	// XVMAXDP
+    21439U,	// XVMAXSP
+    20713U,	// XVMINDP
+    21301U,	// XVMINSP
+    1115705230U,	// XVMSUBADP
+    1115705985U,	// XVMSUBASP
+    1115705489U,	// XVMSUBMDP
+    1115706120U,	// XVMSUBMSP
+    20581U,	// XVMULDP
+    21235U,	// XVMULSP
+    134238501U,	// XVNABSDP
+    134239075U,	// XVNABSSP
+    134238291U,	// XVNEGDP
+    134238954U,	// XVNEGSP
+    1115705253U,	// XVNMADDADP
+    1115705996U,	// XVNMADDASP
+    1115705512U,	// XVNMADDMDP
+    1115706131U,	// XVNMADDMSP
+    1115705207U,	// XVNMSUBADP
+    1115705973U,	// XVNMSUBASP
+    1115705466U,	// XVNMSUBMDP
+    1115706108U,	// XVNMSUBMSP
+    134237389U,	// XVRDPI
+    134236351U,	// XVRDPIC
+    134237764U,	// XVRDPIM
+    134238813U,	// XVRDPIP
+    134241894U,	// XVRDPIZ
+    134238250U,	// XVREDP
+    134238934U,	// XVRESP
+    134237397U,	// XVRSPI
+    134236360U,	// XVRSPIC
+    134237773U,	// XVRSPIM
+    134238822U,	// XVRSPIP
+    134241903U,	// XVRSPIZ
+    134238270U,	// XVRSQRTEDP
+    134238942U,	// XVRSQRTESP
+    134238572U,	// XVSQRTDP
+    134239116U,	// XVSQRTSP
+    20432U,	// XVSUBDP
+    21155U,	// XVSUBSP
+    20883U,	// XVTDIVDP
+    21398U,	// XVTDIVSP
+    134238561U,	// XVTSQRTDP
+    134239105U,	// XVTSQRTSP
+    18785U,	// XXLAND
+    18553U,	// XXLANDC
+    21557U,	// XXLNOR
+    21550U,	// XXLOR
+    21550U,	// XXLORf
+    21591U,	// XXLXOR
+    23018U,	// XXMRGHW
+    23058U,	// XXMRGLW
+    19430U,	// XXPERMDI
+    19866U,	// XXSEL
+    19700U,	// XXSLDWI
+    23395U,	// XXSPLTW
+    2147616879U,	// gBC
+    132755U,	// gBCA
+    136347U,	// gBCCTR
+    134568U,	// gBCCTRL
+    2147618156U,	// gBCL
+    132953U,	// gBCLA
+    136215U,	// gBCLR
+    134561U,	// gBCLRL
     0U
   };
 
   static const uint8_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -925,6 +1309,7 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// ADD4
     0U,	// ADD4TLS
     0U,	// ADD4o
@@ -948,13 +1333,17 @@
     0U,	// ADDIS
     0U,	// ADDIS8
     0U,	// ADDISdtprelHA
+    0U,	// ADDISdtprelHA32
     0U,	// ADDISgotTprelHA
     0U,	// ADDIStlsgdHA
     0U,	// ADDIStlsldHA
     0U,	// ADDIStocHA
     0U,	// ADDIdtprelL
+    0U,	// ADDIdtprelL32
     0U,	// ADDItlsgdL
+    0U,	// ADDItlsgdL32
     0U,	// ADDItlsldL
+    0U,	// ADDItlsldL32
     0U,	// ADDItocL
     0U,	// ADDME
     0U,	// ADDME8
@@ -973,10 +1362,14 @@
     0U,	// ANDC8
     0U,	// ANDC8o
     0U,	// ANDCo
-    0U,	// ANDISo
-    0U,	// ANDISo8
-    0U,	// ANDIo
-    0U,	// ANDIo8
+    1U,	// ANDISo
+    1U,	// ANDISo8
+    1U,	// ANDIo
+    1U,	// ANDIo8
+    0U,	// ANDIo_1_EQ_BIT
+    0U,	// ANDIo_1_EQ_BIT8
+    0U,	// ANDIo_1_GT_BIT
+    0U,	// ANDIo_1_GT_BIT8
     0U,	// ANDo
     0U,	// ATOMIC_CMP_SWAP_I16
     0U,	// ATOMIC_CMP_SWAP_I32
@@ -1012,21 +1405,37 @@
     0U,	// ATOMIC_SWAP_I8
     0U,	// B
     0U,	// BA
+    0U,	// BC
     0U,	// BCC
     0U,	// BCCA
+    0U,	// BCCCTR
+    0U,	// BCCCTR8
+    0U,	// BCCCTRL
+    0U,	// BCCCTRL8
     0U,	// BCCL
     0U,	// BCCLA
+    0U,	// BCCLR
+    0U,	// BCCLRL
     0U,	// BCCTR
     0U,	// BCCTR8
+    0U,	// BCCTR8n
     0U,	// BCCTRL
     0U,	// BCCTRL8
+    0U,	// BCCTRL8n
+    0U,	// BCCTRLn
+    0U,	// BCCTRn
+    0U,	// BCL
     0U,	// BCLR
     0U,	// BCLRL
+    0U,	// BCLRLn
+    0U,	// BCLRn
     0U,	// BCLalways
+    0U,	// BCLn
     0U,	// BCTR
     0U,	// BCTR8
     0U,	// BCTRL
     0U,	// BCTRL8
+    0U,	// BCn
     0U,	// BDNZ
     0U,	// BDNZ8
     0U,	// BDNZA
@@ -1078,20 +1487,22 @@
     0U,	// BLA8_NOP
     0U,	// BLR
     0U,	// BLRL
-    1U,	// CLRLSLDI
-    1U,	// CLRLSLDIo
-    3U,	// CLRLSLWI
-    3U,	// CLRLSLWIo
-    0U,	// CLRRDI
-    0U,	// CLRRDIo
-    0U,	// CLRRWI
-    0U,	// CLRRWIo
+    0U,	// BL_TLS
+    0U,	// BRINC
+    9U,	// CLRLSLDI
+    9U,	// CLRLSLDIo
+    26U,	// CLRLSLWI
+    26U,	// CLRLSLWIo
+    1U,	// CLRRDI
+    1U,	// CLRRDIo
+    2U,	// CLRRWI
+    2U,	// CLRRWIo
     0U,	// CMPD
     0U,	// CMPDI
     0U,	// CMPLD
-    0U,	// CMPLDI
+    1U,	// CMPLDI
     0U,	// CMPLW
-    0U,	// CMPLWI
+    1U,	// CMPLWI
     0U,	// CMPW
     0U,	// CMPWI
     0U,	// CNTLZD
@@ -1107,8 +1518,8 @@
     0U,	// CRNOR
     0U,	// CROR
     0U,	// CRORC
-    0U,	// CRSET
-    0U,	// CRUNSET
+    2U,	// CRSET
+    2U,	// CRUNSET
     0U,	// CRXOR
     0U,	// DCBA
     0U,	// DCBF
@@ -1118,6 +1529,7 @@
     0U,	// DCBTST
     0U,	// DCBZ
     0U,	// DCBZL
+    0U,	// DCCCI
     0U,	// DIVD
     0U,	// DIVDU
     0U,	// DIVDUo
@@ -1148,14 +1560,185 @@
     0U,	// EQV8
     0U,	// EQV8o
     0U,	// EQVo
-    1U,	// EXTLDI
-    1U,	// EXTLDIo
-    3U,	// EXTLWI
-    3U,	// EXTLWIo
-    1U,	// EXTRDI
-    1U,	// EXTRDIo
-    3U,	// EXTRWI
-    3U,	// EXTRWIo
+    0U,	// EVABS
+    0U,	// EVADDIW
+    0U,	// EVADDSMIAAW
+    0U,	// EVADDSSIAAW
+    0U,	// EVADDUMIAAW
+    0U,	// EVADDUSIAAW
+    0U,	// EVADDW
+    0U,	// EVAND
+    0U,	// EVANDC
+    0U,	// EVCMPEQ
+    0U,	// EVCMPGTS
+    0U,	// EVCMPGTU
+    0U,	// EVCMPLTS
+    0U,	// EVCMPLTU
+    0U,	// EVCNTLSW
+    0U,	// EVCNTLZW
+    0U,	// EVDIVWS
+    0U,	// EVDIVWU
+    0U,	// EVEQV
+    0U,	// EVEXTSB
+    0U,	// EVEXTSH
+    0U,	// EVLDD
+    0U,	// EVLDDX
+    0U,	// EVLDH
+    0U,	// EVLDHX
+    0U,	// EVLDW
+    0U,	// EVLDWX
+    0U,	// EVLHHESPLAT
+    0U,	// EVLHHESPLATX
+    0U,	// EVLHHOSSPLAT
+    0U,	// EVLHHOSSPLATX
+    0U,	// EVLHHOUSPLAT
+    0U,	// EVLHHOUSPLATX
+    0U,	// EVLWHE
+    0U,	// EVLWHEX
+    0U,	// EVLWHOS
+    0U,	// EVLWHOSX
+    0U,	// EVLWHOU
+    0U,	// EVLWHOUX
+    0U,	// EVLWHSPLAT
+    0U,	// EVLWHSPLATX
+    0U,	// EVLWWSPLAT
+    0U,	// EVLWWSPLATX
+    0U,	// EVMERGEHI
+    0U,	// EVMERGEHILO
+    0U,	// EVMERGELO
+    0U,	// EVMERGELOHI
+    0U,	// EVMHEGSMFAA
+    0U,	// EVMHEGSMFAN
+    0U,	// EVMHEGSMIAA
+    0U,	// EVMHEGSMIAN
+    0U,	// EVMHEGUMIAA
+    0U,	// EVMHEGUMIAN
+    0U,	// EVMHESMF
+    0U,	// EVMHESMFA
+    0U,	// EVMHESMFAAW
+    0U,	// EVMHESMFANW
+    0U,	// EVMHESMI
+    0U,	// EVMHESMIA
+    0U,	// EVMHESMIAAW
+    0U,	// EVMHESMIANW
+    0U,	// EVMHESSF
+    0U,	// EVMHESSFA
+    0U,	// EVMHESSFAAW
+    0U,	// EVMHESSFANW
+    0U,	// EVMHESSIAAW
+    0U,	// EVMHESSIANW
+    0U,	// EVMHEUMI
+    0U,	// EVMHEUMIA
+    0U,	// EVMHEUMIAAW
+    0U,	// EVMHEUMIANW
+    0U,	// EVMHEUSIAAW
+    0U,	// EVMHEUSIANW
+    0U,	// EVMHOGSMFAA
+    0U,	// EVMHOGSMFAN
+    0U,	// EVMHOGSMIAA
+    0U,	// EVMHOGSMIAN
+    0U,	// EVMHOGUMIAA
+    0U,	// EVMHOGUMIAN
+    0U,	// EVMHOSMF
+    0U,	// EVMHOSMFA
+    0U,	// EVMHOSMFAAW
+    0U,	// EVMHOSMFANW
+    0U,	// EVMHOSMI
+    0U,	// EVMHOSMIA
+    0U,	// EVMHOSMIAAW
+    0U,	// EVMHOSMIANW
+    0U,	// EVMHOSSF
+    0U,	// EVMHOSSFA
+    0U,	// EVMHOSSFAAW
+    0U,	// EVMHOSSFANW
+    0U,	// EVMHOSSIAAW
+    0U,	// EVMHOSSIANW
+    0U,	// EVMHOUMI
+    0U,	// EVMHOUMIA
+    0U,	// EVMHOUMIAAW
+    0U,	// EVMHOUMIANW
+    0U,	// EVMHOUSIAAW
+    0U,	// EVMHOUSIANW
+    0U,	// EVMRA
+    0U,	// EVMWHSMF
+    0U,	// EVMWHSMFA
+    0U,	// EVMWHSMI
+    0U,	// EVMWHSMIA
+    0U,	// EVMWHSSF
+    0U,	// EVMWHSSFA
+    0U,	// EVMWHUMI
+    0U,	// EVMWHUMIA
+    0U,	// EVMWLSMIAAW
+    0U,	// EVMWLSMIANW
+    0U,	// EVMWLSSIAAW
+    0U,	// EVMWLSSIANW
+    0U,	// EVMWLUMI
+    0U,	// EVMWLUMIA
+    0U,	// EVMWLUMIAAW
+    0U,	// EVMWLUMIANW
+    0U,	// EVMWLUSIAAW
+    0U,	// EVMWLUSIANW
+    0U,	// EVMWSMF
+    0U,	// EVMWSMFA
+    0U,	// EVMWSMFAA
+    0U,	// EVMWSMFAN
+    0U,	// EVMWSMI
+    0U,	// EVMWSMIA
+    0U,	// EVMWSMIAA
+    0U,	// EVMWSMIAN
+    0U,	// EVMWSSF
+    0U,	// EVMWSSFA
+    0U,	// EVMWSSFAA
+    0U,	// EVMWSSFAN
+    0U,	// EVMWUMI
+    0U,	// EVMWUMIA
+    0U,	// EVMWUMIAA
+    0U,	// EVMWUMIAN
+    0U,	// EVNAND
+    0U,	// EVNEG
+    0U,	// EVNOR
+    0U,	// EVOR
+    0U,	// EVORC
+    0U,	// EVRLW
+    2U,	// EVRLWI
+    0U,	// EVRNDW
+    0U,	// EVSLW
+    2U,	// EVSLWI
+    0U,	// EVSPLATFI
+    0U,	// EVSPLATI
+    2U,	// EVSRWIS
+    2U,	// EVSRWIU
+    0U,	// EVSRWS
+    0U,	// EVSRWU
+    0U,	// EVSTDD
+    0U,	// EVSTDDX
+    0U,	// EVSTDH
+    0U,	// EVSTDHX
+    0U,	// EVSTDW
+    0U,	// EVSTDWX
+    0U,	// EVSTWHE
+    0U,	// EVSTWHEX
+    0U,	// EVSTWHO
+    0U,	// EVSTWHOX
+    0U,	// EVSTWWE
+    0U,	// EVSTWWEX
+    0U,	// EVSTWWO
+    0U,	// EVSTWWOX
+    0U,	// EVSUBFSMIAAW
+    0U,	// EVSUBFSSIAAW
+    0U,	// EVSUBFUMIAAW
+    0U,	// EVSUBFUSIAAW
+    0U,	// EVSUBFW
+    0U,	// EVSUBIFW
+    0U,	// EVXOR
+    9U,	// EXTLDI
+    9U,	// EXTLDIo
+    26U,	// EXTLWI
+    26U,	// EXTLWIo
+    9U,	// EXTRDI
+    9U,	// EXTRDIo
+    26U,	// EXTRWI
+    26U,	// EXTRWIo
     0U,	// EXTSB
     0U,	// EXTSB8
     0U,	// EXTSB8_32_64
@@ -1209,16 +1792,16 @@
     0U,	// FDIVS
     0U,	// FDIVSo
     0U,	// FDIVo
-    5U,	// FMADD
-    5U,	// FMADDS
-    5U,	// FMADDSo
-    5U,	// FMADDo
+    40U,	// FMADD
+    40U,	// FMADDS
+    40U,	// FMADDSo
+    40U,	// FMADDo
     0U,	// FMR
     0U,	// FMRo
-    5U,	// FMSUB
-    5U,	// FMSUBS
-    5U,	// FMSUBSo
-    5U,	// FMSUBo
+    40U,	// FMSUB
+    40U,	// FMSUBS
+    40U,	// FMSUBSo
+    40U,	// FMSUBo
     0U,	// FMUL
     0U,	// FMULS
     0U,	// FMULSo
@@ -1231,14 +1814,14 @@
     0U,	// FNEGDo
     0U,	// FNEGS
     0U,	// FNEGSo
-    5U,	// FNMADD
-    5U,	// FNMADDS
-    5U,	// FNMADDSo
-    5U,	// FNMADDo
-    5U,	// FNMSUB
-    5U,	// FNMSUBS
-    5U,	// FNMSUBSo
-    5U,	// FNMSUBo
+    40U,	// FNMADD
+    40U,	// FNMADDS
+    40U,	// FNMADDSo
+    40U,	// FNMADDo
+    40U,	// FNMSUB
+    40U,	// FNMSUBS
+    40U,	// FNMSUBSo
+    40U,	// FNMSUBo
     0U,	// FRE
     0U,	// FRES
     0U,	// FRESo
@@ -1265,10 +1848,10 @@
     0U,	// FRSQRTES
     0U,	// FRSQRTESo
     0U,	// FRSQRTEo
-    5U,	// FSELD
-    5U,	// FSELDo
-    5U,	// FSELS
-    5U,	// FSELSo
+    40U,	// FSELD
+    40U,	// FSELDo
+    40U,	// FSELS
+    40U,	// FSELSo
     0U,	// FSQRT
     0U,	// FSQRTS
     0U,	// FSQRTSo
@@ -1278,16 +1861,20 @@
     0U,	// FSUBSo
     0U,	// FSUBo
     0U,	// GETtlsADDR
+    0U,	// GETtlsADDR32
     0U,	// GETtlsldADDR
+    0U,	// GETtlsldADDR32
+    0U,	// GetGBRO
     0U,	// ICBI
-    3U,	// INSLWI
-    3U,	// INSLWIo
-    1U,	// INSRDI
-    1U,	// INSRDIo
-    3U,	// INSRWI
-    3U,	// INSRWIo
-    5U,	// ISEL
-    5U,	// ISEL8
+    0U,	// ICCCI
+    26U,	// INSLWI
+    26U,	// INSLWIo
+    9U,	// INSRDI
+    9U,	// INSRDIo
+    26U,	// INSRWI
+    26U,	// INSRWIo
+    40U,	// ISEL
+    40U,	// ISEL8
     0U,	// ISYNC
     0U,	// LA
     0U,	// LAx
@@ -1312,7 +1899,6 @@
     0U,	// LDtocCPT
     0U,	// LDtocJTI
     0U,	// LDtocL
-    0U,	// LDtoc_restore
     0U,	// LFD
     0U,	// LFDU
     0U,	// LFDUX
@@ -1345,6 +1931,7 @@
     0U,	// LIS
     0U,	// LIS8
     0U,	// LMW
+    2U,	// LSWI
     0U,	// LVEBX
     0U,	// LVEHX
     0U,	// LVEWX
@@ -1367,11 +1954,18 @@
     0U,	// LWZUX8
     0U,	// LWZX
     0U,	// LWZX8
+    0U,	// LWZtoc
+    0U,	// LXSDX
+    0U,	// LXVD2X
+    0U,	// LXVDSX
+    0U,	// LXVW4X
+    0U,	// MBAR
     0U,	// MCRF
     0U,	// MFCR
     0U,	// MFCR8
     0U,	// MFCTR
     0U,	// MFCTR8
+    0U,	// MFDCR
     0U,	// MFFS
     0U,	// MFLR
     0U,	// MFLR8
@@ -1379,6 +1973,8 @@
     0U,	// MFOCRF
     0U,	// MFOCRF8
     0U,	// MFSPR
+    0U,	// MFSR
+    0U,	// MFSRIN
     0U,	// MFTB
     0U,	// MFTB8
     0U,	// MFVRSAVE
@@ -1391,6 +1987,7 @@
     0U,	// MTCTR8
     0U,	// MTCTR8loop
     0U,	// MTCTRloop
+    0U,	// MTDCR
     0U,	// MTFSB0
     0U,	// MTFSB1
     0U,	// MTFSF
@@ -1401,6 +1998,8 @@
     0U,	// MTOCRF
     0U,	// MTOCRF8
     0U,	// MTSPR
+    0U,	// MTSR
+    0U,	// MTSRIN
     0U,	// MTVRSAVE
     0U,	// MTVRSAVEv
     0U,	// MTVSCR
@@ -1442,76 +2041,91 @@
     0U,	// ORC8
     0U,	// ORC8o
     0U,	// ORCo
-    0U,	// ORI
-    0U,	// ORI8
-    0U,	// ORIS
-    0U,	// ORIS8
+    1U,	// ORI
+    1U,	// ORI8
+    1U,	// ORIS
+    1U,	// ORIS8
     0U,	// ORo
     0U,	// POPCNTD
     0U,	// POPCNTW
     0U,	// PPC32GOT
+    0U,	// PPC32PICGOT
     0U,	// RESTORE_CR
+    0U,	// RESTORE_CRBIT
     0U,	// RESTORE_VRSAVE
-    1U,	// RLDCL
-    1U,	// RLDCLo
-    1U,	// RLDCR
-    1U,	// RLDCRo
-    1U,	// RLDIC
-    1U,	// RLDICL
-    1U,	// RLDICL_32_64
-    1U,	// RLDICLo
-    1U,	// RLDICR
-    1U,	// RLDICRo
-    1U,	// RLDICo
+    0U,	// RFCI
+    0U,	// RFDI
+    0U,	// RFI
+    0U,	// RFID
+    0U,	// RFMCI
+    8U,	// RLDCL
+    8U,	// RLDCLo
+    8U,	// RLDCR
+    8U,	// RLDCRo
+    9U,	// RLDIC
+    9U,	// RLDICL
+    9U,	// RLDICL_32_64
+    9U,	// RLDICLo
+    9U,	// RLDICR
+    9U,	// RLDICRo
+    9U,	// RLDICo
     0U,	// RLDIMI
     0U,	// RLDIMIo
     0U,	// RLWIMI
+    0U,	// RLWIMI8
+    0U,	// RLWIMI8o
     0U,	// RLWIMIo
-    11U,	// RLWINM
-    11U,	// RLWINM8
-    11U,	// RLWINM8o
-    11U,	// RLWINMo
-    11U,	// RLWNM
-    11U,	// RLWNMo
-    0U,	// ROTRDI
-    0U,	// ROTRDIo
-    0U,	// ROTRWI
-    0U,	// ROTRWIo
+    90U,	// RLWINM
+    90U,	// RLWINM8
+    90U,	// RLWINM8o
+    90U,	// RLWINMo
+    88U,	// RLWNM
+    88U,	// RLWNMo
+    1U,	// ROTRDI
+    1U,	// ROTRDIo
+    2U,	// ROTRWI
+    2U,	// ROTRWIo
     0U,	// SC
     0U,	// SELECT_CC_F4
     0U,	// SELECT_CC_F8
     0U,	// SELECT_CC_I4
     0U,	// SELECT_CC_I8
     0U,	// SELECT_CC_VRRC
+    0U,	// SELECT_F4
+    0U,	// SELECT_F8
+    0U,	// SELECT_I4
+    0U,	// SELECT_I8
+    0U,	// SELECT_VRRC
     0U,	// SLBIA
     0U,	// SLBIE
     0U,	// SLBMFEE
     0U,	// SLBMTE
     0U,	// SLD
-    0U,	// SLDI
-    0U,	// SLDIo
+    1U,	// SLDI
+    1U,	// SLDIo
     0U,	// SLDo
     0U,	// SLW
-    0U,	// SLWI
-    0U,	// SLWIo
+    2U,	// SLWI
+    2U,	// SLWIo
     0U,	// SLWo
     0U,	// SPILL_CR
+    0U,	// SPILL_CRBIT
     0U,	// SPILL_VRSAVE
     0U,	// SRAD
-    0U,	// SRADI
-    0U,	// SRADIo
+    1U,	// SRADI
+    1U,	// SRADIo
     0U,	// SRADo
     0U,	// SRAW
-    0U,	// SRAWI
-    0U,	// SRAWIo
+    2U,	// SRAWI
+    2U,	// SRAWIo
     0U,	// SRAWo
     0U,	// SRD
-    0U,	// SRDI
-    0U,	// SRDIo
+    1U,	// SRDI
+    1U,	// SRDIo
     0U,	// SRDo
     0U,	// SRW
-    0U,	// SRWI
-    0U,	// SRWIo
+    2U,	// SRWI
+    2U,	// SRWIo
     0U,	// SRWo
     0U,	// STB
     0U,	// STB8
@@ -1546,6 +2160,7 @@
     0U,	// STHX
     0U,	// STHX8
     0U,	// STMW
+    2U,	// STSWI
     0U,	// STVEBX
     0U,	// STVEHX
     0U,	// STVEWX
@@ -1561,6 +2176,9 @@
     0U,	// STWUX8
     0U,	// STWX
     0U,	// STWX8
+    0U,	// STXSDX
+    0U,	// STXVD2X
+    0U,	// STXVW4X
     0U,	// SUBF
     0U,	// SUBF8
     0U,	// SUBF8o
@@ -1602,13 +2220,25 @@
     0U,	// TCRETURNri8
     0U,	// TD
     0U,	// TDI
+    0U,	// TLBIA
     0U,	// TLBIE
     0U,	// TLBIEL
+    0U,	// TLBIVAX
+    0U,	// TLBLD
+    0U,	// TLBLI
+    0U,	// TLBRE
+    0U,	// TLBRE2
+    0U,	// TLBSX
+    0U,	// TLBSX2
+    0U,	// TLBSX2D
     0U,	// TLBSYNC
+    0U,	// TLBWE
+    0U,	// TLBWE2
     0U,	// TRAP
     0U,	// TW
     0U,	// TWI
     0U,	// UPDATE_VRSAVE
+    0U,	// UpdateGBR
     0U,	// VADDCUW
     0U,	// VADDFP
     0U,	// VADDSBS
@@ -1664,7 +2294,7 @@
     0U,	// VCTUXS_0
     0U,	// VEXPTEFP
     0U,	// VLOGEFP
-    5U,	// VMADDFP
+    40U,	// VMADDFP
     0U,	// VMAXFP
     0U,	// VMAXSB
     0U,	// VMAXSH
@@ -1672,8 +2302,8 @@
     0U,	// VMAXUB
     0U,	// VMAXUH
     0U,	// VMAXUW
-    5U,	// VMHADDSHS
-    5U,	// VMHRADDSHS
+    40U,	// VMHADDSHS
+    40U,	// VMHRADDSHS
     0U,	// VMINFP
     0U,	// VMINSB
     0U,	// VMINSH
@@ -1681,19 +2311,19 @@
     0U,	// VMINUB
     0U,	// VMINUH
     0U,	// VMINUW
-    5U,	// VMLADDUHM
+    40U,	// VMLADDUHM
     0U,	// VMRGHB
     0U,	// VMRGHH
     0U,	// VMRGHW
     0U,	// VMRGLB
     0U,	// VMRGLH
     0U,	// VMRGLW
-    5U,	// VMSUMMBM
-    5U,	// VMSUMSHM
-    5U,	// VMSUMSHS
-    5U,	// VMSUMUBM
-    5U,	// VMSUMUHM
-    5U,	// VMSUMUHS
+    40U,	// VMSUMMBM
+    40U,	// VMSUMSHM
+    40U,	// VMSUMSHS
+    40U,	// VMSUMUBM
+    40U,	// VMSUMUHM
+    40U,	// VMSUMUHS
     0U,	// VMULESB
     0U,	// VMULESH
     0U,	// VMULEUB
@@ -1702,10 +2332,10 @@
     0U,	// VMULOSH
     0U,	// VMULOUB
     0U,	// VMULOUH
-    5U,	// VNMSUBFP
+    40U,	// VNMSUBFP
     0U,	// VNOR
     0U,	// VOR
-    5U,	// VPERM
+    40U,	// VPERM
     0U,	// VPKPX
     0U,	// VPKSHSS
     0U,	// VPKSHUS
@@ -1724,10 +2354,10 @@
     0U,	// VRLH
     0U,	// VRLW
     0U,	// VRSQRTEFP
-    5U,	// VSEL
+    40U,	// VSEL
     0U,	// VSL
     0U,	// VSLB
-    3U,	// VSLDOI
+    24U,	// VSLDOI
     0U,	// VSLH
     0U,	// VSLO
     0U,	// VSLW
@@ -1768,27 +2398,165 @@
     0U,	// VUPKLSB
     0U,	// VUPKLSH
     0U,	// VXOR
-    0U,	// V_SET0
-    0U,	// V_SET0B
-    0U,	// V_SET0H
+    2U,	// V_SET0
+    2U,	// V_SET0B
+    2U,	// V_SET0H
     0U,	// V_SETALLONES
     0U,	// V_SETALLONESB
     0U,	// V_SETALLONESH
     0U,	// WAIT
+    0U,	// WRTEE
+    0U,	// WRTEEI
     0U,	// XOR
     0U,	// XOR8
     0U,	// XOR8o
-    0U,	// XORI
-    0U,	// XORI8
-    0U,	// XORIS
-    0U,	// XORIS8
+    1U,	// XORI
+    1U,	// XORI8
+    1U,	// XORIS
+    1U,	// XORIS8
     0U,	// XORo
-    0U,	// gBC
-    0U,	// gBCA
+    0U,	// XSABSDP
+    0U,	// XSADDDP
+    0U,	// XSCMPODP
+    0U,	// XSCMPUDP
+    0U,	// XSCPSGNDP
+    0U,	// XSCVDPSP
+    0U,	// XSCVDPSXDS
+    0U,	// XSCVDPSXWS
+    0U,	// XSCVDPUXDS
+    0U,	// XSCVDPUXWS
+    0U,	// XSCVSPDP
+    0U,	// XSCVSXDDP
+    0U,	// XSCVUXDDP
+    0U,	// XSDIVDP
+    0U,	// XSMADDADP
+    0U,	// XSMADDMDP
+    0U,	// XSMAXDP
+    0U,	// XSMINDP
+    0U,	// XSMSUBADP
+    0U,	// XSMSUBMDP
+    0U,	// XSMULDP
+    0U,	// XSNABSDP
+    0U,	// XSNEGDP
+    0U,	// XSNMADDADP
+    0U,	// XSNMADDMDP
+    0U,	// XSNMSUBADP
+    0U,	// XSNMSUBMDP
+    0U,	// XSRDPI
+    0U,	// XSRDPIC
+    0U,	// XSRDPIM
+    0U,	// XSRDPIP
+    0U,	// XSRDPIZ
+    0U,	// XSREDP
+    0U,	// XSRSQRTEDP
+    0U,	// XSSQRTDP
+    0U,	// XSSUBDP
+    0U,	// XSTDIVDP
+    0U,	// XSTSQRTDP
+    0U,	// XVABSDP
+    0U,	// XVABSSP
+    0U,	// XVADDDP
+    0U,	// XVADDSP
+    0U,	// XVCMPEQDP
+    0U,	// XVCMPEQDPo
+    0U,	// XVCMPEQSP
+    0U,	// XVCMPEQSPo
+    0U,	// XVCMPGEDP
+    0U,	// XVCMPGEDPo
+    0U,	// XVCMPGESP
+    0U,	// XVCMPGESPo
+    0U,	// XVCMPGTDP
+    0U,	// XVCMPGTDPo
+    0U,	// XVCMPGTSP
+    0U,	// XVCMPGTSPo
+    0U,	// XVCPSGNDP
+    0U,	// XVCPSGNSP
+    0U,	// XVCVDPSP
+    0U,	// XVCVDPSXDS
+    0U,	// XVCVDPSXWS
+    0U,	// XVCVDPUXDS
+    0U,	// XVCVDPUXWS
+    0U,	// XVCVSPDP
+    0U,	// XVCVSPSXDS
+    0U,	// XVCVSPSXWS
+    0U,	// XVCVSPUXDS
+    0U,	// XVCVSPUXWS
+    0U,	// XVCVSXDDP
+    0U,	// XVCVSXDSP
+    0U,	// XVCVSXWDP
+    0U,	// XVCVSXWSP
+    0U,	// XVCVUXDDP
+    0U,	// XVCVUXDSP
+    0U,	// XVCVUXWDP
+    0U,	// XVCVUXWSP
+    0U,	// XVDIVDP
+    0U,	// XVDIVSP
+    0U,	// XVMADDADP
+    0U,	// XVMADDASP
+    0U,	// XVMADDMDP
+    0U,	// XVMADDMSP
+    0U,	// XVMAXDP
+    0U,	// XVMAXSP
+    0U,	// XVMINDP
+    0U,	// XVMINSP
+    0U,	// XVMSUBADP
+    0U,	// XVMSUBASP
+    0U,	// XVMSUBMDP
+    0U,	// XVMSUBMSP
+    0U,	// XVMULDP
+    0U,	// XVMULSP
+    0U,	// XVNABSDP
+    0U,	// XVNABSSP
+    0U,	// XVNEGDP
+    0U,	// XVNEGSP
+    0U,	// XVNMADDADP
+    0U,	// XVNMADDASP
+    0U,	// XVNMADDMDP
+    0U,	// XVNMADDMSP
+    0U,	// XVNMSUBADP
+    0U,	// XVNMSUBASP
+    0U,	// XVNMSUBMDP
+    0U,	// XVNMSUBMSP
+    0U,	// XVRDPI
+    0U,	// XVRDPIC
+    0U,	// XVRDPIM
+    0U,	// XVRDPIP
+    0U,	// XVRDPIZ
+    0U,	// XVREDP
+    0U,	// XVRESP
+    0U,	// XVRSPI
+    0U,	// XVRSPIC
+    0U,	// XVRSPIM
+    0U,	// XVRSPIP
+    0U,	// XVRSPIZ
+    0U,	// XVRSQRTEDP
+    0U,	// XVRSQRTESP
+    0U,	// XVSQRTDP
+    0U,	// XVSQRTSP
+    0U,	// XVSUBDP
+    0U,	// XVSUBSP
+    0U,	// XVTDIVDP
+    0U,	// XVTDIVSP
+    0U,	// XVTSQRTDP
+    0U,	// XVTSQRTSP
+    0U,	// XXLAND
+    0U,	// XXLANDC
+    0U,	// XXLNOR
+    0U,	// XXLOR
+    0U,	// XXLORf
+    0U,	// XXLXOR
+    0U,	// XXMRGHW
+    0U,	// XXMRGLW
+    56U,	// XXPERMDI
+    40U,	// XXSEL
+    56U,	// XXSLDWI
+    3U,	// XXSPLTW
+    3U,	// gBC
+    4U,	// gBCA
     0U,	// gBCCTR
     0U,	// gBCCTRL
-    0U,	// gBCL
-    0U,	// gBCLA
+    3U,	// gBCL
+    4U,	// gBCLA
     0U,	// gBCLR
     0U,	// gBCLRL
     0U
@@ -1806,654 +2574,1017 @@
   /* 63 */ 'b', 'd', 'z', '+', 32, 0,
   /* 69 */ 'b', 'd', 'n', 'z', '+', 32, 0,
   /* 76 */ 'b', 'c', 'l', 32, '2', '0', ',', 32, '3', '1', ',', 32, 0,
-  /* 89 */ 'm', 't', 's', 'p', 'r', 32, '2', '5', '6', ',', 32, 0,
-  /* 101 */ 'b', 'd', 'z', 'l', 'a', '-', 32, 0,
-  /* 109 */ 'b', 'd', 'n', 'z', 'l', 'a', '-', 32, 0,
-  /* 118 */ 'b', 'd', 'z', 'a', '-', 32, 0,
-  /* 125 */ 'b', 'd', 'n', 'z', 'a', '-', 32, 0,
-  /* 133 */ 'b', 'd', 'z', 'l', '-', 32, 0,
-  /* 140 */ 'b', 'd', 'n', 'z', 'l', '-', 32, 0,
-  /* 148 */ 'b', 'd', 'z', '-', 32, 0,
-  /* 154 */ 'b', 'd', 'n', 'z', '-', 32, 0,
-  /* 161 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'b', '.', 32, 0,
-  /* 172 */ 'e', 'x', 't', 's', 'b', '.', 32, 0,
-  /* 180 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'b', '.', 32, 0,
-  /* 191 */ 'f', 's', 'u', 'b', '.', 32, 0,
-  /* 198 */ 'f', 'm', 's', 'u', 'b', '.', 32, 0,
-  /* 206 */ 'f', 'n', 'm', 's', 'u', 'b', '.', 32, 0,
-  /* 215 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'b', '.', 32, 0,
-  /* 226 */ 'a', 'd', 'd', 'c', '.', 32, 0,
-  /* 233 */ 'a', 'n', 'd', 'c', '.', 32, 0,
-  /* 240 */ 's', 'u', 'b', 'f', 'c', '.', 32, 0,
-  /* 248 */ 's', 'u', 'b', 'i', 'c', '.', 32, 0,
-  /* 256 */ 'a', 'd', 'd', 'i', 'c', '.', 32, 0,
-  /* 264 */ 'r', 'l', 'd', 'i', 'c', '.', 32, 0,
-  /* 272 */ 'o', 'r', 'c', '.', 32, 0,
-  /* 278 */ 's', 'r', 'a', 'd', '.', 32, 0,
-  /* 285 */ 'f', 'a', 'd', 'd', '.', 32, 0,
-  /* 292 */ 'f', 'm', 'a', 'd', 'd', '.', 32, 0,
-  /* 300 */ 'f', 'n', 'm', 'a', 'd', 'd', '.', 32, 0,
-  /* 309 */ 'm', 'u', 'l', 'h', 'd', '.', 32, 0,
-  /* 317 */ 'f', 'c', 'f', 'i', 'd', '.', 32, 0,
-  /* 325 */ 'f', 'c', 't', 'i', 'd', '.', 32, 0,
-  /* 333 */ 'm', 'u', 'l', 'l', 'd', '.', 32, 0,
-  /* 341 */ 's', 'l', 'd', '.', 32, 0,
-  /* 347 */ 'n', 'a', 'n', 'd', '.', 32, 0,
-  /* 354 */ 's', 'r', 'd', '.', 32, 0,
-  /* 360 */ 'd', 'i', 'v', 'd', '.', 32, 0,
-  /* 367 */ 'c', 'n', 't', 'l', 'z', 'd', '.', 32, 0,
-  /* 376 */ 'a', 'd', 'd', 'e', '.', 32, 0,
-  /* 383 */ 's', 'u', 'b', 'f', 'e', '.', 32, 0,
-  /* 391 */ 'a', 'd', 'd', 'm', 'e', '.', 32, 0,
-  /* 399 */ 's', 'u', 'b', 'f', 'm', 'e', '.', 32, 0,
-  /* 408 */ 'f', 'r', 'e', '.', 32, 0,
-  /* 414 */ 'f', 'r', 's', 'q', 'r', 't', 'e', '.', 32, 0,
-  /* 424 */ 'a', 'd', 'd', 'z', 'e', '.', 32, 0,
-  /* 432 */ 's', 'u', 'b', 'f', 'z', 'e', '.', 32, 0,
-  /* 441 */ 's', 'u', 'b', 'f', '.', 32, 0,
-  /* 448 */ 'f', 'n', 'e', 'g', '.', 32, 0,
-  /* 455 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'h', '.', 32, 0,
-  /* 466 */ 'e', 'x', 't', 's', 'h', '.', 32, 0,
-  /* 474 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'h', '.', 32, 0,
-  /* 485 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'h', '.', 32, 0,
-  /* 496 */ 's', 'r', 'a', 'd', 'i', '.', 32, 0,
-  /* 504 */ 'c', 'l', 'r', 'l', 's', 'l', 'd', 'i', '.', 32, 0,
-  /* 515 */ 'e', 'x', 't', 'l', 'd', 'i', '.', 32, 0,
-  /* 524 */ 'a', 'n', 'd', 'i', '.', 32, 0,
-  /* 531 */ 'c', 'l', 'r', 'r', 'd', 'i', '.', 32, 0,
-  /* 540 */ 'i', 'n', 's', 'r', 'd', 'i', '.', 32, 0,
-  /* 549 */ 'r', 'o', 't', 'r', 'd', 'i', '.', 32, 0,
-  /* 558 */ 'e', 'x', 't', 'r', 'd', 'i', '.', 32, 0,
-  /* 567 */ 'r', 'l', 'd', 'i', 'm', 'i', '.', 32, 0,
-  /* 576 */ 'r', 'l', 'w', 'i', 'm', 'i', '.', 32, 0,
-  /* 585 */ 's', 'r', 'a', 'w', 'i', '.', 32, 0,
-  /* 593 */ 'c', 'l', 'r', 'l', 's', 'l', 'w', 'i', '.', 32, 0,
-  /* 604 */ 'i', 'n', 's', 'l', 'w', 'i', '.', 32, 0,
-  /* 613 */ 'e', 'x', 't', 'l', 'w', 'i', '.', 32, 0,
-  /* 622 */ 'c', 'l', 'r', 'r', 'w', 'i', '.', 32, 0,
-  /* 631 */ 'i', 'n', 's', 'r', 'w', 'i', '.', 32, 0,
-  /* 640 */ 'r', 'o', 't', 'r', 'w', 'i', '.', 32, 0,
-  /* 649 */ 'e', 'x', 't', 'r', 'w', 'i', '.', 32, 0,
-  /* 658 */ 'r', 'l', 'd', 'c', 'l', '.', 32, 0,
-  /* 666 */ 'r', 'l', 'd', 'i', 'c', 'l', '.', 32, 0,
-  /* 675 */ 'f', 's', 'e', 'l', '.', 32, 0,
-  /* 682 */ 'f', 'm', 'u', 'l', '.', 32, 0,
-  /* 689 */ 'f', 'r', 'i', 'm', '.', 32, 0,
-  /* 696 */ 'r', 'l', 'w', 'i', 'n', 'm', '.', 32, 0,
-  /* 705 */ 'r', 'l', 'w', 'n', 'm', '.', 32, 0,
-  /* 713 */ 'f', 'c', 'p', 's', 'g', 'n', '.', 32, 0,
-  /* 722 */ 'f', 'r', 'i', 'n', '.', 32, 0,
-  /* 729 */ 'v', 'c', 'm', 'p', 'b', 'f', 'p', '.', 32, 0,
-  /* 739 */ 'v', 'c', 'm', 'p', 'g', 'e', 'f', 'p', '.', 32, 0,
-  /* 750 */ 'v', 'c', 'm', 'p', 'e', 'q', 'f', 'p', '.', 32, 0,
-  /* 761 */ 'v', 'c', 'm', 'p', 'g', 't', 'f', 'p', '.', 32, 0,
-  /* 772 */ 'f', 'r', 'i', 'p', '.', 32, 0,
-  /* 779 */ 'f', 'r', 's', 'p', '.', 32, 0,
-  /* 786 */ 'r', 'l', 'd', 'c', 'r', '.', 32, 0,
-  /* 794 */ 'r', 'l', 'd', 'i', 'c', 'r', '.', 32, 0,
-  /* 803 */ 'f', 'm', 'r', '.', 32, 0,
-  /* 809 */ 'n', 'o', 'r', '.', 32, 0,
-  /* 815 */ 'x', 'o', 'r', '.', 32, 0,
-  /* 821 */ 'f', 'a', 'b', 's', '.', 32, 0,
-  /* 828 */ 'f', 'n', 'a', 'b', 's', '.', 32, 0,
-  /* 836 */ 'f', 's', 'u', 'b', 's', '.', 32, 0,
-  /* 844 */ 'f', 'm', 's', 'u', 'b', 's', '.', 32, 0,
-  /* 853 */ 'f', 'n', 'm', 's', 'u', 'b', 's', '.', 32, 0,
-  /* 863 */ 'f', 'a', 'd', 'd', 's', '.', 32, 0,
-  /* 871 */ 'f', 'm', 'a', 'd', 'd', 's', '.', 32, 0,
-  /* 880 */ 'f', 'n', 'm', 'a', 'd', 'd', 's', '.', 32, 0,
-  /* 890 */ 'f', 'c', 'f', 'i', 'd', 's', '.', 32, 0,
-  /* 899 */ 'f', 'r', 'e', 's', '.', 32, 0,
-  /* 906 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 's', '.', 32, 0,
-  /* 917 */ 'a', 'n', 'd', 'i', 's', '.', 32, 0,
-  /* 925 */ 'f', 'm', 'u', 'l', 's', '.', 32, 0,
-  /* 933 */ 'f', 's', 'q', 'r', 't', 's', '.', 32, 0,
-  /* 942 */ 'f', 'c', 'f', 'i', 'd', 'u', 's', '.', 32, 0,
-  /* 952 */ 'f', 'd', 'i', 'v', 's', '.', 32, 0,
-  /* 960 */ 'f', 's', 'q', 'r', 't', '.', 32, 0,
-  /* 968 */ 'm', 'u', 'l', 'h', 'd', 'u', '.', 32, 0,
-  /* 977 */ 'f', 'c', 'f', 'i', 'd', 'u', '.', 32, 0,
-  /* 986 */ 'd', 'i', 'v', 'd', 'u', '.', 32, 0,
-  /* 994 */ 'm', 'u', 'l', 'h', 'w', 'u', '.', 32, 0,
-  /* 1003 */ 'd', 'i', 'v', 'w', 'u', '.', 32, 0,
-  /* 1011 */ 'f', 'd', 'i', 'v', '.', 32, 0,
-  /* 1018 */ 'e', 'q', 'v', '.', 32, 0,
-  /* 1024 */ 's', 'r', 'a', 'w', '.', 32, 0,
-  /* 1031 */ 'm', 'u', 'l', 'h', 'w', '.', 32, 0,
-  /* 1039 */ 'f', 'c', 't', 'i', 'w', '.', 32, 0,
-  /* 1047 */ 'm', 'u', 'l', 'l', 'w', '.', 32, 0,
-  /* 1055 */ 's', 'l', 'w', '.', 32, 0,
-  /* 1061 */ 's', 'r', 'w', '.', 32, 0,
-  /* 1067 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'w', '.', 32, 0,
-  /* 1078 */ 'e', 'x', 't', 's', 'w', '.', 32, 0,
-  /* 1086 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'w', '.', 32, 0,
-  /* 1097 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'w', '.', 32, 0,
-  /* 1108 */ 'd', 'i', 'v', 'w', '.', 32, 0,
-  /* 1115 */ 'c', 'n', 't', 'l', 'z', 'w', '.', 32, 0,
-  /* 1124 */ 's', 't', 'd', 'c', 'x', '.', 32, 0,
-  /* 1132 */ 's', 't', 'w', 'c', 'x', '.', 32, 0,
-  /* 1140 */ 'f', 'c', 't', 'i', 'd', 'z', '.', 32, 0,
-  /* 1149 */ 'f', 'r', 'i', 'z', '.', 32, 0,
-  /* 1156 */ 'f', 'c', 't', 'i', 'd', 'u', 'z', '.', 32, 0,
-  /* 1166 */ 'f', 'c', 't', 'i', 'w', 'u', 'z', '.', 32, 0,
-  /* 1176 */ 'f', 'c', 't', 'i', 'w', 'z', '.', 32, 0,
-  /* 1185 */ 'm', 't', 'f', 's', 'b', '0', 32, 0,
-  /* 1193 */ 'm', 't', 'f', 's', 'b', '1', 32, 0,
-  /* 1201 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '3', '2', 32, 0,
-  /* 1223 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '1', '6', 32, 0,
-  /* 1245 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'a', '8', 32, 0,
-  /* 1259 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'd', '8', 32, 0,
-  /* 1273 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'r', '8', 32, 0,
-  /* 1287 */ 'U', 'P', 'D', 'A', 'T', 'E', '_', 'V', 'R', 'S', 'A', 'V', 'E', 32, 0,
-  /* 1302 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 32, 0,
-  /* 1321 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 32, 0,
-  /* 1338 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'a', 32, 0,
-  /* 1351 */ 'd', 'c', 'b', 'a', 32, 0,
-  /* 1357 */ 'b', 'c', 'a', 32, 0,
-  /* 1362 */ 'l', 'h', 'a', 32, 0,
-  /* 1367 */ 'b', 'l', 'a', 32, 0,
-  /* 1372 */ 'b', 'c', 'l', 'a', 32, 0,
-  /* 1378 */ 'b', 'd', 'z', 'l', 'a', 32, 0,
-  /* 1385 */ 'b', 'd', 'n', 'z', 'l', 'a', 32, 0,
-  /* 1393 */ 'l', 'w', 'a', 32, 0,
-  /* 1398 */ 'b', 'd', 'z', 'a', 32, 0,
-  /* 1404 */ 'b', 'd', 'n', 'z', 'a', 32, 0,
-  /* 1411 */ 'v', 's', 'r', 'a', 'b', 32, 0,
-  /* 1418 */ 'v', 'm', 'r', 'g', 'h', 'b', 32, 0,
-  /* 1426 */ 'v', 'm', 'r', 'g', 'l', 'b', 32, 0,
-  /* 1434 */ 'v', 'r', 'l', 'b', 32, 0,
-  /* 1440 */ 'v', 's', 'l', 'b', 32, 0,
-  /* 1446 */ 'v', 's', 'r', 'b', 32, 0,
-  /* 1452 */ 'v', 'm', 'u', 'l', 'e', 's', 'b', 32, 0,
-  /* 1461 */ 'v', 'a', 'v', 'g', 's', 'b', 32, 0,
-  /* 1469 */ 'v', 'u', 'p', 'k', 'h', 's', 'b', 32, 0,
-  /* 1478 */ 'v', 's', 'p', 'l', 't', 'i', 's', 'b', 32, 0,
-  /* 1488 */ 'v', 'u', 'p', 'k', 'l', 's', 'b', 32, 0,
-  /* 1497 */ 'v', 'm', 'i', 'n', 's', 'b', 32, 0,
-  /* 1505 */ 'v', 'm', 'u', 'l', 'o', 's', 'b', 32, 0,
-  /* 1514 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'b', 32, 0,
-  /* 1524 */ 'e', 'x', 't', 's', 'b', 32, 0,
-  /* 1531 */ 'v', 'm', 'a', 'x', 's', 'b', 32, 0,
-  /* 1539 */ 'm', 'f', 't', 'b', 32, 0,
-  /* 1545 */ 'v', 's', 'p', 'l', 't', 'b', 32, 0,
-  /* 1553 */ 's', 't', 'b', 32, 0,
-  /* 1558 */ 'v', 'm', 'u', 'l', 'e', 'u', 'b', 32, 0,
-  /* 1567 */ 'v', 'a', 'v', 'g', 'u', 'b', 32, 0,
-  /* 1575 */ 'v', 'm', 'i', 'n', 'u', 'b', 32, 0,
-  /* 1583 */ 'v', 'm', 'u', 'l', 'o', 'u', 'b', 32, 0,
-  /* 1592 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'b', 32, 0,
-  /* 1602 */ 'f', 's', 'u', 'b', 32, 0,
-  /* 1608 */ 'f', 'm', 's', 'u', 'b', 32, 0,
-  /* 1615 */ 'f', 'n', 'm', 's', 'u', 'b', 32, 0,
-  /* 1623 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'b', 32, 0,
-  /* 1633 */ 'v', 'm', 'a', 'x', 'u', 'b', 32, 0,
-  /* 1641 */ 'b', 'c', 32, 0,
-  /* 1645 */ 'a', 'd', 'd', 'c', 32, 0,
-  /* 1651 */ 'c', 'r', 'a', 'n', 'd', 'c', 32, 0,
-  /* 1659 */ 'v', 'a', 'n', 'd', 'c', 32, 0,
-  /* 1666 */ 's', 'u', 'b', 'f', 'c', 32, 0,
-  /* 1673 */ 's', 'u', 'b', 'i', 'c', 32, 0,
-  /* 1680 */ 'a', 'd', 'd', 'i', 'c', 32, 0,
-  /* 1687 */ 'r', 'l', 'd', 'i', 'c', 32, 0,
-  /* 1694 */ 's', 'u', 'b', 'f', 'i', 'c', 32, 0,
-  /* 1702 */ 's', 'y', 'n', 'c', 32, 0,
-  /* 1708 */ 'c', 'r', 'o', 'r', 'c', 32, 0,
-  /* 1715 */ 's', 'c', 32, 0,
-  /* 1719 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 32, 0,
-  /* 1732 */ 's', 'r', 'a', 'd', 32, 0,
-  /* 1738 */ 'f', 'a', 'd', 'd', 32, 0,
-  /* 1744 */ 'f', 'm', 'a', 'd', 'd', 32, 0,
-  /* 1751 */ 'f', 'n', 'm', 'a', 'd', 'd', 32, 0,
-  /* 1759 */ 'l', 'f', 'd', 32, 0,
-  /* 1764 */ 's', 't', 'f', 'd', 32, 0,
-  /* 1770 */ 'm', 'u', 'l', 'h', 'd', 32, 0,
-  /* 1777 */ 'f', 'c', 'f', 'i', 'd', 32, 0,
-  /* 1784 */ 'f', 'c', 't', 'i', 'd', 32, 0,
-  /* 1791 */ 'm', 'u', 'l', 'l', 'd', 32, 0,
-  /* 1798 */ 'c', 'm', 'p', 'l', 'd', 32, 0,
-  /* 1805 */ 's', 'l', 'd', 32, 0,
-  /* 1810 */ 'c', 'r', 'n', 'a', 'n', 'd', 32, 0,
-  /* 1818 */ 'c', 'r', 'a', 'n', 'd', 32, 0,
-  /* 1825 */ 'v', 'a', 'n', 'd', 32, 0,
-  /* 1831 */ 'c', 'm', 'p', 'd', 32, 0,
-  /* 1837 */ 'm', 't', 'm', 's', 'r', 'd', 32, 0,
-  /* 1845 */ 'p', 'o', 'p', 'c', 'n', 't', 'd', 32, 0,
-  /* 1854 */ 's', 't', 'd', 32, 0,
-  /* 1859 */ 'd', 'i', 'v', 'd', 32, 0,
-  /* 1865 */ 'c', 'n', 't', 'l', 'z', 'd', 32, 0,
-  /* 1873 */ 'a', 'd', 'd', 'e', 32, 0,
-  /* 1879 */ 's', 'l', 'b', 'm', 'f', 'e', 'e', 32, 0,
-  /* 1888 */ 's', 'u', 'b', 'f', 'e', 32, 0,
-  /* 1895 */ 's', 'l', 'b', 'i', 'e', 32, 0,
-  /* 1902 */ 't', 'l', 'b', 'i', 'e', 32, 0,
-  /* 1909 */ 'a', 'd', 'd', 'm', 'e', 32, 0,
-  /* 1916 */ 's', 'u', 'b', 'f', 'm', 'e', 32, 0,
-  /* 1924 */ 'f', 'r', 'e', 32, 0,
-  /* 1929 */ 's', 'l', 'b', 'm', 't', 'e', 32, 0,
-  /* 1937 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 32, 0,
-  /* 1946 */ 'a', 'd', 'd', 'z', 'e', 32, 0,
-  /* 1953 */ 's', 'u', 'b', 'f', 'z', 'e', 32, 0,
-  /* 1961 */ 'd', 'c', 'b', 'f', 32, 0,
-  /* 1967 */ 's', 'u', 'b', 'f', 32, 0,
-  /* 1973 */ 'm', 'c', 'r', 'f', 32, 0,
-  /* 1979 */ 'm', 'f', 'o', 'c', 'r', 'f', 32, 0,
-  /* 1987 */ 'm', 't', 'o', 'c', 'r', 'f', 32, 0,
-  /* 1995 */ 'm', 't', 'c', 'r', 'f', 32, 0,
-  /* 2002 */ 'm', 't', 'f', 's', 'f', 32, 0,
-  /* 2009 */ 'f', 'n', 'e', 'g', 32, 0,
-  /* 2015 */ 'v', 's', 'r', 'a', 'h', 32, 0,
-  /* 2022 */ 'v', 'm', 'r', 'g', 'h', 'h', 32, 0,
-  /* 2030 */ 'v', 'm', 'r', 'g', 'l', 'h', 32, 0,
-  /* 2038 */ 'v', 'r', 'l', 'h', 32, 0,
-  /* 2044 */ 'v', 's', 'l', 'h', 32, 0,
-  /* 2050 */ 'v', 's', 'r', 'h', 32, 0,
-  /* 2056 */ 'v', 'm', 'u', 'l', 'e', 's', 'h', 32, 0,
-  /* 2065 */ 'v', 'a', 'v', 'g', 's', 'h', 32, 0,
-  /* 2073 */ 'v', 'u', 'p', 'k', 'h', 's', 'h', 32, 0,
-  /* 2082 */ 'v', 's', 'p', 'l', 't', 'i', 's', 'h', 32, 0,
-  /* 2092 */ 'v', 'u', 'p', 'k', 'l', 's', 'h', 32, 0,
-  /* 2101 */ 'v', 'm', 'i', 'n', 's', 'h', 32, 0,
-  /* 2109 */ 'v', 'm', 'u', 'l', 'o', 's', 'h', 32, 0,
-  /* 2118 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'h', 32, 0,
-  /* 2128 */ 'e', 'x', 't', 's', 'h', 32, 0,
-  /* 2135 */ 'v', 'm', 'a', 'x', 's', 'h', 32, 0,
-  /* 2143 */ 'v', 's', 'p', 'l', 't', 'h', 32, 0,
-  /* 2151 */ 's', 't', 'h', 32, 0,
-  /* 2156 */ 'v', 'm', 'u', 'l', 'e', 'u', 'h', 32, 0,
-  /* 2165 */ 'v', 'a', 'v', 'g', 'u', 'h', 32, 0,
-  /* 2173 */ 'v', 'm', 'i', 'n', 'u', 'h', 32, 0,
-  /* 2181 */ 'v', 'm', 'u', 'l', 'o', 'u', 'h', 32, 0,
-  /* 2190 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'h', 32, 0,
-  /* 2200 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'h', 32, 0,
-  /* 2210 */ 'v', 'm', 'a', 'x', 'u', 'h', 32, 0,
-  /* 2218 */ 'd', 'c', 'b', 'i', 32, 0,
-  /* 2224 */ 'i', 'c', 'b', 'i', 32, 0,
-  /* 2230 */ 's', 'u', 'b', 'i', 32, 0,
-  /* 2236 */ 's', 'r', 'a', 'd', 'i', 32, 0,
-  /* 2243 */ 'a', 'd', 'd', 'i', 32, 0,
-  /* 2249 */ 'c', 'm', 'p', 'l', 'd', 'i', 32, 0,
-  /* 2257 */ 'c', 'l', 'r', 'l', 's', 'l', 'd', 'i', 32, 0,
-  /* 2267 */ 'e', 'x', 't', 'l', 'd', 'i', 32, 0,
-  /* 2275 */ 'c', 'm', 'p', 'd', 'i', 32, 0,
-  /* 2282 */ 'c', 'l', 'r', 'r', 'd', 'i', 32, 0,
-  /* 2290 */ 'i', 'n', 's', 'r', 'd', 'i', 32, 0,
-  /* 2298 */ 'r', 'o', 't', 'r', 'd', 'i', 32, 0,
-  /* 2306 */ 'e', 'x', 't', 'r', 'd', 'i', 32, 0,
-  /* 2314 */ 't', 'd', 'i', 32, 0,
-  /* 2319 */ 'm', 'u', 'l', 'l', 'i', 32, 0,
-  /* 2326 */ 'r', 'l', 'd', 'i', 'm', 'i', 32, 0,
-  /* 2334 */ 'r', 'l', 'w', 'i', 'm', 'i', 32, 0,
-  /* 2342 */ 'v', 's', 'l', 'd', 'o', 'i', 32, 0,
-  /* 2350 */ 'x', 'o', 'r', 'i', 32, 0,
-  /* 2356 */ 's', 'r', 'a', 'w', 'i', 32, 0,
-  /* 2363 */ 'c', 'm', 'p', 'l', 'w', 'i', 32, 0,
-  /* 2371 */ 'c', 'l', 'r', 'l', 's', 'l', 'w', 'i', 32, 0,
-  /* 2381 */ 'i', 'n', 's', 'l', 'w', 'i', 32, 0,
-  /* 2389 */ 'e', 'x', 't', 'l', 'w', 'i', 32, 0,
-  /* 2397 */ 'c', 'm', 'p', 'w', 'i', 32, 0,
-  /* 2404 */ 'c', 'l', 'r', 'r', 'w', 'i', 32, 0,
-  /* 2412 */ 'i', 'n', 's', 'r', 'w', 'i', 32, 0,
-  /* 2420 */ 'r', 'o', 't', 'r', 'w', 'i', 32, 0,
-  /* 2428 */ 'e', 'x', 't', 'r', 'w', 'i', 32, 0,
-  /* 2436 */ 't', 'w', 'i', 32, 0,
-  /* 2441 */ 'b', 'l', 32, 0,
-  /* 2445 */ 'b', 'c', 'l', 32, 0,
-  /* 2450 */ 'r', 'l', 'd', 'c', 'l', 32, 0,
-  /* 2457 */ 'r', 'l', 'd', 'i', 'c', 'l', 32, 0,
-  /* 2465 */ 't', 'l', 'b', 'i', 'e', 'l', 32, 0,
-  /* 2473 */ 'f', 's', 'e', 'l', 32, 0,
-  /* 2479 */ 'i', 's', 'e', 'l', 32, 0,
-  /* 2485 */ 'v', 's', 'e', 'l', 32, 0,
-  /* 2491 */ 'b', 'c', 'l', 'r', 'l', 32, 0,
-  /* 2498 */ 'b', 'c', 'c', 't', 'r', 'l', 32, 0,
-  /* 2506 */ 'l', 'v', 's', 'l', 32, 0,
-  /* 2512 */ 'f', 'm', 'u', 'l', 32, 0,
-  /* 2518 */ 'l', 'v', 'x', 'l', 32, 0,
-  /* 2524 */ 's', 't', 'v', 'x', 'l', 32, 0,
-  /* 2531 */ 'd', 'c', 'b', 'z', 'l', 32, 0,
-  /* 2538 */ 'b', 'd', 'z', 'l', 32, 0,
-  /* 2544 */ 'b', 'd', 'n', 'z', 'l', 32, 0,
-  /* 2551 */ 'v', 'm', 's', 'u', 'm', 'm', 'b', 'm', 32, 0,
-  /* 2561 */ 'v', 's', 'u', 'b', 'u', 'b', 'm', 32, 0,
-  /* 2570 */ 'v', 'a', 'd', 'd', 'u', 'b', 'm', 32, 0,
-  /* 2579 */ 'v', 'm', 's', 'u', 'm', 'u', 'b', 'm', 32, 0,
-  /* 2589 */ 'v', 'm', 's', 'u', 'm', 's', 'h', 'm', 32, 0,
-  /* 2599 */ 'v', 's', 'u', 'b', 'u', 'h', 'm', 32, 0,
-  /* 2608 */ 'v', 'm', 'l', 'a', 'd', 'd', 'u', 'h', 'm', 32, 0,
-  /* 2619 */ 'v', 'a', 'd', 'd', 'u', 'h', 'm', 32, 0,
-  /* 2628 */ 'v', 'm', 's', 'u', 'm', 'u', 'h', 'm', 32, 0,
-  /* 2638 */ 'v', 'r', 'f', 'i', 'm', 32, 0,
-  /* 2645 */ 'f', 'r', 'i', 'm', 32, 0,
-  /* 2651 */ 'r', 'l', 'w', 'i', 'n', 'm', 32, 0,
-  /* 2659 */ 'r', 'l', 'w', 'n', 'm', 32, 0,
-  /* 2666 */ 'v', 'p', 'e', 'r', 'm', 32, 0,
-  /* 2673 */ 'v', 'p', 'k', 'u', 'h', 'u', 'm', 32, 0,
-  /* 2682 */ 'v', 'p', 'k', 'u', 'w', 'u', 'm', 32, 0,
-  /* 2691 */ 'v', 's', 'u', 'b', 'u', 'w', 'm', 32, 0,
-  /* 2700 */ 'v', 'a', 'd', 'd', 'u', 'w', 'm', 32, 0,
-  /* 2709 */ 'f', 'c', 'p', 's', 'g', 'n', 32, 0,
-  /* 2717 */ 'v', 'r', 'f', 'i', 'n', 32, 0,
-  /* 2724 */ 'f', 'r', 'i', 'n', 32, 0,
-  /* 2730 */ 'v', 's', 'l', 'o', 32, 0,
-  /* 2736 */ 'v', 's', 'r', 'o', 32, 0,
-  /* 2742 */ 'v', 'c', 'm', 'p', 'b', 'f', 'p', 32, 0,
-  /* 2751 */ 'v', 'n', 'm', 's', 'u', 'b', 'f', 'p', 32, 0,
-  /* 2761 */ 'v', 's', 'u', 'b', 'f', 'p', 32, 0,
-  /* 2769 */ 'v', 'm', 'a', 'd', 'd', 'f', 'p', 32, 0,
-  /* 2778 */ 'v', 'a', 'd', 'd', 'f', 'p', 32, 0,
-  /* 2786 */ 'v', 'l', 'o', 'g', 'e', 'f', 'p', 32, 0,
-  /* 2795 */ 'v', 'c', 'm', 'p', 'g', 'e', 'f', 'p', 32, 0,
-  /* 2805 */ 'v', 'r', 'e', 'f', 'p', 32, 0,
-  /* 2812 */ 'v', 'e', 'x', 'p', 't', 'e', 'f', 'p', 32, 0,
-  /* 2822 */ 'v', 'r', 's', 'q', 'r', 't', 'e', 'f', 'p', 32, 0,
-  /* 2833 */ 'v', 'm', 'i', 'n', 'f', 'p', 32, 0,
-  /* 2841 */ 'v', 'c', 'm', 'p', 'e', 'q', 'f', 'p', 32, 0,
-  /* 2851 */ 'v', 'c', 'm', 'p', 'g', 't', 'f', 'p', 32, 0,
-  /* 2861 */ 'v', 'm', 'a', 'x', 'f', 'p', 32, 0,
-  /* 2869 */ 'v', 'r', 'f', 'i', 'p', 32, 0,
-  /* 2876 */ 'f', 'r', 'i', 'p', 32, 0,
-  /* 2882 */ 'f', 'r', 's', 'p', 32, 0,
-  /* 2888 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 32, 0,
-  /* 2901 */ 'r', 'l', 'd', 'c', 'r', 32, 0,
-  /* 2908 */ 'm', 'f', 'c', 'r', 32, 0,
-  /* 2914 */ 'r', 'l', 'd', 'i', 'c', 'r', 32, 0,
-  /* 2922 */ 'm', 'f', 'v', 's', 'c', 'r', 32, 0,
-  /* 2930 */ 'm', 't', 'v', 's', 'c', 'r', 32, 0,
-  /* 2938 */ 'b', 'c', 'l', 'r', 32, 0,
-  /* 2944 */ 'm', 'f', 'l', 'r', 32, 0,
-  /* 2950 */ 'm', 't', 'l', 'r', 32, 0,
-  /* 2956 */ 'f', 'm', 'r', 32, 0,
-  /* 2961 */ 'c', 'r', 'n', 'o', 'r', 32, 0,
-  /* 2968 */ 'v', 'n', 'o', 'r', 32, 0,
-  /* 2974 */ 'c', 'r', 'o', 'r', 32, 0,
-  /* 2980 */ 'v', 'o', 'r', 32, 0,
-  /* 2985 */ 'c', 'r', 'x', 'o', 'r', 32, 0,
-  /* 2992 */ 'v', 'x', 'o', 'r', 32, 0,
-  /* 2998 */ 'm', 'f', 's', 'p', 'r', 32, 0,
-  /* 3005 */ 'm', 't', 's', 'p', 'r', 32, 0,
-  /* 3012 */ 'm', 'f', 'm', 's', 'r', 32, 0,
-  /* 3019 */ 'm', 't', 'm', 's', 'r', 32, 0,
-  /* 3026 */ 'l', 'v', 's', 'r', 32, 0,
-  /* 3032 */ 'b', 'c', 'c', 't', 'r', 32, 0,
-  /* 3039 */ 'm', 'f', 'c', 't', 'r', 32, 0,
-  /* 3046 */ 'm', 't', 'c', 't', 'r', 32, 0,
-  /* 3053 */ 'f', 'a', 'b', 's', 32, 0,
-  /* 3059 */ 'f', 'n', 'a', 'b', 's', 32, 0,
-  /* 3066 */ 'v', 's', 'u', 'm', '4', 's', 'b', 's', 32, 0,
-  /* 3076 */ 'v', 's', 'u', 'b', 's', 'b', 's', 32, 0,
-  /* 3085 */ 'v', 'a', 'd', 'd', 's', 'b', 's', 32, 0,
-  /* 3094 */ 'v', 's', 'u', 'm', '4', 'u', 'b', 's', 32, 0,
-  /* 3104 */ 'v', 's', 'u', 'b', 'u', 'b', 's', 32, 0,
-  /* 3113 */ 'v', 'a', 'd', 'd', 'u', 'b', 's', 32, 0,
-  /* 3122 */ 'f', 's', 'u', 'b', 's', 32, 0,
-  /* 3129 */ 'f', 'm', 's', 'u', 'b', 's', 32, 0,
-  /* 3137 */ 'f', 'n', 'm', 's', 'u', 'b', 's', 32, 0,
-  /* 3146 */ 'f', 'a', 'd', 'd', 's', 32, 0,
-  /* 3153 */ 'f', 'm', 'a', 'd', 'd', 's', 32, 0,
-  /* 3161 */ 'f', 'n', 'm', 'a', 'd', 'd', 's', 32, 0,
-  /* 3170 */ 'f', 'c', 'f', 'i', 'd', 's', 32, 0,
-  /* 3178 */ 'f', 'r', 'e', 's', 32, 0,
-  /* 3184 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 's', 32, 0,
-  /* 3194 */ 'm', 'f', 'f', 's', 32, 0,
-  /* 3200 */ 'l', 'f', 's', 32, 0,
-  /* 3205 */ 's', 't', 'f', 's', 32, 0,
-  /* 3211 */ 'v', 's', 'u', 'm', '4', 's', 'h', 's', 32, 0,
-  /* 3221 */ 'v', 's', 'u', 'b', 's', 'h', 's', 32, 0,
-  /* 3230 */ 'v', 'm', 'h', 'a', 'd', 'd', 's', 'h', 's', 32, 0,
-  /* 3241 */ 'v', 'm', 'h', 'r', 'a', 'd', 'd', 's', 'h', 's', 32, 0,
-  /* 3253 */ 'v', 'a', 'd', 'd', 's', 'h', 's', 32, 0,
-  /* 3262 */ 'v', 'm', 's', 'u', 'm', 's', 'h', 's', 32, 0,
-  /* 3272 */ 'v', 's', 'u', 'b', 'u', 'h', 's', 32, 0,
-  /* 3281 */ 'v', 'a', 'd', 'd', 'u', 'h', 's', 32, 0,
-  /* 3290 */ 'v', 'm', 's', 'u', 'm', 'u', 'h', 's', 32, 0,
-  /* 3300 */ 's', 'u', 'b', 'i', 's', 32, 0,
-  /* 3307 */ 'a', 'd', 'd', 'i', 's', 32, 0,
-  /* 3314 */ 'l', 'i', 's', 32, 0,
-  /* 3319 */ 'x', 'o', 'r', 'i', 's', 32, 0,
-  /* 3326 */ 'f', 'm', 'u', 'l', 's', 32, 0,
-  /* 3333 */ 'd', 's', 's', 32, 0,
-  /* 3338 */ 'v', 'p', 'k', 's', 'h', 's', 's', 32, 0,
-  /* 3347 */ 'v', 'p', 'k', 's', 'w', 's', 's', 32, 0,
-  /* 3356 */ 'f', 's', 'q', 'r', 't', 's', 32, 0,
-  /* 3364 */ 'f', 'c', 'f', 'i', 'd', 'u', 's', 32, 0,
-  /* 3373 */ 'v', 'p', 'k', 's', 'h', 'u', 's', 32, 0,
-  /* 3382 */ 'v', 'p', 'k', 'u', 'h', 'u', 's', 32, 0,
-  /* 3391 */ 'v', 'p', 'k', 's', 'w', 'u', 's', 32, 0,
-  /* 3400 */ 'v', 'p', 'k', 'u', 'w', 'u', 's', 32, 0,
-  /* 3409 */ 'f', 'd', 'i', 'v', 's', 32, 0,
-  /* 3416 */ 'v', 's', 'u', 'm', '2', 's', 'w', 's', 32, 0,
-  /* 3426 */ 'v', 's', 'u', 'b', 's', 'w', 's', 32, 0,
-  /* 3435 */ 'v', 'a', 'd', 'd', 's', 'w', 's', 32, 0,
-  /* 3444 */ 'v', 's', 'u', 'm', 's', 'w', 's', 32, 0,
-  /* 3453 */ 'v', 's', 'u', 'b', 'u', 'w', 's', 32, 0,
-  /* 3462 */ 'v', 'a', 'd', 'd', 'u', 'w', 's', 32, 0,
-  /* 3471 */ 'v', 'c', 't', 's', 'x', 's', 32, 0,
-  /* 3479 */ 'v', 'c', 't', 'u', 'x', 's', 32, 0,
-  /* 3487 */ 'd', 'c', 'b', 't', 32, 0,
-  /* 3493 */ 'w', 'a', 'i', 't', 32, 0,
-  /* 3499 */ 'f', 's', 'q', 'r', 't', 32, 0,
-  /* 3506 */ 'd', 'c', 'b', 's', 't', 32, 0,
-  /* 3513 */ 'd', 's', 't', 32, 0,
-  /* 3518 */ 'd', 'c', 'b', 't', 's', 't', 32, 0,
-  /* 3526 */ 'd', 's', 't', 's', 't', 32, 0,
-  /* 3533 */ 'd', 's', 't', 't', 32, 0,
-  /* 3539 */ 'd', 's', 't', 's', 't', 't', 32, 0,
-  /* 3547 */ 'l', 'h', 'a', 'u', 32, 0,
-  /* 3553 */ 's', 't', 'b', 'u', 32, 0,
-  /* 3559 */ 'l', 'f', 'd', 'u', 32, 0,
-  /* 3565 */ 's', 't', 'f', 'd', 'u', 32, 0,
-  /* 3572 */ 'm', 'u', 'l', 'h', 'd', 'u', 32, 0,
-  /* 3580 */ 'f', 'c', 'f', 'i', 'd', 'u', 32, 0,
-  /* 3588 */ 'l', 'd', 'u', 32, 0,
-  /* 3593 */ 's', 't', 'd', 'u', 32, 0,
-  /* 3599 */ 'd', 'i', 'v', 'd', 'u', 32, 0,
-  /* 3606 */ 's', 't', 'h', 'u', 32, 0,
-  /* 3612 */ 'f', 'c', 'm', 'p', 'u', 32, 0,
-  /* 3619 */ 'l', 'f', 's', 'u', 32, 0,
-  /* 3625 */ 's', 't', 'f', 's', 'u', 32, 0,
-  /* 3632 */ 'm', 'u', 'l', 'h', 'w', 'u', 32, 0,
-  /* 3640 */ 's', 't', 'w', 'u', 32, 0,
-  /* 3646 */ 'd', 'i', 'v', 'w', 'u', 32, 0,
-  /* 3653 */ 'l', 'b', 'z', 'u', 32, 0,
-  /* 3659 */ 'l', 'h', 'z', 'u', 32, 0,
-  /* 3665 */ 'l', 'w', 'z', 'u', 32, 0,
-  /* 3671 */ 'f', 'd', 'i', 'v', 32, 0,
-  /* 3677 */ 'c', 'r', 'e', 'q', 'v', 32, 0,
-  /* 3684 */ 'v', 's', 'r', 'a', 'w', 32, 0,
-  /* 3691 */ 'v', 'm', 'r', 'g', 'h', 'w', 32, 0,
-  /* 3699 */ 'm', 'u', 'l', 'h', 'w', 32, 0,
-  /* 3706 */ 'f', 'c', 't', 'i', 'w', 32, 0,
-  /* 3713 */ 'v', 'm', 'r', 'g', 'l', 'w', 32, 0,
-  /* 3721 */ 'm', 'u', 'l', 'l', 'w', 32, 0,
-  /* 3728 */ 'c', 'm', 'p', 'l', 'w', 32, 0,
-  /* 3735 */ 'v', 'r', 'l', 'w', 32, 0,
-  /* 3741 */ 'v', 's', 'l', 'w', 32, 0,
-  /* 3747 */ 'l', 'm', 'w', 32, 0,
-  /* 3752 */ 's', 't', 'm', 'w', 32, 0,
-  /* 3758 */ 'c', 'm', 'p', 'w', 32, 0,
-  /* 3764 */ 'v', 's', 'r', 'w', 32, 0,
-  /* 3770 */ 'v', 'a', 'v', 'g', 's', 'w', 32, 0,
-  /* 3778 */ 'v', 's', 'p', 'l', 't', 'i', 's', 'w', 32, 0,
-  /* 3788 */ 'v', 'm', 'i', 'n', 's', 'w', 32, 0,
-  /* 3796 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'w', 32, 0,
-  /* 3806 */ 'e', 'x', 't', 's', 'w', 32, 0,
-  /* 3813 */ 'v', 'm', 'a', 'x', 's', 'w', 32, 0,
-  /* 3821 */ 'v', 's', 'p', 'l', 't', 'w', 32, 0,
-  /* 3829 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 32, 0,
-  /* 3838 */ 's', 't', 'w', 32, 0,
-  /* 3843 */ 'v', 's', 'u', 'b', 'c', 'u', 'w', 32, 0,
-  /* 3852 */ 'v', 'a', 'd', 'd', 'c', 'u', 'w', 32, 0,
-  /* 3861 */ 'v', 'a', 'v', 'g', 'u', 'w', 32, 0,
-  /* 3869 */ 'v', 'm', 'i', 'n', 'u', 'w', 32, 0,
-  /* 3877 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'w', 32, 0,
-  /* 3887 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'w', 32, 0,
-  /* 3897 */ 'v', 'm', 'a', 'x', 'u', 'w', 32, 0,
-  /* 3905 */ 'd', 'i', 'v', 'w', 32, 0,
-  /* 3911 */ 'c', 'n', 't', 'l', 'z', 'w', 32, 0,
-  /* 3919 */ 'l', 'h', 'a', 'x', 32, 0,
-  /* 3925 */ 'l', 'f', 'i', 'w', 'a', 'x', 32, 0,
-  /* 3933 */ 'l', 'w', 'a', 'x', 32, 0,
-  /* 3939 */ 'l', 'v', 'e', 'b', 'x', 32, 0,
-  /* 3946 */ 's', 't', 'v', 'e', 'b', 'x', 32, 0,
-  /* 3954 */ 's', 't', 'b', 'x', 32, 0,
-  /* 3960 */ 'l', 'f', 'd', 'x', 32, 0,
-  /* 3966 */ 's', 't', 'f', 'd', 'x', 32, 0,
-  /* 3973 */ 'l', 'd', 'x', 32, 0,
-  /* 3978 */ 's', 't', 'd', 'x', 32, 0,
-  /* 3984 */ 'l', 'v', 'e', 'h', 'x', 32, 0,
-  /* 3991 */ 's', 't', 'v', 'e', 'h', 'x', 32, 0,
-  /* 3999 */ 's', 't', 'h', 'x', 32, 0,
-  /* 4005 */ 'v', 'u', 'p', 'k', 'h', 'p', 'x', 32, 0,
-  /* 4014 */ 'v', 'p', 'k', 'p', 'x', 32, 0,
-  /* 4021 */ 'v', 'u', 'p', 'k', 'l', 'p', 'x', 32, 0,
-  /* 4030 */ 'l', 'd', 'a', 'r', 'x', 32, 0,
-  /* 4037 */ 'l', 'w', 'a', 'r', 'x', 32, 0,
-  /* 4044 */ 'l', 'd', 'b', 'r', 'x', 32, 0,
-  /* 4051 */ 's', 't', 'd', 'b', 'r', 'x', 32, 0,
-  /* 4059 */ 'l', 'h', 'b', 'r', 'x', 32, 0,
-  /* 4066 */ 's', 't', 'h', 'b', 'r', 'x', 32, 0,
-  /* 4074 */ 'l', 'w', 'b', 'r', 'x', 32, 0,
-  /* 4081 */ 's', 't', 'w', 'b', 'r', 'x', 32, 0,
-  /* 4089 */ 'v', 'c', 'f', 's', 'x', 32, 0,
-  /* 4096 */ 'l', 'f', 's', 'x', 32, 0,
-  /* 4102 */ 's', 't', 'f', 's', 'x', 32, 0,
-  /* 4109 */ 'l', 'h', 'a', 'u', 'x', 32, 0,
-  /* 4116 */ 'l', 'w', 'a', 'u', 'x', 32, 0,
-  /* 4123 */ 's', 't', 'b', 'u', 'x', 32, 0,
-  /* 4130 */ 'l', 'f', 'd', 'u', 'x', 32, 0,
-  /* 4137 */ 's', 't', 'f', 'd', 'u', 'x', 32, 0,
-  /* 4145 */ 'l', 'd', 'u', 'x', 32, 0,
-  /* 4151 */ 's', 't', 'd', 'u', 'x', 32, 0,
-  /* 4158 */ 'v', 'c', 'f', 'u', 'x', 32, 0,
-  /* 4165 */ 's', 't', 'h', 'u', 'x', 32, 0,
-  /* 4172 */ 'l', 'f', 's', 'u', 'x', 32, 0,
-  /* 4179 */ 's', 't', 'f', 's', 'u', 'x', 32, 0,
-  /* 4187 */ 's', 't', 'w', 'u', 'x', 32, 0,
-  /* 4194 */ 'l', 'b', 'z', 'u', 'x', 32, 0,
-  /* 4201 */ 'l', 'h', 'z', 'u', 'x', 32, 0,
-  /* 4208 */ 'l', 'w', 'z', 'u', 'x', 32, 0,
-  /* 4215 */ 'l', 'v', 'x', 32, 0,
-  /* 4220 */ 's', 't', 'v', 'x', 32, 0,
-  /* 4226 */ 'l', 'v', 'e', 'w', 'x', 32, 0,
-  /* 4233 */ 's', 't', 'v', 'e', 'w', 'x', 32, 0,
-  /* 4241 */ 's', 't', 'f', 'i', 'w', 'x', 32, 0,
-  /* 4249 */ 's', 't', 'w', 'x', 32, 0,
-  /* 4255 */ 'l', 'b', 'z', 'x', 32, 0,
-  /* 4261 */ 'l', 'h', 'z', 'x', 32, 0,
-  /* 4267 */ 'l', 'f', 'i', 'w', 'z', 'x', 32, 0,
-  /* 4275 */ 'l', 'w', 'z', 'x', 32, 0,
-  /* 4281 */ 'd', 'c', 'b', 'z', 32, 0,
-  /* 4287 */ 'l', 'b', 'z', 32, 0,
-  /* 4292 */ 'b', 'd', 'z', 32, 0,
-  /* 4297 */ 'f', 'c', 't', 'i', 'd', 'z', 32, 0,
-  /* 4305 */ 'l', 'h', 'z', 32, 0,
-  /* 4310 */ 'v', 'r', 'f', 'i', 'z', 32, 0,
-  /* 4317 */ 'f', 'r', 'i', 'z', 32, 0,
-  /* 4323 */ 'b', 'd', 'n', 'z', 32, 0,
-  /* 4329 */ 'f', 'c', 't', 'i', 'd', 'u', 'z', 32, 0,
-  /* 4338 */ 'f', 'c', 't', 'i', 'w', 'u', 'z', 32, 0,
-  /* 4347 */ 'f', 'c', 't', 'i', 'w', 'z', 32, 0,
-  /* 4355 */ 'l', 'w', 'z', 32, 0,
-  /* 4360 */ 'l', 'd', 32, '2', ',', 32, '8', '(', 0,
-  /* 4369 */ 'l', 'd', 32, '2', ',', 32, '4', '0', '(', '1', ')', 0,
-  /* 4381 */ 'b', 'd', 'z', 'l', 'r', 'l', '+', 0,
-  /* 4389 */ 'b', 'd', 'n', 'z', 'l', 'r', 'l', '+', 0,
-  /* 4398 */ 'b', 'd', 'z', 'l', 'r', '+', 0,
-  /* 4405 */ 'b', 'd', 'n', 'z', 'l', 'r', '+', 0,
-  /* 4413 */ 'b', 'd', 'z', 'l', 'r', 'l', '-', 0,
-  /* 4421 */ 'b', 'd', 'n', 'z', 'l', 'r', 'l', '-', 0,
-  /* 4430 */ 'b', 'd', 'z', 'l', 'r', '-', 0,
-  /* 4437 */ 'b', 'd', 'n', 'z', 'l', 'r', '-', 0,
-  /* 4445 */ 'o', 'r', 'i', 32, '1', ',', 32, '1', ',', 32, '0', 0,
-  /* 4457 */ 'o', 'r', 'i', 32, '2', ',', 32, '2', ',', 32, '0', 0,
-  /* 4469 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '3', '2', 0,
-  /* 4490 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '3', '2', 0,
-  /* 4511 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '3', '2', 0,
-  /* 4533 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'I', '3', '2', 0,
-  /* 4554 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'I', '3', '2', 0,
-  /* 4571 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '3', '2', 0,
-  /* 4592 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '3', '2', 0,
-  /* 4612 */ '#', 'L', 'D', 'g', 'o', 't', 'T', 'p', 'r', 'e', 'l', 'L', '3', '2', 0,
-  /* 4627 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 4646 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 4664 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '6', '4', 0,
-  /* 4685 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '6', '4', 0,
-  /* 4706 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '6', '4', 0,
-  /* 4728 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'I', '6', '4', 0,
-  /* 4745 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '6', '4', 0,
-  /* 4766 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '6', '4', 0,
-  /* 4787 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '6', '4', 0,
-  /* 4807 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 4826 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 4844 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'i', '6', '4', 0,
-  /* 4865 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'F', '4', 0,
-  /* 4879 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'I', '4', 0,
-  /* 4893 */ 'c', 'r', 'x', 'o', 'r', 32, '6', ',', 32, '6', ',', 32, '6', 0,
-  /* 4907 */ 'c', 'r', 'e', 'q', 'v', 32, '6', ',', 32, '6', ',', 32, '6', 0,
-  /* 4921 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '1', '6', 0,
-  /* 4942 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '1', '6', 0,
-  /* 4963 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '1', '6', 0,
-  /* 4985 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'I', '1', '6', 0,
-  /* 5006 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'I', '1', '6', 0,
-  /* 5023 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '1', '6', 0,
-  /* 5044 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '1', '6', 0,
-  /* 5064 */ '#', 'D', 'Y', 'N', 'A', 'L', 'L', 'O', 'C', '8', 0,
-  /* 5075 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'F', '8', 0,
-  /* 5089 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '8', 0,
-  /* 5109 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'I', '8', 0,
-  /* 5123 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '8', 0,
-  /* 5143 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '8', 0,
-  /* 5164 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'I', '8', 0,
-  /* 5184 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '8', 0,
-  /* 5204 */ 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '8', 0,
-  /* 5223 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '8', 0,
-  /* 5242 */ '#', 'M', 'o', 'v', 'e', 'P', 'C', 't', 'o', 'L', 'R', '8', 0,
-  /* 5255 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'i', '8', 0,
-  /* 5271 */ '#', 'A', 'D', 'D', 'I', 'S', 't', 'o', 'c', 'H', 'A', 0,
-  /* 5283 */ '#', 'A', 'D', 'D', 'I', 'S', 't', 'l', 's', 'g', 'd', 'H', 'A', 0,
-  /* 5297 */ '#', 'A', 'D', 'D', 'I', 'S', 't', 'l', 's', 'l', 'd', 'H', 'A', 0,
-  /* 5311 */ '#', 'A', 'D', 'D', 'I', 'S', 'g', 'o', 't', 'T', 'p', 'r', 'e', 'l', 'H', 'A', 0,
-  /* 5328 */ '#', 'A', 'D', 'D', 'I', 'S', 'd', 't', 'p', 'r', 'e', 'l', 'H', 'A', 0,
-  /* 5343 */ '#', 'D', 'Y', 'N', 'A', 'L', 'L', 'O', 'C', 0,
-  /* 5353 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'V', 'R', 'R', 'C', 0,
-  /* 5369 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 5382 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 5389 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 5399 */ '#', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'V', 'R', 'S', 'A', 'V', 'E', 0,
-  /* 5415 */ '#', 'S', 'P', 'I', 'L', 'L', '_', 'V', 'R', 'S', 'A', 'V', 'E', 0,
-  /* 5429 */ '#', 'L', 'D', 't', 'o', 'c', 'J', 'T', 'I', 0,
-  /* 5439 */ '#', 'L', 'D', 't', 'o', 'c', 'L', 0,
-  /* 5447 */ '#', 'A', 'D', 'D', 'I', 't', 'o', 'c', 'L', 0,
-  /* 5457 */ '#', 'A', 'D', 'D', 'I', 't', 'l', 's', 'g', 'd', 'L', 0,
-  /* 5469 */ '#', 'A', 'D', 'D', 'I', 't', 'l', 's', 'l', 'd', 'L', 0,
-  /* 5481 */ '#', 'L', 'D', 'g', 'o', 't', 'T', 'p', 'r', 'e', 'l', 'L', 0,
-  /* 5494 */ '#', 'A', 'D', 'D', 'I', 'd', 't', 'p', 'r', 'e', 'l', 'L', 0,
-  /* 5507 */ '#', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'C', 'R', 0,
-  /* 5519 */ '#', 'S', 'P', 'I', 'L', 'L', '_', 'C', 'R', 0,
-  /* 5529 */ '#', 'G', 'E', 'T', 't', 'l', 's', 'l', 'd', 'A', 'D', 'D', 'R', 0,
-  /* 5543 */ '#', 'G', 'E', 'T', 't', 'l', 's', 'A', 'D', 'D', 'R', 0,
-  /* 5555 */ '#', 'M', 'o', 'v', 'e', 'P', 'C', 't', 'o', 'L', 'R', 0,
-  /* 5567 */ '#', 'P', 'P', 'C', '3', '2', 'G', 'O', 'T', 0,
-  /* 5577 */ '#', 'L', 'D', 't', 'o', 'c', 'C', 'P', 'T', 0,
-  /* 5587 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 5602 */ 's', 'l', 'b', 'i', 'a', 0,
-  /* 5608 */ 'b', 0,
-  /* 5610 */ 't', 'l', 'b', 's', 'y', 'n', 'c', 0,
-  /* 5618 */ 'i', 's', 'y', 'n', 'c', 0,
-  /* 5624 */ 'm', 's', 'y', 'n', 'c', 0,
-  /* 5630 */ '#', 'L', 'D', 't', 'o', 'c', 0,
-  /* 5637 */ 'd', 's', 's', 'a', 'l', 'l', 0,
-  /* 5644 */ 'b', 'l', 'r', 'l', 0,
-  /* 5649 */ 'b', 'd', 'z', 'l', 'r', 'l', 0,
-  /* 5656 */ 'b', 'd', 'n', 'z', 'l', 'r', 'l', 0,
-  /* 5664 */ 'b', 'c', 't', 'r', 'l', 0,
-  /* 5670 */ 'e', 'i', 'e', 'i', 'o', 0,
-  /* 5676 */ 't', 'r', 'a', 'p', 0,
-  /* 5681 */ 'n', 'o', 'p', 0,
-  /* 5685 */ 'b', 'l', 'r', 0,
-  /* 5689 */ 'b', 'd', 'z', 'l', 'r', 0,
-  /* 5695 */ 'b', 'd', 'n', 'z', 'l', 'r', 0,
-  /* 5702 */ 'b', 'c', 't', 'r', 0,
+  /* 89 */ 'l', 'd', 32, '2', ',', 32, 0,
+  /* 96 */ 'b', 'c', 32, '1', '2', ',', 32, 0,
+  /* 104 */ 'b', 'c', 'l', 32, '1', '2', ',', 32, 0,
+  /* 113 */ 'b', 'c', 'l', 'r', 'l', 32, '1', '2', ',', 32, 0,
+  /* 124 */ 'b', 'c', 'c', 't', 'r', 'l', 32, '1', '2', ',', 32, 0,
+  /* 136 */ 'b', 'c', 'l', 'r', 32, '1', '2', ',', 32, 0,
+  /* 146 */ 'b', 'c', 'c', 't', 'r', 32, '1', '2', ',', 32, 0,
+  /* 157 */ 'b', 'c', 32, '4', ',', 32, 0,
+  /* 164 */ 'b', 'c', 'l', 32, '4', ',', 32, 0,
+  /* 172 */ 'b', 'c', 'l', 'r', 'l', 32, '4', ',', 32, 0,
+  /* 182 */ 'b', 'c', 'c', 't', 'r', 'l', 32, '4', ',', 32, 0,
+  /* 193 */ 'b', 'c', 'l', 'r', 32, '4', ',', 32, 0,
+  /* 202 */ 'b', 'c', 'c', 't', 'r', 32, '4', ',', 32, 0,
+  /* 212 */ 'm', 't', 's', 'p', 'r', 32, '2', '5', '6', ',', 32, 0,
+  /* 224 */ 'b', 'd', 'z', 'l', 'a', '-', 32, 0,
+  /* 232 */ 'b', 'd', 'n', 'z', 'l', 'a', '-', 32, 0,
+  /* 241 */ 'b', 'd', 'z', 'a', '-', 32, 0,
+  /* 248 */ 'b', 'd', 'n', 'z', 'a', '-', 32, 0,
+  /* 256 */ 'b', 'd', 'z', 'l', '-', 32, 0,
+  /* 263 */ 'b', 'd', 'n', 'z', 'l', '-', 32, 0,
+  /* 271 */ 'b', 'd', 'z', '-', 32, 0,
+  /* 277 */ 'b', 'd', 'n', 'z', '-', 32, 0,
+  /* 284 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'b', '.', 32, 0,
+  /* 295 */ 'e', 'x', 't', 's', 'b', '.', 32, 0,
+  /* 303 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'b', '.', 32, 0,
+  /* 314 */ 'f', 's', 'u', 'b', '.', 32, 0,
+  /* 321 */ 'f', 'm', 's', 'u', 'b', '.', 32, 0,
+  /* 329 */ 'f', 'n', 'm', 's', 'u', 'b', '.', 32, 0,
+  /* 338 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'b', '.', 32, 0,
+  /* 349 */ 'a', 'd', 'd', 'c', '.', 32, 0,
+  /* 356 */ 'a', 'n', 'd', 'c', '.', 32, 0,
+  /* 363 */ 's', 'u', 'b', 'f', 'c', '.', 32, 0,
+  /* 371 */ 's', 'u', 'b', 'i', 'c', '.', 32, 0,
+  /* 379 */ 'a', 'd', 'd', 'i', 'c', '.', 32, 0,
+  /* 387 */ 'r', 'l', 'd', 'i', 'c', '.', 32, 0,
+  /* 395 */ 'o', 'r', 'c', '.', 32, 0,
+  /* 401 */ 's', 'r', 'a', 'd', '.', 32, 0,
+  /* 408 */ 'f', 'a', 'd', 'd', '.', 32, 0,
+  /* 415 */ 'f', 'm', 'a', 'd', 'd', '.', 32, 0,
+  /* 423 */ 'f', 'n', 'm', 'a', 'd', 'd', '.', 32, 0,
+  /* 432 */ 'm', 'u', 'l', 'h', 'd', '.', 32, 0,
+  /* 440 */ 'f', 'c', 'f', 'i', 'd', '.', 32, 0,
+  /* 448 */ 'f', 'c', 't', 'i', 'd', '.', 32, 0,
+  /* 456 */ 'm', 'u', 'l', 'l', 'd', '.', 32, 0,
+  /* 464 */ 's', 'l', 'd', '.', 32, 0,
+  /* 470 */ 'n', 'a', 'n', 'd', '.', 32, 0,
+  /* 477 */ 's', 'r', 'd', '.', 32, 0,
+  /* 483 */ 'd', 'i', 'v', 'd', '.', 32, 0,
+  /* 490 */ 'c', 'n', 't', 'l', 'z', 'd', '.', 32, 0,
+  /* 499 */ 'a', 'd', 'd', 'e', '.', 32, 0,
+  /* 506 */ 's', 'u', 'b', 'f', 'e', '.', 32, 0,
+  /* 514 */ 'a', 'd', 'd', 'm', 'e', '.', 32, 0,
+  /* 522 */ 's', 'u', 'b', 'f', 'm', 'e', '.', 32, 0,
+  /* 531 */ 'f', 'r', 'e', '.', 32, 0,
+  /* 537 */ 'f', 'r', 's', 'q', 'r', 't', 'e', '.', 32, 0,
+  /* 547 */ 'a', 'd', 'd', 'z', 'e', '.', 32, 0,
+  /* 555 */ 's', 'u', 'b', 'f', 'z', 'e', '.', 32, 0,
+  /* 564 */ 's', 'u', 'b', 'f', '.', 32, 0,
+  /* 571 */ 'f', 'n', 'e', 'g', '.', 32, 0,
+  /* 578 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'h', '.', 32, 0,
+  /* 589 */ 'e', 'x', 't', 's', 'h', '.', 32, 0,
+  /* 597 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'h', '.', 32, 0,
+  /* 608 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'h', '.', 32, 0,
+  /* 619 */ 's', 'r', 'a', 'd', 'i', '.', 32, 0,
+  /* 627 */ 'c', 'l', 'r', 'l', 's', 'l', 'd', 'i', '.', 32, 0,
+  /* 638 */ 'e', 'x', 't', 'l', 'd', 'i', '.', 32, 0,
+  /* 647 */ 'a', 'n', 'd', 'i', '.', 32, 0,
+  /* 654 */ 'c', 'l', 'r', 'r', 'd', 'i', '.', 32, 0,
+  /* 663 */ 'i', 'n', 's', 'r', 'd', 'i', '.', 32, 0,
+  /* 672 */ 'r', 'o', 't', 'r', 'd', 'i', '.', 32, 0,
+  /* 681 */ 'e', 'x', 't', 'r', 'd', 'i', '.', 32, 0,
+  /* 690 */ 'r', 'l', 'd', 'i', 'm', 'i', '.', 32, 0,
+  /* 699 */ 'r', 'l', 'w', 'i', 'm', 'i', '.', 32, 0,
+  /* 708 */ 's', 'r', 'a', 'w', 'i', '.', 32, 0,
+  /* 716 */ 'c', 'l', 'r', 'l', 's', 'l', 'w', 'i', '.', 32, 0,
+  /* 727 */ 'i', 'n', 's', 'l', 'w', 'i', '.', 32, 0,
+  /* 736 */ 'e', 'x', 't', 'l', 'w', 'i', '.', 32, 0,
+  /* 745 */ 'c', 'l', 'r', 'r', 'w', 'i', '.', 32, 0,
+  /* 754 */ 'i', 'n', 's', 'r', 'w', 'i', '.', 32, 0,
+  /* 763 */ 'r', 'o', 't', 'r', 'w', 'i', '.', 32, 0,
+  /* 772 */ 'e', 'x', 't', 'r', 'w', 'i', '.', 32, 0,
+  /* 781 */ 'r', 'l', 'd', 'c', 'l', '.', 32, 0,
+  /* 789 */ 'r', 'l', 'd', 'i', 'c', 'l', '.', 32, 0,
+  /* 798 */ 'f', 's', 'e', 'l', '.', 32, 0,
+  /* 805 */ 'f', 'm', 'u', 'l', '.', 32, 0,
+  /* 812 */ 'f', 'r', 'i', 'm', '.', 32, 0,
+  /* 819 */ 'r', 'l', 'w', 'i', 'n', 'm', '.', 32, 0,
+  /* 828 */ 'r', 'l', 'w', 'n', 'm', '.', 32, 0,
+  /* 836 */ 'f', 'c', 'p', 's', 'g', 'n', '.', 32, 0,
+  /* 845 */ 'f', 'r', 'i', 'n', '.', 32, 0,
+  /* 852 */ 'x', 'v', 'c', 'm', 'p', 'g', 'e', 'd', 'p', '.', 32, 0,
+  /* 864 */ 'x', 'v', 'c', 'm', 'p', 'e', 'q', 'd', 'p', '.', 32, 0,
+  /* 876 */ 'x', 'v', 'c', 'm', 'p', 'g', 't', 'd', 'p', '.', 32, 0,
+  /* 888 */ 'v', 'c', 'm', 'p', 'b', 'f', 'p', '.', 32, 0,
+  /* 898 */ 'v', 'c', 'm', 'p', 'g', 'e', 'f', 'p', '.', 32, 0,
+  /* 909 */ 'v', 'c', 'm', 'p', 'e', 'q', 'f', 'p', '.', 32, 0,
+  /* 920 */ 'v', 'c', 'm', 'p', 'g', 't', 'f', 'p', '.', 32, 0,
+  /* 931 */ 'f', 'r', 'i', 'p', '.', 32, 0,
+  /* 938 */ 'x', 'v', 'c', 'm', 'p', 'g', 'e', 's', 'p', '.', 32, 0,
+  /* 950 */ 'x', 'v', 'c', 'm', 'p', 'e', 'q', 's', 'p', '.', 32, 0,
+  /* 962 */ 'f', 'r', 's', 'p', '.', 32, 0,
+  /* 969 */ 'x', 'v', 'c', 'm', 'p', 'g', 't', 's', 'p', '.', 32, 0,
+  /* 981 */ 'r', 'l', 'd', 'c', 'r', '.', 32, 0,
+  /* 989 */ 'r', 'l', 'd', 'i', 'c', 'r', '.', 32, 0,
+  /* 998 */ 'f', 'm', 'r', '.', 32, 0,
+  /* 1004 */ 'n', 'o', 'r', '.', 32, 0,
+  /* 1010 */ 'x', 'o', 'r', '.', 32, 0,
+  /* 1016 */ 'f', 'a', 'b', 's', '.', 32, 0,
+  /* 1023 */ 'f', 'n', 'a', 'b', 's', '.', 32, 0,
+  /* 1031 */ 'f', 's', 'u', 'b', 's', '.', 32, 0,
+  /* 1039 */ 'f', 'm', 's', 'u', 'b', 's', '.', 32, 0,
+  /* 1048 */ 'f', 'n', 'm', 's', 'u', 'b', 's', '.', 32, 0,
+  /* 1058 */ 'f', 'a', 'd', 'd', 's', '.', 32, 0,
+  /* 1066 */ 'f', 'm', 'a', 'd', 'd', 's', '.', 32, 0,
+  /* 1075 */ 'f', 'n', 'm', 'a', 'd', 'd', 's', '.', 32, 0,
+  /* 1085 */ 'f', 'c', 'f', 'i', 'd', 's', '.', 32, 0,
+  /* 1094 */ 'f', 'r', 'e', 's', '.', 32, 0,
+  /* 1101 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 's', '.', 32, 0,
+  /* 1112 */ 'a', 'n', 'd', 'i', 's', '.', 32, 0,
+  /* 1120 */ 'f', 'm', 'u', 'l', 's', '.', 32, 0,
+  /* 1128 */ 'f', 's', 'q', 'r', 't', 's', '.', 32, 0,
+  /* 1137 */ 'f', 'c', 'f', 'i', 'd', 'u', 's', '.', 32, 0,
+  /* 1147 */ 'f', 'd', 'i', 'v', 's', '.', 32, 0,
+  /* 1155 */ 'f', 's', 'q', 'r', 't', '.', 32, 0,
+  /* 1163 */ 'm', 'u', 'l', 'h', 'd', 'u', '.', 32, 0,
+  /* 1172 */ 'f', 'c', 'f', 'i', 'd', 'u', '.', 32, 0,
+  /* 1181 */ 'd', 'i', 'v', 'd', 'u', '.', 32, 0,
+  /* 1189 */ 'm', 'u', 'l', 'h', 'w', 'u', '.', 32, 0,
+  /* 1198 */ 'd', 'i', 'v', 'w', 'u', '.', 32, 0,
+  /* 1206 */ 'f', 'd', 'i', 'v', '.', 32, 0,
+  /* 1213 */ 'e', 'q', 'v', '.', 32, 0,
+  /* 1219 */ 's', 'r', 'a', 'w', '.', 32, 0,
+  /* 1226 */ 'm', 'u', 'l', 'h', 'w', '.', 32, 0,
+  /* 1234 */ 'f', 'c', 't', 'i', 'w', '.', 32, 0,
+  /* 1242 */ 'm', 'u', 'l', 'l', 'w', '.', 32, 0,
+  /* 1250 */ 's', 'l', 'w', '.', 32, 0,
+  /* 1256 */ 's', 'r', 'w', '.', 32, 0,
+  /* 1262 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'w', '.', 32, 0,
+  /* 1273 */ 'e', 'x', 't', 's', 'w', '.', 32, 0,
+  /* 1281 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'w', '.', 32, 0,
+  /* 1292 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'w', '.', 32, 0,
+  /* 1303 */ 'd', 'i', 'v', 'w', '.', 32, 0,
+  /* 1310 */ 'c', 'n', 't', 'l', 'z', 'w', '.', 32, 0,
+  /* 1319 */ 's', 't', 'd', 'c', 'x', '.', 32, 0,
+  /* 1327 */ 's', 't', 'w', 'c', 'x', '.', 32, 0,
+  /* 1335 */ 't', 'l', 'b', 's', 'x', '.', 32, 0,
+  /* 1343 */ 'f', 'c', 't', 'i', 'd', 'z', '.', 32, 0,
+  /* 1352 */ 'f', 'r', 'i', 'z', '.', 32, 0,
+  /* 1359 */ 'f', 'c', 't', 'i', 'd', 'u', 'z', '.', 32, 0,
+  /* 1369 */ 'f', 'c', 't', 'i', 'w', 'u', 'z', '.', 32, 0,
+  /* 1379 */ 'f', 'c', 't', 'i', 'w', 'z', '.', 32, 0,
+  /* 1388 */ 'm', 't', 'f', 's', 'b', '0', 32, 0,
+  /* 1396 */ 'm', 't', 'f', 's', 'b', '1', 32, 0,
+  /* 1404 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '3', '2', 32, 0,
+  /* 1426 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '1', '6', 32, 0,
+  /* 1448 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'a', '8', 32, 0,
+  /* 1462 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'd', '8', 32, 0,
+  /* 1476 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'r', '8', 32, 0,
+  /* 1490 */ 'U', 'P', 'D', 'A', 'T', 'E', '_', 'V', 'R', 'S', 'A', 'V', 'E', 32, 0,
+  /* 1505 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 32, 0,
+  /* 1524 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 32, 0,
+  /* 1541 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'a', 32, 0,
+  /* 1554 */ 'e', 'v', 'm', 'h', 'e', 'g', 's', 'm', 'f', 'a', 'a', 32, 0,
+  /* 1567 */ 'e', 'v', 'm', 'h', 'o', 'g', 's', 'm', 'f', 'a', 'a', 32, 0,
+  /* 1580 */ 'e', 'v', 'm', 'w', 's', 'm', 'f', 'a', 'a', 32, 0,
+  /* 1591 */ 'e', 'v', 'm', 'w', 's', 's', 'f', 'a', 'a', 32, 0,
+  /* 1602 */ 'e', 'v', 'm', 'h', 'e', 'g', 's', 'm', 'i', 'a', 'a', 32, 0,
+  /* 1615 */ 'e', 'v', 'm', 'h', 'o', 'g', 's', 'm', 'i', 'a', 'a', 32, 0,
+  /* 1628 */ 'e', 'v', 'm', 'w', 's', 'm', 'i', 'a', 'a', 32, 0,
+  /* 1639 */ 'e', 'v', 'm', 'h', 'e', 'g', 'u', 'm', 'i', 'a', 'a', 32, 0,
+  /* 1652 */ 'e', 'v', 'm', 'h', 'o', 'g', 'u', 'm', 'i', 'a', 'a', 32, 0,
+  /* 1665 */ 'e', 'v', 'm', 'w', 'u', 'm', 'i', 'a', 'a', 32, 0,
+  /* 1676 */ 'd', 'c', 'b', 'a', 32, 0,
+  /* 1682 */ 'b', 'c', 'a', 32, 0,
+  /* 1687 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'f', 'a', 32, 0,
+  /* 1698 */ 'e', 'v', 'm', 'w', 'h', 's', 'm', 'f', 'a', 32, 0,
+  /* 1709 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'f', 'a', 32, 0,
+  /* 1720 */ 'e', 'v', 'm', 'w', 's', 'm', 'f', 'a', 32, 0,
+  /* 1730 */ 'e', 'v', 'm', 'h', 'e', 's', 's', 'f', 'a', 32, 0,
+  /* 1741 */ 'e', 'v', 'm', 'w', 'h', 's', 's', 'f', 'a', 32, 0,
+  /* 1752 */ 'e', 'v', 'm', 'h', 'o', 's', 's', 'f', 'a', 32, 0,
+  /* 1763 */ 'e', 'v', 'm', 'w', 's', 's', 'f', 'a', 32, 0,
+  /* 1773 */ 'l', 'h', 'a', 32, 0,
+  /* 1778 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'i', 'a', 32, 0,
+  /* 1789 */ 'e', 'v', 'm', 'w', 'h', 's', 'm', 'i', 'a', 32, 0,
+  /* 1800 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'i', 'a', 32, 0,
+  /* 1811 */ 'e', 'v', 'm', 'w', 's', 'm', 'i', 'a', 32, 0,
+  /* 1821 */ 'e', 'v', 'm', 'h', 'e', 'u', 'm', 'i', 'a', 32, 0,
+  /* 1832 */ 'e', 'v', 'm', 'w', 'h', 'u', 'm', 'i', 'a', 32, 0,
+  /* 1843 */ 'e', 'v', 'm', 'w', 'l', 'u', 'm', 'i', 'a', 32, 0,
+  /* 1854 */ 'e', 'v', 'm', 'h', 'o', 'u', 'm', 'i', 'a', 32, 0,
+  /* 1865 */ 'e', 'v', 'm', 'w', 'u', 'm', 'i', 'a', 32, 0,
+  /* 1875 */ 'b', 'l', 'a', 32, 0,
+  /* 1880 */ 'b', 'c', 'l', 'a', 32, 0,
+  /* 1886 */ 'b', 'd', 'z', 'l', 'a', 32, 0,
+  /* 1893 */ 'b', 'd', 'n', 'z', 'l', 'a', 32, 0,
+  /* 1901 */ 'e', 'v', 'm', 'r', 'a', 32, 0,
+  /* 1908 */ 'l', 'w', 'a', 32, 0,
+  /* 1913 */ 'b', 'd', 'z', 'a', 32, 0,
+  /* 1919 */ 'b', 'd', 'n', 'z', 'a', 32, 0,
+  /* 1926 */ 'v', 's', 'r', 'a', 'b', 32, 0,
+  /* 1933 */ 'v', 'm', 'r', 'g', 'h', 'b', 32, 0,
+  /* 1941 */ 'v', 'm', 'r', 'g', 'l', 'b', 32, 0,
+  /* 1949 */ 'v', 'r', 'l', 'b', 32, 0,
+  /* 1955 */ 'v', 's', 'l', 'b', 32, 0,
+  /* 1961 */ 'v', 's', 'r', 'b', 32, 0,
+  /* 1967 */ 'v', 'm', 'u', 'l', 'e', 's', 'b', 32, 0,
+  /* 1976 */ 'v', 'a', 'v', 'g', 's', 'b', 32, 0,
+  /* 1984 */ 'v', 'u', 'p', 'k', 'h', 's', 'b', 32, 0,
+  /* 1993 */ 'v', 's', 'p', 'l', 't', 'i', 's', 'b', 32, 0,
+  /* 2003 */ 'v', 'u', 'p', 'k', 'l', 's', 'b', 32, 0,
+  /* 2012 */ 'v', 'm', 'i', 'n', 's', 'b', 32, 0,
+  /* 2020 */ 'v', 'm', 'u', 'l', 'o', 's', 'b', 32, 0,
+  /* 2029 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'b', 32, 0,
+  /* 2039 */ 'e', 'v', 'e', 'x', 't', 's', 'b', 32, 0,
+  /* 2048 */ 'v', 'm', 'a', 'x', 's', 'b', 32, 0,
+  /* 2056 */ 'm', 'f', 't', 'b', 32, 0,
+  /* 2062 */ 'v', 's', 'p', 'l', 't', 'b', 32, 0,
+  /* 2070 */ 's', 't', 'b', 32, 0,
+  /* 2075 */ 'v', 'm', 'u', 'l', 'e', 'u', 'b', 32, 0,
+  /* 2084 */ 'v', 'a', 'v', 'g', 'u', 'b', 32, 0,
+  /* 2092 */ 'v', 'm', 'i', 'n', 'u', 'b', 32, 0,
+  /* 2100 */ 'v', 'm', 'u', 'l', 'o', 'u', 'b', 32, 0,
+  /* 2109 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'b', 32, 0,
+  /* 2119 */ 'f', 's', 'u', 'b', 32, 0,
+  /* 2125 */ 'f', 'm', 's', 'u', 'b', 32, 0,
+  /* 2132 */ 'f', 'n', 'm', 's', 'u', 'b', 32, 0,
+  /* 2140 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'b', 32, 0,
+  /* 2150 */ 'v', 'm', 'a', 'x', 'u', 'b', 32, 0,
+  /* 2158 */ 'b', 'c', 32, 0,
+  /* 2162 */ 'a', 'd', 'd', 'c', 32, 0,
+  /* 2168 */ 'x', 'x', 'l', 'a', 'n', 'd', 'c', 32, 0,
+  /* 2177 */ 'c', 'r', 'a', 'n', 'd', 'c', 32, 0,
+  /* 2185 */ 'e', 'v', 'a', 'n', 'd', 'c', 32, 0,
+  /* 2193 */ 's', 'u', 'b', 'f', 'c', 32, 0,
+  /* 2200 */ 's', 'u', 'b', 'i', 'c', 32, 0,
+  /* 2207 */ 'a', 'd', 'd', 'i', 'c', 32, 0,
+  /* 2214 */ 'r', 'l', 'd', 'i', 'c', 32, 0,
+  /* 2221 */ 's', 'u', 'b', 'f', 'i', 'c', 32, 0,
+  /* 2229 */ 'x', 's', 'r', 'd', 'p', 'i', 'c', 32, 0,
+  /* 2238 */ 'x', 'v', 'r', 'd', 'p', 'i', 'c', 32, 0,
+  /* 2247 */ 'x', 'v', 'r', 's', 'p', 'i', 'c', 32, 0,
+  /* 2256 */ 'b', 'r', 'i', 'n', 'c', 32, 0,
+  /* 2263 */ 's', 'y', 'n', 'c', 32, 0,
+  /* 2269 */ 'c', 'r', 'o', 'r', 'c', 32, 0,
+  /* 2276 */ 'e', 'v', 'o', 'r', 'c', 32, 0,
+  /* 2283 */ 's', 'c', 32, 0,
+  /* 2287 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 32, 0,
+  /* 2300 */ 's', 'r', 'a', 'd', 32, 0,
+  /* 2306 */ 'f', 'a', 'd', 'd', 32, 0,
+  /* 2312 */ 'f', 'm', 'a', 'd', 'd', 32, 0,
+  /* 2319 */ 'f', 'n', 'm', 'a', 'd', 'd', 32, 0,
+  /* 2327 */ 'e', 'v', 'l', 'd', 'd', 32, 0,
+  /* 2334 */ 'e', 'v', 's', 't', 'd', 'd', 32, 0,
+  /* 2342 */ 'l', 'f', 'd', 32, 0,
+  /* 2347 */ 's', 't', 'f', 'd', 32, 0,
+  /* 2353 */ 'm', 'u', 'l', 'h', 'd', 32, 0,
+  /* 2360 */ 'f', 'c', 'f', 'i', 'd', 32, 0,
+  /* 2367 */ 'f', 'c', 't', 'i', 'd', 32, 0,
+  /* 2374 */ 't', 'l', 'b', 'l', 'd', 32, 0,
+  /* 2381 */ 'm', 'u', 'l', 'l', 'd', 32, 0,
+  /* 2388 */ 'c', 'm', 'p', 'l', 'd', 32, 0,
+  /* 2395 */ 's', 'l', 'd', 32, 0,
+  /* 2400 */ 'x', 'x', 'l', 'a', 'n', 'd', 32, 0,
+  /* 2408 */ 'c', 'r', 'n', 'a', 'n', 'd', 32, 0,
+  /* 2416 */ 'e', 'v', 'n', 'a', 'n', 'd', 32, 0,
+  /* 2424 */ 'c', 'r', 'a', 'n', 'd', 32, 0,
+  /* 2431 */ 'e', 'v', 'a', 'n', 'd', 32, 0,
+  /* 2438 */ 'c', 'm', 'p', 'd', 32, 0,
+  /* 2444 */ 'm', 't', 'm', 's', 'r', 'd', 32, 0,
+  /* 2452 */ 'p', 'o', 'p', 'c', 'n', 't', 'd', 32, 0,
+  /* 2461 */ 's', 't', 'd', 32, 0,
+  /* 2466 */ 'd', 'i', 'v', 'd', 32, 0,
+  /* 2472 */ 'c', 'n', 't', 'l', 'z', 'd', 32, 0,
+  /* 2480 */ 'a', 'd', 'd', 'e', 32, 0,
+  /* 2486 */ 's', 'l', 'b', 'm', 'f', 'e', 'e', 32, 0,
+  /* 2495 */ 'w', 'r', 't', 'e', 'e', 32, 0,
+  /* 2502 */ 's', 'u', 'b', 'f', 'e', 32, 0,
+  /* 2509 */ 'e', 'v', 'l', 'w', 'h', 'e', 32, 0,
+  /* 2517 */ 'e', 'v', 's', 't', 'w', 'h', 'e', 32, 0,
+  /* 2526 */ 's', 'l', 'b', 'i', 'e', 32, 0,
+  /* 2533 */ 't', 'l', 'b', 'i', 'e', 32, 0,
+  /* 2540 */ 'a', 'd', 'd', 'm', 'e', 32, 0,
+  /* 2547 */ 's', 'u', 'b', 'f', 'm', 'e', 32, 0,
+  /* 2555 */ 't', 'l', 'b', 'r', 'e', 32, 0,
+  /* 2562 */ 'f', 'r', 'e', 32, 0,
+  /* 2567 */ 's', 'l', 'b', 'm', 't', 'e', 32, 0,
+  /* 2575 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 32, 0,
+  /* 2584 */ 't', 'l', 'b', 'w', 'e', 32, 0,
+  /* 2591 */ 'e', 'v', 's', 't', 'w', 'w', 'e', 32, 0,
+  /* 2600 */ 'a', 'd', 'd', 'z', 'e', 32, 0,
+  /* 2607 */ 's', 'u', 'b', 'f', 'z', 'e', 32, 0,
+  /* 2615 */ 'd', 'c', 'b', 'f', 32, 0,
+  /* 2621 */ 's', 'u', 'b', 'f', 32, 0,
+  /* 2627 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'f', 32, 0,
+  /* 2637 */ 'e', 'v', 'm', 'w', 'h', 's', 'm', 'f', 32, 0,
+  /* 2647 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'f', 32, 0,
+  /* 2657 */ 'e', 'v', 'm', 'w', 's', 'm', 'f', 32, 0,
+  /* 2666 */ 'm', 'c', 'r', 'f', 32, 0,
+  /* 2672 */ 'm', 'f', 'o', 'c', 'r', 'f', 32, 0,
+  /* 2680 */ 'm', 't', 'o', 'c', 'r', 'f', 32, 0,
+  /* 2688 */ 'm', 't', 'c', 'r', 'f', 32, 0,
+  /* 2695 */ 'm', 't', 'f', 's', 'f', 32, 0,
+  /* 2702 */ 'e', 'v', 'm', 'h', 'e', 's', 's', 'f', 32, 0,
+  /* 2712 */ 'e', 'v', 'm', 'w', 'h', 's', 's', 'f', 32, 0,
+  /* 2722 */ 'e', 'v', 'm', 'h', 'o', 's', 's', 'f', 32, 0,
+  /* 2732 */ 'e', 'v', 'm', 'w', 's', 's', 'f', 32, 0,
+  /* 2741 */ 'f', 'n', 'e', 'g', 32, 0,
+  /* 2747 */ 'e', 'v', 'n', 'e', 'g', 32, 0,
+  /* 2754 */ 'v', 's', 'r', 'a', 'h', 32, 0,
+  /* 2761 */ 'e', 'v', 'l', 'd', 'h', 32, 0,
+  /* 2768 */ 'e', 'v', 's', 't', 'd', 'h', 32, 0,
+  /* 2776 */ 'v', 'm', 'r', 'g', 'h', 'h', 32, 0,
+  /* 2784 */ 'v', 'm', 'r', 'g', 'l', 'h', 32, 0,
+  /* 2792 */ 'v', 'r', 'l', 'h', 32, 0,
+  /* 2798 */ 'v', 's', 'l', 'h', 32, 0,
+  /* 2804 */ 'v', 's', 'r', 'h', 32, 0,
+  /* 2810 */ 'v', 'm', 'u', 'l', 'e', 's', 'h', 32, 0,
+  /* 2819 */ 'v', 'a', 'v', 'g', 's', 'h', 32, 0,
+  /* 2827 */ 'v', 'u', 'p', 'k', 'h', 's', 'h', 32, 0,
+  /* 2836 */ 'v', 's', 'p', 'l', 't', 'i', 's', 'h', 32, 0,
+  /* 2846 */ 'v', 'u', 'p', 'k', 'l', 's', 'h', 32, 0,
+  /* 2855 */ 'v', 'm', 'i', 'n', 's', 'h', 32, 0,
+  /* 2863 */ 'v', 'm', 'u', 'l', 'o', 's', 'h', 32, 0,
+  /* 2872 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'h', 32, 0,
+  /* 2882 */ 'e', 'v', 'e', 'x', 't', 's', 'h', 32, 0,
+  /* 2891 */ 'v', 'm', 'a', 'x', 's', 'h', 32, 0,
+  /* 2899 */ 'v', 's', 'p', 'l', 't', 'h', 32, 0,
+  /* 2907 */ 's', 't', 'h', 32, 0,
+  /* 2912 */ 'v', 'm', 'u', 'l', 'e', 'u', 'h', 32, 0,
+  /* 2921 */ 'v', 'a', 'v', 'g', 'u', 'h', 32, 0,
+  /* 2929 */ 'v', 'm', 'i', 'n', 'u', 'h', 32, 0,
+  /* 2937 */ 'v', 'm', 'u', 'l', 'o', 'u', 'h', 32, 0,
+  /* 2946 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'h', 32, 0,
+  /* 2956 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'h', 32, 0,
+  /* 2966 */ 'v', 'm', 'a', 'x', 'u', 'h', 32, 0,
+  /* 2974 */ 'd', 'c', 'b', 'i', 32, 0,
+  /* 2980 */ 'i', 'c', 'b', 'i', 32, 0,
+  /* 2986 */ 's', 'u', 'b', 'i', 32, 0,
+  /* 2992 */ 'd', 'c', 'c', 'c', 'i', 32, 0,
+  /* 2999 */ 'i', 'c', 'c', 'c', 'i', 32, 0,
+  /* 3006 */ 's', 'r', 'a', 'd', 'i', 32, 0,
+  /* 3013 */ 'a', 'd', 'd', 'i', 32, 0,
+  /* 3019 */ 'c', 'm', 'p', 'l', 'd', 'i', 32, 0,
+  /* 3027 */ 'c', 'l', 'r', 'l', 's', 'l', 'd', 'i', 32, 0,
+  /* 3037 */ 'e', 'x', 't', 'l', 'd', 'i', 32, 0,
+  /* 3045 */ 'x', 'x', 'p', 'e', 'r', 'm', 'd', 'i', 32, 0,
+  /* 3055 */ 'c', 'm', 'p', 'd', 'i', 32, 0,
+  /* 3062 */ 'c', 'l', 'r', 'r', 'd', 'i', 32, 0,
+  /* 3070 */ 'i', 'n', 's', 'r', 'd', 'i', 32, 0,
+  /* 3078 */ 'r', 'o', 't', 'r', 'd', 'i', 32, 0,
+  /* 3086 */ 'e', 'x', 't', 'r', 'd', 'i', 32, 0,
+  /* 3094 */ 't', 'd', 'i', 32, 0,
+  /* 3099 */ 'w', 'r', 't', 'e', 'e', 'i', 32, 0,
+  /* 3107 */ 'e', 'v', 's', 'p', 'l', 'a', 't', 'f', 'i', 32, 0,
+  /* 3118 */ 'e', 'v', 'm', 'e', 'r', 'g', 'e', 'h', 'i', 32, 0,
+  /* 3129 */ 'e', 'v', 'm', 'e', 'r', 'g', 'e', 'l', 'o', 'h', 'i', 32, 0,
+  /* 3142 */ 't', 'l', 'b', 'l', 'i', 32, 0,
+  /* 3149 */ 'm', 'u', 'l', 'l', 'i', 32, 0,
+  /* 3156 */ 'r', 'l', 'd', 'i', 'm', 'i', 32, 0,
+  /* 3164 */ 'r', 'l', 'w', 'i', 'm', 'i', 32, 0,
+  /* 3172 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'i', 32, 0,
+  /* 3182 */ 'e', 'v', 'm', 'w', 'h', 's', 'm', 'i', 32, 0,
+  /* 3192 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'i', 32, 0,
+  /* 3202 */ 'e', 'v', 'm', 'w', 's', 'm', 'i', 32, 0,
+  /* 3211 */ 'e', 'v', 'm', 'h', 'e', 'u', 'm', 'i', 32, 0,
+  /* 3221 */ 'e', 'v', 'm', 'w', 'h', 'u', 'm', 'i', 32, 0,
+  /* 3231 */ 'e', 'v', 'm', 'w', 'l', 'u', 'm', 'i', 32, 0,
+  /* 3241 */ 'e', 'v', 'm', 'h', 'o', 'u', 'm', 'i', 32, 0,
+  /* 3251 */ 'e', 'v', 'm', 'w', 'u', 'm', 'i', 32, 0,
+  /* 3260 */ 'v', 's', 'l', 'd', 'o', 'i', 32, 0,
+  /* 3268 */ 'x', 's', 'r', 'd', 'p', 'i', 32, 0,
+  /* 3276 */ 'x', 'v', 'r', 'd', 'p', 'i', 32, 0,
+  /* 3284 */ 'x', 'v', 'r', 's', 'p', 'i', 32, 0,
+  /* 3292 */ 'x', 'o', 'r', 'i', 32, 0,
+  /* 3298 */ 'e', 'v', 's', 'p', 'l', 'a', 't', 'i', 32, 0,
+  /* 3308 */ 's', 'r', 'a', 'w', 'i', 32, 0,
+  /* 3315 */ 'x', 'x', 's', 'l', 'd', 'w', 'i', 32, 0,
+  /* 3324 */ 'c', 'm', 'p', 'l', 'w', 'i', 32, 0,
+  /* 3332 */ 'e', 'v', 'r', 'l', 'w', 'i', 32, 0,
+  /* 3340 */ 'c', 'l', 'r', 'l', 's', 'l', 'w', 'i', 32, 0,
+  /* 3350 */ 'i', 'n', 's', 'l', 'w', 'i', 32, 0,
+  /* 3358 */ 'e', 'v', 's', 'l', 'w', 'i', 32, 0,
+  /* 3366 */ 'e', 'x', 't', 'l', 'w', 'i', 32, 0,
+  /* 3374 */ 'c', 'm', 'p', 'w', 'i', 32, 0,
+  /* 3381 */ 'c', 'l', 'r', 'r', 'w', 'i', 32, 0,
+  /* 3389 */ 'i', 'n', 's', 'r', 'w', 'i', 32, 0,
+  /* 3397 */ 'r', 'o', 't', 'r', 'w', 'i', 32, 0,
+  /* 3405 */ 'e', 'x', 't', 'r', 'w', 'i', 32, 0,
+  /* 3413 */ 'l', 's', 'w', 'i', 32, 0,
+  /* 3419 */ 's', 't', 's', 'w', 'i', 32, 0,
+  /* 3426 */ 't', 'w', 'i', 32, 0,
+  /* 3431 */ 'b', 'l', 32, 0,
+  /* 3435 */ 'b', 'c', 'l', 32, 0,
+  /* 3440 */ 'r', 'l', 'd', 'c', 'l', 32, 0,
+  /* 3447 */ 'r', 'l', 'd', 'i', 'c', 'l', 32, 0,
+  /* 3455 */ 't', 'l', 'b', 'i', 'e', 'l', 32, 0,
+  /* 3463 */ 'f', 's', 'e', 'l', 32, 0,
+  /* 3469 */ 'i', 's', 'e', 'l', 32, 0,
+  /* 3475 */ 'v', 's', 'e', 'l', 32, 0,
+  /* 3481 */ 'x', 'x', 's', 'e', 'l', 32, 0,
+  /* 3488 */ 'b', 'c', 'l', 'r', 'l', 32, 0,
+  /* 3495 */ 'b', 'c', 'c', 't', 'r', 'l', 32, 0,
+  /* 3503 */ 'l', 'v', 's', 'l', 32, 0,
+  /* 3509 */ 'f', 'm', 'u', 'l', 32, 0,
+  /* 3515 */ 'l', 'v', 'x', 'l', 32, 0,
+  /* 3521 */ 's', 't', 'v', 'x', 'l', 32, 0,
+  /* 3528 */ 'd', 'c', 'b', 'z', 'l', 32, 0,
+  /* 3535 */ 'b', 'd', 'z', 'l', 32, 0,
+  /* 3541 */ 'b', 'd', 'n', 'z', 'l', 32, 0,
+  /* 3548 */ 'v', 'm', 's', 'u', 'm', 'm', 'b', 'm', 32, 0,
+  /* 3558 */ 'v', 's', 'u', 'b', 'u', 'b', 'm', 32, 0,
+  /* 3567 */ 'v', 'a', 'd', 'd', 'u', 'b', 'm', 32, 0,
+  /* 3576 */ 'v', 'm', 's', 'u', 'm', 'u', 'b', 'm', 32, 0,
+  /* 3586 */ 'v', 'm', 's', 'u', 'm', 's', 'h', 'm', 32, 0,
+  /* 3596 */ 'v', 's', 'u', 'b', 'u', 'h', 'm', 32, 0,
+  /* 3605 */ 'v', 'm', 'l', 'a', 'd', 'd', 'u', 'h', 'm', 32, 0,
+  /* 3616 */ 'v', 'a', 'd', 'd', 'u', 'h', 'm', 32, 0,
+  /* 3625 */ 'v', 'm', 's', 'u', 'm', 'u', 'h', 'm', 32, 0,
+  /* 3635 */ 'v', 'r', 'f', 'i', 'm', 32, 0,
+  /* 3642 */ 'x', 's', 'r', 'd', 'p', 'i', 'm', 32, 0,
+  /* 3651 */ 'x', 'v', 'r', 'd', 'p', 'i', 'm', 32, 0,
+  /* 3660 */ 'x', 'v', 'r', 's', 'p', 'i', 'm', 32, 0,
+  /* 3669 */ 'f', 'r', 'i', 'm', 32, 0,
+  /* 3675 */ 'r', 'l', 'w', 'i', 'n', 'm', 32, 0,
+  /* 3683 */ 'r', 'l', 'w', 'n', 'm', 32, 0,
+  /* 3690 */ 'v', 'p', 'e', 'r', 'm', 32, 0,
+  /* 3697 */ 'v', 'p', 'k', 'u', 'h', 'u', 'm', 32, 0,
+  /* 3706 */ 'v', 'p', 'k', 'u', 'w', 'u', 'm', 32, 0,
+  /* 3715 */ 'v', 's', 'u', 'b', 'u', 'w', 'm', 32, 0,
+  /* 3724 */ 'v', 'a', 'd', 'd', 'u', 'w', 'm', 32, 0,
+  /* 3733 */ 'e', 'v', 'm', 'h', 'e', 'g', 's', 'm', 'f', 'a', 'n', 32, 0,
+  /* 3746 */ 'e', 'v', 'm', 'h', 'o', 'g', 's', 'm', 'f', 'a', 'n', 32, 0,
+  /* 3759 */ 'e', 'v', 'm', 'w', 's', 'm', 'f', 'a', 'n', 32, 0,
+  /* 3770 */ 'e', 'v', 'm', 'w', 's', 's', 'f', 'a', 'n', 32, 0,
+  /* 3781 */ 'e', 'v', 'm', 'h', 'e', 'g', 's', 'm', 'i', 'a', 'n', 32, 0,
+  /* 3794 */ 'e', 'v', 'm', 'h', 'o', 'g', 's', 'm', 'i', 'a', 'n', 32, 0,
+  /* 3807 */ 'e', 'v', 'm', 'w', 's', 'm', 'i', 'a', 'n', 32, 0,
+  /* 3818 */ 'e', 'v', 'm', 'h', 'e', 'g', 'u', 'm', 'i', 'a', 'n', 32, 0,
+  /* 3831 */ 'e', 'v', 'm', 'h', 'o', 'g', 'u', 'm', 'i', 'a', 'n', 32, 0,
+  /* 3844 */ 'e', 'v', 'm', 'w', 'u', 'm', 'i', 'a', 'n', 32, 0,
+  /* 3855 */ 'f', 'c', 'p', 's', 'g', 'n', 32, 0,
+  /* 3863 */ 'v', 'r', 'f', 'i', 'n', 32, 0,
+  /* 3870 */ 'f', 'r', 'i', 'n', 32, 0,
+  /* 3876 */ 'm', 'f', 's', 'r', 'i', 'n', 32, 0,
+  /* 3884 */ 'm', 't', 's', 'r', 'i', 'n', 32, 0,
+  /* 3892 */ 'e', 'v', 's', 't', 'w', 'h', 'o', 32, 0,
+  /* 3901 */ 'e', 'v', 'm', 'e', 'r', 'g', 'e', 'l', 'o', 32, 0,
+  /* 3912 */ 'e', 'v', 'm', 'e', 'r', 'g', 'e', 'h', 'i', 'l', 'o', 32, 0,
+  /* 3925 */ 'v', 's', 'l', 'o', 32, 0,
+  /* 3931 */ 'v', 's', 'r', 'o', 32, 0,
+  /* 3937 */ 'e', 'v', 's', 't', 'w', 'w', 'o', 32, 0,
+  /* 3946 */ 'x', 's', 'n', 'm', 's', 'u', 'b', 'a', 'd', 'p', 32, 0,
+  /* 3958 */ 'x', 'v', 'n', 'm', 's', 'u', 'b', 'a', 'd', 'p', 32, 0,
+  /* 3970 */ 'x', 's', 'm', 's', 'u', 'b', 'a', 'd', 'p', 32, 0,
+  /* 3981 */ 'x', 'v', 'm', 's', 'u', 'b', 'a', 'd', 'p', 32, 0,
+  /* 3992 */ 'x', 's', 'n', 'm', 'a', 'd', 'd', 'a', 'd', 'p', 32, 0,
+  /* 4004 */ 'x', 'v', 'n', 'm', 'a', 'd', 'd', 'a', 'd', 'p', 32, 0,
+  /* 4016 */ 'x', 's', 'm', 'a', 'd', 'd', 'a', 'd', 'p', 32, 0,
+  /* 4027 */ 'x', 'v', 'm', 'a', 'd', 'd', 'a', 'd', 'p', 32, 0,
+  /* 4038 */ 'x', 's', 's', 'u', 'b', 'd', 'p', 32, 0,
+  /* 4047 */ 'x', 'v', 's', 'u', 'b', 'd', 'p', 32, 0,
+  /* 4056 */ 'x', 's', 'a', 'd', 'd', 'd', 'p', 32, 0,
+  /* 4065 */ 'x', 'v', 'a', 'd', 'd', 'd', 'p', 32, 0,
+  /* 4074 */ 'x', 's', 'c', 'v', 's', 'x', 'd', 'd', 'p', 32, 0,
+  /* 4085 */ 'x', 'v', 'c', 'v', 's', 'x', 'd', 'd', 'p', 32, 0,
+  /* 4096 */ 'x', 's', 'c', 'v', 'u', 'x', 'd', 'd', 'p', 32, 0,
+  /* 4107 */ 'x', 'v', 'c', 'v', 'u', 'x', 'd', 'd', 'p', 32, 0,
+  /* 4118 */ 'x', 'v', 'c', 'm', 'p', 'g', 'e', 'd', 'p', 32, 0,
+  /* 4129 */ 'x', 's', 'r', 'e', 'd', 'p', 32, 0,
+  /* 4137 */ 'x', 'v', 'r', 'e', 'd', 'p', 32, 0,
+  /* 4145 */ 'x', 's', 'r', 's', 'q', 'r', 't', 'e', 'd', 'p', 32, 0,
+  /* 4157 */ 'x', 'v', 'r', 's', 'q', 'r', 't', 'e', 'd', 'p', 32, 0,
+  /* 4169 */ 'x', 's', 'n', 'e', 'g', 'd', 'p', 32, 0,
+  /* 4178 */ 'x', 'v', 'n', 'e', 'g', 'd', 'p', 32, 0,
+  /* 4187 */ 'x', 's', 'm', 'u', 'l', 'd', 'p', 32, 0,
+  /* 4196 */ 'x', 'v', 'm', 'u', 'l', 'd', 'p', 32, 0,
+  /* 4205 */ 'x', 's', 'n', 'm', 's', 'u', 'b', 'm', 'd', 'p', 32, 0,
+  /* 4217 */ 'x', 'v', 'n', 'm', 's', 'u', 'b', 'm', 'd', 'p', 32, 0,
+  /* 4229 */ 'x', 's', 'm', 's', 'u', 'b', 'm', 'd', 'p', 32, 0,
+  /* 4240 */ 'x', 'v', 'm', 's', 'u', 'b', 'm', 'd', 'p', 32, 0,
+  /* 4251 */ 'x', 's', 'n', 'm', 'a', 'd', 'd', 'm', 'd', 'p', 32, 0,
+  /* 4263 */ 'x', 'v', 'n', 'm', 'a', 'd', 'd', 'm', 'd', 'p', 32, 0,
+  /* 4275 */ 'x', 's', 'm', 'a', 'd', 'd', 'm', 'd', 'p', 32, 0,
+  /* 4286 */ 'x', 'v', 'm', 'a', 'd', 'd', 'm', 'd', 'p', 32, 0,
+  /* 4297 */ 'x', 's', 'c', 'p', 's', 'g', 'n', 'd', 'p', 32, 0,
+  /* 4308 */ 'x', 'v', 'c', 'p', 's', 'g', 'n', 'd', 'p', 32, 0,
+  /* 4319 */ 'x', 's', 'm', 'i', 'n', 'd', 'p', 32, 0,
+  /* 4328 */ 'x', 'v', 'm', 'i', 'n', 'd', 'p', 32, 0,
+  /* 4337 */ 'x', 's', 'c', 'm', 'p', 'o', 'd', 'p', 32, 0,
+  /* 4347 */ 'x', 's', 'c', 'v', 's', 'p', 'd', 'p', 32, 0,
+  /* 4357 */ 'x', 'v', 'c', 'v', 's', 'p', 'd', 'p', 32, 0,
+  /* 4367 */ 'x', 'v', 'c', 'm', 'p', 'e', 'q', 'd', 'p', 32, 0,
+  /* 4378 */ 'x', 's', 'n', 'a', 'b', 's', 'd', 'p', 32, 0,
+  /* 4388 */ 'x', 'v', 'n', 'a', 'b', 's', 'd', 'p', 32, 0,
+  /* 4398 */ 'x', 's', 'a', 'b', 's', 'd', 'p', 32, 0,
+  /* 4407 */ 'x', 'v', 'a', 'b', 's', 'd', 'p', 32, 0,
+  /* 4416 */ 'x', 'v', 'c', 'm', 'p', 'g', 't', 'd', 'p', 32, 0,
+  /* 4427 */ 'x', 's', 's', 'q', 'r', 't', 'd', 'p', 32, 0,
+  /* 4437 */ 'x', 's', 't', 's', 'q', 'r', 't', 'd', 'p', 32, 0,
+  /* 4448 */ 'x', 'v', 't', 's', 'q', 'r', 't', 'd', 'p', 32, 0,
+  /* 4459 */ 'x', 'v', 's', 'q', 'r', 't', 'd', 'p', 32, 0,
+  /* 4469 */ 'x', 's', 'c', 'm', 'p', 'u', 'd', 'p', 32, 0,
+  /* 4479 */ 'x', 's', 'd', 'i', 'v', 'd', 'p', 32, 0,
+  /* 4488 */ 'x', 's', 't', 'd', 'i', 'v', 'd', 'p', 32, 0,
+  /* 4498 */ 'x', 'v', 't', 'd', 'i', 'v', 'd', 'p', 32, 0,
+  /* 4508 */ 'x', 'v', 'd', 'i', 'v', 'd', 'p', 32, 0,
+  /* 4517 */ 'x', 'v', 'c', 'v', 's', 'x', 'w', 'd', 'p', 32, 0,
+  /* 4528 */ 'x', 'v', 'c', 'v', 'u', 'x', 'w', 'd', 'p', 32, 0,
+  /* 4539 */ 'x', 's', 'm', 'a', 'x', 'd', 'p', 32, 0,
+  /* 4548 */ 'x', 'v', 'm', 'a', 'x', 'd', 'p', 32, 0,
+  /* 4557 */ 'v', 'c', 'm', 'p', 'b', 'f', 'p', 32, 0,
+  /* 4566 */ 'v', 'n', 'm', 's', 'u', 'b', 'f', 'p', 32, 0,
+  /* 4576 */ 'v', 's', 'u', 'b', 'f', 'p', 32, 0,
+  /* 4584 */ 'v', 'm', 'a', 'd', 'd', 'f', 'p', 32, 0,
+  /* 4593 */ 'v', 'a', 'd', 'd', 'f', 'p', 32, 0,
+  /* 4601 */ 'v', 'l', 'o', 'g', 'e', 'f', 'p', 32, 0,
+  /* 4610 */ 'v', 'c', 'm', 'p', 'g', 'e', 'f', 'p', 32, 0,
+  /* 4620 */ 'v', 'r', 'e', 'f', 'p', 32, 0,
+  /* 4627 */ 'v', 'e', 'x', 'p', 't', 'e', 'f', 'p', 32, 0,
+  /* 4637 */ 'v', 'r', 's', 'q', 'r', 't', 'e', 'f', 'p', 32, 0,
+  /* 4648 */ 'v', 'm', 'i', 'n', 'f', 'p', 32, 0,
+  /* 4656 */ 'v', 'c', 'm', 'p', 'e', 'q', 'f', 'p', 32, 0,
+  /* 4666 */ 'v', 'c', 'm', 'p', 'g', 't', 'f', 'p', 32, 0,
+  /* 4676 */ 'v', 'm', 'a', 'x', 'f', 'p', 32, 0,
+  /* 4684 */ 'v', 'r', 'f', 'i', 'p', 32, 0,
+  /* 4691 */ 'x', 's', 'r', 'd', 'p', 'i', 'p', 32, 0,
+  /* 4700 */ 'x', 'v', 'r', 'd', 'p', 'i', 'p', 32, 0,
+  /* 4709 */ 'x', 'v', 'r', 's', 'p', 'i', 'p', 32, 0,
+  /* 4718 */ 'f', 'r', 'i', 'p', 32, 0,
+  /* 4724 */ 'x', 'v', 'n', 'm', 's', 'u', 'b', 'a', 's', 'p', 32, 0,
+  /* 4736 */ 'x', 'v', 'm', 's', 'u', 'b', 'a', 's', 'p', 32, 0,
+  /* 4747 */ 'x', 'v', 'n', 'm', 'a', 'd', 'd', 'a', 's', 'p', 32, 0,
+  /* 4759 */ 'x', 'v', 'm', 'a', 'd', 'd', 'a', 's', 'p', 32, 0,
+  /* 4770 */ 'x', 'v', 's', 'u', 'b', 's', 'p', 32, 0,
+  /* 4779 */ 'x', 'v', 'a', 'd', 'd', 's', 'p', 32, 0,
+  /* 4788 */ 'x', 'v', 'c', 'v', 's', 'x', 'd', 's', 'p', 32, 0,
+  /* 4799 */ 'x', 'v', 'c', 'v', 'u', 'x', 'd', 's', 'p', 32, 0,
+  /* 4810 */ 'x', 'v', 'c', 'm', 'p', 'g', 'e', 's', 'p', 32, 0,
+  /* 4821 */ 'x', 'v', 'r', 'e', 's', 'p', 32, 0,
+  /* 4829 */ 'x', 'v', 'r', 's', 'q', 'r', 't', 'e', 's', 'p', 32, 0,
+  /* 4841 */ 'x', 'v', 'n', 'e', 'g', 's', 'p', 32, 0,
+  /* 4850 */ 'x', 'v', 'm', 'u', 'l', 's', 'p', 32, 0,
+  /* 4859 */ 'x', 'v', 'n', 'm', 's', 'u', 'b', 'm', 's', 'p', 32, 0,
+  /* 4871 */ 'x', 'v', 'm', 's', 'u', 'b', 'm', 's', 'p', 32, 0,
+  /* 4882 */ 'x', 'v', 'n', 'm', 'a', 'd', 'd', 'm', 's', 'p', 32, 0,
+  /* 4894 */ 'x', 'v', 'm', 'a', 'd', 'd', 'm', 's', 'p', 32, 0,
+  /* 4905 */ 'x', 'v', 'c', 'p', 's', 'g', 'n', 's', 'p', 32, 0,
+  /* 4916 */ 'x', 'v', 'm', 'i', 'n', 's', 'p', 32, 0,
+  /* 4925 */ 'x', 's', 'c', 'v', 'd', 'p', 's', 'p', 32, 0,
+  /* 4935 */ 'x', 'v', 'c', 'v', 'd', 'p', 's', 'p', 32, 0,
+  /* 4945 */ 'x', 'v', 'c', 'm', 'p', 'e', 'q', 's', 'p', 32, 0,
+  /* 4956 */ 'f', 'r', 's', 'p', 32, 0,
+  /* 4962 */ 'x', 'v', 'n', 'a', 'b', 's', 's', 'p', 32, 0,
+  /* 4972 */ 'x', 'v', 'a', 'b', 's', 's', 'p', 32, 0,
+  /* 4981 */ 'x', 'v', 'c', 'm', 'p', 'g', 't', 's', 'p', 32, 0,
+  /* 4992 */ 'x', 'v', 't', 's', 'q', 'r', 't', 's', 'p', 32, 0,
+  /* 5003 */ 'x', 'v', 's', 'q', 'r', 't', 's', 'p', 32, 0,
+  /* 5013 */ 'x', 'v', 't', 'd', 'i', 'v', 's', 'p', 32, 0,
+  /* 5023 */ 'x', 'v', 'd', 'i', 'v', 's', 'p', 32, 0,
+  /* 5032 */ 'x', 'v', 'c', 'v', 's', 'x', 'w', 's', 'p', 32, 0,
+  /* 5043 */ 'x', 'v', 'c', 'v', 'u', 'x', 'w', 's', 'p', 32, 0,
+  /* 5054 */ 'x', 'v', 'm', 'a', 'x', 's', 'p', 32, 0,
+  /* 5063 */ 'e', 'v', 'c', 'm', 'p', 'e', 'q', 32, 0,
+  /* 5072 */ '#', 'T', 'C', '_', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 32, 0,
+  /* 5085 */ 'm', 'b', 'a', 'r', 32, 0,
+  /* 5091 */ 'm', 'f', 'd', 'c', 'r', 32, 0,
+  /* 5098 */ 'r', 'l', 'd', 'c', 'r', 32, 0,
+  /* 5105 */ 'm', 't', 'd', 'c', 'r', 32, 0,
+  /* 5112 */ 'm', 'f', 'c', 'r', 32, 0,
+  /* 5118 */ 'r', 'l', 'd', 'i', 'c', 'r', 32, 0,
+  /* 5126 */ 'm', 'f', 'v', 's', 'c', 'r', 32, 0,
+  /* 5134 */ 'm', 't', 'v', 's', 'c', 'r', 32, 0,
+  /* 5142 */ 'b', 'c', 'l', 'r', 32, 0,
+  /* 5148 */ 'm', 'f', 'l', 'r', 32, 0,
+  /* 5154 */ 'm', 't', 'l', 'r', 32, 0,
+  /* 5160 */ 'f', 'm', 'r', 32, 0,
+  /* 5165 */ 'x', 'x', 'l', 'o', 'r', 32, 0,
+  /* 5172 */ 'x', 'x', 'l', 'n', 'o', 'r', 32, 0,
+  /* 5180 */ 'c', 'r', 'n', 'o', 'r', 32, 0,
+  /* 5187 */ 'e', 'v', 'n', 'o', 'r', 32, 0,
+  /* 5194 */ 'c', 'r', 'o', 'r', 32, 0,
+  /* 5200 */ 'e', 'v', 'o', 'r', 32, 0,
+  /* 5206 */ 'x', 'x', 'l', 'x', 'o', 'r', 32, 0,
+  /* 5214 */ 'c', 'r', 'x', 'o', 'r', 32, 0,
+  /* 5221 */ 'e', 'v', 'x', 'o', 'r', 32, 0,
+  /* 5228 */ 'm', 'f', 's', 'p', 'r', 32, 0,
+  /* 5235 */ 'm', 't', 's', 'p', 'r', 32, 0,
+  /* 5242 */ 'm', 'f', 's', 'r', 32, 0,
+  /* 5248 */ 'm', 'f', 'm', 's', 'r', 32, 0,
+  /* 5255 */ 'm', 't', 'm', 's', 'r', 32, 0,
+  /* 5262 */ 'm', 't', 's', 'r', 32, 0,
+  /* 5268 */ 'l', 'v', 's', 'r', 32, 0,
+  /* 5274 */ 'b', 'c', 'c', 't', 'r', 32, 0,
+  /* 5281 */ 'm', 'f', 'c', 't', 'r', 32, 0,
+  /* 5288 */ 'm', 't', 'c', 't', 'r', 32, 0,
+  /* 5295 */ 'f', 'a', 'b', 's', 32, 0,
+  /* 5301 */ 'f', 'n', 'a', 'b', 's', 32, 0,
+  /* 5308 */ 'e', 'v', 'a', 'b', 's', 32, 0,
+  /* 5315 */ 'v', 's', 'u', 'm', '4', 's', 'b', 's', 32, 0,
+  /* 5325 */ 'v', 's', 'u', 'b', 's', 'b', 's', 32, 0,
+  /* 5334 */ 'v', 'a', 'd', 'd', 's', 'b', 's', 32, 0,
+  /* 5343 */ 'v', 's', 'u', 'm', '4', 'u', 'b', 's', 32, 0,
+  /* 5353 */ 'v', 's', 'u', 'b', 'u', 'b', 's', 32, 0,
+  /* 5362 */ 'v', 'a', 'd', 'd', 'u', 'b', 's', 32, 0,
+  /* 5371 */ 'f', 's', 'u', 'b', 's', 32, 0,
+  /* 5378 */ 'f', 'm', 's', 'u', 'b', 's', 32, 0,
+  /* 5386 */ 'f', 'n', 'm', 's', 'u', 'b', 's', 32, 0,
+  /* 5395 */ 'f', 'a', 'd', 'd', 's', 32, 0,
+  /* 5402 */ 'f', 'm', 'a', 'd', 'd', 's', 32, 0,
+  /* 5410 */ 'f', 'n', 'm', 'a', 'd', 'd', 's', 32, 0,
+  /* 5419 */ 'f', 'c', 'f', 'i', 'd', 's', 32, 0,
+  /* 5427 */ 'x', 's', 'c', 'v', 'd', 'p', 's', 'x', 'd', 's', 32, 0,
+  /* 5439 */ 'x', 'v', 'c', 'v', 'd', 'p', 's', 'x', 'd', 's', 32, 0,
+  /* 5451 */ 'x', 'v', 'c', 'v', 's', 'p', 's', 'x', 'd', 's', 32, 0,
+  /* 5463 */ 'x', 's', 'c', 'v', 'd', 'p', 'u', 'x', 'd', 's', 32, 0,
+  /* 5475 */ 'x', 'v', 'c', 'v', 'd', 'p', 'u', 'x', 'd', 's', 32, 0,
+  /* 5487 */ 'x', 'v', 'c', 'v', 's', 'p', 'u', 'x', 'd', 's', 32, 0,
+  /* 5499 */ 'f', 'r', 'e', 's', 32, 0,
+  /* 5505 */ 'f', 'r', 's', 'q', 'r', 't', 'e', 's', 32, 0,
+  /* 5515 */ 'm', 'f', 'f', 's', 32, 0,
+  /* 5521 */ 'l', 'f', 's', 32, 0,
+  /* 5526 */ 's', 't', 'f', 's', 32, 0,
+  /* 5532 */ 'v', 's', 'u', 'm', '4', 's', 'h', 's', 32, 0,
+  /* 5542 */ 'v', 's', 'u', 'b', 's', 'h', 's', 32, 0,
+  /* 5551 */ 'v', 'm', 'h', 'a', 'd', 'd', 's', 'h', 's', 32, 0,
+  /* 5562 */ 'v', 'm', 'h', 'r', 'a', 'd', 'd', 's', 'h', 's', 32, 0,
+  /* 5574 */ 'v', 'a', 'd', 'd', 's', 'h', 's', 32, 0,
+  /* 5583 */ 'v', 'm', 's', 'u', 'm', 's', 'h', 's', 32, 0,
+  /* 5593 */ 'v', 's', 'u', 'b', 'u', 'h', 's', 32, 0,
+  /* 5602 */ 'v', 'a', 'd', 'd', 'u', 'h', 's', 32, 0,
+  /* 5611 */ 'v', 'm', 's', 'u', 'm', 'u', 'h', 's', 32, 0,
+  /* 5621 */ 's', 'u', 'b', 'i', 's', 32, 0,
+  /* 5628 */ 'a', 'd', 'd', 'i', 's', 32, 0,
+  /* 5635 */ 'l', 'i', 's', 32, 0,
+  /* 5640 */ 'x', 'o', 'r', 'i', 's', 32, 0,
+  /* 5647 */ 'e', 'v', 's', 'r', 'w', 'i', 's', 32, 0,
+  /* 5656 */ 'f', 'm', 'u', 'l', 's', 32, 0,
+  /* 5663 */ 'e', 'v', 'l', 'w', 'h', 'o', 's', 32, 0,
+  /* 5672 */ 'd', 's', 's', 32, 0,
+  /* 5677 */ 'v', 'p', 'k', 's', 'h', 's', 's', 32, 0,
+  /* 5686 */ 'v', 'p', 'k', 's', 'w', 's', 's', 32, 0,
+  /* 5695 */ 'e', 'v', 'c', 'm', 'p', 'g', 't', 's', 32, 0,
+  /* 5705 */ 'e', 'v', 'c', 'm', 'p', 'l', 't', 's', 32, 0,
+  /* 5715 */ 'f', 's', 'q', 'r', 't', 's', 32, 0,
+  /* 5723 */ 'f', 'c', 'f', 'i', 'd', 'u', 's', 32, 0,
+  /* 5732 */ 'v', 'p', 'k', 's', 'h', 'u', 's', 32, 0,
+  /* 5741 */ 'v', 'p', 'k', 'u', 'h', 'u', 's', 32, 0,
+  /* 5750 */ 'v', 'p', 'k', 's', 'w', 'u', 's', 32, 0,
+  /* 5759 */ 'v', 'p', 'k', 'u', 'w', 'u', 's', 32, 0,
+  /* 5768 */ 'f', 'd', 'i', 'v', 's', 32, 0,
+  /* 5775 */ 'e', 'v', 's', 'r', 'w', 's', 32, 0,
+  /* 5783 */ 'v', 's', 'u', 'm', '2', 's', 'w', 's', 32, 0,
+  /* 5793 */ 'v', 's', 'u', 'b', 's', 'w', 's', 32, 0,
+  /* 5802 */ 'v', 'a', 'd', 'd', 's', 'w', 's', 32, 0,
+  /* 5811 */ 'v', 's', 'u', 'm', 's', 'w', 's', 32, 0,
+  /* 5820 */ 'v', 's', 'u', 'b', 'u', 'w', 's', 32, 0,
+  /* 5829 */ 'v', 'a', 'd', 'd', 'u', 'w', 's', 32, 0,
+  /* 5838 */ 'e', 'v', 'd', 'i', 'v', 'w', 's', 32, 0,
+  /* 5847 */ 'x', 's', 'c', 'v', 'd', 'p', 's', 'x', 'w', 's', 32, 0,
+  /* 5859 */ 'x', 'v', 'c', 'v', 'd', 'p', 's', 'x', 'w', 's', 32, 0,
+  /* 5871 */ 'x', 'v', 'c', 'v', 's', 'p', 's', 'x', 'w', 's', 32, 0,
+  /* 5883 */ 'x', 's', 'c', 'v', 'd', 'p', 'u', 'x', 'w', 's', 32, 0,
+  /* 5895 */ 'x', 'v', 'c', 'v', 'd', 'p', 'u', 'x', 'w', 's', 32, 0,
+  /* 5907 */ 'x', 'v', 'c', 'v', 's', 'p', 'u', 'x', 'w', 's', 32, 0,
+  /* 5919 */ 'v', 'c', 't', 's', 'x', 's', 32, 0,
+  /* 5927 */ 'v', 'c', 't', 'u', 'x', 's', 32, 0,
+  /* 5935 */ 'e', 'v', 'l', 'h', 'h', 'e', 's', 'p', 'l', 'a', 't', 32, 0,
+  /* 5948 */ 'e', 'v', 'l', 'w', 'h', 's', 'p', 'l', 'a', 't', 32, 0,
+  /* 5960 */ 'e', 'v', 'l', 'h', 'h', 'o', 's', 's', 'p', 'l', 'a', 't', 32, 0,
+  /* 5974 */ 'e', 'v', 'l', 'h', 'h', 'o', 'u', 's', 'p', 'l', 'a', 't', 32, 0,
+  /* 5988 */ 'e', 'v', 'l', 'w', 'w', 's', 'p', 'l', 'a', 't', 32, 0,
+  /* 6000 */ 'd', 'c', 'b', 't', 32, 0,
+  /* 6006 */ 'w', 'a', 'i', 't', 32, 0,
+  /* 6012 */ 'f', 's', 'q', 'r', 't', 32, 0,
+  /* 6019 */ 'd', 'c', 'b', 's', 't', 32, 0,
+  /* 6026 */ 'd', 's', 't', 32, 0,
+  /* 6031 */ 'd', 'c', 'b', 't', 's', 't', 32, 0,
+  /* 6039 */ 'd', 's', 't', 's', 't', 32, 0,
+  /* 6046 */ 'd', 's', 't', 't', 32, 0,
+  /* 6052 */ 'd', 's', 't', 's', 't', 't', 32, 0,
+  /* 6060 */ 'l', 'h', 'a', 'u', 32, 0,
+  /* 6066 */ 's', 't', 'b', 'u', 32, 0,
+  /* 6072 */ 'l', 'f', 'd', 'u', 32, 0,
+  /* 6078 */ 's', 't', 'f', 'd', 'u', 32, 0,
+  /* 6085 */ 'm', 'u', 'l', 'h', 'd', 'u', 32, 0,
+  /* 6093 */ 'f', 'c', 'f', 'i', 'd', 'u', 32, 0,
+  /* 6101 */ 'l', 'd', 'u', 32, 0,
+  /* 6106 */ 's', 't', 'd', 'u', 32, 0,
+  /* 6112 */ 'd', 'i', 'v', 'd', 'u', 32, 0,
+  /* 6119 */ 's', 't', 'h', 'u', 32, 0,
+  /* 6125 */ 'e', 'v', 's', 'r', 'w', 'i', 'u', 32, 0,
+  /* 6134 */ 'e', 'v', 'l', 'w', 'h', 'o', 'u', 32, 0,
+  /* 6143 */ 'f', 'c', 'm', 'p', 'u', 32, 0,
+  /* 6150 */ 'l', 'f', 's', 'u', 32, 0,
+  /* 6156 */ 's', 't', 'f', 's', 'u', 32, 0,
+  /* 6163 */ 'e', 'v', 'c', 'm', 'p', 'g', 't', 'u', 32, 0,
+  /* 6173 */ 'e', 'v', 'c', 'm', 'p', 'l', 't', 'u', 32, 0,
+  /* 6183 */ 'm', 'u', 'l', 'h', 'w', 'u', 32, 0,
+  /* 6191 */ 'e', 'v', 's', 'r', 'w', 'u', 32, 0,
+  /* 6199 */ 's', 't', 'w', 'u', 32, 0,
+  /* 6205 */ 'e', 'v', 'd', 'i', 'v', 'w', 'u', 32, 0,
+  /* 6214 */ 'l', 'b', 'z', 'u', 32, 0,
+  /* 6220 */ 'l', 'h', 'z', 'u', 32, 0,
+  /* 6226 */ 'l', 'w', 'z', 'u', 32, 0,
+  /* 6232 */ 'f', 'd', 'i', 'v', 32, 0,
+  /* 6238 */ 'c', 'r', 'e', 'q', 'v', 32, 0,
+  /* 6245 */ 'e', 'v', 'e', 'q', 'v', 32, 0,
+  /* 6252 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'f', 'a', 'a', 'w', 32, 0,
+  /* 6265 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'f', 'a', 'a', 'w', 32, 0,
+  /* 6278 */ 'e', 'v', 'm', 'h', 'e', 's', 's', 'f', 'a', 'a', 'w', 32, 0,
+  /* 6291 */ 'e', 'v', 'm', 'h', 'o', 's', 's', 'f', 'a', 'a', 'w', 32, 0,
+  /* 6304 */ 'e', 'v', 'a', 'd', 'd', 's', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6317 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6330 */ 'e', 'v', 's', 'u', 'b', 'f', 's', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6344 */ 'e', 'v', 'm', 'w', 'l', 's', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6357 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6370 */ 'e', 'v', 'a', 'd', 'd', 'u', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6383 */ 'e', 'v', 'm', 'h', 'e', 'u', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6396 */ 'e', 'v', 's', 'u', 'b', 'f', 'u', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6410 */ 'e', 'v', 'm', 'w', 'l', 'u', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6423 */ 'e', 'v', 'm', 'h', 'o', 'u', 'm', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6436 */ 'e', 'v', 'a', 'd', 'd', 's', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6449 */ 'e', 'v', 'm', 'h', 'e', 's', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6462 */ 'e', 'v', 's', 'u', 'b', 'f', 's', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6476 */ 'e', 'v', 'm', 'w', 'l', 's', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6489 */ 'e', 'v', 'm', 'h', 'o', 's', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6502 */ 'e', 'v', 'a', 'd', 'd', 'u', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6515 */ 'e', 'v', 'm', 'h', 'e', 'u', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6528 */ 'e', 'v', 's', 'u', 'b', 'f', 'u', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6542 */ 'e', 'v', 'm', 'w', 'l', 'u', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6555 */ 'e', 'v', 'm', 'h', 'o', 'u', 's', 'i', 'a', 'a', 'w', 32, 0,
+  /* 6568 */ 'v', 's', 'r', 'a', 'w', 32, 0,
+  /* 6575 */ 'e', 'v', 'a', 'd', 'd', 'w', 32, 0,
+  /* 6583 */ 'e', 'v', 'l', 'd', 'w', 32, 0,
+  /* 6590 */ 'e', 'v', 'r', 'n', 'd', 'w', 32, 0,
+  /* 6598 */ 'e', 'v', 's', 't', 'd', 'w', 32, 0,
+  /* 6606 */ 'e', 'v', 's', 'u', 'b', 'f', 'w', 32, 0,
+  /* 6615 */ 'e', 'v', 's', 'u', 'b', 'i', 'f', 'w', 32, 0,
+  /* 6625 */ 'v', 'm', 'r', 'g', 'h', 'w', 32, 0,
+  /* 6633 */ 'x', 'x', 'm', 'r', 'g', 'h', 'w', 32, 0,
+  /* 6642 */ 'm', 'u', 'l', 'h', 'w', 32, 0,
+  /* 6649 */ 'e', 'v', 'a', 'd', 'd', 'i', 'w', 32, 0,
+  /* 6658 */ 'f', 'c', 't', 'i', 'w', 32, 0,
+  /* 6665 */ 'v', 'm', 'r', 'g', 'l', 'w', 32, 0,
+  /* 6673 */ 'x', 'x', 'm', 'r', 'g', 'l', 'w', 32, 0,
+  /* 6682 */ 'm', 'u', 'l', 'l', 'w', 32, 0,
+  /* 6689 */ 'c', 'm', 'p', 'l', 'w', 32, 0,
+  /* 6696 */ 'e', 'v', 'r', 'l', 'w', 32, 0,
+  /* 6703 */ 'e', 'v', 's', 'l', 'w', 32, 0,
+  /* 6710 */ 'l', 'm', 'w', 32, 0,
+  /* 6715 */ 's', 't', 'm', 'w', 32, 0,
+  /* 6721 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'f', 'a', 'n', 'w', 32, 0,
+  /* 6734 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'f', 'a', 'n', 'w', 32, 0,
+  /* 6747 */ 'e', 'v', 'm', 'h', 'e', 's', 's', 'f', 'a', 'n', 'w', 32, 0,
+  /* 6760 */ 'e', 'v', 'm', 'h', 'o', 's', 's', 'f', 'a', 'n', 'w', 32, 0,
+  /* 6773 */ 'e', 'v', 'm', 'h', 'e', 's', 'm', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6786 */ 'e', 'v', 'm', 'w', 'l', 's', 'm', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6799 */ 'e', 'v', 'm', 'h', 'o', 's', 'm', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6812 */ 'e', 'v', 'm', 'h', 'e', 'u', 'm', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6825 */ 'e', 'v', 'm', 'w', 'l', 'u', 'm', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6838 */ 'e', 'v', 'm', 'h', 'o', 'u', 'm', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6851 */ 'e', 'v', 'm', 'h', 'e', 's', 's', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6864 */ 'e', 'v', 'm', 'w', 'l', 's', 's', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6877 */ 'e', 'v', 'm', 'h', 'o', 's', 's', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6890 */ 'e', 'v', 'm', 'h', 'e', 'u', 's', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6903 */ 'e', 'v', 'm', 'w', 'l', 'u', 's', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6916 */ 'e', 'v', 'm', 'h', 'o', 'u', 's', 'i', 'a', 'n', 'w', 32, 0,
+  /* 6929 */ 'c', 'm', 'p', 'w', 32, 0,
+  /* 6935 */ 'v', 's', 'r', 'w', 32, 0,
+  /* 6941 */ 'v', 'a', 'v', 'g', 's', 'w', 32, 0,
+  /* 6949 */ 'v', 's', 'p', 'l', 't', 'i', 's', 'w', 32, 0,
+  /* 6959 */ 'e', 'v', 'c', 'n', 't', 'l', 's', 'w', 32, 0,
+  /* 6969 */ 'v', 'm', 'i', 'n', 's', 'w', 32, 0,
+  /* 6977 */ 'v', 'c', 'm', 'p', 'g', 't', 's', 'w', 32, 0,
+  /* 6987 */ 'e', 'x', 't', 's', 'w', 32, 0,
+  /* 6994 */ 'v', 'm', 'a', 'x', 's', 'w', 32, 0,
+  /* 7002 */ 'v', 's', 'p', 'l', 't', 'w', 32, 0,
+  /* 7010 */ 'x', 'x', 's', 'p', 'l', 't', 'w', 32, 0,
+  /* 7019 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 32, 0,
+  /* 7028 */ 's', 't', 'w', 32, 0,
+  /* 7033 */ 'v', 's', 'u', 'b', 'c', 'u', 'w', 32, 0,
+  /* 7042 */ 'v', 'a', 'd', 'd', 'c', 'u', 'w', 32, 0,
+  /* 7051 */ 'v', 'a', 'v', 'g', 'u', 'w', 32, 0,
+  /* 7059 */ 'v', 'm', 'i', 'n', 'u', 'w', 32, 0,
+  /* 7067 */ 'v', 'c', 'm', 'p', 'e', 'q', 'u', 'w', 32, 0,
+  /* 7077 */ 'v', 'c', 'm', 'p', 'g', 't', 'u', 'w', 32, 0,
+  /* 7087 */ 'v', 'm', 'a', 'x', 'u', 'w', 32, 0,
+  /* 7095 */ 'd', 'i', 'v', 'w', 32, 0,
+  /* 7101 */ 'e', 'v', 'c', 'n', 't', 'l', 'z', 'w', 32, 0,
+  /* 7111 */ 'l', 'x', 'v', 'd', '2', 'x', 32, 0,
+  /* 7119 */ 's', 't', 'x', 'v', 'd', '2', 'x', 32, 0,
+  /* 7128 */ 'l', 'x', 'v', 'w', '4', 'x', 32, 0,
+  /* 7136 */ 's', 't', 'x', 'v', 'w', '4', 'x', 32, 0,
+  /* 7145 */ 'l', 'h', 'a', 'x', 32, 0,
+  /* 7151 */ 't', 'l', 'b', 'i', 'v', 'a', 'x', 32, 0,
+  /* 7160 */ 'l', 'f', 'i', 'w', 'a', 'x', 32, 0,
+  /* 7168 */ 'l', 'w', 'a', 'x', 32, 0,
+  /* 7174 */ 'l', 'v', 'e', 'b', 'x', 32, 0,
+  /* 7181 */ 's', 't', 'v', 'e', 'b', 'x', 32, 0,
+  /* 7189 */ 's', 't', 'b', 'x', 32, 0,
+  /* 7195 */ 'e', 'v', 'l', 'd', 'd', 'x', 32, 0,
+  /* 7203 */ 'e', 'v', 's', 't', 'd', 'd', 'x', 32, 0,
+  /* 7212 */ 'l', 'f', 'd', 'x', 32, 0,
+  /* 7218 */ 's', 't', 'f', 'd', 'x', 32, 0,
+  /* 7225 */ 'l', 'd', 'x', 32, 0,
+  /* 7230 */ 'l', 'x', 's', 'd', 'x', 32, 0,
+  /* 7237 */ 's', 't', 'x', 's', 'd', 'x', 32, 0,
+  /* 7245 */ 's', 't', 'd', 'x', 32, 0,
+  /* 7251 */ 'e', 'v', 'l', 'w', 'h', 'e', 'x', 32, 0,
+  /* 7260 */ 'e', 'v', 's', 't', 'w', 'h', 'e', 'x', 32, 0,
+  /* 7270 */ 'e', 'v', 's', 't', 'w', 'w', 'e', 'x', 32, 0,
+  /* 7280 */ 'e', 'v', 'l', 'd', 'h', 'x', 32, 0,
+  /* 7288 */ 'e', 'v', 's', 't', 'd', 'h', 'x', 32, 0,
+  /* 7297 */ 'l', 'v', 'e', 'h', 'x', 32, 0,
+  /* 7304 */ 's', 't', 'v', 'e', 'h', 'x', 32, 0,
+  /* 7312 */ 's', 't', 'h', 'x', 32, 0,
+  /* 7318 */ 'e', 'v', 's', 't', 'w', 'h', 'o', 'x', 32, 0,
+  /* 7328 */ 'e', 'v', 's', 't', 'w', 'w', 'o', 'x', 32, 0,
+  /* 7338 */ 'v', 'u', 'p', 'k', 'h', 'p', 'x', 32, 0,
+  /* 7347 */ 'v', 'p', 'k', 'p', 'x', 32, 0,
+  /* 7354 */ 'v', 'u', 'p', 'k', 'l', 'p', 'x', 32, 0,
+  /* 7363 */ 'l', 'd', 'a', 'r', 'x', 32, 0,
+  /* 7370 */ 'l', 'w', 'a', 'r', 'x', 32, 0,
+  /* 7377 */ 'l', 'd', 'b', 'r', 'x', 32, 0,
+  /* 7384 */ 's', 't', 'd', 'b', 'r', 'x', 32, 0,
+  /* 7392 */ 'l', 'h', 'b', 'r', 'x', 32, 0,
+  /* 7399 */ 's', 't', 'h', 'b', 'r', 'x', 32, 0,
+  /* 7407 */ 'l', 'w', 'b', 'r', 'x', 32, 0,
+  /* 7414 */ 's', 't', 'w', 'b', 'r', 'x', 32, 0,
+  /* 7422 */ 't', 'l', 'b', 's', 'x', 32, 0,
+  /* 7429 */ 'l', 'x', 'v', 'd', 's', 'x', 32, 0,
+  /* 7437 */ 'v', 'c', 'f', 's', 'x', 32, 0,
+  /* 7444 */ 'l', 'f', 's', 'x', 32, 0,
+  /* 7450 */ 's', 't', 'f', 's', 'x', 32, 0,
+  /* 7457 */ 'e', 'v', 'l', 'w', 'h', 'o', 's', 'x', 32, 0,
+  /* 7467 */ 'e', 'v', 'l', 'h', 'h', 'e', 's', 'p', 'l', 'a', 't', 'x', 32, 0,
+  /* 7481 */ 'e', 'v', 'l', 'w', 'h', 's', 'p', 'l', 'a', 't', 'x', 32, 0,
+  /* 7494 */ 'e', 'v', 'l', 'h', 'h', 'o', 's', 's', 'p', 'l', 'a', 't', 'x', 32, 0,
+  /* 7509 */ 'e', 'v', 'l', 'h', 'h', 'o', 'u', 's', 'p', 'l', 'a', 't', 'x', 32, 0,
+  /* 7524 */ 'e', 'v', 'l', 'w', 'w', 's', 'p', 'l', 'a', 't', 'x', 32, 0,
+  /* 7537 */ 'l', 'h', 'a', 'u', 'x', 32, 0,
+  /* 7544 */ 'l', 'w', 'a', 'u', 'x', 32, 0,
+  /* 7551 */ 's', 't', 'b', 'u', 'x', 32, 0,
+  /* 7558 */ 'l', 'f', 'd', 'u', 'x', 32, 0,
+  /* 7565 */ 's', 't', 'f', 'd', 'u', 'x', 32, 0,
+  /* 7573 */ 'l', 'd', 'u', 'x', 32, 0,
+  /* 7579 */ 's', 't', 'd', 'u', 'x', 32, 0,
+  /* 7586 */ 'v', 'c', 'f', 'u', 'x', 32, 0,
+  /* 7593 */ 's', 't', 'h', 'u', 'x', 32, 0,
+  /* 7600 */ 'e', 'v', 'l', 'w', 'h', 'o', 'u', 'x', 32, 0,
+  /* 7610 */ 'l', 'f', 's', 'u', 'x', 32, 0,
+  /* 7617 */ 's', 't', 'f', 's', 'u', 'x', 32, 0,
+  /* 7625 */ 's', 't', 'w', 'u', 'x', 32, 0,
+  /* 7632 */ 'l', 'b', 'z', 'u', 'x', 32, 0,
+  /* 7639 */ 'l', 'h', 'z', 'u', 'x', 32, 0,
+  /* 7646 */ 'l', 'w', 'z', 'u', 'x', 32, 0,
+  /* 7653 */ 'l', 'v', 'x', 32, 0,
+  /* 7658 */ 's', 't', 'v', 'x', 32, 0,
+  /* 7664 */ 'e', 'v', 'l', 'd', 'w', 'x', 32, 0,
+  /* 7672 */ 'e', 'v', 's', 't', 'd', 'w', 'x', 32, 0,
+  /* 7681 */ 'l', 'v', 'e', 'w', 'x', 32, 0,
+  /* 7688 */ 's', 't', 'v', 'e', 'w', 'x', 32, 0,
+  /* 7696 */ 's', 't', 'f', 'i', 'w', 'x', 32, 0,
+  /* 7704 */ 's', 't', 'w', 'x', 32, 0,
+  /* 7710 */ 'l', 'b', 'z', 'x', 32, 0,
+  /* 7716 */ 'l', 'h', 'z', 'x', 32, 0,
+  /* 7722 */ 'l', 'f', 'i', 'w', 'z', 'x', 32, 0,
+  /* 7730 */ 'l', 'w', 'z', 'x', 32, 0,
+  /* 7736 */ 'd', 'c', 'b', 'z', 32, 0,
+  /* 7742 */ 'l', 'b', 'z', 32, 0,
+  /* 7747 */ 'b', 'd', 'z', 32, 0,
+  /* 7752 */ 'f', 'c', 't', 'i', 'd', 'z', 32, 0,
+  /* 7760 */ 'l', 'h', 'z', 32, 0,
+  /* 7765 */ 'v', 'r', 'f', 'i', 'z', 32, 0,
+  /* 7772 */ 'x', 's', 'r', 'd', 'p', 'i', 'z', 32, 0,
+  /* 7781 */ 'x', 'v', 'r', 'd', 'p', 'i', 'z', 32, 0,
+  /* 7790 */ 'x', 'v', 'r', 's', 'p', 'i', 'z', 32, 0,
+  /* 7799 */ 'f', 'r', 'i', 'z', 32, 0,
+  /* 7805 */ 'b', 'd', 'n', 'z', 32, 0,
+  /* 7811 */ 'f', 'c', 't', 'i', 'd', 'u', 'z', 32, 0,
+  /* 7820 */ 'f', 'c', 't', 'i', 'w', 'u', 'z', 32, 0,
+  /* 7829 */ 'f', 'c', 't', 'i', 'w', 'z', 32, 0,
+  /* 7837 */ 'l', 'w', 'z', 32, 0,
+  /* 7842 */ 'b', 'd', 'z', 'l', 'r', 'l', '+', 0,
+  /* 7850 */ 'b', 'd', 'n', 'z', 'l', 'r', 'l', '+', 0,
+  /* 7859 */ 'b', 'd', 'z', 'l', 'r', '+', 0,
+  /* 7866 */ 'b', 'd', 'n', 'z', 'l', 'r', '+', 0,
+  /* 7874 */ 'b', 'd', 'z', 'l', 'r', 'l', '-', 0,
+  /* 7882 */ 'b', 'd', 'n', 'z', 'l', 'r', 'l', '-', 0,
+  /* 7891 */ 'b', 'd', 'z', 'l', 'r', '-', 0,
+  /* 7898 */ 'b', 'd', 'n', 'z', 'l', 'r', '-', 0,
+  /* 7906 */ 'o', 'r', 'i', 32, '1', ',', 32, '1', ',', 32, '0', 0,
+  /* 7918 */ 'o', 'r', 'i', 32, '2', ',', 32, '2', ',', 32, '0', 0,
+  /* 7930 */ '#', 'A', 'D', 'D', 'I', 'S', 'd', 't', 'p', 'r', 'e', 'l', 'H', 'A', '3', '2', 0,
+  /* 7947 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '3', '2', 0,
+  /* 7968 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '3', '2', 0,
+  /* 7989 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '3', '2', 0,
+  /* 8011 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'I', '3', '2', 0,
+  /* 8032 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'I', '3', '2', 0,
+  /* 8049 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '3', '2', 0,
+  /* 8070 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '3', '2', 0,
+  /* 8090 */ '#', 'A', 'D', 'D', 'I', 't', 'l', 's', 'g', 'd', 'L', '3', '2', 0,
+  /* 8104 */ '#', 'A', 'D', 'D', 'I', 't', 'l', 's', 'l', 'd', 'L', '3', '2', 0,
+  /* 8118 */ '#', 'L', 'D', 'g', 'o', 't', 'T', 'p', 'r', 'e', 'l', 'L', '3', '2', 0,
+  /* 8133 */ '#', 'A', 'D', 'D', 'I', 'd', 't', 'p', 'r', 'e', 'l', 'L', '3', '2', 0,
+  /* 8148 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 8167 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 8185 */ '#', 'G', 'E', 'T', 't', 'l', 's', 'l', 'd', 'A', 'D', 'D', 'R', '3', '2', 0,
+  /* 8201 */ '#', 'G', 'E', 'T', 't', 'l', 's', 'A', 'D', 'D', 'R', '3', '2', 0,
+  /* 8215 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '6', '4', 0,
+  /* 8236 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '6', '4', 0,
+  /* 8257 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '6', '4', 0,
+  /* 8279 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'I', '6', '4', 0,
+  /* 8296 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '6', '4', 0,
+  /* 8317 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '6', '4', 0,
+  /* 8338 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '6', '4', 0,
+  /* 8358 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 8377 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 8395 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'i', '6', '4', 0,
+  /* 8416 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'F', '4', 0,
+  /* 8430 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'F', '4', 0,
+  /* 8441 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'I', '4', 0,
+  /* 8455 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'I', '4', 0,
+  /* 8466 */ 'c', 'r', 'x', 'o', 'r', 32, '6', ',', 32, '6', ',', 32, '6', 0,
+  /* 8480 */ 'c', 'r', 'e', 'q', 'v', 32, '6', ',', 32, '6', ',', 32, '6', 0,
+  /* 8494 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '1', '6', 0,
+  /* 8515 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '1', '6', 0,
+  /* 8536 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '1', '6', 0,
+  /* 8558 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'I', '1', '6', 0,
+  /* 8579 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'I', '1', '6', 0,
+  /* 8596 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '1', '6', 0,
+  /* 8617 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '1', '6', 0,
+  /* 8637 */ '#', 'D', 'Y', 'N', 'A', 'L', 'L', 'O', 'C', '8', 0,
+  /* 8648 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'F', '8', 0,
+  /* 8662 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'F', '8', 0,
+  /* 8673 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'S', 'U', 'B', '_', 'I', '8', 0,
+  /* 8693 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'I', '8', 0,
+  /* 8707 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'D', 'D', '_', 'I', '8', 0,
+  /* 8727 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'N', 'A', 'N', 'D', '_', 'I', '8', 0,
+  /* 8748 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'A', 'N', 'D', '_', 'I', '8', 0,
+  /* 8768 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'C', 'M', 'P', '_', 'S', 'W', 'A', 'P', '_', 'I', '8', 0,
+  /* 8788 */ 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'X', 'O', 'R', '_', 'I', '8', 0,
+  /* 8807 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'L', 'O', 'A', 'D', '_', 'O', 'R', '_', 'I', '8', 0,
+  /* 8826 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'I', '8', 0,
+  /* 8837 */ '#', 'M', 'o', 'v', 'e', 'P', 'C', 't', 'o', 'L', 'R', '8', 0,
+  /* 8850 */ '#', 'A', 'N', 'D', 'I', 'o', '_', '1', '_', 'E', 'Q', '_', 'B', 'I', 'T', '8', 0,
+  /* 8867 */ '#', 'A', 'N', 'D', 'I', 'o', '_', '1', '_', 'G', 'T', '_', 'B', 'I', 'T', '8', 0,
+  /* 8884 */ '#', 'A', 'T', 'O', 'M', 'I', 'C', '_', 'S', 'W', 'A', 'P', '_', 'i', '8', 0,
+  /* 8900 */ '#', 'A', 'D', 'D', 'I', 'S', 't', 'o', 'c', 'H', 'A', 0,
+  /* 8912 */ '#', 'A', 'D', 'D', 'I', 'S', 't', 'l', 's', 'g', 'd', 'H', 'A', 0,
+  /* 8926 */ '#', 'A', 'D', 'D', 'I', 'S', 't', 'l', 's', 'l', 'd', 'H', 'A', 0,
+  /* 8940 */ '#', 'A', 'D', 'D', 'I', 'S', 'g', 'o', 't', 'T', 'p', 'r', 'e', 'l', 'H', 'A', 0,
+  /* 8957 */ '#', 'A', 'D', 'D', 'I', 'S', 'd', 't', 'p', 'r', 'e', 'l', 'H', 'A', 0,
+  /* 8972 */ '#', 'D', 'Y', 'N', 'A', 'L', 'L', 'O', 'C', 0,
+  /* 8982 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'C', 'C', '_', 'V', 'R', 'R', 'C', 0,
+  /* 8998 */ '#', 'S', 'E', 'L', 'E', 'C', 'T', '_', 'V', 'R', 'R', 'C', 0,
+  /* 9011 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 9024 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 9031 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 9041 */ '#', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'V', 'R', 'S', 'A', 'V', 'E', 0,
+  /* 9057 */ '#', 'S', 'P', 'I', 'L', 'L', '_', 'V', 'R', 'S', 'A', 'V', 'E', 0,
+  /* 9071 */ '#', 'L', 'D', 't', 'o', 'c', 'J', 'T', 'I', 0,
+  /* 9081 */ '#', 'L', 'D', 't', 'o', 'c', 'L', 0,
+  /* 9089 */ '#', 'A', 'D', 'D', 'I', 't', 'o', 'c', 'L', 0,
+  /* 9099 */ '#', 'A', 'D', 'D', 'I', 't', 'l', 's', 'g', 'd', 'L', 0,
+  /* 9111 */ '#', 'A', 'D', 'D', 'I', 't', 'l', 's', 'l', 'd', 'L', 0,
+  /* 9123 */ '#', 'L', 'D', 'g', 'o', 't', 'T', 'p', 'r', 'e', 'l', 'L', 0,
+  /* 9136 */ '#', 'A', 'D', 'D', 'I', 'd', 't', 'p', 'r', 'e', 'l', 'L', 0,
+  /* 9149 */ '#', 'G', 'e', 't', 'G', 'B', 'R', 'O', 0,
+  /* 9158 */ '#', 'U', 'p', 'd', 'a', 't', 'e', 'G', 'B', 'R', 0,
+  /* 9169 */ '#', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'C', 'R', 0,
+  /* 9181 */ '#', 'S', 'P', 'I', 'L', 'L', '_', 'C', 'R', 0,
+  /* 9191 */ '#', 'G', 'E', 'T', 't', 'l', 's', 'l', 'd', 'A', 'D', 'D', 'R', 0,
+  /* 9205 */ '#', 'G', 'E', 'T', 't', 'l', 's', 'A', 'D', 'D', 'R', 0,
+  /* 9217 */ '#', 'M', 'o', 'v', 'e', 'P', 'C', 't', 'o', 'L', 'R', 0,
+  /* 9229 */ '#', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'C', 'R', 'B', 'I', 'T', 0,
+  /* 9244 */ '#', 'S', 'P', 'I', 'L', 'L', '_', 'C', 'R', 'B', 'I', 'T', 0,
+  /* 9257 */ '#', 'A', 'N', 'D', 'I', 'o', '_', '1', '_', 'E', 'Q', '_', 'B', 'I', 'T', 0,
+  /* 9273 */ '#', 'A', 'N', 'D', 'I', 'o', '_', '1', '_', 'G', 'T', '_', 'B', 'I', 'T', 0,
+  /* 9289 */ '#', 'P', 'P', 'C', '3', '2', 'G', 'O', 'T', 0,
+  /* 9299 */ '#', 'P', 'P', 'C', '3', '2', 'P', 'I', 'C', 'G', 'O', 'T', 0,
+  /* 9312 */ '#', 'L', 'D', 't', 'o', 'c', 'C', 'P', 'T', 0,
+  /* 9322 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 9337 */ 's', 'l', 'b', 'i', 'a', 0,
+  /* 9343 */ 't', 'l', 'b', 'i', 'a', 0,
+  /* 9349 */ 'b', 0,
+  /* 9351 */ 't', 'l', 'b', 's', 'y', 'n', 'c', 0,
+  /* 9359 */ 'i', 's', 'y', 'n', 'c', 0,
+  /* 9365 */ 'm', 's', 'y', 'n', 'c', 0,
+  /* 9371 */ '#', 'L', 'D', 't', 'o', 'c', 0,
+  /* 9378 */ '#', 'L', 'W', 'Z', 't', 'o', 'c', 0,
+  /* 9386 */ 'r', 'f', 'i', 'd', 0,
+  /* 9391 */ 't', 'l', 'b', 'r', 'e', 0,
+  /* 9397 */ 't', 'l', 'b', 'w', 'e', 0,
+  /* 9403 */ 'r', 'f', 'c', 'i', 0,
+  /* 9408 */ 'r', 'f', 'm', 'c', 'i', 0,
+  /* 9414 */ 'r', 'f', 'd', 'i', 0,
+  /* 9419 */ 'r', 'f', 'i', 0,
+  /* 9423 */ 'd', 's', 's', 'a', 'l', 'l', 0,
+  /* 9430 */ 'b', 'l', 'r', 'l', 0,
+  /* 9435 */ 'b', 'd', 'z', 'l', 'r', 'l', 0,
+  /* 9442 */ 'b', 'd', 'n', 'z', 'l', 'r', 'l', 0,
+  /* 9450 */ 'b', 'c', 't', 'r', 'l', 0,
+  /* 9456 */ 'e', 'i', 'e', 'i', 'o', 0,
+  /* 9462 */ 't', 'r', 'a', 'p', 0,
+  /* 9467 */ 'n', 'o', 'p', 0,
+  /* 9471 */ 'b', 'l', 'r', 0,
+  /* 9475 */ 'b', 'd', 'z', 'l', 'r', 0,
+  /* 9481 */ 'b', 'd', 'n', 'z', 'l', 'r', 0,
+  /* 9488 */ 'b', 'c', 't', 'r', 0,
   };
 #endif
 
@@ -2463,16 +3594,16 @@
   uint64_t Bits = (Bits2 << 32) | Bits1;
   // assert(Bits != 0 && "Cannot print this instruction.");
 #ifndef CAPSTONE_DIET
-  SStream_concat0(O, AsmStrs+(Bits & 8191)-1);
+  SStream_concat0(O, AsmStrs+(Bits & 16383)-1);
 #endif
 
 
   // Fragment 0 encoded into 4 bits for 13 unique commands.
-  //printf("Frag-0: %"PRIu64"\n", (Bits >> 13) & 15);
-  switch ((Bits >> 13) & 15) {
+  //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 15);
+  switch ((Bits >> 14) & 15) {
   default:   // unreachable.
   case 0:
-    // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, ADDISdtprelHA, ADDISg...
+    // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, ADDISdtprelHA, ADDISd...
     return;
     break;
   case 1:
@@ -2492,11 +3623,11 @@
     printAbsBranchOperand(MI, 0, O); 
     break;
   case 5:
-    // BCC, BCCA, BCCL, BCCLA, BCCTR, BCCTR8, BCCTRL, BCCTRL8, BCLR, BCLRL
+    // BCC, BCCA, BCCCTR, BCCCTR8, BCCCTRL, BCCCTRL8, BCCL, BCCLA, BCCLR, BCC...
     printPredicateOperand(MI, 0, O, "cc"); 
     break;
   case 6:
-    // BL8_NOP_TLS, BL8_TLS, BL8_TLS_
+    // BL8_NOP_TLS, BL8_TLS, BL8_TLS_, BL_TLS
     printTLSCall(MI, 0, O); 
     break;
   case 7:
@@ -2505,22 +3636,17 @@
     return;
     break;
   case 8:
-    // DSS
-    printU5ImmOperand(MI, 1, O); 
-    return;
+    // DSS, MBAR, MTFSB0, MTFSB1, TD, TDI, TW, TWI, gBC, gBCA, gBCCTR, gBCCTR...
+    printU5ImmOperand(MI, 0, O); 
     break;
   case 9:
-    // DST, DST64, DSTST, DSTST64, DSTSTT, DSTSTT64, DSTT, DSTT64
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 3, O); 
-    SStream_concat0(O, ", "); 
-    printU5ImmOperand(MI, 1, O); 
-    return;
+    // DST, DST64, DSTST, DSTST64, DSTSTT, DSTSTT64, DSTT, DSTT64, MTDCR, MTV...
+    printOperand(MI, 1, O); 
     break;
   case 10:
-    // MTFSB0, MTFSB1, TD, TDI, TW, TWI, gBC, gBCA, gBCCTR, gBCCTRL, gBCL, gB...
-    printU5ImmOperand(MI, 0, O); 
+    // LDinto_toc
+    printMemRegImm(MI, 0, O); 
+    return;
     break;
   case 11:
     // MTOCRF, MTOCRF8
@@ -2530,15 +3656,18 @@
     return;
     break;
   case 12:
-    // MTVRSAVEv, STBU, STBU8, STBUX, STBUX8, STDU, STDUX, STFDU, STFDUX, STF...
-    printOperand(MI, 1, O); 
+    // MTSR
+    printU4ImmOperand(MI, 1, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    return;
     break;
   }
 
 
   // Fragment 1 encoded into 5 bits for 17 unique commands.
-  //printf("Frag-1: %"PRIu64"\n", (Bits >> 17) & 31);
-  switch ((Bits >> 17) & 31) {
+  //printf("Frag-1: %"PRIu64"\n", (Bits >> 18) & 31);
+  switch ((Bits >> 18) & 31) {
   default:   // unreachable.
   case 0:
     // ADD4, ADD4TLS, ADD4o, ADD8, ADD8TLS, ADD8TLS_, ADD8o, ADDC, ADDC8, ADD...
@@ -2554,94 +3683,95 @@
     break;
   case 3:
     // BCC
-    printPredicateOperand(MI, 0, O, "pm"); 
+    printPredicateOperand(MI, 0, O, "pm");
     SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
+    printPredicateOperand(MI, 0, O, "reg");
     SStream_concat0(O, ", "); 
     printBranchOperand(MI, 2, O); 
     return;
     break;
   case 4:
     // BCCA
-    SStream_concat0(O, "a"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
+    SStream_concat0(O, "a");
+    printPredicateOperand(MI, 0, O, "pm");
     SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
+    printPredicateOperand(MI, 0, O, "reg");
     SStream_concat0(O, ", "); 
     printAbsBranchOperand(MI, 2, O); 
     return;
     break;
   case 5:
-    // BCCL
-    SStream_concat0(O, "l"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
+    // BCCCTR, BCCCTR8
+    SStream_concat0(O, "ctr");
+    printPredicateOperand(MI, 0, O, "pm");
     SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
+    printPredicateOperand(MI, 0, O, "reg");
+    return;
+    break;
+  case 6:
+    // BCCCTRL, BCCCTRL8
+    SStream_concat0(O, "ctrl");
+    printPredicateOperand(MI, 0, O, "pm");
+    SStream_concat0(O, " "); 
+    printPredicateOperand(MI, 0, O, "reg");
+    return;
+    break;
+  case 7:
+    // BCCL
+    SStream_concat0(O, "l");
+    printPredicateOperand(MI, 0, O, "pm");
+    SStream_concat0(O, " "); 
+    printPredicateOperand(MI, 0, O, "reg");
     SStream_concat0(O, ", "); 
     printBranchOperand(MI, 2, O); 
     return;
     break;
-  case 6:
+  case 8:
     // BCCLA
-    SStream_concat0(O, "la"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
+    SStream_concat0(O, "la");
+    printPredicateOperand(MI, 0, O, "pm");
     SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
+    printPredicateOperand(MI, 0, O, "reg");
     SStream_concat0(O, ", "); 
     printAbsBranchOperand(MI, 2, O); 
     return;
     break;
-  case 7:
-    // BCCTR, BCCTR8
-    SStream_concat0(O, "ctr"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
-    SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
-    return;
-    break;
-  case 8:
-    // BCCTRL, BCCTRL8
-    SStream_concat0(O, "ctrl"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
-    SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
-    return;
-    break;
   case 9:
-    // BCLR
-    SStream_concat0(O, "lr"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
+    // BCCLR
+    SStream_concat0(O, "lr");
+    printPredicateOperand(MI, 0, O, "pm");
     SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
+    printPredicateOperand(MI, 0, O, "reg");
     return;
     break;
   case 10:
-    // BCLRL
-    SStream_concat0(O, "lrl"); 
-    printPredicateOperand(MI, 0, O, "pm"); 
+    // BCCLRL
+    SStream_concat0(O, "lrl");
+    printPredicateOperand(MI, 0, O, "pm");
     SStream_concat0(O, " "); 
-    printPredicateOperand(MI, 0, O, "reg"); 
+    printPredicateOperand(MI, 0, O, "reg");
     return;
     break;
   case 11:
-    // BL8_NOP, BL8_NOP_TLS, BLA8_NOP
-    SStream_concat0(O, "\n\tnop"); 
+    // BCCTR, BCCTR8, BCCTR8n, BCCTRL, BCCTRL8, BCCTRL8n, BCCTRLn, BCCTRn, BC...
+    SStream_concat0(O, ", 0"); 
     return;
     break;
   case 12:
-    // LDinto_toc
-    SStream_concat0(O, ")"); 
-    set_mem_access(MI, false);
+    // BL8_NOP, BL8_NOP_TLS, BLA8_NOP
+    // SStream_concat0(O, "\n\tnop"); 	// qq
     return;
     break;
   case 13:
     // MFTB8
-    SStream_concat0(O, ", 268"); 
+    SStream_concat0(O, ", 268");
+	op_addImm(MI, 268);
     return;
     break;
   case 14:
     // MFVRSAVE, MFVRSAVEv
-    SStream_concat0(O, ", 256"); 
+    SStream_concat0(O, ", 256");
+	op_addImm(MI, 256);
     return;
     break;
   case 15:
@@ -2652,15 +3782,16 @@
     break;
   case 16:
     // V_SETALLONES, V_SETALLONESB, V_SETALLONESH
-    SStream_concat0(O, ", -1"); 
+    SStream_concat0(O, ", -1");
+	op_addImm(MI, -1);
     return;
     break;
   }
 
 
-  // Fragment 2 encoded into 4 bits for 12 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 22) & 15);
-  switch ((Bits >> 22) & 15) {
+  // Fragment 2 encoded into 4 bits for 16 unique commands.
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 23) & 15);
+  switch ((Bits >> 23) & 15) {
   default:   // unreachable.
   case 0:
     // ADD4, ADD4TLS, ADD4o, ADD8, ADD8TLS, ADD8TLS_, ADD8o, ADDC, ADDC8, ADD...
@@ -2676,53 +3807,72 @@
     printMemRegReg(MI, 1, O); 
     break;
   case 3:
-    // CRSET, CRUNSET, V_SET0, V_SET0B, V_SET0H
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
+    // BC, BCL, BCLn, BCn
+    printBranchOperand(MI, 1, O); 
     return;
     break;
   case 4:
-    // LA
-    printS16ImmOperand(MI, 2, O); 
-    SStream_concat0(O, "("); 
-    set_mem_access(MI, true);
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ")"); 
-    set_mem_access(MI, false);
-    return;
+    // CRSET, CRUNSET, MTDCR, V_SET0, V_SET0B, V_SET0H
+    printOperand(MI, 0, O); 
     break;
   case 5:
-    // LAx, LBZ, LBZ8, LD, LFD, LFS, LHA, LHA8, LHZ, LHZ8, LMW, LWA, LWA_32, ...
+    // DST, DST64, DSTST, DSTST64, DSTSTT, DSTSTT64, DSTT, DSTT64, RLDIMI, RL...
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    break;
+  case 6:
+    // EVADDIW
+    printU5ImmOperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 1, O); 
+    return;
+    break;
+  case 7:
+    // EVLDD, EVLDH, EVLDW, EVLHHESPLAT, EVLHHOSSPLAT, EVLHHOUSPLAT, EVLWHE, ...
     printMemRegImm(MI, 1, O); 
     return;
     break;
-  case 6:
+  case 8:
+    // EVSUBIFW
+    printU5ImmOperand(MI, 1, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 2, O); 
+    return;
+    break;
+  case 9:
+    // LA
+    printS16ImmOperand(MI, 2, O); 
+    SStream_concat0(O, "("); 
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, ")"); 
+    return;
+    break;
+  case 10:
     // LBZU, LBZU8, LDU, LFDU, LFSU, LHAU, LHAU8, LHZU, LHZU8, LWZU, LWZU8, S...
     printMemRegImm(MI, 2, O); 
     return;
     break;
-  case 7:
+  case 11:
     // LBZUX, LBZUX8, LDUX, LFDUX, LFSUX, LHAUX, LHAUX8, LHZUX, LHZUX8, LWAUX...
     printMemRegReg(MI, 2, O); 
     return;
     break;
-  case 8:
+  case 12:
     // LI, LI8, LIS, LIS8
     printS16ImmOperand(MI, 1, O); 
     return;
     break;
-  case 9:
+  case 13:
     // MFOCRF, MFOCRF8
     printcrbitm(MI, 1, O); 
     return;
     break;
-  case 10:
-    // RLDIMI, RLDIMIo, RLWIMI, RLWIMIo, VCFSX, VCFUX, VCTSXS, VCTUXS, VSPLTB...
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
+  case 14:
+    // MFSR
+    printU4ImmOperand(MI, 1, O); 
+    return;
     break;
-  case 11:
+  case 15:
     // VSPLTISB, VSPLTISH, VSPLTISW
     printS5ImmOperand(MI, 1, O); 
     return;
@@ -2730,9 +3880,9 @@
   }
 
 
-  // Fragment 3 encoded into 3 bits for 7 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 26) & 7);
-  switch ((Bits >> 26) & 7) {
+  // Fragment 3 encoded into 4 bits for 9 unique commands.
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 27) & 15);
+  switch ((Bits >> 27) & 15) {
   default:   // unreachable.
   case 0:
     // ADD4, ADD4TLS, ADD4o, ADD8, ADD8TLS, ADD8TLS_, ADD8o, ADDC, ADDC8, ADD...
@@ -2751,14 +3901,19 @@
     return;
     break;
   case 3:
+    // DST, DST64, DSTST, DSTST64, DSTSTT, DSTSTT64, DSTT, DSTT64
+    printU5ImmOperand(MI, 0, O); 
+    return;
+    break;
+  case 4:
     // RLDIMI, RLDIMIo
     printU6ImmOperand(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printU6ImmOperand(MI, 4, O); 
     return;
     break;
-  case 4:
-    // RLWIMI, RLWIMIo
+  case 5:
+    // RLWIMI, RLWIMI8, RLWIMI8o, RLWIMIo
     printU5ImmOperand(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printU5ImmOperand(MI, 4, O); 
@@ -2766,22 +3921,27 @@
     printU5ImmOperand(MI, 5, O); 
     return;
     break;
-  case 5:
+  case 6:
     // VCFSX, VCFUX, VCTSXS, VCTUXS, VSPLTB, VSPLTH, VSPLTW
     printU5ImmOperand(MI, 1, O); 
     return;
     break;
-  case 6:
+  case 7:
     // VCFSX_0, VCFUX_0, VCTSXS_0, VCTUXS_0
     SStream_concat0(O, ", 0"); 
     return;
     break;
+  case 8:
+    // XSMADDADP, XSMADDMDP, XSMSUBADP, XSMSUBMDP, XSNMADDADP, XSNMADDMDP, XS...
+    printOperand(MI, 3, O); 
+    return;
+    break;
   }
 
 
-  // Fragment 4 encoded into 3 bits for 7 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 29) & 7);
-  switch ((Bits >> 29) & 7) {
+  // Fragment 4 encoded into 4 bits for 9 unique commands.
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 31) & 15);
+  switch ((Bits >> 31) & 15) {
   default:   // unreachable.
   case 0:
     // ADD4, ADD4TLS, ADD4o, ADD8, ADD8TLS, ADD8TLS_, ADD8o, ADDC, ADDC8, ADD...
@@ -2802,15 +3962,25 @@
     printU6ImmOperand(MI, 2, O); 
     break;
   case 4:
-    // CLRLSLWI, CLRLSLWIo, CLRRWI, CLRRWIo, EXTLWI, EXTLWIo, EXTRWI, EXTRWIo...
+    // CLRLSLWI, CLRLSLWIo, CLRRWI, CLRRWIo, EVRLWI, EVSLWI, EVSRWIS, EVSRWIU...
     printU5ImmOperand(MI, 2, O); 
     break;
   case 5:
+    // CRSET, CRUNSET, V_SET0, V_SET0B, V_SET0H
+    printOperand(MI, 0, O); 
+    return;
+    break;
+  case 6:
+    // XXSPLTW
+    printU2ImmOperand(MI, 2, O); 
+    return;
+    break;
+  case 7:
     // gBC, gBCL
     printBranchOperand(MI, 2, O); 
     return;
     break;
-  case 6:
+  case 8:
     // gBCA, gBCLA
     printAbsBranchOperand(MI, 2, O); 
     return;
@@ -2819,8 +3989,8 @@
 
 
   // Fragment 5 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 32) & 1);
-  if ((Bits >> 32) & 1) {
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 35) & 1);
+  if ((Bits >> 35) & 1) {
     // CLRLSLDI, CLRLSLDIo, CLRLSLWI, CLRLSLWIo, EXTLDI, EXTLDIo, EXTLWI, EXT...
     SStream_concat0(O, ", "); 
   } else {
@@ -2829,9 +3999,9 @@
   }
 
 
-  // Fragment 6 encoded into 2 bits for 3 unique commands.
-  //printf("Frag-6: %"PRIu64"\n", (Bits >> 33) & 3);
-  switch ((Bits >> 33) & 3) {
+  // Fragment 6 encoded into 2 bits for 4 unique commands.
+  //printf("Frag-6: %"PRIu64"\n", (Bits >> 36) & 3);
+  switch ((Bits >> 36) & 3) {
   default:   // unreachable.
   case 0:
     // CLRLSLDI, CLRLSLDIo, EXTLDI, EXTLDIo, EXTRDI, EXTRDIo, INSRDI, INSRDIo...
@@ -2847,12 +4017,17 @@
     printOperand(MI, 3, O); 
     return;
     break;
+  case 3:
+    // XXPERMDI, XXSLDWI
+    printU2ImmOperand(MI, 3, O); 
+    return;
+    break;
   }
 
 
   // Fragment 7 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-7: %"PRIu64"\n", (Bits >> 35) & 1);
-  if ((Bits >> 35) & 1) {
+  //printf("Frag-7: %"PRIu64"\n", (Bits >> 38) & 1);
+  if ((Bits >> 38) & 1) {
     // RLWINM, RLWINM8, RLWINM8o, RLWINMo, RLWNM, RLWNMo
     SStream_concat0(O, ", "); 
     printU5ImmOperand(MI, 4, O); 
@@ -2861,7 +4036,6 @@
     // CLRLSLWI, CLRLSLWIo, EXTLWI, EXTLWIo, EXTRWI, EXTRWIo, INSLWI, INSLWIo...
     return;
   }
-
 }
 
 
@@ -2871,7 +4045,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  //assert(RegNo && RegNo < 182 && "Invalid register number!");
+  // assert(RegNo && RegNo < 279 && "Invalid register number!");
 
   static char AsmStrs[] = {
   /* 0 */ '*', '*', 'R', 'O', 'U', 'N', 'D', 'I', 'N', 'G', 32, 'M', 'O', 'D', 'E', '*', '*', 0,
@@ -2879,120 +4053,192 @@
   /* 36 */ '*', '*', 'B', 'A', 'S', 'E', 32, 'P', 'O', 'I', 'N', 'T', 'E', 'R', '*', '*', 0,
   /* 53 */ 'f', '1', '0', 0,
   /* 57 */ 'r', '1', '0', 0,
-  /* 61 */ 'v', '1', '0', 0,
-  /* 65 */ 'f', '2', '0', 0,
-  /* 69 */ 'r', '2', '0', 0,
-  /* 73 */ 'v', '2', '0', 0,
-  /* 77 */ 'f', '3', '0', 0,
-  /* 81 */ 'r', '3', '0', 0,
-  /* 85 */ 'v', '3', '0', 0,
-  /* 89 */ 'f', '0', 0,
-  /* 92 */ 'c', 'r', '0', 0,
-  /* 96 */ 'v', '0', 0,
-  /* 99 */ 'f', '1', '1', 0,
-  /* 103 */ 'r', '1', '1', 0,
-  /* 107 */ 'v', '1', '1', 0,
-  /* 111 */ 'f', '2', '1', 0,
-  /* 115 */ 'r', '2', '1', 0,
-  /* 119 */ 'v', '2', '1', 0,
-  /* 123 */ 'f', '3', '1', 0,
-  /* 127 */ 'r', '3', '1', 0,
-  /* 131 */ 'v', '3', '1', 0,
-  /* 135 */ 'f', '1', 0,
-  /* 138 */ 'c', 'r', '1', 0,
-  /* 142 */ 'v', '1', 0,
-  /* 145 */ 'f', '1', '2', 0,
-  /* 149 */ 'r', '1', '2', 0,
-  /* 153 */ 'v', '1', '2', 0,
-  /* 157 */ 'f', '2', '2', 0,
-  /* 161 */ 'r', '2', '2', 0,
-  /* 165 */ 'v', '2', '2', 0,
-  /* 169 */ 'f', '2', 0,
-  /* 172 */ 'c', 'r', '2', 0,
-  /* 176 */ 'v', '2', 0,
-  /* 179 */ 'f', '1', '3', 0,
-  /* 183 */ 'r', '1', '3', 0,
-  /* 187 */ 'v', '1', '3', 0,
-  /* 191 */ 'f', '2', '3', 0,
-  /* 195 */ 'r', '2', '3', 0,
-  /* 199 */ 'v', '2', '3', 0,
-  /* 203 */ 'f', '3', 0,
-  /* 206 */ 'c', 'r', '3', 0,
-  /* 210 */ 'v', '3', 0,
-  /* 213 */ 'f', '1', '4', 0,
-  /* 217 */ 'r', '1', '4', 0,
-  /* 221 */ 'v', '1', '4', 0,
-  /* 225 */ 'f', '2', '4', 0,
-  /* 229 */ 'r', '2', '4', 0,
-  /* 233 */ 'v', '2', '4', 0,
-  /* 237 */ 'f', '4', 0,
-  /* 240 */ 'c', 'r', '4', 0,
-  /* 244 */ 'v', '4', 0,
-  /* 247 */ 'f', '1', '5', 0,
-  /* 251 */ 'r', '1', '5', 0,
-  /* 255 */ 'v', '1', '5', 0,
-  /* 259 */ 'f', '2', '5', 0,
-  /* 263 */ 'r', '2', '5', 0,
-  /* 267 */ 'v', '2', '5', 0,
-  /* 271 */ 'f', '5', 0,
-  /* 274 */ 'c', 'r', '5', 0,
-  /* 278 */ 'v', '5', 0,
-  /* 281 */ 'f', '1', '6', 0,
-  /* 285 */ 'r', '1', '6', 0,
-  /* 289 */ 'v', '1', '6', 0,
-  /* 293 */ 'f', '2', '6', 0,
-  /* 297 */ 'r', '2', '6', 0,
-  /* 301 */ 'v', '2', '6', 0,
-  /* 305 */ 'f', '6', 0,
-  /* 308 */ 'c', 'r', '6', 0,
-  /* 312 */ 'v', '6', 0,
-  /* 315 */ 'f', '1', '7', 0,
-  /* 319 */ 'r', '1', '7', 0,
-  /* 323 */ 'v', '1', '7', 0,
-  /* 327 */ 'f', '2', '7', 0,
-  /* 331 */ 'r', '2', '7', 0,
-  /* 335 */ 'v', '2', '7', 0,
-  /* 339 */ 'f', '7', 0,
-  /* 342 */ 'c', 'r', '7', 0,
-  /* 346 */ 'v', '7', 0,
-  /* 349 */ 'f', '1', '8', 0,
-  /* 353 */ 'r', '1', '8', 0,
-  /* 357 */ 'v', '1', '8', 0,
-  /* 361 */ 'f', '2', '8', 0,
-  /* 365 */ 'r', '2', '8', 0,
-  /* 369 */ 'v', '2', '8', 0,
-  /* 373 */ 'f', '8', 0,
-  /* 376 */ 'r', '8', 0,
-  /* 379 */ 'v', '8', 0,
-  /* 382 */ 'f', '1', '9', 0,
-  /* 386 */ 'r', '1', '9', 0,
-  /* 390 */ 'v', '1', '9', 0,
-  /* 394 */ 'f', '2', '9', 0,
-  /* 398 */ 'r', '2', '9', 0,
-  /* 402 */ 'v', '2', '9', 0,
-  /* 406 */ 'f', '9', 0,
-  /* 409 */ 'r', '9', 0,
-  /* 412 */ 'v', '9', 0,
-  /* 415 */ 'c', 'a', 0,
-  /* 418 */ 'v', 'r', 's', 'a', 'v', 'e', 0,
-  /* 425 */ 'l', 'r', 0,
-  /* 428 */ 'c', 't', 'r', 0,
+  /* 61 */ 'v', 's', '1', '0', 0,
+  /* 66 */ 'v', '1', '0', 0,
+  /* 70 */ 'f', '2', '0', 0,
+  /* 74 */ 'r', '2', '0', 0,
+  /* 78 */ 'v', 's', '2', '0', 0,
+  /* 83 */ 'v', '2', '0', 0,
+  /* 87 */ 'f', '3', '0', 0,
+  /* 91 */ 'r', '3', '0', 0,
+  /* 95 */ 'v', 's', '3', '0', 0,
+  /* 100 */ 'v', '3', '0', 0,
+  /* 104 */ 'v', 's', '4', '0', 0,
+  /* 109 */ 'v', 's', '5', '0', 0,
+  /* 114 */ 'v', 's', '6', '0', 0,
+  /* 119 */ 'f', '0', 0,
+  /* 122 */ 'c', 'r', '0', 0,
+  /* 126 */ 'v', 's', '0', 0,
+  /* 130 */ 'v', '0', 0,
+  /* 133 */ 'f', '1', '1', 0,
+  /* 137 */ 'r', '1', '1', 0,
+  /* 141 */ 'v', 's', '1', '1', 0,
+  /* 146 */ 'v', '1', '1', 0,
+  /* 150 */ 'f', '2', '1', 0,
+  /* 154 */ 'r', '2', '1', 0,
+  /* 158 */ 'v', 's', '2', '1', 0,
+  /* 163 */ 'v', '2', '1', 0,
+  /* 167 */ 'f', '3', '1', 0,
+  /* 171 */ 'r', '3', '1', 0,
+  /* 175 */ 'v', 's', '3', '1', 0,
+  /* 180 */ 'v', '3', '1', 0,
+  /* 184 */ 'v', 's', '4', '1', 0,
+  /* 189 */ 'v', 's', '5', '1', 0,
+  /* 194 */ 'v', 's', '6', '1', 0,
+  /* 199 */ 'f', '1', 0,
+  /* 202 */ 'c', 'r', '1', 0,
+  /* 206 */ 'v', 's', '1', 0,
+  /* 210 */ 'v', '1', 0,
+  /* 213 */ 'f', '1', '2', 0,
+  /* 217 */ 'r', '1', '2', 0,
+  /* 221 */ 'v', 's', '1', '2', 0,
+  /* 226 */ 'v', '1', '2', 0,
+  /* 230 */ 'f', '2', '2', 0,
+  /* 234 */ 'r', '2', '2', 0,
+  /* 238 */ 'v', 's', '2', '2', 0,
+  /* 243 */ 'v', '2', '2', 0,
+  /* 247 */ 'v', 's', '3', '2', 0,
+  /* 252 */ 'v', 's', '4', '2', 0,
+  /* 257 */ 'v', 's', '5', '2', 0,
+  /* 262 */ 'v', 's', '6', '2', 0,
+  /* 267 */ 'f', '2', 0,
+  /* 270 */ 'c', 'r', '2', 0,
+  /* 274 */ 'v', 's', '2', 0,
+  /* 278 */ 'v', '2', 0,
+  /* 281 */ 'f', '1', '3', 0,
+  /* 285 */ 'r', '1', '3', 0,
+  /* 289 */ 'v', 's', '1', '3', 0,
+  /* 294 */ 'v', '1', '3', 0,
+  /* 298 */ 'f', '2', '3', 0,
+  /* 302 */ 'r', '2', '3', 0,
+  /* 306 */ 'v', 's', '2', '3', 0,
+  /* 311 */ 'v', '2', '3', 0,
+  /* 315 */ 'v', 's', '3', '3', 0,
+  /* 320 */ 'v', 's', '4', '3', 0,
+  /* 325 */ 'v', 's', '5', '3', 0,
+  /* 330 */ 'v', 's', '6', '3', 0,
+  /* 335 */ 'f', '3', 0,
+  /* 338 */ 'c', 'r', '3', 0,
+  /* 342 */ 'v', 's', '3', 0,
+  /* 346 */ 'v', '3', 0,
+  /* 349 */ 'f', '1', '4', 0,
+  /* 353 */ 'r', '1', '4', 0,
+  /* 357 */ 'v', 's', '1', '4', 0,
+  /* 362 */ 'v', '1', '4', 0,
+  /* 366 */ 'f', '2', '4', 0,
+  /* 370 */ 'r', '2', '4', 0,
+  /* 374 */ 'v', 's', '2', '4', 0,
+  /* 379 */ 'v', '2', '4', 0,
+  /* 383 */ 'v', 's', '3', '4', 0,
+  /* 388 */ 'v', 's', '4', '4', 0,
+  /* 393 */ 'v', 's', '5', '4', 0,
+  /* 398 */ 'f', '4', 0,
+  /* 401 */ 'c', 'r', '4', 0,
+  /* 405 */ 'v', 's', '4', 0,
+  /* 409 */ 'v', '4', 0,
+  /* 412 */ 'f', '1', '5', 0,
+  /* 416 */ 'r', '1', '5', 0,
+  /* 420 */ 'v', 's', '1', '5', 0,
+  /* 425 */ 'v', '1', '5', 0,
+  /* 429 */ 'f', '2', '5', 0,
+  /* 433 */ 'r', '2', '5', 0,
+  /* 437 */ 'v', 's', '2', '5', 0,
+  /* 442 */ 'v', '2', '5', 0,
+  /* 446 */ 'v', 's', '3', '5', 0,
+  /* 451 */ 'v', 's', '4', '5', 0,
+  /* 456 */ 'v', 's', '5', '5', 0,
+  /* 461 */ 'f', '5', 0,
+  /* 464 */ 'c', 'r', '5', 0,
+  /* 468 */ 'v', 's', '5', 0,
+  /* 472 */ 'v', '5', 0,
+  /* 475 */ 'f', '1', '6', 0,
+  /* 479 */ 'r', '1', '6', 0,
+  /* 483 */ 'v', 's', '1', '6', 0,
+  /* 488 */ 'v', '1', '6', 0,
+  /* 492 */ 'f', '2', '6', 0,
+  /* 496 */ 'r', '2', '6', 0,
+  /* 500 */ 'v', 's', '2', '6', 0,
+  /* 505 */ 'v', '2', '6', 0,
+  /* 509 */ 'v', 's', '3', '6', 0,
+  /* 514 */ 'v', 's', '4', '6', 0,
+  /* 519 */ 'v', 's', '5', '6', 0,
+  /* 524 */ 'f', '6', 0,
+  /* 527 */ 'c', 'r', '6', 0,
+  /* 531 */ 'v', 's', '6', 0,
+  /* 535 */ 'v', '6', 0,
+  /* 538 */ 'f', '1', '7', 0,
+  /* 542 */ 'r', '1', '7', 0,
+  /* 546 */ 'v', 's', '1', '7', 0,
+  /* 551 */ 'v', '1', '7', 0,
+  /* 555 */ 'f', '2', '7', 0,
+  /* 559 */ 'r', '2', '7', 0,
+  /* 563 */ 'v', 's', '2', '7', 0,
+  /* 568 */ 'v', '2', '7', 0,
+  /* 572 */ 'v', 's', '3', '7', 0,
+  /* 577 */ 'v', 's', '4', '7', 0,
+  /* 582 */ 'v', 's', '5', '7', 0,
+  /* 587 */ 'f', '7', 0,
+  /* 590 */ 'c', 'r', '7', 0,
+  /* 594 */ 'v', 's', '7', 0,
+  /* 598 */ 'v', '7', 0,
+  /* 601 */ 'f', '1', '8', 0,
+  /* 605 */ 'r', '1', '8', 0,
+  /* 609 */ 'v', 's', '1', '8', 0,
+  /* 614 */ 'v', '1', '8', 0,
+  /* 618 */ 'f', '2', '8', 0,
+  /* 622 */ 'r', '2', '8', 0,
+  /* 626 */ 'v', 's', '2', '8', 0,
+  /* 631 */ 'v', '2', '8', 0,
+  /* 635 */ 'v', 's', '3', '8', 0,
+  /* 640 */ 'v', 's', '4', '8', 0,
+  /* 645 */ 'v', 's', '5', '8', 0,
+  /* 650 */ 'f', '8', 0,
+  /* 653 */ 'r', '8', 0,
+  /* 656 */ 'v', 's', '8', 0,
+  /* 660 */ 'v', '8', 0,
+  /* 663 */ 'f', '1', '9', 0,
+  /* 667 */ 'r', '1', '9', 0,
+  /* 671 */ 'v', 's', '1', '9', 0,
+  /* 676 */ 'v', '1', '9', 0,
+  /* 680 */ 'f', '2', '9', 0,
+  /* 684 */ 'r', '2', '9', 0,
+  /* 688 */ 'v', 's', '2', '9', 0,
+  /* 693 */ 'v', '2', '9', 0,
+  /* 697 */ 'v', 's', '3', '9', 0,
+  /* 702 */ 'v', 's', '4', '9', 0,
+  /* 707 */ 'v', 's', '5', '9', 0,
+  /* 712 */ 'f', '9', 0,
+  /* 715 */ 'r', '9', 0,
+  /* 718 */ 'v', 's', '9', 0,
+  /* 722 */ 'v', '9', 0,
+  /* 725 */ 'c', 'a', 0,
+  /* 728 */ 'c', 'c', 0,
+  /* 731 */ 'v', 'r', 's', 'a', 'v', 'e', 0,
+  /* 738 */ 'l', 'r', 0,
+  /* 741 */ 'c', 't', 'r', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    36, 415, 428, 18, 425, 0, 418, 55, 36, 92, 138, 172, 206, 240, 
-    274, 308, 342, 428, 89, 135, 169, 203, 237, 271, 305, 339, 373, 406, 
-    53, 99, 145, 179, 213, 247, 281, 315, 349, 382, 65, 111, 157, 191, 
-    225, 259, 293, 327, 361, 394, 77, 123, 18, 425, 93, 139, 173, 207, 
-    241, 275, 309, 343, 376, 409, 57, 103, 149, 183, 217, 251, 285, 319, 
-    353, 386, 69, 115, 161, 195, 229, 263, 297, 331, 365, 398, 81, 127, 
-    96, 142, 176, 210, 244, 278, 312, 346, 379, 412, 61, 107, 153, 187, 
-    221, 255, 289, 323, 357, 390, 73, 119, 165, 199, 233, 267, 301, 335, 
-    369, 402, 85, 131, 93, 139, 173, 207, 241, 275, 309, 343, 376, 409, 
-    57, 103, 149, 183, 217, 251, 285, 319, 353, 386, 69, 115, 161, 195, 
-    229, 263, 297, 331, 365, 398, 81, 127, 55, 147, 283, 54, 214, 350, 
-    158, 294, 78, 101, 249, 384, 180, 316, 112, 260, 395, 55, 215, 351, 
-    146, 282, 66, 226, 362, 181, 317, 100, 248, 383, 192, 328, 124, 
+    36, 725, 728, 741, 18, 738, 0, 731, 55, 36, 122, 202, 270, 338, 
+    401, 464, 527, 590, 741, 119, 199, 267, 335, 398, 461, 524, 587, 650, 
+    712, 53, 133, 213, 281, 349, 412, 475, 538, 601, 663, 70, 150, 230, 
+    298, 366, 429, 492, 555, 618, 680, 87, 167, 18, 738, 123, 203, 271, 
+    339, 402, 465, 528, 591, 653, 715, 57, 137, 217, 285, 353, 416, 479, 
+    542, 605, 667, 74, 154, 234, 302, 370, 433, 496, 559, 622, 684, 91, 
+    171, 130, 210, 278, 346, 409, 472, 535, 598, 660, 722, 66, 146, 226, 
+    294, 362, 425, 488, 551, 614, 676, 83, 163, 243, 311, 379, 442, 505, 
+    568, 631, 693, 100, 180, 247, 315, 383, 446, 509, 572, 635, 697, 104, 
+    184, 252, 320, 388, 451, 514, 577, 640, 702, 109, 189, 257, 325, 393, 
+    456, 519, 582, 645, 707, 114, 194, 262, 330, 247, 315, 383, 446, 509, 
+    572, 635, 697, 104, 184, 252, 320, 388, 451, 514, 577, 640, 702, 109, 
+    189, 257, 325, 393, 456, 519, 582, 645, 707, 114, 194, 262, 330, 126, 
+    206, 274, 342, 405, 468, 531, 594, 656, 718, 61, 141, 221, 289, 357, 
+    420, 483, 546, 609, 671, 78, 158, 238, 306, 374, 437, 500, 563, 626, 
+    688, 95, 175, 123, 203, 271, 339, 402, 465, 528, 591, 653, 715, 57, 
+    137, 217, 285, 353, 416, 479, 542, 605, 667, 74, 154, 234, 302, 370, 
+    433, 496, 559, 622, 684, 91, 171, 55, 215, 477, 54, 350, 602, 231, 
+    493, 88, 135, 414, 665, 282, 539, 151, 430, 681, 55, 351, 603, 214, 
+    476, 71, 367, 619, 283, 540, 134, 413, 664, 299, 556, 168, 
   };
 
   //assert (*(AsmStrs+RegAsmOffset[RegNo-1]) &&
@@ -3002,409 +4248,2911 @@
   //	  printf("%s = %u\n", AsmStrs+RegAsmOffset[i], i + 1);
   //printf("*************************\n");
   return AsmStrs+RegAsmOffset[RegNo-1];
+  return NULL;
 }
 #endif
 
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
-static bool printAliasInstr(MCInst *MI, SStream *OS, void *info)
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
 {
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printBranchOperand(MI, OpIdx, OS);
+    break;
+  case 1:
+    printAbsBranchOperand(MI, OpIdx, OS);
+    break;
+  case 2:
+    printS16ImmOperand(MI, OpIdx, OS);
+    break;
+  case 3:
+    printU16ImmOperand(MI, OpIdx, OS);
+    break;
+  case 4:
+    printU6ImmOperand(MI, OpIdx, OS);
+    break;
+  case 5:
+    printU5ImmOperand(MI, OpIdx, OS);
+    break;
+  }
+}
+
+static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
+{
+  #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
+  char *tmp, *AsmMnem, *AsmOps, *c;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return false;
-  case PPC_BCCTR:
+  default: return NULL;
+  case PPC_BCC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 12, crrc:$cc, condbrtarget:$dst)
+      AsmString = "blt $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 12, CR0, condbrtarget:$dst)
+      AsmString = "blt $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 14, crrc:$cc, condbrtarget:$dst)
+      AsmString = "blt- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 14, CR0, condbrtarget:$dst)
+      AsmString = "blt- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 15, crrc:$cc, condbrtarget:$dst)
+      AsmString = "blt+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 15, CR0, condbrtarget:$dst)
+      AsmString = "blt+ $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 44, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bgt $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 44, CR0, condbrtarget:$dst)
+      AsmString = "bgt $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 46, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bgt- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 46, CR0, condbrtarget:$dst)
+      AsmString = "bgt- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 47, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bgt+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 47, CR0, condbrtarget:$dst)
+      AsmString = "bgt+ $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 76, crrc:$cc, condbrtarget:$dst)
+      AsmString = "beq $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 76, CR0, condbrtarget:$dst)
+      AsmString = "beq $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 78, crrc:$cc, condbrtarget:$dst)
+      AsmString = "beq- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 78, CR0, condbrtarget:$dst)
+      AsmString = "beq- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 79, crrc:$cc, condbrtarget:$dst)
+      AsmString = "beq+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 79, CR0, condbrtarget:$dst)
+      AsmString = "beq+ $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 68, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bne $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 68, CR0, condbrtarget:$dst)
+      AsmString = "bne $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 70, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bne- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 70, CR0, condbrtarget:$dst)
+      AsmString = "bne- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCC 71, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bne+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCC 71, CR0, condbrtarget:$dst)
+      AsmString = "bne+ $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case PPC_BCCA:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 12, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "blta $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 12, CR0, abscondbrtarget:$dst)
+      AsmString = "blta $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 14, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "blta- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 14, CR0, abscondbrtarget:$dst)
+      AsmString = "blta- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 15, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "blta+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 15, CR0, abscondbrtarget:$dst)
+      AsmString = "blta+ $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 44, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bgta $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 44, CR0, abscondbrtarget:$dst)
+      AsmString = "bgta $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 46, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bgta- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 46, CR0, abscondbrtarget:$dst)
+      AsmString = "bgta- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 47, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bgta+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 47, CR0, abscondbrtarget:$dst)
+      AsmString = "bgta+ $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 76, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "beqa $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 76, CR0, abscondbrtarget:$dst)
+      AsmString = "beqa $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 78, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "beqa- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 78, CR0, abscondbrtarget:$dst)
+      AsmString = "beqa- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 79, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "beqa+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 79, CR0, abscondbrtarget:$dst)
+      AsmString = "beqa+ $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 68, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bnea $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 68, CR0, abscondbrtarget:$dst)
+      AsmString = "bnea $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 70, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bnea- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 70, CR0, abscondbrtarget:$dst)
+      AsmString = "bnea- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCA 71, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bnea+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCA 71, CR0, abscondbrtarget:$dst)
+      AsmString = "bnea+ $\xFF\x03\x02";
+      break;
+    }
+    return NULL;
+  case PPC_BCCCTR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 12, crrc:$cc)
+      AsmString = "bltctr $\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 12, CR0)
+      // (BCCCTR 12, CR0)
       AsmString = "bltctr";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 14, crrc:$cc)
+      AsmString = "bltctr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 14, CR0)
+      // (BCCCTR 14, CR0)
       AsmString = "bltctr-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 15, crrc:$cc)
+      AsmString = "bltctr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 15, CR0)
+      // (BCCCTR 15, CR0)
       AsmString = "bltctr+";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 44, crrc:$cc)
+      AsmString = "bgtctr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 44, CR0)
+      // (BCCCTR 44, CR0)
       AsmString = "bgtctr";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 46, crrc:$cc)
+      AsmString = "bgtctr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 46, CR0)
+      // (BCCCTR 46, CR0)
       AsmString = "bgtctr-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 47, crrc:$cc)
+      AsmString = "bgtctr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 47, CR0)
+      // (BCCCTR 47, CR0)
       AsmString = "bgtctr+";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 76, crrc:$cc)
+      AsmString = "beqctr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 76, CR0)
+      // (BCCCTR 76, CR0)
       AsmString = "beqctr";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 78, crrc:$cc)
+      AsmString = "beqctr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 78, CR0)
+      // (BCCCTR 78, CR0)
       AsmString = "beqctr-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 79, crrc:$cc)
+      AsmString = "beqctr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 79, CR0)
+      // (BCCCTR 79, CR0)
       AsmString = "beqctr+";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 68, crrc:$cc)
+      AsmString = "bnectr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 68, CR0)
+      // (BCCCTR 68, CR0)
       AsmString = "bnectr";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 70, crrc:$cc)
+      AsmString = "bnectr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 70, CR0)
+      // (BCCCTR 70, CR0)
       AsmString = "bnectr-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTR 71, crrc:$cc)
+      AsmString = "bnectr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTR 71, CR0)
+      // (BCCCTR 71, CR0)
       AsmString = "bnectr+";
       break;
     }
-    return false;
-  case PPC_BCCTRL:
+    return NULL;
+  case PPC_BCCCTRL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 12, crrc:$cc)
+      AsmString = "bltctrl $\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 12, CR0)
+      // (BCCCTRL 12, CR0)
       AsmString = "bltctrl";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 14, crrc:$cc)
+      AsmString = "bltctrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 14, CR0)
+      // (BCCCTRL 14, CR0)
       AsmString = "bltctrl-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 15, crrc:$cc)
+      AsmString = "bltctrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 15, CR0)
+      // (BCCCTRL 15, CR0)
       AsmString = "bltctrl+";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 44, crrc:$cc)
+      AsmString = "bgtctrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 44, CR0)
+      // (BCCCTRL 44, CR0)
       AsmString = "bgtctrl";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 46, crrc:$cc)
+      AsmString = "bgtctrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 46, CR0)
+      // (BCCCTRL 46, CR0)
       AsmString = "bgtctrl-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 47, crrc:$cc)
+      AsmString = "bgtctrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 47, CR0)
+      // (BCCCTRL 47, CR0)
       AsmString = "bgtctrl+";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 76, crrc:$cc)
+      AsmString = "beqctrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 76, CR0)
+      // (BCCCTRL 76, CR0)
       AsmString = "beqctrl";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 78, crrc:$cc)
+      AsmString = "beqctrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 78, CR0)
+      // (BCCCTRL 78, CR0)
       AsmString = "beqctrl-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 79, crrc:$cc)
+      AsmString = "beqctrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 79, CR0)
+      // (BCCCTRL 79, CR0)
       AsmString = "beqctrl+";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 68, crrc:$cc)
+      AsmString = "bnectrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 68, CR0)
+      // (BCCCTRL 68, CR0)
       AsmString = "bnectrl";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 70, crrc:$cc)
+      AsmString = "bnectrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 70, CR0)
+      // (BCCCTRL 70, CR0)
       AsmString = "bnectrl-";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCCTRL 71, crrc:$cc)
+      AsmString = "bnectrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCCTRL 71, CR0)
+      // (BCCCTRL 71, CR0)
       AsmString = "bnectrl+";
       break;
     }
-    return false;
-  case PPC_BCLR:
+    return NULL;
+  case PPC_BCCL:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 12, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bltl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 12, CR0, condbrtarget:$dst)
+      AsmString = "bltl $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 14, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bltl- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 14, CR0, condbrtarget:$dst)
+      AsmString = "bltl- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 15, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bltl+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 15, CR0, condbrtarget:$dst)
+      AsmString = "bltl+ $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 44, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bgtl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 44, CR0, condbrtarget:$dst)
+      AsmString = "bgtl $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 46, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bgtl- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 46, CR0, condbrtarget:$dst)
+      AsmString = "bgtl- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 47, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bgtl+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 47, CR0, condbrtarget:$dst)
+      AsmString = "bgtl+ $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 76, crrc:$cc, condbrtarget:$dst)
+      AsmString = "beql $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 76, CR0, condbrtarget:$dst)
+      AsmString = "beql $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 78, crrc:$cc, condbrtarget:$dst)
+      AsmString = "beql- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 78, CR0, condbrtarget:$dst)
+      AsmString = "beql- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 79, crrc:$cc, condbrtarget:$dst)
+      AsmString = "beql+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 79, CR0, condbrtarget:$dst)
+      AsmString = "beql+ $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 68, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bnel $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 68, CR0, condbrtarget:$dst)
+      AsmString = "bnel $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 70, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bnel- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 70, CR0, condbrtarget:$dst)
+      AsmString = "bnel- $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCL 71, crrc:$cc, condbrtarget:$dst)
+      AsmString = "bnel+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCL 71, CR0, condbrtarget:$dst)
+      AsmString = "bnel+ $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case PPC_BCCLA:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 12, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bltla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 12, CR0, abscondbrtarget:$dst)
+      AsmString = "bltla $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 14, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bltla- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 14, CR0, abscondbrtarget:$dst)
+      AsmString = "bltla- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 15, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bltla+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 15, CR0, abscondbrtarget:$dst)
+      AsmString = "bltla+ $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 44, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bgtla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 44, CR0, abscondbrtarget:$dst)
+      AsmString = "bgtla $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 46, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bgtla- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 46, CR0, abscondbrtarget:$dst)
+      AsmString = "bgtla- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 47, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bgtla+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 47, CR0, abscondbrtarget:$dst)
+      AsmString = "bgtla+ $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 76, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "beqla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 76, CR0, abscondbrtarget:$dst)
+      AsmString = "beqla $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 78, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "beqla- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 78, CR0, abscondbrtarget:$dst)
+      AsmString = "beqla- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 79, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "beqla+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 79, CR0, abscondbrtarget:$dst)
+      AsmString = "beqla+ $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 68, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bnela $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 68, CR0, abscondbrtarget:$dst)
+      AsmString = "bnela $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 70, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bnela- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 70, CR0, abscondbrtarget:$dst)
+      AsmString = "bnela- $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLA 71, crrc:$cc, abscondbrtarget:$dst)
+      AsmString = "bnela+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLA 71, CR0, abscondbrtarget:$dst)
+      AsmString = "bnela+ $\xFF\x03\x02";
+      break;
+    }
+    return NULL;
+  case PPC_BCCLR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 12, crrc:$cc)
+      AsmString = "bltlr $\x02";
+      break;
+    }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 12, CR0)
+      // (BCCLR 12, CR0)
       AsmString = "bltlr";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 14, CR0)
-      AsmString = "bltlr-";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 15, CR0)
-      AsmString = "bltlr+";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 44, CR0)
-      AsmString = "bgtlr";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 46, CR0)
-      AsmString = "bgtlr-";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 47, CR0)
-      AsmString = "bgtlr+";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 76, CR0)
-      AsmString = "beqlr";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 78, CR0)
-      AsmString = "beqlr-";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 79, CR0)
-      AsmString = "beqlr+";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 68, CR0)
-      AsmString = "bnelr";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 70, CR0)
-      AsmString = "bnelr-";
-      break;
-    }
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLR 71, CR0)
-      AsmString = "bnelr+";
-      break;
-    }
-    return false;
-  case PPC_BCLRL:
-    if (MCInst_getNumOperands(MI) == 2 &&
-        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
-        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
-        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 12, CR0)
-      AsmString = "bltlrl";
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 14, crrc:$cc)
+      AsmString = "bltlr- $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 14, CR0)
-      AsmString = "bltlrl-";
+      // (BCCLR 14, CR0)
+      AsmString = "bltlr-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 15, crrc:$cc)
+      AsmString = "bltlr+ $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 15, CR0)
-      AsmString = "bltlrl+";
+      // (BCCLR 15, CR0)
+      AsmString = "bltlr+";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 44, crrc:$cc)
+      AsmString = "bgtlr $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 44, CR0)
-      AsmString = "bgtlrl";
+      // (BCCLR 44, CR0)
+      AsmString = "bgtlr";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 46, crrc:$cc)
+      AsmString = "bgtlr- $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 46, CR0)
-      AsmString = "bgtlrl-";
+      // (BCCLR 46, CR0)
+      AsmString = "bgtlr-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 47, crrc:$cc)
+      AsmString = "bgtlr+ $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 47, CR0)
-      AsmString = "bgtlrl+";
+      // (BCCLR 47, CR0)
+      AsmString = "bgtlr+";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 76, crrc:$cc)
+      AsmString = "beqlr $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 76, CR0)
-      AsmString = "beqlrl";
+      // (BCCLR 76, CR0)
+      AsmString = "beqlr";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 78, crrc:$cc)
+      AsmString = "beqlr- $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 78, CR0)
-      AsmString = "beqlrl-";
+      // (BCCLR 78, CR0)
+      AsmString = "beqlr-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 79, crrc:$cc)
+      AsmString = "beqlr+ $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 79, CR0)
-      AsmString = "beqlrl+";
+      // (BCCLR 79, CR0)
+      AsmString = "beqlr+";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 68, crrc:$cc)
+      AsmString = "bnelr $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 68, CR0)
-      AsmString = "bnelrl";
+      // (BCCLR 68, CR0)
+      AsmString = "bnelr";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 70, crrc:$cc)
+      AsmString = "bnelr- $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 70, CR0)
-      AsmString = "bnelrl-";
+      // (BCCLR 70, CR0)
+      AsmString = "bnelr-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLR 71, crrc:$cc)
+      AsmString = "bnelr+ $\x02";
       break;
     }
     if (MCInst_getNumOperands(MI) == 2 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
         MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
         MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
-      // (BCLRL 71, CR0)
+      // (BCCLR 71, CR0)
+      AsmString = "bnelr+";
+      break;
+    }
+    return NULL;
+  case PPC_BCCLRL:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 12, crrc:$cc)
+      AsmString = "bltlrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 12, CR0)
+      AsmString = "bltlrl";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 14, crrc:$cc)
+      AsmString = "bltlrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 14, CR0)
+      AsmString = "bltlrl-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 15, crrc:$cc)
+      AsmString = "bltlrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 15, CR0)
+      AsmString = "bltlrl+";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 44, crrc:$cc)
+      AsmString = "bgtlrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 44 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 44, CR0)
+      AsmString = "bgtlrl";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 46, crrc:$cc)
+      AsmString = "bgtlrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 46 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 46, CR0)
+      AsmString = "bgtlrl-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 47, crrc:$cc)
+      AsmString = "bgtlrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 47 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 47, CR0)
+      AsmString = "bgtlrl+";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 76, crrc:$cc)
+      AsmString = "beqlrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 76 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 76, CR0)
+      AsmString = "beqlrl";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 78, crrc:$cc)
+      AsmString = "beqlrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 78 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 78, CR0)
+      AsmString = "beqlrl-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 79, crrc:$cc)
+      AsmString = "beqlrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 79 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 79, CR0)
+      AsmString = "beqlrl+";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 68, crrc:$cc)
+      AsmString = "bnelrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 68 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 68, CR0)
+      AsmString = "bnelrl";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 70, crrc:$cc)
+      AsmString = "bnelrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 70 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 70, CR0)
+      AsmString = "bnelrl-";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRRCRegClassID, 1)) {
+      // (BCCLRL 71, crrc:$cc)
+      AsmString = "bnelrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 71 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == PPC_CR0) {
+      // (BCCLRL 71, CR0)
       AsmString = "bnelrl+";
       break;
     }
-    return false;
+    return NULL;
+  case PPC_CMPD:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (CMPD CR0, g8rc:$rA, g8rc:$rB)
+      AsmString = "cmpd $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_CMPDI:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (CMPDI CR0, g8rc:$rA, s16imm64:$imm)
+      AsmString = "cmpdi $\x02, $\xFF\x03\x03";
+      break;
+    }
+    return NULL;
+  case PPC_CMPLD:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (CMPLD CR0, g8rc:$rA, g8rc:$rB)
+      AsmString = "cmpld $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_CMPLDI:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (CMPLDI CR0, g8rc:$rA, u16imm64:$imm)
+      AsmString = "cmpldi $\x02, $\xFF\x03\x04";
+      break;
+    }
+    return NULL;
+  case PPC_CMPLW:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (CMPLW CR0, gprc:$rA, gprc:$rB)
+      AsmString = "cmplw $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_CMPLWI:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (CMPLWI CR0, gprc:$rA, u16imm:$imm)
+      AsmString = "cmplwi $\x02, $\xFF\x03\x04";
+      break;
+    }
+    return NULL;
+  case PPC_CMPW:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (CMPW CR0, gprc:$rA, gprc:$rB)
+      AsmString = "cmpw $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_CMPWI:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_CR0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (CMPWI CR0, gprc:$rA, s16imm:$imm)
+      AsmString = "cmpwi $\x02, $\xFF\x03\x03";
+      break;
+    }
+    return NULL;
+  case PPC_CREQV:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0)) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (CREQV crbitrc:$bx, crbitrc:$bx, crbitrc:$bx)
+      AsmString = "crset $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_CRNOR:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (CRNOR crbitrc:$bx, crbitrc:$by, crbitrc:$by)
+      AsmString = "crnot $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_CROR:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (CROR crbitrc:$bx, crbitrc:$by, crbitrc:$by)
+      AsmString = "crmove $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_CRXOR:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0)) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (CRXOR crbitrc:$bx, crbitrc:$bx, crbitrc:$bx)
+      AsmString = "crclr $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MBAR:
+    if (MCInst_getNumOperands(MI) == 1 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0) {
+      // (MBAR 0)
+      AsmString = "mbar";
+      break;
+    }
+    return NULL;
+  case PPC_MFDCR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 128) {
+      // (MFDCR gprc:$Rx, 128)
+      AsmString = "mfbr0 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 129) {
+      // (MFDCR gprc:$Rx, 129)
+      AsmString = "mfbr1 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 130) {
+      // (MFDCR gprc:$Rx, 130)
+      AsmString = "mfbr2 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 131) {
+      // (MFDCR gprc:$Rx, 131)
+      AsmString = "mfbr3 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 132) {
+      // (MFDCR gprc:$Rx, 132)
+      AsmString = "mfbr4 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 133) {
+      // (MFDCR gprc:$Rx, 133)
+      AsmString = "mfbr5 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 134) {
+      // (MFDCR gprc:$Rx, 134)
+      AsmString = "mfbr6 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 135) {
+      // (MFDCR gprc:$Rx, 135)
+      AsmString = "mfbr7 $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MFSPR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (MFSPR gprc:$Rx, 1)
+      AsmString = "mfxer $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (MFSPR gprc:$Rx, 4)
+      AsmString = "mfrtcu $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (MFSPR gprc:$Rx, 5)
+      AsmString = "mfrtcl $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 17) {
+      // (MFSPR gprc:$Rx, 17)
+      AsmString = "mfdscr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 18) {
+      // (MFSPR gprc:$Rx, 18)
+      AsmString = "mfdsisr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 19) {
+      // (MFSPR gprc:$Rx, 19)
+      AsmString = "mfdar $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 990) {
+      // (MFSPR gprc:$Rx, 990)
+      AsmString = "mfsrr2 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 991) {
+      // (MFSPR gprc:$Rx, 991)
+      AsmString = "mfsrr3 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 28) {
+      // (MFSPR gprc:$Rx, 28)
+      AsmString = "mfcfar $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 29) {
+      // (MFSPR gprc:$Rx, 29)
+      AsmString = "mfamr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 48) {
+      // (MFSPR gprc:$Rx, 48)
+      AsmString = "mfpid $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 989) {
+      // (MFSPR gprc:$Rx, 989)
+      AsmString = "mftblo $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 988) {
+      // (MFSPR gprc:$Rx, 988)
+      AsmString = "mftbhi $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 536) {
+      // (MFSPR gprc:$Rx, 536)
+      AsmString = "mfdbatu $\x01, 0";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 538) {
+      // (MFSPR gprc:$Rx, 538)
+      AsmString = "mfdbatu $\x01, 1";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 540) {
+      // (MFSPR gprc:$Rx, 540)
+      AsmString = "mfdbatu $\x01, 2";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 542) {
+      // (MFSPR gprc:$Rx, 542)
+      AsmString = "mfdbatu $\x01, 3";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 537) {
+      // (MFSPR gprc:$Rx, 537)
+      AsmString = "mfdbatl $\x01, 0";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 539) {
+      // (MFSPR gprc:$Rx, 539)
+      AsmString = "mfdbatl $\x01, 1";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 541) {
+      // (MFSPR gprc:$Rx, 541)
+      AsmString = "mfdbatl $\x01, 2";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 543) {
+      // (MFSPR gprc:$Rx, 543)
+      AsmString = "mfdbatl $\x01, 3";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 528) {
+      // (MFSPR gprc:$Rx, 528)
+      AsmString = "mfibatu $\x01, 0";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 530) {
+      // (MFSPR gprc:$Rx, 530)
+      AsmString = "mfibatu $\x01, 1";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 532) {
+      // (MFSPR gprc:$Rx, 532)
+      AsmString = "mfibatu $\x01, 2";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 534) {
+      // (MFSPR gprc:$Rx, 534)
+      AsmString = "mfibatu $\x01, 3";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 529) {
+      // (MFSPR gprc:$Rx, 529)
+      AsmString = "mfibatl $\x01, 0";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 531) {
+      // (MFSPR gprc:$Rx, 531)
+      AsmString = "mfibatl $\x01, 1";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 533) {
+      // (MFSPR gprc:$Rx, 533)
+      AsmString = "mfibatl $\x01, 2";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 535) {
+      // (MFSPR gprc:$Rx, 535)
+      AsmString = "mfibatl $\x01, 3";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1018) {
+      // (MFSPR gprc:$Rx, 1018)
+      AsmString = "mfdccr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1019) {
+      // (MFSPR gprc:$Rx, 1019)
+      AsmString = "mficcr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 981) {
+      // (MFSPR gprc:$Rx, 981)
+      AsmString = "mfdear $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 980) {
+      // (MFSPR gprc:$Rx, 980)
+      AsmString = "mfesr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 512) {
+      // (MFSPR gprc:$Rx, 512)
+      AsmString = "mfspefscr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 986) {
+      // (MFSPR gprc:$Rx, 986)
+      AsmString = "mftcr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 280) {
+      // (MFSPR gprc:$RT, 280)
+      AsmString = "mfasr $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 287) {
+      // (MFSPR gprc:$RT, 287)
+      AsmString = "mfpvr $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MFTB:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 269) {
+      // (MFTB gprc:$Rx, 269)
+      AsmString = "mftbu $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MTCRF8:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 255 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (MTCRF8 255, g8rc:$rA)
+      AsmString = "mtcr $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_MTDCR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 128) {
+      // (MTDCR gprc:$Rx, 128)
+      AsmString = "mtbr0 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 129) {
+      // (MTDCR gprc:$Rx, 129)
+      AsmString = "mtbr1 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 130) {
+      // (MTDCR gprc:$Rx, 130)
+      AsmString = "mtbr2 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 131) {
+      // (MTDCR gprc:$Rx, 131)
+      AsmString = "mtbr3 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 132) {
+      // (MTDCR gprc:$Rx, 132)
+      AsmString = "mtbr4 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 133) {
+      // (MTDCR gprc:$Rx, 133)
+      AsmString = "mtbr5 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 134) {
+      // (MTDCR gprc:$Rx, 134)
+      AsmString = "mtbr6 $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 135) {
+      // (MTDCR gprc:$Rx, 135)
+      AsmString = "mtbr7 $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MTMSR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (MTMSR gprc:$RS, 0)
+      AsmString = "mtmsr $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MTMSRD:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (MTMSRD gprc:$RS, 0)
+      AsmString = "mtmsrd $\x01";
+      break;
+    }
+    return NULL;
+  case PPC_MTSPR:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 1, gprc:$Rx)
+      AsmString = "mtxer $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 17 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 17, gprc:$Rx)
+      AsmString = "mtdscr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 18 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 18, gprc:$Rx)
+      AsmString = "mtdsisr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 19 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 19, gprc:$Rx)
+      AsmString = "mtdar $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 990 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 990, gprc:$Rx)
+      AsmString = "mtsrr2 $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 991 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 991, gprc:$Rx)
+      AsmString = "mtsrr3 $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 28 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 28, gprc:$Rx)
+      AsmString = "mtcfar $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 29 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 29, gprc:$Rx)
+      AsmString = "mtamr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 48 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 48, gprc:$Rx)
+      AsmString = "mtpid $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 284 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 284, gprc:$Rx)
+      AsmString = "mttbl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 285 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 285, gprc:$Rx)
+      AsmString = "mttbu $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 989 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 989, gprc:$Rx)
+      AsmString = "mttblo $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 988 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 988, gprc:$Rx)
+      AsmString = "mttbhi $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 536 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 536, gprc:$Rx)
+      AsmString = "mtdbatu 0, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 538 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 538, gprc:$Rx)
+      AsmString = "mtdbatu 1, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 540 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 540, gprc:$Rx)
+      AsmString = "mtdbatu 2, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 542 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 542, gprc:$Rx)
+      AsmString = "mtdbatu 3, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 537 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 537, gprc:$Rx)
+      AsmString = "mtdbatl 0, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 539 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 539, gprc:$Rx)
+      AsmString = "mtdbatl 1, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 541 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 541, gprc:$Rx)
+      AsmString = "mtdbatl 2, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 543 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 543, gprc:$Rx)
+      AsmString = "mtdbatl 3, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 528 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 528, gprc:$Rx)
+      AsmString = "mtibatu 0, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 530 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 530, gprc:$Rx)
+      AsmString = "mtibatu 1, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 532 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 532, gprc:$Rx)
+      AsmString = "mtibatu 2, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 534 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 534, gprc:$Rx)
+      AsmString = "mtibatu 3, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 529 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 529, gprc:$Rx)
+      AsmString = "mtibatl 0, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 531 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 531, gprc:$Rx)
+      AsmString = "mtibatl 1, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 533 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 533, gprc:$Rx)
+      AsmString = "mtibatl 2, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 535 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 535, gprc:$Rx)
+      AsmString = "mtibatl 3, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1018 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 1018, gprc:$Rx)
+      AsmString = "mtdccr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1019 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 1019, gprc:$Rx)
+      AsmString = "mticcr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 981 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 981, gprc:$Rx)
+      AsmString = "mtdear $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 980 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 980, gprc:$Rx)
+      AsmString = "mtesr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 512 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 512, gprc:$Rx)
+      AsmString = "mtspefscr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 986 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (MTSPR 986, gprc:$Rx)
+      AsmString = "mttcr $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_NOR8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (NOR8 g8rc:$rA, g8rc:$rB, g8rc:$rB)
+      AsmString = "not $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_NOR8o:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (NOR8o g8rc:$rA, g8rc:$rB, g8rc:$rB)
+      AsmString = "not. $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_OR8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (OR8 g8rc:$rA, g8rc:$rB, g8rc:$rB)
+      AsmString = "mr $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_OR8o:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (OR8o g8rc:$rA, g8rc:$rB, g8rc:$rB)
+      AsmString = "mr. $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_RLDCL:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (RLDCL g8rc:$rA, g8rc:$rS, gprc:$rB, 0)
+      AsmString = "rotld $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_RLDCLo:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (RLDCLo g8rc:$rA, g8rc:$rS, gprc:$rB, 0)
+      AsmString = "rotld. $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_RLDICL:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (RLDICL g8rc:$rA, g8rc:$rS, u6imm:$n, 0)
+      AsmString = "rotldi $\x01, $\x02, $\xFF\x03\x05";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (RLDICL g8rc:$rA, g8rc:$rS, 0, u6imm:$n)
+      AsmString = "clrldi $\x01, $\x02, $\xFF\x04\x05";
+      break;
+    }
+    return NULL;
+  case PPC_RLDICLo:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (RLDICLo g8rc:$rA, g8rc:$rS, u6imm:$n, 0)
+      AsmString = "rotldi. $\x01, $\x02, $\xFF\x03\x05";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (RLDICLo g8rc:$rA, g8rc:$rS, 0, u6imm:$n)
+      AsmString = "clrldi. $\x01, $\x02, $\xFF\x04\x05";
+      break;
+    }
+    return NULL;
+  case PPC_RLWINM:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 31) {
+      // (RLWINM gprc:$rA, gprc:$rS, u5imm:$n, 0, 31)
+      AsmString = "rotlwi $\x01, $\x02, $\xFF\x03\x06";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 31) {
+      // (RLWINM gprc:$rA, gprc:$rS, 0, u5imm:$n, 31)
+      AsmString = "clrlwi $\x01, $\x02, $\xFF\x04\x06";
+      break;
+    }
+    return NULL;
+  case PPC_RLWINMo:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 31) {
+      // (RLWINMo gprc:$rA, gprc:$rS, u5imm:$n, 0, 31)
+      AsmString = "rotlwi. $\x01, $\x02, $\xFF\x03\x06";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 31) {
+      // (RLWINMo gprc:$rA, gprc:$rS, 0, u5imm:$n, 31)
+      AsmString = "clrlwi. $\x01, $\x02, $\xFF\x04\x06";
+      break;
+    }
+    return NULL;
+  case PPC_RLWNM:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 31) {
+      // (RLWNM gprc:$rA, gprc:$rS, gprc:$rB, 0, 31)
+      AsmString = "rotlw $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_RLWNMo:
+    if (MCInst_getNumOperands(MI) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 4)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 4)) == 31) {
+      // (RLWNMo gprc:$rA, gprc:$rS, gprc:$rB, 0, 31)
+      AsmString = "rotlw. $\x01, $\x02, $\x03";
+      break;
+    }
+    return NULL;
   case PPC_SC:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3413,7 +7161,59 @@
       AsmString = "sc";
       break;
     }
-    return false;
+    return NULL;
+  case PPC_SUBF8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (SUBF8 g8rc:$rA, g8rc:$rC, g8rc:$rB)
+      AsmString = "sub $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_SUBF8o:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (SUBF8o g8rc:$rA, g8rc:$rC, g8rc:$rB)
+      AsmString = "sub. $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_SUBFC8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (SUBFC8 g8rc:$rA, g8rc:$rC, g8rc:$rB)
+      AsmString = "subc $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_SUBFC8o:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (SUBFC8o g8rc:$rA, g8rc:$rC, g8rc:$rB)
+      AsmString = "subc. $\x01, $\x03, $\x02";
+      break;
+    }
+    return NULL;
   case PPC_SYNC:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3429,7 +7229,353 @@
       AsmString = "ptesync";
       break;
     }
-    return false;
+    return NULL;
+  case PPC_TD:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 16 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 16, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdlt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 4, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdeq $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 8, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdgt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 24 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 24, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdne $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 2, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdllt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 1, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdlgt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 31 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 2)) {
+      // (TD 31, g8rc:$rA, g8rc:$rB)
+      AsmString = "tdu $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_TDI:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 16 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 16, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdlti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 4, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdeqi $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 8, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdgti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 24 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 24, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdnei $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 2, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdllti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 1, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdlgti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 31 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_G8RCRegClassID, 1)) {
+      // (TDI 31, g8rc:$rA, s16imm:$imm)
+      AsmString = "tdui $\x02, $\xFF\x03\x03";
+      break;
+    }
+    return NULL;
+  case PPC_TLBIE:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_R0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TLBIE R0, gprc:$RB)
+      AsmString = "tlbie $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_TLBRE2:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (TLBRE2 gprc:$RS, gprc:$A, 0)
+      AsmString = "tlbrehi $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (TLBRE2 gprc:$RS, gprc:$A, 1)
+      AsmString = "tlbrelo $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_TLBWE2:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (TLBWE2 gprc:$RS, gprc:$A, 0)
+      AsmString = "tlbwehi $\x01, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (TLBWE2 gprc:$RS, gprc:$A, 1)
+      AsmString = "tlbwelo $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_TW:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 16 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 16, gprc:$rA, gprc:$rB)
+      AsmString = "twlt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 4, gprc:$rA, gprc:$rB)
+      AsmString = "tweq $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 8, gprc:$rA, gprc:$rB)
+      AsmString = "twgt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 24 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 24, gprc:$rA, gprc:$rB)
+      AsmString = "twne $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 2, gprc:$rA, gprc:$rB)
+      AsmString = "twllt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 1, gprc:$rA, gprc:$rB)
+      AsmString = "twlgt $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 31 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 2)) {
+      // (TW 31, gprc:$rA, gprc:$rB)
+      AsmString = "twu $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case PPC_TWI:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 16 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 16, gprc:$rA, s16imm:$imm)
+      AsmString = "twlti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 4, gprc:$rA, s16imm:$imm)
+      AsmString = "tweqi $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 8, gprc:$rA, s16imm:$imm)
+      AsmString = "twgti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 24 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 24, gprc:$rA, s16imm:$imm)
+      AsmString = "twnei $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 2, gprc:$rA, s16imm:$imm)
+      AsmString = "twllti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 1, gprc:$rA, s16imm:$imm)
+      AsmString = "twlgti $\x02, $\xFF\x03\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 31 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_GPRCRegClassID, 1)) {
+      // (TWI 31, gprc:$rA, s16imm:$imm)
+      AsmString = "twui $\x02, $\xFF\x03\x03";
+      break;
+    }
+    return NULL;
   case PPC_WAIT:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3452,7 +7598,7 @@
       AsmString = "waitimpl";
       break;
     }
-    return false;
+    return NULL;
   case PPC_XORI:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == PPC_R0 &&
@@ -3463,35 +7609,905 @@
       AsmString = "xnop";
       break;
     }
-    return false;
+    return NULL;
+  case PPC_XVCPSGNDP:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (XVCPSGNDP vsrc:$XT, vsrc:$XB, vsrc:$XB)
+      AsmString = "xvmovdp $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_XVCPSGNSP:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
+      // (XVCPSGNSP vsrc:$XT, vsrc:$XB, vsrc:$XB)
+      AsmString = "xvmovsp $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_XXPERMDI:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (XXPERMDI vsrc:$XT, vsrc:$XB, vsrc:$XB, 0)
+      AsmString = "xxspltd $\x01, $\x02, 0";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (XXPERMDI vsrc:$XT, vsrc:$XB, vsrc:$XB, 3)
+      AsmString = "xxspltd $\x01, $\x02, 1";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (XXPERMDI vsrc:$XT, vsrc:$XA, vsrc:$XB, 0)
+      AsmString = "xxmrghd $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (XXPERMDI vsrc:$XT, vsrc:$XA, vsrc:$XB, 3)
+      AsmString = "xxmrgld $\x01, $\x02, $\x03";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_VSRCRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 2)) == MCOperand_getReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
+      // (XXPERMDI vsrc:$XT, vsrc:$XB, vsrc:$XB, 2)
+      AsmString = "xxswapd $\x01, $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_gBC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 12, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bt $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        PPC_map_register(MCOperand_getReg(MCInst_getOperand(MI, 1))) == PPC_REG_R2 &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 4, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bne $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 4, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bf $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 14, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bt- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 6, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bf- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 15, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bt+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 7, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bf+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 8, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdnzt $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 0, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdnzf $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 10, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdzt $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBC 2, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdzf $\x02, $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case PPC_gBCA:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 12, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bta $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 4, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bfa $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 14, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bta- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 6, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bfa- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 15, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bta+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 7, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bfa+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 8, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdnzta $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 0, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdnzfa $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 10, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdzta $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCA 2, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdzfa $\x02, $\xFF\x03\x02";
+      break;
+    }
+    return NULL;
+  case PPC_gBCCTR:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR u5imm:$bo, crbitrc:$bi, 0)
+      AsmString = "bcctr $\xFF\x01\x06, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR 12, crbitrc:$bi, 0)
+      AsmString = "btctr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR 4, crbitrc:$bi, 0)
+      AsmString = "bfctr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR 14, crbitrc:$bi, 0)
+      AsmString = "btctr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR 6, crbitrc:$bi, 0)
+      AsmString = "bfctr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR 15, crbitrc:$bi, 0)
+      AsmString = "btctr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTR 7, crbitrc:$bi, 0)
+      AsmString = "bfctr+ $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_gBCCTRL:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL u5imm:$bo, crbitrc:$bi, 0)
+      AsmString = "bcctrl $\xFF\x01\x06, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL 12, crbitrc:$bi, 0)
+      AsmString = "btctrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL 4, crbitrc:$bi, 0)
+      AsmString = "bfctrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL 14, crbitrc:$bi, 0)
+      AsmString = "btctrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL 6, crbitrc:$bi, 0)
+      AsmString = "bfctrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL 15, crbitrc:$bi, 0)
+      AsmString = "btctrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCCTRL 7, crbitrc:$bi, 0)
+      AsmString = "bfctrl+ $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_gBCL:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 12, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "btl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 4, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bfl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 14, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "btl- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 6, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bfl- $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 15, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "btl+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 7, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bfl+ $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 8, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdnztl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 0, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdnzfl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 10, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdztl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCL 2, crbitrc:$bi, condbrtarget:$dst)
+      AsmString = "bdzfl $\x02, $\xFF\x03\x01";
+      break;
+    }
+    return NULL;
+  case PPC_gBCLA:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 12, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "btla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 4, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bfla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 14, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "btla- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 6, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bfla- $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 15, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "btla+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 7, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bfla+ $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 8, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdnztla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 0, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdnzfla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 10, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdztla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1)) {
+      // (gBCLA 2, crbitrc:$bi, abscondbrtarget:$dst)
+      AsmString = "bdzfla $\x02, $\xFF\x03\x02";
+      break;
+    }
+    return NULL;
+  case PPC_gBCLR:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR u5imm:$bo, crbitrc:$bi, 0)
+      AsmString = "bclr $\xFF\x01\x06, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 12, crbitrc:$bi, 0)
+      AsmString = "btlr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 4, crbitrc:$bi, 0)
+      AsmString = "bflr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 14, crbitrc:$bi, 0)
+      AsmString = "btlr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 6, crbitrc:$bi, 0)
+      AsmString = "bflr- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 15, crbitrc:$bi, 0)
+      AsmString = "btlr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 7, crbitrc:$bi, 0)
+      AsmString = "bflr+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 8, crbitrc:$bi, 0)
+      AsmString = "bdnztlr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 0, crbitrc:$bi, 0)
+      AsmString = "bdnzflr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 10, crbitrc:$bi, 0)
+      AsmString = "bdztlr $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLR 2, crbitrc:$bi, 0)
+      AsmString = "bdzflr $\x02";
+      break;
+    }
+    return NULL;
+  case PPC_gBCLRL:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL u5imm:$bo, crbitrc:$bi, 0)
+      AsmString = "bclrl $\xFF\x01\x06, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 12, crbitrc:$bi, 0)
+      AsmString = "btlrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 4, crbitrc:$bi, 0)
+      AsmString = "bflrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 14, crbitrc:$bi, 0)
+      AsmString = "btlrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 6, crbitrc:$bi, 0)
+      AsmString = "bflrl- $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 15, crbitrc:$bi, 0)
+      AsmString = "btlrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 7, crbitrc:$bi, 0)
+      AsmString = "bflrl+ $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 8, crbitrc:$bi, 0)
+      AsmString = "bdnztlrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 0, crbitrc:$bi, 0)
+      AsmString = "bdnzflrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 10, crbitrc:$bi, 0)
+      AsmString = "bdztlrl $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 0)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(PPC_CRBITRCRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (gBCLRL 2, crbitrc:$bi, 0)
+      AsmString = "bdzflrl $\x02";
+      break;
+    }
+    return NULL;
   }
 
-  char *tmp = cs_strdup(AsmString), *AsmMnem, *AsmOps;
+  tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-	  *AsmOps = '\0';
-	  AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
-
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
-	  SStream_concat0(OS, "\t");
-
-      char *c;
-  	  for (c = AsmOps; *c; c++) {
-  	  	if (*c == '$') {
-			c += 1;
-            printOperand(MI, *c - 1, OS);
-  	  	} else {
-  	  		SStream_concat(OS, "%c", *c);
-	  	}
-  	  }
+  if (*AsmOps) {
+    SStream_concat0(OS, "\t");
+    for (c = AsmOps; *c; c++) {
+      if (*c == '$') {
+        c += 1;
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
+      } else {
+        SStream_concat(OS, "%c", *c);
+      }
+    }
   }
-
-  my_free(tmp);
-
-  return true;
+  return tmp;
 }
 
 #endif // PRINT_ALIAS_INSTR
diff --git a/arch/PowerPC/PPCGenDisassemblerTables.inc b/arch/PowerPC/PPCGenDisassemblerTables.inc
index 91fac5a..bd7a3fc 100644
--- a/arch/PowerPC/PPCGenDisassemblerTables.inc
+++ b/arch/PowerPC/PPCGenDisassemblerTables.inc
@@ -31,1587 +31,2207 @@
 static uint8_t DecoderTable32[] = {
 /* 0 */       MCD_OPC_ExtractField, 26, 6,  // Inst{31-26} ...
 /* 3 */       MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 11
-/* 7 */       MCD_OPC_Decode, 183, 5, 0, // Opcode: TDI
+/* 7 */       MCD_OPC_Decode, 161, 7, 0, // Opcode: TDI
 /* 11 */      MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 19
-/* 15 */      MCD_OPC_Decode, 189, 5, 1, // Opcode: TWI
+/* 15 */      MCD_OPC_Decode, 178, 7, 1, // Opcode: TWI
 /* 19 */      MCD_OPC_FilterValue, 4, 155, 5, // Skip to: 1458
 /* 23 */      MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
 /* 26 */      MCD_OPC_FilterValue, 0, 163, 0, // Skip to: 193
 /* 30 */      MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 33 */      MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 41
-/* 37 */      MCD_OPC_Decode, 196, 5, 2, // Opcode: VADDUBM
+/* 37 */      MCD_OPC_Decode, 186, 7, 2, // Opcode: VADDUBM
 /* 41 */      MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 49
-/* 45 */      MCD_OPC_Decode, 198, 5, 2, // Opcode: VADDUHM
+/* 45 */      MCD_OPC_Decode, 188, 7, 2, // Opcode: VADDUHM
 /* 49 */      MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 57
-/* 53 */      MCD_OPC_Decode, 200, 5, 2, // Opcode: VADDUWM
+/* 53 */      MCD_OPC_Decode, 190, 7, 2, // Opcode: VADDUWM
 /* 57 */      MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 65
-/* 61 */      MCD_OPC_Decode, 191, 5, 2, // Opcode: VADDCUW
+/* 61 */      MCD_OPC_Decode, 181, 7, 2, // Opcode: VADDCUW
 /* 65 */      MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 73
-/* 69 */      MCD_OPC_Decode, 197, 5, 2, // Opcode: VADDUBS
+/* 69 */      MCD_OPC_Decode, 187, 7, 2, // Opcode: VADDUBS
 /* 73 */      MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 81
-/* 77 */      MCD_OPC_Decode, 199, 5, 2, // Opcode: VADDUHS
+/* 77 */      MCD_OPC_Decode, 189, 7, 2, // Opcode: VADDUHS
 /* 81 */      MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 89
-/* 85 */      MCD_OPC_Decode, 201, 5, 2, // Opcode: VADDUWS
+/* 85 */      MCD_OPC_Decode, 191, 7, 2, // Opcode: VADDUWS
 /* 89 */      MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 97
-/* 93 */      MCD_OPC_Decode, 193, 5, 2, // Opcode: VADDSBS
+/* 93 */      MCD_OPC_Decode, 183, 7, 2, // Opcode: VADDSBS
 /* 97 */      MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 105
-/* 101 */     MCD_OPC_Decode, 194, 5, 2, // Opcode: VADDSHS
+/* 101 */     MCD_OPC_Decode, 184, 7, 2, // Opcode: VADDSHS
 /* 105 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 113
-/* 109 */     MCD_OPC_Decode, 195, 5, 2, // Opcode: VADDSWS
+/* 109 */     MCD_OPC_Decode, 185, 7, 2, // Opcode: VADDSWS
 /* 113 */     MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 121
-/* 117 */     MCD_OPC_Decode, 204, 6, 2, // Opcode: VSUBUBM
+/* 117 */     MCD_OPC_Decode, 194, 8, 2, // Opcode: VSUBUBM
 /* 121 */     MCD_OPC_FilterValue, 17, 4, 0, // Skip to: 129
-/* 125 */     MCD_OPC_Decode, 206, 6, 2, // Opcode: VSUBUHM
+/* 125 */     MCD_OPC_Decode, 196, 8, 2, // Opcode: VSUBUHM
 /* 129 */     MCD_OPC_FilterValue, 18, 4, 0, // Skip to: 137
-/* 133 */     MCD_OPC_Decode, 208, 6, 2, // Opcode: VSUBUWM
+/* 133 */     MCD_OPC_Decode, 198, 8, 2, // Opcode: VSUBUWM
 /* 137 */     MCD_OPC_FilterValue, 22, 4, 0, // Skip to: 145
-/* 141 */     MCD_OPC_Decode, 199, 6, 2, // Opcode: VSUBCUW
+/* 141 */     MCD_OPC_Decode, 189, 8, 2, // Opcode: VSUBCUW
 /* 145 */     MCD_OPC_FilterValue, 24, 4, 0, // Skip to: 153
-/* 149 */     MCD_OPC_Decode, 205, 6, 2, // Opcode: VSUBUBS
+/* 149 */     MCD_OPC_Decode, 195, 8, 2, // Opcode: VSUBUBS
 /* 153 */     MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 161
-/* 157 */     MCD_OPC_Decode, 207, 6, 2, // Opcode: VSUBUHS
+/* 157 */     MCD_OPC_Decode, 197, 8, 2, // Opcode: VSUBUHS
 /* 161 */     MCD_OPC_FilterValue, 26, 4, 0, // Skip to: 169
-/* 165 */     MCD_OPC_Decode, 209, 6, 2, // Opcode: VSUBUWS
+/* 165 */     MCD_OPC_Decode, 199, 8, 2, // Opcode: VSUBUWS
 /* 169 */     MCD_OPC_FilterValue, 28, 4, 0, // Skip to: 177
-/* 173 */     MCD_OPC_Decode, 201, 6, 2, // Opcode: VSUBSBS
+/* 173 */     MCD_OPC_Decode, 191, 8, 2, // Opcode: VSUBSBS
 /* 177 */     MCD_OPC_FilterValue, 29, 4, 0, // Skip to: 185
-/* 181 */     MCD_OPC_Decode, 202, 6, 2, // Opcode: VSUBSHS
-/* 185 */     MCD_OPC_FilterValue, 30, 108, 25, // Skip to: 6697
-/* 189 */     MCD_OPC_Decode, 203, 6, 2, // Opcode: VSUBSWS
+/* 181 */     MCD_OPC_Decode, 192, 8, 2, // Opcode: VSUBSHS
+/* 185 */     MCD_OPC_FilterValue, 30, 223, 35, // Skip to: 9372
+/* 189 */     MCD_OPC_Decode, 193, 8, 2, // Opcode: VSUBSWS
 /* 193 */     MCD_OPC_FilterValue, 2, 147, 0, // Skip to: 344
 /* 197 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 200 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 208
-/* 204 */     MCD_OPC_Decode, 251, 5, 2, // Opcode: VMAXUB
+/* 204 */     MCD_OPC_Decode, 241, 7, 2, // Opcode: VMAXUB
 /* 208 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 216
-/* 212 */     MCD_OPC_Decode, 252, 5, 2, // Opcode: VMAXUH
+/* 212 */     MCD_OPC_Decode, 242, 7, 2, // Opcode: VMAXUH
 /* 216 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 224
-/* 220 */     MCD_OPC_Decode, 253, 5, 2, // Opcode: VMAXUW
+/* 220 */     MCD_OPC_Decode, 243, 7, 2, // Opcode: VMAXUW
 /* 224 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 232
-/* 228 */     MCD_OPC_Decode, 248, 5, 2, // Opcode: VMAXSB
+/* 228 */     MCD_OPC_Decode, 238, 7, 2, // Opcode: VMAXSB
 /* 232 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 240
-/* 236 */     MCD_OPC_Decode, 249, 5, 2, // Opcode: VMAXSH
+/* 236 */     MCD_OPC_Decode, 239, 7, 2, // Opcode: VMAXSH
 /* 240 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 248
-/* 244 */     MCD_OPC_Decode, 250, 5, 2, // Opcode: VMAXSW
+/* 244 */     MCD_OPC_Decode, 240, 7, 2, // Opcode: VMAXSW
 /* 248 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 256
-/* 252 */     MCD_OPC_Decode, 132, 6, 2, // Opcode: VMINUB
+/* 252 */     MCD_OPC_Decode, 250, 7, 2, // Opcode: VMINUB
 /* 256 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 264
-/* 260 */     MCD_OPC_Decode, 133, 6, 2, // Opcode: VMINUH
+/* 260 */     MCD_OPC_Decode, 251, 7, 2, // Opcode: VMINUH
 /* 264 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 272
-/* 268 */     MCD_OPC_Decode, 134, 6, 2, // Opcode: VMINUW
+/* 268 */     MCD_OPC_Decode, 252, 7, 2, // Opcode: VMINUW
 /* 272 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 280
-/* 276 */     MCD_OPC_Decode, 129, 6, 2, // Opcode: VMINSB
+/* 276 */     MCD_OPC_Decode, 247, 7, 2, // Opcode: VMINSB
 /* 280 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 288
-/* 284 */     MCD_OPC_Decode, 130, 6, 2, // Opcode: VMINSH
+/* 284 */     MCD_OPC_Decode, 248, 7, 2, // Opcode: VMINSH
 /* 288 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 296
-/* 292 */     MCD_OPC_Decode, 131, 6, 2, // Opcode: VMINSW
+/* 292 */     MCD_OPC_Decode, 249, 7, 2, // Opcode: VMINSW
 /* 296 */     MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 304
-/* 300 */     MCD_OPC_Decode, 207, 5, 2, // Opcode: VAVGUB
+/* 300 */     MCD_OPC_Decode, 197, 7, 2, // Opcode: VAVGUB
 /* 304 */     MCD_OPC_FilterValue, 17, 4, 0, // Skip to: 312
-/* 308 */     MCD_OPC_Decode, 208, 5, 2, // Opcode: VAVGUH
+/* 308 */     MCD_OPC_Decode, 198, 7, 2, // Opcode: VAVGUH
 /* 312 */     MCD_OPC_FilterValue, 18, 4, 0, // Skip to: 320
-/* 316 */     MCD_OPC_Decode, 209, 5, 2, // Opcode: VAVGUW
+/* 316 */     MCD_OPC_Decode, 199, 7, 2, // Opcode: VAVGUW
 /* 320 */     MCD_OPC_FilterValue, 20, 4, 0, // Skip to: 328
-/* 324 */     MCD_OPC_Decode, 204, 5, 2, // Opcode: VAVGSB
+/* 324 */     MCD_OPC_Decode, 194, 7, 2, // Opcode: VAVGSB
 /* 328 */     MCD_OPC_FilterValue, 21, 4, 0, // Skip to: 336
-/* 332 */     MCD_OPC_Decode, 205, 5, 2, // Opcode: VAVGSH
-/* 336 */     MCD_OPC_FilterValue, 22, 213, 24, // Skip to: 6697
-/* 340 */     MCD_OPC_Decode, 206, 5, 2, // Opcode: VAVGSW
+/* 332 */     MCD_OPC_Decode, 195, 7, 2, // Opcode: VAVGSH
+/* 336 */     MCD_OPC_FilterValue, 22, 72, 35, // Skip to: 9372
+/* 340 */     MCD_OPC_Decode, 196, 7, 2, // Opcode: VAVGSW
 /* 344 */     MCD_OPC_FilterValue, 4, 183, 0, // Skip to: 531
 /* 348 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 351 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 359
-/* 355 */     MCD_OPC_Decode, 174, 6, 2, // Opcode: VRLB
+/* 355 */     MCD_OPC_Decode, 164, 8, 2, // Opcode: VRLB
 /* 359 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 367
-/* 363 */     MCD_OPC_Decode, 175, 6, 2, // Opcode: VRLH
+/* 363 */     MCD_OPC_Decode, 165, 8, 2, // Opcode: VRLH
 /* 367 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 375
-/* 371 */     MCD_OPC_Decode, 176, 6, 2, // Opcode: VRLW
+/* 371 */     MCD_OPC_Decode, 166, 8, 2, // Opcode: VRLW
 /* 375 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 383
-/* 379 */     MCD_OPC_Decode, 180, 6, 2, // Opcode: VSLB
+/* 379 */     MCD_OPC_Decode, 170, 8, 2, // Opcode: VSLB
 /* 383 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 391
-/* 387 */     MCD_OPC_Decode, 182, 6, 2, // Opcode: VSLH
+/* 387 */     MCD_OPC_Decode, 172, 8, 2, // Opcode: VSLH
 /* 391 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 399
-/* 395 */     MCD_OPC_Decode, 184, 6, 2, // Opcode: VSLW
+/* 395 */     MCD_OPC_Decode, 174, 8, 2, // Opcode: VSLW
 /* 399 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 407
-/* 403 */     MCD_OPC_Decode, 179, 6, 2, // Opcode: VSL
+/* 403 */     MCD_OPC_Decode, 169, 8, 2, // Opcode: VSL
 /* 407 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 415
-/* 411 */     MCD_OPC_Decode, 195, 6, 2, // Opcode: VSRB
+/* 411 */     MCD_OPC_Decode, 185, 8, 2, // Opcode: VSRB
 /* 415 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 423
-/* 419 */     MCD_OPC_Decode, 196, 6, 2, // Opcode: VSRH
+/* 419 */     MCD_OPC_Decode, 186, 8, 2, // Opcode: VSRH
 /* 423 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 431
-/* 427 */     MCD_OPC_Decode, 198, 6, 2, // Opcode: VSRW
+/* 427 */     MCD_OPC_Decode, 188, 8, 2, // Opcode: VSRW
 /* 431 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 439
-/* 435 */     MCD_OPC_Decode, 191, 6, 2, // Opcode: VSR
+/* 435 */     MCD_OPC_Decode, 181, 8, 2, // Opcode: VSR
 /* 439 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 447
-/* 443 */     MCD_OPC_Decode, 192, 6, 2, // Opcode: VSRAB
+/* 443 */     MCD_OPC_Decode, 182, 8, 2, // Opcode: VSRAB
 /* 447 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 455
-/* 451 */     MCD_OPC_Decode, 193, 6, 2, // Opcode: VSRAH
+/* 451 */     MCD_OPC_Decode, 183, 8, 2, // Opcode: VSRAH
 /* 455 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 463
-/* 459 */     MCD_OPC_Decode, 194, 6, 2, // Opcode: VSRAW
+/* 459 */     MCD_OPC_Decode, 184, 8, 2, // Opcode: VSRAW
 /* 463 */     MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 471
-/* 467 */     MCD_OPC_Decode, 202, 5, 2, // Opcode: VAND
+/* 467 */     MCD_OPC_Decode, 192, 7, 2, // Opcode: VAND
 /* 471 */     MCD_OPC_FilterValue, 17, 4, 0, // Skip to: 479
-/* 475 */     MCD_OPC_Decode, 203, 5, 2, // Opcode: VANDC
+/* 475 */     MCD_OPC_Decode, 193, 7, 2, // Opcode: VANDC
 /* 479 */     MCD_OPC_FilterValue, 18, 4, 0, // Skip to: 487
-/* 483 */     MCD_OPC_Decode, 158, 6, 2, // Opcode: VOR
+/* 483 */     MCD_OPC_Decode, 148, 8, 2, // Opcode: VOR
 /* 487 */     MCD_OPC_FilterValue, 19, 4, 0, // Skip to: 495
-/* 491 */     MCD_OPC_Decode, 221, 6, 2, // Opcode: VXOR
+/* 491 */     MCD_OPC_Decode, 211, 8, 2, // Opcode: VXOR
 /* 495 */     MCD_OPC_FilterValue, 20, 4, 0, // Skip to: 503
-/* 499 */     MCD_OPC_Decode, 157, 6, 2, // Opcode: VNOR
+/* 499 */     MCD_OPC_Decode, 147, 8, 2, // Opcode: VNOR
 /* 503 */     MCD_OPC_FilterValue, 24, 10, 0, // Skip to: 517
-/* 507 */     MCD_OPC_CheckField, 11, 10, 0, 40, 24, // Skip to: 6697
-/* 513 */     MCD_OPC_Decode, 221, 3, 3, // Opcode: MFVSCR
-/* 517 */     MCD_OPC_FilterValue, 25, 32, 24, // Skip to: 6697
-/* 521 */     MCD_OPC_CheckField, 16, 10, 0, 26, 24, // Skip to: 6697
-/* 527 */     MCD_OPC_Decode, 241, 3, 4, // Opcode: MTVSCR
+/* 507 */     MCD_OPC_CheckField, 11, 10, 0, 155, 34, // Skip to: 9372
+/* 513 */     MCD_OPC_Decode, 177, 5, 3, // Opcode: MFVSCR
+/* 517 */     MCD_OPC_FilterValue, 25, 147, 34, // Skip to: 9372
+/* 521 */     MCD_OPC_CheckField, 16, 10, 0, 141, 34, // Skip to: 9372
+/* 527 */     MCD_OPC_Decode, 200, 5, 4, // Opcode: MTVSCR
 /* 531 */     MCD_OPC_FilterValue, 6, 211, 0, // Skip to: 746
 /* 535 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 538 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 546
-/* 542 */     MCD_OPC_Decode, 218, 5, 2, // Opcode: VCMPEQUB
+/* 542 */     MCD_OPC_Decode, 208, 7, 2, // Opcode: VCMPEQUB
 /* 546 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 554
-/* 550 */     MCD_OPC_Decode, 220, 5, 2, // Opcode: VCMPEQUH
+/* 550 */     MCD_OPC_Decode, 210, 7, 2, // Opcode: VCMPEQUH
 /* 554 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 562
-/* 558 */     MCD_OPC_Decode, 222, 5, 2, // Opcode: VCMPEQUW
+/* 558 */     MCD_OPC_Decode, 212, 7, 2, // Opcode: VCMPEQUW
 /* 562 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 570
-/* 566 */     MCD_OPC_Decode, 216, 5, 2, // Opcode: VCMPEQFP
+/* 566 */     MCD_OPC_Decode, 206, 7, 2, // Opcode: VCMPEQFP
 /* 570 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 578
-/* 574 */     MCD_OPC_Decode, 224, 5, 2, // Opcode: VCMPGEFP
+/* 574 */     MCD_OPC_Decode, 214, 7, 2, // Opcode: VCMPGEFP
 /* 578 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 586
-/* 582 */     MCD_OPC_Decode, 234, 5, 2, // Opcode: VCMPGTUB
+/* 582 */     MCD_OPC_Decode, 224, 7, 2, // Opcode: VCMPGTUB
 /* 586 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 594
-/* 590 */     MCD_OPC_Decode, 236, 5, 2, // Opcode: VCMPGTUH
+/* 590 */     MCD_OPC_Decode, 226, 7, 2, // Opcode: VCMPGTUH
 /* 594 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 602
-/* 598 */     MCD_OPC_Decode, 238, 5, 2, // Opcode: VCMPGTUW
+/* 598 */     MCD_OPC_Decode, 228, 7, 2, // Opcode: VCMPGTUW
 /* 602 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 610
-/* 606 */     MCD_OPC_Decode, 226, 5, 2, // Opcode: VCMPGTFP
+/* 606 */     MCD_OPC_Decode, 216, 7, 2, // Opcode: VCMPGTFP
 /* 610 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 618
-/* 614 */     MCD_OPC_Decode, 228, 5, 2, // Opcode: VCMPGTSB
+/* 614 */     MCD_OPC_Decode, 218, 7, 2, // Opcode: VCMPGTSB
 /* 618 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 626
-/* 622 */     MCD_OPC_Decode, 230, 5, 2, // Opcode: VCMPGTSH
+/* 622 */     MCD_OPC_Decode, 220, 7, 2, // Opcode: VCMPGTSH
 /* 626 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 634
-/* 630 */     MCD_OPC_Decode, 232, 5, 2, // Opcode: VCMPGTSW
+/* 630 */     MCD_OPC_Decode, 222, 7, 2, // Opcode: VCMPGTSW
 /* 634 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 642
-/* 638 */     MCD_OPC_Decode, 214, 5, 2, // Opcode: VCMPBFP
+/* 638 */     MCD_OPC_Decode, 204, 7, 2, // Opcode: VCMPBFP
 /* 642 */     MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 650
-/* 646 */     MCD_OPC_Decode, 219, 5, 2, // Opcode: VCMPEQUBo
+/* 646 */     MCD_OPC_Decode, 209, 7, 2, // Opcode: VCMPEQUBo
 /* 650 */     MCD_OPC_FilterValue, 17, 4, 0, // Skip to: 658
-/* 654 */     MCD_OPC_Decode, 221, 5, 2, // Opcode: VCMPEQUHo
+/* 654 */     MCD_OPC_Decode, 211, 7, 2, // Opcode: VCMPEQUHo
 /* 658 */     MCD_OPC_FilterValue, 18, 4, 0, // Skip to: 666
-/* 662 */     MCD_OPC_Decode, 223, 5, 2, // Opcode: VCMPEQUWo
+/* 662 */     MCD_OPC_Decode, 213, 7, 2, // Opcode: VCMPEQUWo
 /* 666 */     MCD_OPC_FilterValue, 19, 4, 0, // Skip to: 674
-/* 670 */     MCD_OPC_Decode, 217, 5, 2, // Opcode: VCMPEQFPo
+/* 670 */     MCD_OPC_Decode, 207, 7, 2, // Opcode: VCMPEQFPo
 /* 674 */     MCD_OPC_FilterValue, 23, 4, 0, // Skip to: 682
-/* 678 */     MCD_OPC_Decode, 225, 5, 2, // Opcode: VCMPGEFPo
+/* 678 */     MCD_OPC_Decode, 215, 7, 2, // Opcode: VCMPGEFPo
 /* 682 */     MCD_OPC_FilterValue, 24, 4, 0, // Skip to: 690
-/* 686 */     MCD_OPC_Decode, 235, 5, 2, // Opcode: VCMPGTUBo
+/* 686 */     MCD_OPC_Decode, 225, 7, 2, // Opcode: VCMPGTUBo
 /* 690 */     MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 698
-/* 694 */     MCD_OPC_Decode, 237, 5, 2, // Opcode: VCMPGTUHo
+/* 694 */     MCD_OPC_Decode, 227, 7, 2, // Opcode: VCMPGTUHo
 /* 698 */     MCD_OPC_FilterValue, 26, 4, 0, // Skip to: 706
-/* 702 */     MCD_OPC_Decode, 239, 5, 2, // Opcode: VCMPGTUWo
+/* 702 */     MCD_OPC_Decode, 229, 7, 2, // Opcode: VCMPGTUWo
 /* 706 */     MCD_OPC_FilterValue, 27, 4, 0, // Skip to: 714
-/* 710 */     MCD_OPC_Decode, 227, 5, 2, // Opcode: VCMPGTFPo
+/* 710 */     MCD_OPC_Decode, 217, 7, 2, // Opcode: VCMPGTFPo
 /* 714 */     MCD_OPC_FilterValue, 28, 4, 0, // Skip to: 722
-/* 718 */     MCD_OPC_Decode, 229, 5, 2, // Opcode: VCMPGTSBo
+/* 718 */     MCD_OPC_Decode, 219, 7, 2, // Opcode: VCMPGTSBo
 /* 722 */     MCD_OPC_FilterValue, 29, 4, 0, // Skip to: 730
-/* 726 */     MCD_OPC_Decode, 231, 5, 2, // Opcode: VCMPGTSHo
+/* 726 */     MCD_OPC_Decode, 221, 7, 2, // Opcode: VCMPGTSHo
 /* 730 */     MCD_OPC_FilterValue, 30, 4, 0, // Skip to: 738
-/* 734 */     MCD_OPC_Decode, 233, 5, 2, // Opcode: VCMPGTSWo
-/* 738 */     MCD_OPC_FilterValue, 31, 67, 23, // Skip to: 6697
-/* 742 */     MCD_OPC_Decode, 215, 5, 2, // Opcode: VCMPBFPo
+/* 734 */     MCD_OPC_Decode, 223, 7, 2, // Opcode: VCMPGTSWo
+/* 738 */     MCD_OPC_FilterValue, 31, 182, 33, // Skip to: 9372
+/* 742 */     MCD_OPC_Decode, 205, 7, 2, // Opcode: VCMPBFPo
 /* 746 */     MCD_OPC_FilterValue, 8, 107, 0, // Skip to: 857
 /* 750 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 753 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 761
-/* 757 */     MCD_OPC_Decode, 154, 6, 2, // Opcode: VMULOUB
+/* 757 */     MCD_OPC_Decode, 144, 8, 2, // Opcode: VMULOUB
 /* 761 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 769
-/* 765 */     MCD_OPC_Decode, 155, 6, 2, // Opcode: VMULOUH
+/* 765 */     MCD_OPC_Decode, 145, 8, 2, // Opcode: VMULOUH
 /* 769 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 777
-/* 773 */     MCD_OPC_Decode, 152, 6, 2, // Opcode: VMULOSB
+/* 773 */     MCD_OPC_Decode, 142, 8, 2, // Opcode: VMULOSB
 /* 777 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 785
-/* 781 */     MCD_OPC_Decode, 153, 6, 2, // Opcode: VMULOSH
+/* 781 */     MCD_OPC_Decode, 143, 8, 2, // Opcode: VMULOSH
 /* 785 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 793
-/* 789 */     MCD_OPC_Decode, 150, 6, 2, // Opcode: VMULEUB
+/* 789 */     MCD_OPC_Decode, 140, 8, 2, // Opcode: VMULEUB
 /* 793 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 801
-/* 797 */     MCD_OPC_Decode, 151, 6, 2, // Opcode: VMULEUH
+/* 797 */     MCD_OPC_Decode, 141, 8, 2, // Opcode: VMULEUH
 /* 801 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 809
-/* 805 */     MCD_OPC_Decode, 148, 6, 2, // Opcode: VMULESB
+/* 805 */     MCD_OPC_Decode, 138, 8, 2, // Opcode: VMULESB
 /* 809 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 817
-/* 813 */     MCD_OPC_Decode, 149, 6, 2, // Opcode: VMULESH
+/* 813 */     MCD_OPC_Decode, 139, 8, 2, // Opcode: VMULESH
 /* 817 */     MCD_OPC_FilterValue, 24, 4, 0, // Skip to: 825
-/* 821 */     MCD_OPC_Decode, 213, 6, 2, // Opcode: VSUM4UBS
+/* 821 */     MCD_OPC_Decode, 203, 8, 2, // Opcode: VSUM4UBS
 /* 825 */     MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 833
-/* 829 */     MCD_OPC_Decode, 212, 6, 2, // Opcode: VSUM4SHS
+/* 829 */     MCD_OPC_Decode, 202, 8, 2, // Opcode: VSUM4SHS
 /* 833 */     MCD_OPC_FilterValue, 26, 4, 0, // Skip to: 841
-/* 837 */     MCD_OPC_Decode, 210, 6, 2, // Opcode: VSUM2SWS
+/* 837 */     MCD_OPC_Decode, 200, 8, 2, // Opcode: VSUM2SWS
 /* 841 */     MCD_OPC_FilterValue, 28, 4, 0, // Skip to: 849
-/* 845 */     MCD_OPC_Decode, 211, 6, 2, // Opcode: VSUM4SBS
-/* 849 */     MCD_OPC_FilterValue, 30, 212, 22, // Skip to: 6697
-/* 853 */     MCD_OPC_Decode, 214, 6, 2, // Opcode: VSUMSWS
+/* 845 */     MCD_OPC_Decode, 201, 8, 2, // Opcode: VSUM4SBS
+/* 849 */     MCD_OPC_FilterValue, 30, 71, 33, // Skip to: 9372
+/* 853 */     MCD_OPC_Decode, 204, 8, 2, // Opcode: VSUMSWS
 /* 857 */     MCD_OPC_FilterValue, 10, 179, 0, // Skip to: 1040
 /* 861 */     MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 864 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 872
-/* 868 */     MCD_OPC_Decode, 192, 5, 2, // Opcode: VADDFP
+/* 868 */     MCD_OPC_Decode, 182, 7, 2, // Opcode: VADDFP
 /* 872 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 880
-/* 876 */     MCD_OPC_Decode, 200, 6, 2, // Opcode: VSUBFP
+/* 876 */     MCD_OPC_Decode, 190, 8, 2, // Opcode: VSUBFP
 /* 880 */     MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 894
-/* 884 */     MCD_OPC_CheckField, 16, 5, 0, 175, 22, // Skip to: 6697
-/* 890 */     MCD_OPC_Decode, 169, 6, 5, // Opcode: VREFP
+/* 884 */     MCD_OPC_CheckField, 16, 5, 0, 34, 33, // Skip to: 9372
+/* 890 */     MCD_OPC_Decode, 159, 8, 5, // Opcode: VREFP
 /* 894 */     MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 908
-/* 898 */     MCD_OPC_CheckField, 16, 5, 0, 161, 22, // Skip to: 6697
-/* 904 */     MCD_OPC_Decode, 177, 6, 5, // Opcode: VRSQRTEFP
+/* 898 */     MCD_OPC_CheckField, 16, 5, 0, 20, 33, // Skip to: 9372
+/* 904 */     MCD_OPC_Decode, 167, 8, 5, // Opcode: VRSQRTEFP
 /* 908 */     MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 922
-/* 912 */     MCD_OPC_CheckField, 16, 5, 0, 147, 22, // Skip to: 6697
-/* 918 */     MCD_OPC_Decode, 244, 5, 5, // Opcode: VEXPTEFP
+/* 912 */     MCD_OPC_CheckField, 16, 5, 0, 6, 33, // Skip to: 9372
+/* 918 */     MCD_OPC_Decode, 234, 7, 5, // Opcode: VEXPTEFP
 /* 922 */     MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 936
-/* 926 */     MCD_OPC_CheckField, 16, 5, 0, 133, 22, // Skip to: 6697
-/* 932 */     MCD_OPC_Decode, 245, 5, 5, // Opcode: VLOGEFP
+/* 926 */     MCD_OPC_CheckField, 16, 5, 0, 248, 32, // Skip to: 9372
+/* 932 */     MCD_OPC_Decode, 235, 7, 5, // Opcode: VLOGEFP
 /* 936 */     MCD_OPC_FilterValue, 8, 10, 0, // Skip to: 950
-/* 940 */     MCD_OPC_CheckField, 16, 5, 0, 119, 22, // Skip to: 6697
-/* 946 */     MCD_OPC_Decode, 171, 6, 5, // Opcode: VRFIN
+/* 940 */     MCD_OPC_CheckField, 16, 5, 0, 234, 32, // Skip to: 9372
+/* 946 */     MCD_OPC_Decode, 161, 8, 5, // Opcode: VRFIN
 /* 950 */     MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 964
-/* 954 */     MCD_OPC_CheckField, 16, 5, 0, 105, 22, // Skip to: 6697
-/* 960 */     MCD_OPC_Decode, 173, 6, 5, // Opcode: VRFIZ
+/* 954 */     MCD_OPC_CheckField, 16, 5, 0, 220, 32, // Skip to: 9372
+/* 960 */     MCD_OPC_Decode, 163, 8, 5, // Opcode: VRFIZ
 /* 964 */     MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 978
-/* 968 */     MCD_OPC_CheckField, 16, 5, 0, 91, 22, // Skip to: 6697
-/* 974 */     MCD_OPC_Decode, 172, 6, 5, // Opcode: VRFIP
+/* 968 */     MCD_OPC_CheckField, 16, 5, 0, 206, 32, // Skip to: 9372
+/* 974 */     MCD_OPC_Decode, 162, 8, 5, // Opcode: VRFIP
 /* 978 */     MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 992
-/* 982 */     MCD_OPC_CheckField, 16, 5, 0, 77, 22, // Skip to: 6697
-/* 988 */     MCD_OPC_Decode, 170, 6, 5, // Opcode: VRFIM
+/* 982 */     MCD_OPC_CheckField, 16, 5, 0, 192, 32, // Skip to: 9372
+/* 988 */     MCD_OPC_Decode, 160, 8, 5, // Opcode: VRFIM
 /* 992 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 1000
-/* 996 */     MCD_OPC_Decode, 212, 5, 6, // Opcode: VCFUX
+/* 996 */     MCD_OPC_Decode, 202, 7, 6, // Opcode: VCFUX
 /* 1000 */    MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 1008
-/* 1004 */    MCD_OPC_Decode, 210, 5, 6, // Opcode: VCFSX
+/* 1004 */    MCD_OPC_Decode, 200, 7, 6, // Opcode: VCFSX
 /* 1008 */    MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 1016
-/* 1012 */    MCD_OPC_Decode, 242, 5, 6, // Opcode: VCTUXS
+/* 1012 */    MCD_OPC_Decode, 232, 7, 6, // Opcode: VCTUXS
 /* 1016 */    MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 1024
-/* 1020 */    MCD_OPC_Decode, 240, 5, 6, // Opcode: VCTSXS
+/* 1020 */    MCD_OPC_Decode, 230, 7, 6, // Opcode: VCTSXS
 /* 1024 */    MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 1032
-/* 1028 */    MCD_OPC_Decode, 247, 5, 2, // Opcode: VMAXFP
-/* 1032 */    MCD_OPC_FilterValue, 17, 29, 22, // Skip to: 6697
-/* 1036 */    MCD_OPC_Decode, 128, 6, 2, // Opcode: VMINFP
+/* 1028 */    MCD_OPC_Decode, 237, 7, 2, // Opcode: VMAXFP
+/* 1032 */    MCD_OPC_FilterValue, 17, 144, 32, // Skip to: 9372
+/* 1036 */    MCD_OPC_Decode, 246, 7, 2, // Opcode: VMINFP
 /* 1040 */    MCD_OPC_FilterValue, 12, 133, 0, // Skip to: 1177
 /* 1044 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 1047 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1055
-/* 1051 */    MCD_OPC_Decode, 136, 6, 2, // Opcode: VMRGHB
+/* 1051 */    MCD_OPC_Decode, 254, 7, 2, // Opcode: VMRGHB
 /* 1055 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1063
-/* 1059 */    MCD_OPC_Decode, 137, 6, 2, // Opcode: VMRGHH
+/* 1059 */    MCD_OPC_Decode, 255, 7, 2, // Opcode: VMRGHH
 /* 1063 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 1071
-/* 1067 */    MCD_OPC_Decode, 138, 6, 2, // Opcode: VMRGHW
+/* 1067 */    MCD_OPC_Decode, 128, 8, 2, // Opcode: VMRGHW
 /* 1071 */    MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 1079
-/* 1075 */    MCD_OPC_Decode, 139, 6, 2, // Opcode: VMRGLB
+/* 1075 */    MCD_OPC_Decode, 129, 8, 2, // Opcode: VMRGLB
 /* 1079 */    MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 1087
-/* 1083 */    MCD_OPC_Decode, 140, 6, 2, // Opcode: VMRGLH
+/* 1083 */    MCD_OPC_Decode, 130, 8, 2, // Opcode: VMRGLH
 /* 1087 */    MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 1095
-/* 1091 */    MCD_OPC_Decode, 141, 6, 2, // Opcode: VMRGLW
+/* 1091 */    MCD_OPC_Decode, 131, 8, 2, // Opcode: VMRGLW
 /* 1095 */    MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 1103
-/* 1099 */    MCD_OPC_Decode, 185, 6, 6, // Opcode: VSPLTB
+/* 1099 */    MCD_OPC_Decode, 175, 8, 6, // Opcode: VSPLTB
 /* 1103 */    MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 1111
-/* 1107 */    MCD_OPC_Decode, 186, 6, 6, // Opcode: VSPLTH
+/* 1107 */    MCD_OPC_Decode, 176, 8, 6, // Opcode: VSPLTH
 /* 1111 */    MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 1119
-/* 1115 */    MCD_OPC_Decode, 190, 6, 6, // Opcode: VSPLTW
+/* 1115 */    MCD_OPC_Decode, 180, 8, 6, // Opcode: VSPLTW
 /* 1119 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 1133
-/* 1123 */    MCD_OPC_CheckField, 11, 5, 0, 192, 21, // Skip to: 6697
-/* 1129 */    MCD_OPC_Decode, 187, 6, 7, // Opcode: VSPLTISB
+/* 1123 */    MCD_OPC_CheckField, 11, 5, 0, 51, 32, // Skip to: 9372
+/* 1129 */    MCD_OPC_Decode, 177, 8, 7, // Opcode: VSPLTISB
 /* 1133 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 1147
-/* 1137 */    MCD_OPC_CheckField, 11, 5, 0, 178, 21, // Skip to: 6697
-/* 1143 */    MCD_OPC_Decode, 188, 6, 7, // Opcode: VSPLTISH
+/* 1137 */    MCD_OPC_CheckField, 11, 5, 0, 37, 32, // Skip to: 9372
+/* 1143 */    MCD_OPC_Decode, 178, 8, 7, // Opcode: VSPLTISH
 /* 1147 */    MCD_OPC_FilterValue, 14, 10, 0, // Skip to: 1161
-/* 1151 */    MCD_OPC_CheckField, 11, 5, 0, 164, 21, // Skip to: 6697
-/* 1157 */    MCD_OPC_Decode, 189, 6, 7, // Opcode: VSPLTISW
+/* 1151 */    MCD_OPC_CheckField, 11, 5, 0, 23, 32, // Skip to: 9372
+/* 1157 */    MCD_OPC_Decode, 179, 8, 7, // Opcode: VSPLTISW
 /* 1161 */    MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 1169
-/* 1165 */    MCD_OPC_Decode, 183, 6, 2, // Opcode: VSLO
-/* 1169 */    MCD_OPC_FilterValue, 17, 148, 21, // Skip to: 6697
-/* 1173 */    MCD_OPC_Decode, 197, 6, 2, // Opcode: VSRO
+/* 1165 */    MCD_OPC_Decode, 173, 8, 2, // Opcode: VSLO
+/* 1169 */    MCD_OPC_FilterValue, 17, 7, 32, // Skip to: 9372
+/* 1173 */    MCD_OPC_Decode, 187, 8, 2, // Opcode: VSRO
 /* 1177 */    MCD_OPC_FilterValue, 14, 159, 0, // Skip to: 1340
 /* 1181 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
 /* 1184 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1192
-/* 1188 */    MCD_OPC_Decode, 165, 6, 2, // Opcode: VPKUHUM
+/* 1188 */    MCD_OPC_Decode, 155, 8, 2, // Opcode: VPKUHUM
 /* 1192 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1200
-/* 1196 */    MCD_OPC_Decode, 167, 6, 2, // Opcode: VPKUWUM
+/* 1196 */    MCD_OPC_Decode, 157, 8, 2, // Opcode: VPKUWUM
 /* 1200 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 1208
-/* 1204 */    MCD_OPC_Decode, 166, 6, 2, // Opcode: VPKUHUS
+/* 1204 */    MCD_OPC_Decode, 156, 8, 2, // Opcode: VPKUHUS
 /* 1208 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 1216
-/* 1212 */    MCD_OPC_Decode, 168, 6, 2, // Opcode: VPKUWUS
+/* 1212 */    MCD_OPC_Decode, 158, 8, 2, // Opcode: VPKUWUS
 /* 1216 */    MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 1224
-/* 1220 */    MCD_OPC_Decode, 162, 6, 2, // Opcode: VPKSHUS
+/* 1220 */    MCD_OPC_Decode, 152, 8, 2, // Opcode: VPKSHUS
 /* 1224 */    MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 1232
-/* 1228 */    MCD_OPC_Decode, 164, 6, 2, // Opcode: VPKSWUS
+/* 1228 */    MCD_OPC_Decode, 154, 8, 2, // Opcode: VPKSWUS
 /* 1232 */    MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 1240
-/* 1236 */    MCD_OPC_Decode, 161, 6, 2, // Opcode: VPKSHSS
+/* 1236 */    MCD_OPC_Decode, 151, 8, 2, // Opcode: VPKSHSS
 /* 1240 */    MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 1248
-/* 1244 */    MCD_OPC_Decode, 163, 6, 2, // Opcode: VPKSWSS
+/* 1244 */    MCD_OPC_Decode, 153, 8, 2, // Opcode: VPKSWSS
 /* 1248 */    MCD_OPC_FilterValue, 8, 10, 0, // Skip to: 1262
-/* 1252 */    MCD_OPC_CheckField, 16, 5, 0, 63, 21, // Skip to: 6697
-/* 1258 */    MCD_OPC_Decode, 216, 6, 5, // Opcode: VUPKHSB
+/* 1252 */    MCD_OPC_CheckField, 16, 5, 0, 178, 31, // Skip to: 9372
+/* 1258 */    MCD_OPC_Decode, 206, 8, 5, // Opcode: VUPKHSB
 /* 1262 */    MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 1276
-/* 1266 */    MCD_OPC_CheckField, 16, 5, 0, 49, 21, // Skip to: 6697
-/* 1272 */    MCD_OPC_Decode, 217, 6, 5, // Opcode: VUPKHSH
+/* 1266 */    MCD_OPC_CheckField, 16, 5, 0, 164, 31, // Skip to: 9372
+/* 1272 */    MCD_OPC_Decode, 207, 8, 5, // Opcode: VUPKHSH
 /* 1276 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 1290
-/* 1280 */    MCD_OPC_CheckField, 16, 5, 0, 35, 21, // Skip to: 6697
-/* 1286 */    MCD_OPC_Decode, 219, 6, 5, // Opcode: VUPKLSB
+/* 1280 */    MCD_OPC_CheckField, 16, 5, 0, 150, 31, // Skip to: 9372
+/* 1286 */    MCD_OPC_Decode, 209, 8, 5, // Opcode: VUPKLSB
 /* 1290 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 1304
-/* 1294 */    MCD_OPC_CheckField, 16, 5, 0, 21, 21, // Skip to: 6697
-/* 1300 */    MCD_OPC_Decode, 220, 6, 5, // Opcode: VUPKLSH
+/* 1294 */    MCD_OPC_CheckField, 16, 5, 0, 136, 31, // Skip to: 9372
+/* 1300 */    MCD_OPC_Decode, 210, 8, 5, // Opcode: VUPKLSH
 /* 1304 */    MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 1312
-/* 1308 */    MCD_OPC_Decode, 160, 6, 2, // Opcode: VPKPX
+/* 1308 */    MCD_OPC_Decode, 150, 8, 2, // Opcode: VPKPX
 /* 1312 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 1326
-/* 1316 */    MCD_OPC_CheckField, 16, 5, 0, 255, 20, // Skip to: 6697
-/* 1322 */    MCD_OPC_Decode, 215, 6, 5, // Opcode: VUPKHPX
-/* 1326 */    MCD_OPC_FilterValue, 15, 247, 20, // Skip to: 6697
-/* 1330 */    MCD_OPC_CheckField, 16, 5, 0, 241, 20, // Skip to: 6697
-/* 1336 */    MCD_OPC_Decode, 218, 6, 5, // Opcode: VUPKLPX
+/* 1316 */    MCD_OPC_CheckField, 16, 5, 0, 114, 31, // Skip to: 9372
+/* 1322 */    MCD_OPC_Decode, 205, 8, 5, // Opcode: VUPKHPX
+/* 1326 */    MCD_OPC_FilterValue, 15, 106, 31, // Skip to: 9372
+/* 1330 */    MCD_OPC_CheckField, 16, 5, 0, 100, 31, // Skip to: 9372
+/* 1336 */    MCD_OPC_Decode, 208, 8, 5, // Opcode: VUPKLPX
 /* 1340 */    MCD_OPC_FilterValue, 32, 4, 0, // Skip to: 1348
-/* 1344 */    MCD_OPC_Decode, 254, 5, 8, // Opcode: VMHADDSHS
+/* 1344 */    MCD_OPC_Decode, 244, 7, 8, // Opcode: VMHADDSHS
 /* 1348 */    MCD_OPC_FilterValue, 33, 4, 0, // Skip to: 1356
-/* 1352 */    MCD_OPC_Decode, 255, 5, 8, // Opcode: VMHRADDSHS
+/* 1352 */    MCD_OPC_Decode, 245, 7, 8, // Opcode: VMHRADDSHS
 /* 1356 */    MCD_OPC_FilterValue, 34, 4, 0, // Skip to: 1364
-/* 1360 */    MCD_OPC_Decode, 135, 6, 8, // Opcode: VMLADDUHM
+/* 1360 */    MCD_OPC_Decode, 253, 7, 8, // Opcode: VMLADDUHM
 /* 1364 */    MCD_OPC_FilterValue, 36, 4, 0, // Skip to: 1372
-/* 1368 */    MCD_OPC_Decode, 145, 6, 8, // Opcode: VMSUMUBM
+/* 1368 */    MCD_OPC_Decode, 135, 8, 8, // Opcode: VMSUMUBM
 /* 1372 */    MCD_OPC_FilterValue, 37, 4, 0, // Skip to: 1380
-/* 1376 */    MCD_OPC_Decode, 142, 6, 8, // Opcode: VMSUMMBM
+/* 1376 */    MCD_OPC_Decode, 132, 8, 8, // Opcode: VMSUMMBM
 /* 1380 */    MCD_OPC_FilterValue, 38, 4, 0, // Skip to: 1388
-/* 1384 */    MCD_OPC_Decode, 146, 6, 8, // Opcode: VMSUMUHM
+/* 1384 */    MCD_OPC_Decode, 136, 8, 8, // Opcode: VMSUMUHM
 /* 1388 */    MCD_OPC_FilterValue, 39, 4, 0, // Skip to: 1396
-/* 1392 */    MCD_OPC_Decode, 147, 6, 8, // Opcode: VMSUMUHS
+/* 1392 */    MCD_OPC_Decode, 137, 8, 8, // Opcode: VMSUMUHS
 /* 1396 */    MCD_OPC_FilterValue, 40, 4, 0, // Skip to: 1404
-/* 1400 */    MCD_OPC_Decode, 143, 6, 8, // Opcode: VMSUMSHM
+/* 1400 */    MCD_OPC_Decode, 133, 8, 8, // Opcode: VMSUMSHM
 /* 1404 */    MCD_OPC_FilterValue, 41, 4, 0, // Skip to: 1412
-/* 1408 */    MCD_OPC_Decode, 144, 6, 8, // Opcode: VMSUMSHS
+/* 1408 */    MCD_OPC_Decode, 134, 8, 8, // Opcode: VMSUMSHS
 /* 1412 */    MCD_OPC_FilterValue, 42, 4, 0, // Skip to: 1420
-/* 1416 */    MCD_OPC_Decode, 178, 6, 8, // Opcode: VSEL
+/* 1416 */    MCD_OPC_Decode, 168, 8, 8, // Opcode: VSEL
 /* 1420 */    MCD_OPC_FilterValue, 43, 4, 0, // Skip to: 1428
-/* 1424 */    MCD_OPC_Decode, 159, 6, 8, // Opcode: VPERM
+/* 1424 */    MCD_OPC_Decode, 149, 8, 8, // Opcode: VPERM
 /* 1428 */    MCD_OPC_FilterValue, 44, 10, 0, // Skip to: 1442
-/* 1432 */    MCD_OPC_CheckField, 10, 1, 0, 139, 20, // Skip to: 6697
-/* 1438 */    MCD_OPC_Decode, 181, 6, 9, // Opcode: VSLDOI
+/* 1432 */    MCD_OPC_CheckField, 10, 1, 0, 254, 30, // Skip to: 9372
+/* 1438 */    MCD_OPC_Decode, 171, 8, 9, // Opcode: VSLDOI
 /* 1442 */    MCD_OPC_FilterValue, 46, 4, 0, // Skip to: 1450
-/* 1446 */    MCD_OPC_Decode, 246, 5, 10, // Opcode: VMADDFP
-/* 1450 */    MCD_OPC_FilterValue, 47, 123, 20, // Skip to: 6697
-/* 1454 */    MCD_OPC_Decode, 156, 6, 10, // Opcode: VNMSUBFP
+/* 1446 */    MCD_OPC_Decode, 236, 7, 10, // Opcode: VMADDFP
+/* 1450 */    MCD_OPC_FilterValue, 47, 238, 30, // Skip to: 9372
+/* 1454 */    MCD_OPC_Decode, 146, 8, 10, // Opcode: VNMSUBFP
 /* 1458 */    MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 1466
-/* 1462 */    MCD_OPC_Decode, 252, 3, 11, // Opcode: MULLI
+/* 1462 */    MCD_OPC_Decode, 211, 5, 11, // Opcode: MULLI
 /* 1466 */    MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 1474
-/* 1470 */    MCD_OPC_Decode, 154, 5, 11, // Opcode: SUBFIC
+/* 1470 */    MCD_OPC_Decode, 132, 7, 11, // Opcode: SUBFIC
 /* 1474 */    MCD_OPC_FilterValue, 10, 19, 0, // Skip to: 1497
 /* 1478 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
 /* 1481 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1489
-/* 1485 */    MCD_OPC_Decode, 185, 1, 12, // Opcode: CMPLWI
-/* 1489 */    MCD_OPC_FilterValue, 1, 84, 20, // Skip to: 6697
-/* 1493 */    MCD_OPC_Decode, 183, 1, 13, // Opcode: CMPLDI
+/* 1485 */    MCD_OPC_Decode, 212, 1, 12, // Opcode: CMPLWI
+/* 1489 */    MCD_OPC_FilterValue, 1, 199, 30, // Skip to: 9372
+/* 1493 */    MCD_OPC_Decode, 210, 1, 13, // Opcode: CMPLDI
 /* 1497 */    MCD_OPC_FilterValue, 11, 19, 0, // Skip to: 1520
 /* 1501 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
 /* 1504 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1512
-/* 1508 */    MCD_OPC_Decode, 187, 1, 14, // Opcode: CMPWI
-/* 1512 */    MCD_OPC_FilterValue, 1, 61, 20, // Skip to: 6697
-/* 1516 */    MCD_OPC_Decode, 181, 1, 15, // Opcode: CMPDI
+/* 1508 */    MCD_OPC_Decode, 214, 1, 14, // Opcode: CMPWI
+/* 1512 */    MCD_OPC_FilterValue, 1, 176, 30, // Skip to: 9372
+/* 1516 */    MCD_OPC_Decode, 208, 1, 15, // Opcode: CMPDI
 /* 1520 */    MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 1527
-/* 1524 */    MCD_OPC_Decode, 36, 11, // Opcode: ADDIC
+/* 1524 */    MCD_OPC_Decode, 37, 11, // Opcode: ADDIC
 /* 1527 */    MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 1534
-/* 1531 */    MCD_OPC_Decode, 38, 11, // Opcode: ADDICo
+/* 1531 */    MCD_OPC_Decode, 39, 11, // Opcode: ADDICo
 /* 1534 */    MCD_OPC_FilterValue, 14, 13, 0, // Skip to: 1551
 /* 1538 */    MCD_OPC_CheckField, 16, 5, 0, 4, 0, // Skip to: 1548
-/* 1544 */    MCD_OPC_Decode, 178, 3, 16, // Opcode: LI
-/* 1548 */    MCD_OPC_Decode, 34, 17, // Opcode: ADDI
+/* 1544 */    MCD_OPC_Decode, 252, 4, 16, // Opcode: LI
+/* 1548 */    MCD_OPC_Decode, 35, 17, // Opcode: ADDI
 /* 1551 */    MCD_OPC_FilterValue, 15, 13, 0, // Skip to: 1568
 /* 1555 */    MCD_OPC_CheckField, 16, 5, 0, 4, 0, // Skip to: 1565
-/* 1561 */    MCD_OPC_Decode, 180, 3, 16, // Opcode: LIS
-/* 1565 */    MCD_OPC_Decode, 39, 17, // Opcode: ADDIS
-/* 1568 */    MCD_OPC_FilterValue, 16, 1, 1, // Skip to: 1829
+/* 1561 */    MCD_OPC_Decode, 254, 4, 16, // Opcode: LIS
+/* 1565 */    MCD_OPC_Decode, 40, 17, // Opcode: ADDIS
+/* 1568 */    MCD_OPC_FilterValue, 16, 7, 1, // Skip to: 1835
 /* 1572 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 1575 */    MCD_OPC_FilterValue, 0, 60, 0, // Skip to: 1639
+/* 1575 */    MCD_OPC_FilterValue, 0, 61, 0, // Skip to: 1640
 /* 1579 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 1582 */    MCD_OPC_FilterValue, 128, 4, 3, 0, // Skip to: 1590
-/* 1587 */    MCD_OPC_Decode, 121, 18, // Opcode: BDNZ
-/* 1590 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1599
-/* 1595 */    MCD_OPC_Decode, 141, 1, 18, // Opcode: BDZ
-/* 1599 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1608
-/* 1604 */    MCD_OPC_Decode, 139, 1, 18, // Opcode: BDNZm
-/* 1608 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1617
-/* 1613 */    MCD_OPC_Decode, 140, 1, 18, // Opcode: BDNZp
-/* 1617 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1626
-/* 1622 */    MCD_OPC_Decode, 159, 1, 18, // Opcode: BDZm
-/* 1626 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1635
-/* 1631 */    MCD_OPC_Decode, 160, 1, 18, // Opcode: BDZp
-/* 1635 */    MCD_OPC_Decode, 237, 6, 19, // Opcode: gBC
-/* 1639 */    MCD_OPC_FilterValue, 1, 60, 0, // Skip to: 1703
-/* 1643 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 1646 */    MCD_OPC_FilterValue, 128, 4, 3, 0, // Skip to: 1654
-/* 1651 */    MCD_OPC_Decode, 126, 18, // Opcode: BDNZL
-/* 1654 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1663
-/* 1659 */    MCD_OPC_Decode, 146, 1, 18, // Opcode: BDZL
-/* 1663 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1672
-/* 1668 */    MCD_OPC_Decode, 137, 1, 18, // Opcode: BDNZLm
-/* 1672 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1681
-/* 1677 */    MCD_OPC_Decode, 138, 1, 18, // Opcode: BDNZLp
-/* 1681 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1690
-/* 1686 */    MCD_OPC_Decode, 157, 1, 18, // Opcode: BDZLm
-/* 1690 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1699
-/* 1695 */    MCD_OPC_Decode, 158, 1, 18, // Opcode: BDZLp
-/* 1699 */    MCD_OPC_Decode, 241, 6, 19, // Opcode: gBCL
-/* 1703 */    MCD_OPC_FilterValue, 2, 58, 0, // Skip to: 1765
-/* 1707 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 1710 */    MCD_OPC_FilterValue, 128, 4, 3, 0, // Skip to: 1718
-/* 1715 */    MCD_OPC_Decode, 123, 18, // Opcode: BDNZA
-/* 1718 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1727
-/* 1723 */    MCD_OPC_Decode, 143, 1, 18, // Opcode: BDZA
-/* 1727 */    MCD_OPC_FilterValue, 128, 6, 3, 0, // Skip to: 1735
-/* 1732 */    MCD_OPC_Decode, 124, 18, // Opcode: BDNZAm
-/* 1735 */    MCD_OPC_FilterValue, 160, 6, 3, 0, // Skip to: 1743
-/* 1740 */    MCD_OPC_Decode, 125, 18, // Opcode: BDNZAp
-/* 1743 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1752
-/* 1748 */    MCD_OPC_Decode, 144, 1, 18, // Opcode: BDZAm
-/* 1752 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1761
-/* 1757 */    MCD_OPC_Decode, 145, 1, 18, // Opcode: BDZAp
-/* 1761 */    MCD_OPC_Decode, 238, 6, 19, // Opcode: gBCA
-/* 1765 */    MCD_OPC_FilterValue, 3, 64, 19, // Skip to: 6697
-/* 1769 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 1772 */    MCD_OPC_FilterValue, 128, 4, 3, 0, // Skip to: 1780
-/* 1777 */    MCD_OPC_Decode, 127, 18, // Opcode: BDNZLA
-/* 1780 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1789
-/* 1785 */    MCD_OPC_Decode, 147, 1, 18, // Opcode: BDZLA
-/* 1789 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1798
-/* 1794 */    MCD_OPC_Decode, 128, 1, 18, // Opcode: BDNZLAm
-/* 1798 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1807
-/* 1803 */    MCD_OPC_Decode, 129, 1, 18, // Opcode: BDNZLAp
-/* 1807 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1816
-/* 1812 */    MCD_OPC_Decode, 148, 1, 18, // Opcode: BDZLAm
-/* 1816 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1825
-/* 1821 */    MCD_OPC_Decode, 149, 1, 18, // Opcode: BDZLAp
-/* 1825 */    MCD_OPC_Decode, 242, 6, 19, // Opcode: gBCLA
-/* 1829 */    MCD_OPC_FilterValue, 17, 10, 0, // Skip to: 1843
-/* 1833 */    MCD_OPC_CheckField, 1, 1, 1, 250, 18, // Skip to: 6697
-/* 1839 */    MCD_OPC_Decode, 187, 4, 20, // Opcode: SC
-/* 1843 */    MCD_OPC_FilterValue, 18, 33, 0, // Skip to: 1880
-/* 1847 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 1850 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1857
-/* 1854 */    MCD_OPC_Decode, 104, 21, // Opcode: B
-/* 1857 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1865
-/* 1861 */    MCD_OPC_Decode, 161, 1, 21, // Opcode: BL
-/* 1865 */    MCD_OPC_FilterValue, 2, 3, 0, // Skip to: 1872
-/* 1869 */    MCD_OPC_Decode, 105, 21, // Opcode: BA
-/* 1872 */    MCD_OPC_FilterValue, 3, 213, 18, // Skip to: 6697
-/* 1876 */    MCD_OPC_Decode, 167, 1, 21, // Opcode: BLA
-/* 1880 */    MCD_OPC_FilterValue, 19, 163, 1, // Skip to: 2303
-/* 1884 */    MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
-/* 1887 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 1907
-/* 1891 */    MCD_OPC_CheckField, 21, 2, 0, 192, 18, // Skip to: 6697
-/* 1897 */    MCD_OPC_CheckField, 11, 7, 0, 186, 18, // Skip to: 6697
-/* 1903 */    MCD_OPC_Decode, 205, 3, 22, // Opcode: MCRF
-/* 1907 */    MCD_OPC_FilterValue, 32, 119, 0, // Skip to: 2030
-/* 1911 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 1914 */    MCD_OPC_FilterValue, 0, 171, 18, // Skip to: 6697
-/* 1918 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 1921 */    MCD_OPC_FilterValue, 128, 4, 10, 0, // Skip to: 1936
-/* 1926 */    MCD_OPC_CheckField, 11, 2, 0, 94, 0, // Skip to: 2026
-/* 1932 */    MCD_OPC_Decode, 130, 1, 23, // Opcode: BDNZLR
-/* 1936 */    MCD_OPC_FilterValue, 192, 4, 10, 0, // Skip to: 1951
-/* 1941 */    MCD_OPC_CheckField, 11, 2, 0, 79, 0, // Skip to: 2026
-/* 1947 */    MCD_OPC_Decode, 150, 1, 23, // Opcode: BDZLR
-/* 1951 */    MCD_OPC_FilterValue, 128, 5, 10, 0, // Skip to: 1966
-/* 1956 */    MCD_OPC_CheckField, 11, 2, 0, 64, 0, // Skip to: 2026
-/* 1962 */    MCD_OPC_Decode, 170, 1, 23, // Opcode: BLR
-/* 1966 */    MCD_OPC_FilterValue, 128, 6, 10, 0, // Skip to: 1981
-/* 1971 */    MCD_OPC_CheckField, 11, 2, 0, 49, 0, // Skip to: 2026
-/* 1977 */    MCD_OPC_Decode, 135, 1, 23, // Opcode: BDNZLRm
-/* 1981 */    MCD_OPC_FilterValue, 160, 6, 10, 0, // Skip to: 1996
-/* 1986 */    MCD_OPC_CheckField, 11, 2, 0, 34, 0, // Skip to: 2026
-/* 1992 */    MCD_OPC_Decode, 136, 1, 23, // Opcode: BDNZLRp
-/* 1996 */    MCD_OPC_FilterValue, 192, 6, 10, 0, // Skip to: 2011
-/* 2001 */    MCD_OPC_CheckField, 11, 2, 0, 19, 0, // Skip to: 2026
-/* 2007 */    MCD_OPC_Decode, 155, 1, 23, // Opcode: BDZLRm
-/* 2011 */    MCD_OPC_FilterValue, 224, 6, 10, 0, // Skip to: 2026
-/* 2016 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2026
-/* 2022 */    MCD_OPC_Decode, 156, 1, 23, // Opcode: BDZLRp
-/* 2026 */    MCD_OPC_Decode, 243, 6, 24, // Opcode: gBCLR
-/* 2030 */    MCD_OPC_FilterValue, 33, 119, 0, // Skip to: 2153
-/* 2034 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2037 */    MCD_OPC_FilterValue, 0, 48, 18, // Skip to: 6697
-/* 2041 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
-/* 2044 */    MCD_OPC_FilterValue, 128, 4, 10, 0, // Skip to: 2059
-/* 2049 */    MCD_OPC_CheckField, 11, 2, 0, 94, 0, // Skip to: 2149
-/* 2055 */    MCD_OPC_Decode, 132, 1, 23, // Opcode: BDNZLRL
-/* 2059 */    MCD_OPC_FilterValue, 192, 4, 10, 0, // Skip to: 2074
-/* 2064 */    MCD_OPC_CheckField, 11, 2, 0, 79, 0, // Skip to: 2149
-/* 2070 */    MCD_OPC_Decode, 152, 1, 23, // Opcode: BDZLRL
-/* 2074 */    MCD_OPC_FilterValue, 128, 5, 10, 0, // Skip to: 2089
-/* 2079 */    MCD_OPC_CheckField, 11, 2, 0, 64, 0, // Skip to: 2149
-/* 2085 */    MCD_OPC_Decode, 171, 1, 23, // Opcode: BLRL
-/* 2089 */    MCD_OPC_FilterValue, 128, 6, 10, 0, // Skip to: 2104
-/* 2094 */    MCD_OPC_CheckField, 11, 2, 0, 49, 0, // Skip to: 2149
-/* 2100 */    MCD_OPC_Decode, 133, 1, 23, // Opcode: BDNZLRLm
-/* 2104 */    MCD_OPC_FilterValue, 160, 6, 10, 0, // Skip to: 2119
-/* 2109 */    MCD_OPC_CheckField, 11, 2, 0, 34, 0, // Skip to: 2149
-/* 2115 */    MCD_OPC_Decode, 134, 1, 23, // Opcode: BDNZLRLp
-/* 2119 */    MCD_OPC_FilterValue, 192, 6, 10, 0, // Skip to: 2134
-/* 2124 */    MCD_OPC_CheckField, 11, 2, 0, 19, 0, // Skip to: 2149
-/* 2130 */    MCD_OPC_Decode, 153, 1, 23, // Opcode: BDZLRLm
-/* 2134 */    MCD_OPC_FilterValue, 224, 6, 10, 0, // Skip to: 2149
-/* 2139 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2149
-/* 2145 */    MCD_OPC_Decode, 154, 1, 23, // Opcode: BDZLRLp
-/* 2149 */    MCD_OPC_Decode, 244, 6, 24, // Opcode: gBCLRL
-/* 2153 */    MCD_OPC_FilterValue, 66, 4, 0, // Skip to: 2161
-/* 2157 */    MCD_OPC_Decode, 198, 1, 25, // Opcode: CRNOR
-/* 2161 */    MCD_OPC_FilterValue, 130, 2, 4, 0, // Skip to: 2170
-/* 2166 */    MCD_OPC_Decode, 195, 1, 25, // Opcode: CRANDC
-/* 2170 */    MCD_OPC_FilterValue, 172, 2, 10, 0, // Skip to: 2185
-/* 2175 */    MCD_OPC_CheckField, 11, 15, 0, 164, 17, // Skip to: 6697
-/* 2181 */    MCD_OPC_Decode, 254, 2, 23, // Opcode: ISYNC
-/* 2185 */    MCD_OPC_FilterValue, 130, 3, 4, 0, // Skip to: 2194
-/* 2190 */    MCD_OPC_Decode, 203, 1, 25, // Opcode: CRXOR
-/* 2194 */    MCD_OPC_FilterValue, 194, 3, 4, 0, // Skip to: 2203
-/* 2199 */    MCD_OPC_Decode, 197, 1, 25, // Opcode: CRNAND
-/* 2203 */    MCD_OPC_FilterValue, 130, 4, 4, 0, // Skip to: 2212
-/* 2208 */    MCD_OPC_Decode, 194, 1, 25, // Opcode: CRAND
-/* 2212 */    MCD_OPC_FilterValue, 194, 4, 4, 0, // Skip to: 2221
-/* 2217 */    MCD_OPC_Decode, 196, 1, 25, // Opcode: CREQV
-/* 2221 */    MCD_OPC_FilterValue, 194, 6, 4, 0, // Skip to: 2230
-/* 2226 */    MCD_OPC_Decode, 200, 1, 25, // Opcode: CRORC
-/* 2230 */    MCD_OPC_FilterValue, 130, 7, 4, 0, // Skip to: 2239
-/* 2235 */    MCD_OPC_Decode, 199, 1, 25, // Opcode: CROR
-/* 2239 */    MCD_OPC_FilterValue, 160, 8, 27, 0, // Skip to: 2271
-/* 2244 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2247 */    MCD_OPC_FilterValue, 0, 94, 17, // Skip to: 6697
-/* 2251 */    MCD_OPC_CheckField, 16, 10, 128, 5, 9, 0, // Skip to: 2267
-/* 2258 */    MCD_OPC_CheckField, 11, 2, 0, 3, 0, // Skip to: 2267
-/* 2264 */    MCD_OPC_Decode, 117, 23, // Opcode: BCTR
-/* 2267 */    MCD_OPC_Decode, 239, 6, 24, // Opcode: gBCCTR
-/* 2271 */    MCD_OPC_FilterValue, 161, 8, 69, 17, // Skip to: 6697
-/* 2276 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
-/* 2279 */    MCD_OPC_FilterValue, 0, 62, 17, // Skip to: 6697
-/* 2283 */    MCD_OPC_CheckField, 16, 10, 128, 5, 9, 0, // Skip to: 2299
-/* 2290 */    MCD_OPC_CheckField, 11, 2, 0, 3, 0, // Skip to: 2299
-/* 2296 */    MCD_OPC_Decode, 119, 23, // Opcode: BCTRL
-/* 2299 */    MCD_OPC_Decode, 240, 6, 24, // Opcode: gBCCTRL
-/* 2303 */    MCD_OPC_FilterValue, 20, 19, 0, // Skip to: 2326
-/* 2307 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2310 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2318
-/* 2314 */    MCD_OPC_Decode, 175, 4, 26, // Opcode: RLWIMI
-/* 2318 */    MCD_OPC_FilterValue, 1, 23, 17, // Skip to: 6697
-/* 2322 */    MCD_OPC_Decode, 176, 4, 26, // Opcode: RLWIMIo
-/* 2326 */    MCD_OPC_FilterValue, 21, 19, 0, // Skip to: 2349
-/* 2330 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2333 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2341
-/* 2337 */    MCD_OPC_Decode, 177, 4, 27, // Opcode: RLWINM
-/* 2341 */    MCD_OPC_FilterValue, 1, 0, 17, // Skip to: 6697
-/* 2345 */    MCD_OPC_Decode, 180, 4, 27, // Opcode: RLWINMo
-/* 2349 */    MCD_OPC_FilterValue, 23, 19, 0, // Skip to: 2372
-/* 2353 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2356 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2364
-/* 2360 */    MCD_OPC_Decode, 181, 4, 28, // Opcode: RLWNM
-/* 2364 */    MCD_OPC_FilterValue, 1, 233, 16, // Skip to: 6697
-/* 2368 */    MCD_OPC_Decode, 182, 4, 28, // Opcode: RLWNMo
-/* 2372 */    MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 2390
-/* 2376 */    MCD_OPC_CheckField, 0, 26, 0, 4, 0, // Skip to: 2386
-/* 2382 */    MCD_OPC_Decode, 138, 4, 23, // Opcode: NOP
-/* 2386 */    MCD_OPC_Decode, 152, 4, 29, // Opcode: ORI
-/* 2390 */    MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 2398
-/* 2394 */    MCD_OPC_Decode, 154, 4, 29, // Opcode: ORIS
-/* 2398 */    MCD_OPC_FilterValue, 26, 4, 0, // Skip to: 2406
-/* 2402 */    MCD_OPC_Decode, 232, 6, 29, // Opcode: XORI
-/* 2406 */    MCD_OPC_FilterValue, 27, 4, 0, // Skip to: 2414
-/* 2410 */    MCD_OPC_Decode, 234, 6, 29, // Opcode: XORIS
-/* 2414 */    MCD_OPC_FilterValue, 28, 3, 0, // Skip to: 2421
-/* 2418 */    MCD_OPC_Decode, 69, 29, // Opcode: ANDIo
-/* 2421 */    MCD_OPC_FilterValue, 29, 3, 0, // Skip to: 2428
-/* 2425 */    MCD_OPC_Decode, 67, 29, // Opcode: ANDISo
-/* 2428 */    MCD_OPC_FilterValue, 30, 134, 0, // Skip to: 2566
-/* 2432 */    MCD_OPC_ExtractField, 2, 3,  // Inst{4-2} ...
-/* 2435 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 2458
-/* 2439 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2442 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2450
-/* 2446 */    MCD_OPC_Decode, 167, 4, 30, // Opcode: RLDICL
-/* 2450 */    MCD_OPC_FilterValue, 1, 147, 16, // Skip to: 6697
-/* 2454 */    MCD_OPC_Decode, 169, 4, 30, // Opcode: RLDICLo
-/* 2458 */    MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 2481
-/* 2462 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2465 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2473
-/* 2469 */    MCD_OPC_Decode, 170, 4, 30, // Opcode: RLDICR
-/* 2473 */    MCD_OPC_FilterValue, 1, 124, 16, // Skip to: 6697
-/* 2477 */    MCD_OPC_Decode, 171, 4, 30, // Opcode: RLDICRo
-/* 2481 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 2504
-/* 2485 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2488 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2496
-/* 2492 */    MCD_OPC_Decode, 166, 4, 30, // Opcode: RLDIC
-/* 2496 */    MCD_OPC_FilterValue, 1, 101, 16, // Skip to: 6697
-/* 2500 */    MCD_OPC_Decode, 172, 4, 30, // Opcode: RLDICo
-/* 2504 */    MCD_OPC_FilterValue, 3, 19, 0, // Skip to: 2527
-/* 2508 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 2511 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2519
-/* 2515 */    MCD_OPC_Decode, 173, 4, 31, // Opcode: RLDIMI
-/* 2519 */    MCD_OPC_FilterValue, 1, 78, 16, // Skip to: 6697
-/* 2523 */    MCD_OPC_Decode, 174, 4, 31, // Opcode: RLDIMIo
-/* 2527 */    MCD_OPC_FilterValue, 4, 70, 16, // Skip to: 6697
-/* 2531 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2534 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2542
-/* 2538 */    MCD_OPC_Decode, 162, 4, 32, // Opcode: RLDCL
-/* 2542 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 2550
-/* 2546 */    MCD_OPC_Decode, 163, 4, 32, // Opcode: RLDCLo
-/* 2550 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 2558
-/* 2554 */    MCD_OPC_Decode, 164, 4, 32, // Opcode: RLDCR
-/* 2558 */    MCD_OPC_FilterValue, 3, 39, 16, // Skip to: 6697
-/* 2562 */    MCD_OPC_Decode, 165, 4, 32, // Opcode: RLDCRo
-/* 2566 */    MCD_OPC_FilterValue, 31, 255, 9, // Skip to: 5129
-/* 2570 */    MCD_OPC_ExtractField, 2, 4,  // Inst{5-2} ...
-/* 2573 */    MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 2650
-/* 2577 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 2580 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2615
-/* 2584 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
-/* 2587 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 2601
-/* 2591 */    MCD_OPC_CheckField, 0, 2, 0, 4, 16, // Skip to: 6697
-/* 2597 */    MCD_OPC_Decode, 186, 1, 33, // Opcode: CMPW
-/* 2601 */    MCD_OPC_FilterValue, 1, 252, 15, // Skip to: 6697
-/* 2605 */    MCD_OPC_CheckField, 0, 2, 0, 246, 15, // Skip to: 6697
-/* 2611 */    MCD_OPC_Decode, 180, 1, 34, // Opcode: CMPD
-/* 2615 */    MCD_OPC_FilterValue, 1, 238, 15, // Skip to: 6697
-/* 2619 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
-/* 2622 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 2636
-/* 2626 */    MCD_OPC_CheckField, 0, 2, 0, 225, 15, // Skip to: 6697
-/* 2632 */    MCD_OPC_Decode, 184, 1, 33, // Opcode: CMPLW
-/* 2636 */    MCD_OPC_FilterValue, 1, 217, 15, // Skip to: 6697
-/* 2640 */    MCD_OPC_CheckField, 0, 2, 0, 211, 15, // Skip to: 6697
-/* 2646 */    MCD_OPC_Decode, 182, 1, 34, // Opcode: CMPLD
-/* 2650 */    MCD_OPC_FilterValue, 2, 44, 0, // Skip to: 2698
-/* 2654 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 2657 */    MCD_OPC_FilterValue, 0, 23, 0, // Skip to: 2684
-/* 2661 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2664 */    MCD_OPC_FilterValue, 0, 189, 15, // Skip to: 6697
-/* 2668 */    MCD_OPC_CheckField, 11, 15, 128, 248, 1, 4, 0, // Skip to: 2680
-/* 2676 */    MCD_OPC_Decode, 187, 5, 23, // Opcode: TRAP
-/* 2680 */    MCD_OPC_Decode, 188, 5, 35, // Opcode: TW
-/* 2684 */    MCD_OPC_FilterValue, 2, 169, 15, // Skip to: 6697
-/* 2688 */    MCD_OPC_CheckField, 0, 2, 0, 163, 15, // Skip to: 6697
-/* 2694 */    MCD_OPC_Decode, 182, 5, 36, // Opcode: TD
-/* 2698 */    MCD_OPC_FilterValue, 3, 161, 0, // Skip to: 2863
-/* 2702 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 2705 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 2728
-/* 2709 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2712 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2720
-/* 2716 */    MCD_OPC_Decode, 186, 3, 37, // Opcode: LVSL
-/* 2720 */    MCD_OPC_FilterValue, 2, 133, 15, // Skip to: 6697
-/* 2724 */    MCD_OPC_Decode, 183, 3, 37, // Opcode: LVEBX
-/* 2728 */    MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 2751
-/* 2732 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2735 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2743
-/* 2739 */    MCD_OPC_Decode, 187, 3, 37, // Opcode: LVSR
-/* 2743 */    MCD_OPC_FilterValue, 2, 110, 15, // Skip to: 6697
-/* 2747 */    MCD_OPC_Decode, 184, 3, 37, // Opcode: LVEHX
-/* 2751 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 2765
-/* 2755 */    MCD_OPC_CheckField, 0, 2, 2, 96, 15, // Skip to: 6697
-/* 2761 */    MCD_OPC_Decode, 185, 3, 37, // Opcode: LVEWX
-/* 2765 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 2779
-/* 2769 */    MCD_OPC_CheckField, 0, 2, 2, 82, 15, // Skip to: 6697
-/* 2775 */    MCD_OPC_Decode, 188, 3, 37, // Opcode: LVX
-/* 2779 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 2793
-/* 2783 */    MCD_OPC_CheckField, 0, 2, 2, 68, 15, // Skip to: 6697
-/* 2789 */    MCD_OPC_Decode, 128, 5, 37, // Opcode: STVEBX
-/* 2793 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 2807
-/* 2797 */    MCD_OPC_CheckField, 0, 2, 2, 54, 15, // Skip to: 6697
-/* 2803 */    MCD_OPC_Decode, 129, 5, 37, // Opcode: STVEHX
-/* 2807 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 2821
-/* 2811 */    MCD_OPC_CheckField, 0, 2, 2, 40, 15, // Skip to: 6697
-/* 2817 */    MCD_OPC_Decode, 130, 5, 37, // Opcode: STVEWX
-/* 2821 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 2835
-/* 2825 */    MCD_OPC_CheckField, 0, 2, 2, 26, 15, // Skip to: 6697
-/* 2831 */    MCD_OPC_Decode, 131, 5, 37, // Opcode: STVX
-/* 2835 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 2849
-/* 2839 */    MCD_OPC_CheckField, 0, 2, 2, 12, 15, // Skip to: 6697
-/* 2845 */    MCD_OPC_Decode, 189, 3, 37, // Opcode: LVXL
-/* 2849 */    MCD_OPC_FilterValue, 15, 4, 15, // Skip to: 6697
-/* 2853 */    MCD_OPC_CheckField, 0, 2, 2, 254, 14, // Skip to: 6697
-/* 2859 */    MCD_OPC_Decode, 132, 5, 37, // Opcode: STVXL
-/* 2863 */    MCD_OPC_FilterValue, 4, 22, 1, // Skip to: 3145
-/* 2867 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 2870 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 2909
-/* 2874 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2877 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2885
-/* 2881 */    MCD_OPC_Decode, 146, 5, 38, // Opcode: SUBFC
-/* 2885 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 2893
-/* 2889 */    MCD_OPC_Decode, 149, 5, 38, // Opcode: SUBFCo
-/* 2893 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 2901
-/* 2897 */    MCD_OPC_Decode, 243, 3, 39, // Opcode: MULHDU
-/* 2901 */    MCD_OPC_FilterValue, 3, 208, 14, // Skip to: 6697
-/* 2905 */    MCD_OPC_Decode, 244, 3, 39, // Opcode: MULHDUo
-/* 2909 */    MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 2932
-/* 2913 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2916 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2924
-/* 2920 */    MCD_OPC_Decode, 143, 5, 38, // Opcode: SUBF
-/* 2924 */    MCD_OPC_FilterValue, 1, 185, 14, // Skip to: 6697
-/* 2928 */    MCD_OPC_Decode, 164, 5, 38, // Opcode: SUBFo
-/* 2932 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 2955
-/* 2936 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2939 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 2947
-/* 2943 */    MCD_OPC_Decode, 242, 3, 39, // Opcode: MULHD
-/* 2947 */    MCD_OPC_FilterValue, 3, 162, 14, // Skip to: 6697
-/* 2951 */    MCD_OPC_Decode, 245, 3, 39, // Opcode: MULHDo
-/* 2955 */    MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 2990
-/* 2959 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2962 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 2976
-/* 2966 */    MCD_OPC_CheckField, 11, 5, 0, 141, 14, // Skip to: 6697
-/* 2972 */    MCD_OPC_Decode, 134, 4, 40, // Opcode: NEG
-/* 2976 */    MCD_OPC_FilterValue, 1, 133, 14, // Skip to: 6697
-/* 2980 */    MCD_OPC_CheckField, 11, 5, 0, 127, 14, // Skip to: 6697
-/* 2986 */    MCD_OPC_Decode, 137, 4, 40, // Opcode: NEGo
-/* 2990 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 3013
-/* 2994 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 2997 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 3005
-/* 3001 */    MCD_OPC_Decode, 150, 5, 38, // Opcode: SUBFE
-/* 3005 */    MCD_OPC_FilterValue, 1, 104, 14, // Skip to: 6697
-/* 3009 */    MCD_OPC_Decode, 153, 5, 38, // Opcode: SUBFEo
-/* 3013 */    MCD_OPC_FilterValue, 6, 31, 0, // Skip to: 3048
-/* 3017 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3020 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3034
-/* 3024 */    MCD_OPC_CheckField, 11, 5, 0, 83, 14, // Skip to: 6697
-/* 3030 */    MCD_OPC_Decode, 160, 5, 40, // Opcode: SUBFZE
-/* 3034 */    MCD_OPC_FilterValue, 1, 75, 14, // Skip to: 6697
-/* 3038 */    MCD_OPC_CheckField, 11, 5, 0, 69, 14, // Skip to: 6697
-/* 3044 */    MCD_OPC_Decode, 163, 5, 40, // Opcode: SUBFZEo
-/* 3048 */    MCD_OPC_FilterValue, 7, 47, 0, // Skip to: 3099
-/* 3052 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3055 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3069
-/* 3059 */    MCD_OPC_CheckField, 11, 5, 0, 48, 14, // Skip to: 6697
-/* 3065 */    MCD_OPC_Decode, 156, 5, 40, // Opcode: SUBFME
-/* 3069 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 3083
-/* 3073 */    MCD_OPC_CheckField, 11, 5, 0, 34, 14, // Skip to: 6697
-/* 3079 */    MCD_OPC_Decode, 159, 5, 40, // Opcode: SUBFMEo
-/* 3083 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3091
-/* 3087 */    MCD_OPC_Decode, 250, 3, 39, // Opcode: MULLD
-/* 3091 */    MCD_OPC_FilterValue, 3, 18, 14, // Skip to: 6697
-/* 3095 */    MCD_OPC_Decode, 251, 3, 39, // Opcode: MULLDo
-/* 3099 */    MCD_OPC_FilterValue, 14, 19, 0, // Skip to: 3122
-/* 3103 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3106 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3114
-/* 3110 */    MCD_OPC_Decode, 213, 1, 39, // Opcode: DIVDU
-/* 3114 */    MCD_OPC_FilterValue, 3, 251, 13, // Skip to: 6697
-/* 3118 */    MCD_OPC_Decode, 214, 1, 39, // Opcode: DIVDUo
-/* 3122 */    MCD_OPC_FilterValue, 15, 243, 13, // Skip to: 6697
-/* 3126 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3129 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3137
-/* 3133 */    MCD_OPC_Decode, 212, 1, 39, // Opcode: DIVD
-/* 3137 */    MCD_OPC_FilterValue, 3, 228, 13, // Skip to: 6697
-/* 3141 */    MCD_OPC_Decode, 215, 1, 39, // Opcode: DIVDo
-/* 3145 */    MCD_OPC_FilterValue, 5, 233, 0, // Skip to: 3382
-/* 3149 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3152 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 3189
-/* 3156 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3159 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 3166
-/* 3163 */    MCD_OPC_Decode, 26, 38, // Opcode: ADDC
-/* 3166 */    MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 3173
-/* 3170 */    MCD_OPC_Decode, 29, 38, // Opcode: ADDCo
-/* 3173 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3181
-/* 3177 */    MCD_OPC_Decode, 247, 3, 38, // Opcode: MULHWU
-/* 3181 */    MCD_OPC_FilterValue, 3, 184, 13, // Skip to: 6697
-/* 3185 */    MCD_OPC_Decode, 248, 3, 38, // Opcode: MULHWUo
-/* 3189 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 3212
-/* 3193 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3196 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3204
-/* 3200 */    MCD_OPC_Decode, 246, 3, 38, // Opcode: MULHW
-/* 3204 */    MCD_OPC_FilterValue, 3, 161, 13, // Skip to: 6697
-/* 3208 */    MCD_OPC_Decode, 249, 3, 38, // Opcode: MULHWo
-/* 3212 */    MCD_OPC_FilterValue, 4, 17, 0, // Skip to: 3233
-/* 3216 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3219 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 3226
-/* 3223 */    MCD_OPC_Decode, 30, 38, // Opcode: ADDE
-/* 3226 */    MCD_OPC_FilterValue, 1, 139, 13, // Skip to: 6697
-/* 3230 */    MCD_OPC_Decode, 33, 38, // Opcode: ADDEo
-/* 3233 */    MCD_OPC_FilterValue, 6, 29, 0, // Skip to: 3266
-/* 3237 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3240 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 3253
-/* 3244 */    MCD_OPC_CheckField, 11, 5, 0, 119, 13, // Skip to: 6697
-/* 3250 */    MCD_OPC_Decode, 54, 40, // Opcode: ADDZE
-/* 3253 */    MCD_OPC_FilterValue, 1, 112, 13, // Skip to: 6697
-/* 3257 */    MCD_OPC_CheckField, 11, 5, 0, 106, 13, // Skip to: 6697
-/* 3263 */    MCD_OPC_Decode, 57, 40, // Opcode: ADDZEo
-/* 3266 */    MCD_OPC_FilterValue, 7, 45, 0, // Skip to: 3315
-/* 3270 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3273 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 3286
-/* 3277 */    MCD_OPC_CheckField, 11, 5, 0, 86, 13, // Skip to: 6697
-/* 3283 */    MCD_OPC_Decode, 50, 40, // Opcode: ADDME
-/* 3286 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3299
-/* 3290 */    MCD_OPC_CheckField, 11, 5, 0, 73, 13, // Skip to: 6697
-/* 3296 */    MCD_OPC_Decode, 53, 40, // Opcode: ADDMEo
-/* 3299 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3307
-/* 3303 */    MCD_OPC_Decode, 254, 3, 38, // Opcode: MULLW
-/* 3307 */    MCD_OPC_FilterValue, 3, 58, 13, // Skip to: 6697
-/* 3311 */    MCD_OPC_Decode, 255, 3, 38, // Opcode: MULLWo
-/* 3315 */    MCD_OPC_FilterValue, 8, 17, 0, // Skip to: 3336
-/* 3319 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3322 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 3329
-/* 3326 */    MCD_OPC_Decode, 19, 38, // Opcode: ADD4
-/* 3329 */    MCD_OPC_FilterValue, 1, 36, 13, // Skip to: 6697
-/* 3333 */    MCD_OPC_Decode, 21, 38, // Opcode: ADD4o
-/* 3336 */    MCD_OPC_FilterValue, 14, 19, 0, // Skip to: 3359
-/* 3340 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3343 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3351
-/* 3347 */    MCD_OPC_Decode, 217, 1, 38, // Opcode: DIVWU
-/* 3351 */    MCD_OPC_FilterValue, 3, 14, 13, // Skip to: 6697
-/* 3355 */    MCD_OPC_Decode, 218, 1, 38, // Opcode: DIVWUo
-/* 3359 */    MCD_OPC_FilterValue, 15, 6, 13, // Skip to: 6697
-/* 3363 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3366 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3374
-/* 3370 */    MCD_OPC_Decode, 216, 1, 38, // Opcode: DIVW
-/* 3374 */    MCD_OPC_FilterValue, 3, 247, 12, // Skip to: 6697
-/* 3378 */    MCD_OPC_Decode, 219, 1, 38, // Opcode: DIVWo
-/* 3382 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 3396
-/* 3386 */    MCD_OPC_CheckField, 0, 2, 2, 233, 12, // Skip to: 6697
-/* 3392 */    MCD_OPC_Decode, 252, 2, 41, // Opcode: ISEL
-/* 3396 */    MCD_OPC_FilterValue, 8, 43, 0, // Skip to: 3443
-/* 3400 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 3403 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 3423
-/* 3407 */    MCD_OPC_CheckField, 6, 6, 4, 212, 12, // Skip to: 6697
-/* 3413 */    MCD_OPC_CheckField, 0, 2, 0, 206, 12, // Skip to: 6697
-/* 3419 */    MCD_OPC_Decode, 223, 3, 42, // Opcode: MTCRF
-/* 3423 */    MCD_OPC_FilterValue, 1, 198, 12, // Skip to: 6697
-/* 3427 */    MCD_OPC_CheckField, 6, 6, 4, 192, 12, // Skip to: 6697
-/* 3433 */    MCD_OPC_CheckField, 0, 2, 0, 186, 12, // Skip to: 6697
-/* 3439 */    MCD_OPC_Decode, 236, 3, 43, // Opcode: MTOCRF
-/* 3443 */    MCD_OPC_FilterValue, 9, 48, 1, // Skip to: 3751
-/* 3447 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3450 */    MCD_OPC_FilterValue, 0, 43, 0, // Skip to: 3497
-/* 3454 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 3457 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 3477
-/* 3461 */    MCD_OPC_CheckField, 11, 9, 0, 158, 12, // Skip to: 6697
-/* 3467 */    MCD_OPC_CheckField, 0, 2, 2, 152, 12, // Skip to: 6697
-/* 3473 */    MCD_OPC_Decode, 206, 3, 44, // Opcode: MFCR
-/* 3477 */    MCD_OPC_FilterValue, 1, 144, 12, // Skip to: 6697
-/* 3481 */    MCD_OPC_CheckField, 11, 1, 0, 138, 12, // Skip to: 6697
-/* 3487 */    MCD_OPC_CheckField, 0, 2, 2, 132, 12, // Skip to: 6697
-/* 3493 */    MCD_OPC_Decode, 214, 3, 45, // Opcode: MFOCRF
-/* 3497 */    MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 3517
-/* 3501 */    MCD_OPC_CheckField, 11, 10, 0, 118, 12, // Skip to: 6697
-/* 3507 */    MCD_OPC_CheckField, 0, 2, 2, 112, 12, // Skip to: 6697
-/* 3513 */    MCD_OPC_Decode, 213, 3, 44, // Opcode: MFMSR
-/* 3517 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 3531
-/* 3521 */    MCD_OPC_CheckField, 1, 1, 0, 98, 12, // Skip to: 6697
-/* 3527 */    MCD_OPC_Decode, 234, 3, 46, // Opcode: MTMSR
-/* 3531 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 3545
-/* 3535 */    MCD_OPC_CheckField, 1, 1, 0, 84, 12, // Skip to: 6697
-/* 3541 */    MCD_OPC_Decode, 235, 3, 46, // Opcode: MTMSRD
-/* 3545 */    MCD_OPC_FilterValue, 8, 16, 0, // Skip to: 3565
-/* 3549 */    MCD_OPC_CheckField, 16, 10, 0, 70, 12, // Skip to: 6697
-/* 3555 */    MCD_OPC_CheckField, 0, 2, 0, 64, 12, // Skip to: 6697
-/* 3561 */    MCD_OPC_Decode, 185, 5, 47, // Opcode: TLBIEL
-/* 3565 */    MCD_OPC_FilterValue, 9, 16, 0, // Skip to: 3585
-/* 3569 */    MCD_OPC_CheckField, 16, 5, 0, 50, 12, // Skip to: 6697
-/* 3575 */    MCD_OPC_CheckField, 0, 2, 0, 44, 12, // Skip to: 6697
-/* 3581 */    MCD_OPC_Decode, 184, 5, 48, // Opcode: TLBIE
-/* 3585 */    MCD_OPC_FilterValue, 10, 32, 0, // Skip to: 3621
-/* 3589 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3592 */    MCD_OPC_FilterValue, 2, 29, 12, // Skip to: 6697
-/* 3596 */    MCD_OPC_ExtractField, 11, 10,  // Inst{20-11} ...
-/* 3599 */    MCD_OPC_FilterValue, 128, 2, 4, 0, // Skip to: 3608
-/* 3604 */    MCD_OPC_Decode, 211, 3, 44, // Opcode: MFLR
-/* 3608 */    MCD_OPC_FilterValue, 160, 2, 4, 0, // Skip to: 3617
-/* 3613 */    MCD_OPC_Decode, 208, 3, 44, // Opcode: MFCTR
-/* 3617 */    MCD_OPC_Decode, 216, 3, 49, // Opcode: MFSPR
-/* 3621 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 3635
-/* 3625 */    MCD_OPC_CheckField, 0, 2, 2, 250, 11, // Skip to: 6697
-/* 3631 */    MCD_OPC_Decode, 217, 3, 49, // Opcode: MFTB
-/* 3635 */    MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 3655
-/* 3639 */    MCD_OPC_CheckField, 16, 5, 0, 236, 11, // Skip to: 6697
-/* 3645 */    MCD_OPC_CheckField, 0, 2, 0, 230, 11, // Skip to: 6697
-/* 3651 */    MCD_OPC_Decode, 196, 4, 48, // Opcode: SLBMTE
-/* 3655 */    MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 3675
-/* 3659 */    MCD_OPC_CheckField, 16, 10, 0, 216, 11, // Skip to: 6697
-/* 3665 */    MCD_OPC_CheckField, 0, 2, 0, 210, 11, // Skip to: 6697
-/* 3671 */    MCD_OPC_Decode, 194, 4, 47, // Opcode: SLBIE
-/* 3675 */    MCD_OPC_FilterValue, 14, 32, 0, // Skip to: 3711
-/* 3679 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3682 */    MCD_OPC_FilterValue, 2, 195, 11, // Skip to: 6697
-/* 3686 */    MCD_OPC_ExtractField, 11, 10,  // Inst{20-11} ...
-/* 3689 */    MCD_OPC_FilterValue, 128, 2, 4, 0, // Skip to: 3698
-/* 3694 */    MCD_OPC_Decode, 232, 3, 44, // Opcode: MTLR
-/* 3698 */    MCD_OPC_FilterValue, 160, 2, 4, 0, // Skip to: 3707
-/* 3703 */    MCD_OPC_Decode, 225, 3, 44, // Opcode: MTCTR
-/* 3707 */    MCD_OPC_Decode, 238, 3, 50, // Opcode: MTSPR
-/* 3711 */    MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 3731
-/* 3715 */    MCD_OPC_CheckField, 11, 15, 0, 160, 11, // Skip to: 6697
-/* 3721 */    MCD_OPC_CheckField, 0, 2, 0, 154, 11, // Skip to: 6697
-/* 3727 */    MCD_OPC_Decode, 193, 4, 23, // Opcode: SLBIA
-/* 3731 */    MCD_OPC_FilterValue, 28, 146, 11, // Skip to: 6697
-/* 3735 */    MCD_OPC_CheckField, 16, 5, 0, 140, 11, // Skip to: 6697
-/* 3741 */    MCD_OPC_CheckField, 0, 2, 2, 134, 11, // Skip to: 6697
-/* 3747 */    MCD_OPC_Decode, 195, 4, 48, // Opcode: SLBMFEE
-/* 3751 */    MCD_OPC_FilterValue, 10, 138, 0, // Skip to: 3893
-/* 3755 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3758 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 3781
-/* 3762 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3765 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 3773
-/* 3769 */    MCD_OPC_Decode, 191, 3, 51, // Opcode: LWARX
-/* 3773 */    MCD_OPC_FilterValue, 2, 104, 11, // Skip to: 6697
-/* 3777 */    MCD_OPC_Decode, 142, 3, 52, // Opcode: LDX
-/* 3781 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 3795
-/* 3785 */    MCD_OPC_CheckField, 0, 2, 2, 90, 11, // Skip to: 6697
-/* 3791 */    MCD_OPC_Decode, 141, 3, 53, // Opcode: LDUX
-/* 3795 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 3809
-/* 3799 */    MCD_OPC_CheckField, 0, 2, 0, 76, 11, // Skip to: 6697
-/* 3805 */    MCD_OPC_Decode, 138, 3, 52, // Opcode: LDARX
+/* 1582 */    MCD_OPC_FilterValue, 128, 4, 4, 0, // Skip to: 1591
+/* 1587 */    MCD_OPC_Decode, 146, 1, 18, // Opcode: BDNZ
+/* 1591 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1600
+/* 1596 */    MCD_OPC_Decode, 166, 1, 18, // Opcode: BDZ
+/* 1600 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1609
+/* 1605 */    MCD_OPC_Decode, 164, 1, 18, // Opcode: BDNZm
+/* 1609 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1618
+/* 1614 */    MCD_OPC_Decode, 165, 1, 18, // Opcode: BDNZp
+/* 1618 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1627
+/* 1623 */    MCD_OPC_Decode, 184, 1, 18, // Opcode: BDZm
+/* 1627 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1636
+/* 1632 */    MCD_OPC_Decode, 185, 1, 18, // Opcode: BDZp
+/* 1636 */    MCD_OPC_Decode, 237, 9, 19, // Opcode: gBC
+/* 1640 */    MCD_OPC_FilterValue, 1, 61, 0, // Skip to: 1705
+/* 1644 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 1647 */    MCD_OPC_FilterValue, 128, 4, 4, 0, // Skip to: 1656
+/* 1652 */    MCD_OPC_Decode, 151, 1, 18, // Opcode: BDNZL
+/* 1656 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1665
+/* 1661 */    MCD_OPC_Decode, 171, 1, 18, // Opcode: BDZL
+/* 1665 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1674
+/* 1670 */    MCD_OPC_Decode, 162, 1, 18, // Opcode: BDNZLm
+/* 1674 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1683
+/* 1679 */    MCD_OPC_Decode, 163, 1, 18, // Opcode: BDNZLp
+/* 1683 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1692
+/* 1688 */    MCD_OPC_Decode, 182, 1, 18, // Opcode: BDZLm
+/* 1692 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1701
+/* 1697 */    MCD_OPC_Decode, 183, 1, 18, // Opcode: BDZLp
+/* 1701 */    MCD_OPC_Decode, 241, 9, 19, // Opcode: gBCL
+/* 1705 */    MCD_OPC_FilterValue, 2, 61, 0, // Skip to: 1770
+/* 1709 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 1712 */    MCD_OPC_FilterValue, 128, 4, 4, 0, // Skip to: 1721
+/* 1717 */    MCD_OPC_Decode, 148, 1, 18, // Opcode: BDNZA
+/* 1721 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1730
+/* 1726 */    MCD_OPC_Decode, 168, 1, 18, // Opcode: BDZA
+/* 1730 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1739
+/* 1735 */    MCD_OPC_Decode, 149, 1, 18, // Opcode: BDNZAm
+/* 1739 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1748
+/* 1744 */    MCD_OPC_Decode, 150, 1, 18, // Opcode: BDNZAp
+/* 1748 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1757
+/* 1753 */    MCD_OPC_Decode, 169, 1, 18, // Opcode: BDZAm
+/* 1757 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1766
+/* 1762 */    MCD_OPC_Decode, 170, 1, 18, // Opcode: BDZAp
+/* 1766 */    MCD_OPC_Decode, 238, 9, 19, // Opcode: gBCA
+/* 1770 */    MCD_OPC_FilterValue, 3, 174, 29, // Skip to: 9372
+/* 1774 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 1777 */    MCD_OPC_FilterValue, 128, 4, 4, 0, // Skip to: 1786
+/* 1782 */    MCD_OPC_Decode, 152, 1, 18, // Opcode: BDNZLA
+/* 1786 */    MCD_OPC_FilterValue, 192, 4, 4, 0, // Skip to: 1795
+/* 1791 */    MCD_OPC_Decode, 172, 1, 18, // Opcode: BDZLA
+/* 1795 */    MCD_OPC_FilterValue, 128, 6, 4, 0, // Skip to: 1804
+/* 1800 */    MCD_OPC_Decode, 153, 1, 18, // Opcode: BDNZLAm
+/* 1804 */    MCD_OPC_FilterValue, 160, 6, 4, 0, // Skip to: 1813
+/* 1809 */    MCD_OPC_Decode, 154, 1, 18, // Opcode: BDNZLAp
+/* 1813 */    MCD_OPC_FilterValue, 192, 6, 4, 0, // Skip to: 1822
+/* 1818 */    MCD_OPC_Decode, 173, 1, 18, // Opcode: BDZLAm
+/* 1822 */    MCD_OPC_FilterValue, 224, 6, 4, 0, // Skip to: 1831
+/* 1827 */    MCD_OPC_Decode, 174, 1, 18, // Opcode: BDZLAp
+/* 1831 */    MCD_OPC_Decode, 242, 9, 19, // Opcode: gBCLA
+/* 1835 */    MCD_OPC_FilterValue, 17, 10, 0, // Skip to: 1849
+/* 1839 */    MCD_OPC_CheckField, 1, 1, 1, 103, 29, // Skip to: 9372
+/* 1845 */    MCD_OPC_Decode, 155, 6, 20, // Opcode: SC
+/* 1849 */    MCD_OPC_FilterValue, 18, 33, 0, // Skip to: 1886
+/* 1853 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 1856 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1863
+/* 1860 */    MCD_OPC_Decode, 113, 21, // Opcode: B
+/* 1863 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1871
+/* 1867 */    MCD_OPC_Decode, 186, 1, 21, // Opcode: BL
+/* 1871 */    MCD_OPC_FilterValue, 2, 3, 0, // Skip to: 1878
+/* 1875 */    MCD_OPC_Decode, 114, 21, // Opcode: BA
+/* 1878 */    MCD_OPC_FilterValue, 3, 66, 29, // Skip to: 9372
+/* 1882 */    MCD_OPC_Decode, 192, 1, 21, // Opcode: BLA
+/* 1886 */    MCD_OPC_FilterValue, 19, 235, 1, // Skip to: 2381
+/* 1890 */    MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
+/* 1893 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 1913
+/* 1897 */    MCD_OPC_CheckField, 21, 2, 0, 45, 29, // Skip to: 9372
+/* 1903 */    MCD_OPC_CheckField, 11, 7, 0, 39, 29, // Skip to: 9372
+/* 1909 */    MCD_OPC_Decode, 158, 5, 22, // Opcode: MCRF
+/* 1913 */    MCD_OPC_FilterValue, 32, 119, 0, // Skip to: 2036
+/* 1917 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 1920 */    MCD_OPC_FilterValue, 0, 24, 29, // Skip to: 9372
+/* 1924 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 1927 */    MCD_OPC_FilterValue, 128, 4, 10, 0, // Skip to: 1942
+/* 1932 */    MCD_OPC_CheckField, 11, 2, 0, 94, 0, // Skip to: 2032
+/* 1938 */    MCD_OPC_Decode, 155, 1, 23, // Opcode: BDNZLR
+/* 1942 */    MCD_OPC_FilterValue, 192, 4, 10, 0, // Skip to: 1957
+/* 1947 */    MCD_OPC_CheckField, 11, 2, 0, 79, 0, // Skip to: 2032
+/* 1953 */    MCD_OPC_Decode, 175, 1, 23, // Opcode: BDZLR
+/* 1957 */    MCD_OPC_FilterValue, 128, 5, 10, 0, // Skip to: 1972
+/* 1962 */    MCD_OPC_CheckField, 11, 2, 0, 64, 0, // Skip to: 2032
+/* 1968 */    MCD_OPC_Decode, 195, 1, 23, // Opcode: BLR
+/* 1972 */    MCD_OPC_FilterValue, 128, 6, 10, 0, // Skip to: 1987
+/* 1977 */    MCD_OPC_CheckField, 11, 2, 0, 49, 0, // Skip to: 2032
+/* 1983 */    MCD_OPC_Decode, 160, 1, 23, // Opcode: BDNZLRm
+/* 1987 */    MCD_OPC_FilterValue, 160, 6, 10, 0, // Skip to: 2002
+/* 1992 */    MCD_OPC_CheckField, 11, 2, 0, 34, 0, // Skip to: 2032
+/* 1998 */    MCD_OPC_Decode, 161, 1, 23, // Opcode: BDNZLRp
+/* 2002 */    MCD_OPC_FilterValue, 192, 6, 10, 0, // Skip to: 2017
+/* 2007 */    MCD_OPC_CheckField, 11, 2, 0, 19, 0, // Skip to: 2032
+/* 2013 */    MCD_OPC_Decode, 180, 1, 23, // Opcode: BDZLRm
+/* 2017 */    MCD_OPC_FilterValue, 224, 6, 10, 0, // Skip to: 2032
+/* 2022 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2032
+/* 2028 */    MCD_OPC_Decode, 181, 1, 23, // Opcode: BDZLRp
+/* 2032 */    MCD_OPC_Decode, 243, 9, 24, // Opcode: gBCLR
+/* 2036 */    MCD_OPC_FilterValue, 33, 119, 0, // Skip to: 2159
+/* 2040 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 2043 */    MCD_OPC_FilterValue, 0, 157, 28, // Skip to: 9372
+/* 2047 */    MCD_OPC_ExtractField, 16, 10,  // Inst{25-16} ...
+/* 2050 */    MCD_OPC_FilterValue, 128, 4, 10, 0, // Skip to: 2065
+/* 2055 */    MCD_OPC_CheckField, 11, 2, 0, 94, 0, // Skip to: 2155
+/* 2061 */    MCD_OPC_Decode, 157, 1, 23, // Opcode: BDNZLRL
+/* 2065 */    MCD_OPC_FilterValue, 192, 4, 10, 0, // Skip to: 2080
+/* 2070 */    MCD_OPC_CheckField, 11, 2, 0, 79, 0, // Skip to: 2155
+/* 2076 */    MCD_OPC_Decode, 177, 1, 23, // Opcode: BDZLRL
+/* 2080 */    MCD_OPC_FilterValue, 128, 5, 10, 0, // Skip to: 2095
+/* 2085 */    MCD_OPC_CheckField, 11, 2, 0, 64, 0, // Skip to: 2155
+/* 2091 */    MCD_OPC_Decode, 196, 1, 23, // Opcode: BLRL
+/* 2095 */    MCD_OPC_FilterValue, 128, 6, 10, 0, // Skip to: 2110
+/* 2100 */    MCD_OPC_CheckField, 11, 2, 0, 49, 0, // Skip to: 2155
+/* 2106 */    MCD_OPC_Decode, 158, 1, 23, // Opcode: BDNZLRLm
+/* 2110 */    MCD_OPC_FilterValue, 160, 6, 10, 0, // Skip to: 2125
+/* 2115 */    MCD_OPC_CheckField, 11, 2, 0, 34, 0, // Skip to: 2155
+/* 2121 */    MCD_OPC_Decode, 159, 1, 23, // Opcode: BDNZLRLp
+/* 2125 */    MCD_OPC_FilterValue, 192, 6, 10, 0, // Skip to: 2140
+/* 2130 */    MCD_OPC_CheckField, 11, 2, 0, 19, 0, // Skip to: 2155
+/* 2136 */    MCD_OPC_Decode, 178, 1, 23, // Opcode: BDZLRLm
+/* 2140 */    MCD_OPC_FilterValue, 224, 6, 10, 0, // Skip to: 2155
+/* 2145 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2155
+/* 2151 */    MCD_OPC_Decode, 179, 1, 23, // Opcode: BDZLRLp
+/* 2155 */    MCD_OPC_Decode, 244, 9, 24, // Opcode: gBCLRL
+/* 2159 */    MCD_OPC_FilterValue, 36, 10, 0, // Skip to: 2173
+/* 2163 */    MCD_OPC_CheckField, 11, 15, 0, 35, 28, // Skip to: 9372
+/* 2169 */    MCD_OPC_Decode, 254, 5, 23, // Opcode: RFID
+/* 2173 */    MCD_OPC_FilterValue, 66, 4, 0, // Skip to: 2181
+/* 2177 */    MCD_OPC_Decode, 225, 1, 25, // Opcode: CRNOR
+/* 2181 */    MCD_OPC_FilterValue, 76, 10, 0, // Skip to: 2195
+/* 2185 */    MCD_OPC_CheckField, 11, 15, 0, 13, 28, // Skip to: 9372
+/* 2191 */    MCD_OPC_Decode, 255, 5, 23, // Opcode: RFMCI
+/* 2195 */    MCD_OPC_FilterValue, 78, 10, 0, // Skip to: 2209
+/* 2199 */    MCD_OPC_CheckField, 11, 15, 0, 255, 27, // Skip to: 9372
+/* 2205 */    MCD_OPC_Decode, 252, 5, 23, // Opcode: RFDI
+/* 2209 */    MCD_OPC_FilterValue, 100, 10, 0, // Skip to: 2223
+/* 2213 */    MCD_OPC_CheckField, 11, 15, 0, 241, 27, // Skip to: 9372
+/* 2219 */    MCD_OPC_Decode, 253, 5, 23, // Opcode: RFI
+/* 2223 */    MCD_OPC_FilterValue, 102, 10, 0, // Skip to: 2237
+/* 2227 */    MCD_OPC_CheckField, 11, 15, 0, 227, 27, // Skip to: 9372
+/* 2233 */    MCD_OPC_Decode, 251, 5, 23, // Opcode: RFCI
+/* 2237 */    MCD_OPC_FilterValue, 130, 2, 4, 0, // Skip to: 2246
+/* 2242 */    MCD_OPC_Decode, 222, 1, 25, // Opcode: CRANDC
+/* 2246 */    MCD_OPC_FilterValue, 172, 2, 10, 0, // Skip to: 2261
+/* 2251 */    MCD_OPC_CheckField, 11, 15, 0, 203, 27, // Skip to: 9372
+/* 2257 */    MCD_OPC_Decode, 201, 4, 23, // Opcode: ISYNC
+/* 2261 */    MCD_OPC_FilterValue, 130, 3, 4, 0, // Skip to: 2270
+/* 2266 */    MCD_OPC_Decode, 230, 1, 25, // Opcode: CRXOR
+/* 2270 */    MCD_OPC_FilterValue, 194, 3, 4, 0, // Skip to: 2279
+/* 2275 */    MCD_OPC_Decode, 224, 1, 25, // Opcode: CRNAND
+/* 2279 */    MCD_OPC_FilterValue, 130, 4, 4, 0, // Skip to: 2288
+/* 2284 */    MCD_OPC_Decode, 221, 1, 25, // Opcode: CRAND
+/* 2288 */    MCD_OPC_FilterValue, 194, 4, 4, 0, // Skip to: 2297
+/* 2293 */    MCD_OPC_Decode, 223, 1, 25, // Opcode: CREQV
+/* 2297 */    MCD_OPC_FilterValue, 194, 6, 4, 0, // Skip to: 2306
+/* 2302 */    MCD_OPC_Decode, 227, 1, 25, // Opcode: CRORC
+/* 2306 */    MCD_OPC_FilterValue, 130, 7, 4, 0, // Skip to: 2315
+/* 2311 */    MCD_OPC_Decode, 226, 1, 25, // Opcode: CROR
+/* 2315 */    MCD_OPC_FilterValue, 160, 8, 28, 0, // Skip to: 2348
+/* 2320 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 2323 */    MCD_OPC_FilterValue, 0, 133, 27, // Skip to: 9372
+/* 2327 */    MCD_OPC_CheckField, 16, 10, 128, 5, 10, 0, // Skip to: 2344
+/* 2334 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2344
+/* 2340 */    MCD_OPC_Decode, 141, 1, 23, // Opcode: BCTR
+/* 2344 */    MCD_OPC_Decode, 239, 9, 24, // Opcode: gBCCTR
+/* 2348 */    MCD_OPC_FilterValue, 161, 8, 107, 27, // Skip to: 9372
+/* 2353 */    MCD_OPC_ExtractField, 13, 3,  // Inst{15-13} ...
+/* 2356 */    MCD_OPC_FilterValue, 0, 100, 27, // Skip to: 9372
+/* 2360 */    MCD_OPC_CheckField, 16, 10, 128, 5, 10, 0, // Skip to: 2377
+/* 2367 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2377
+/* 2373 */    MCD_OPC_Decode, 143, 1, 23, // Opcode: BCTRL
+/* 2377 */    MCD_OPC_Decode, 240, 9, 24, // Opcode: gBCCTRL
+/* 2381 */    MCD_OPC_FilterValue, 20, 19, 0, // Skip to: 2404
+/* 2385 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2388 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2396
+/* 2392 */    MCD_OPC_Decode, 141, 6, 26, // Opcode: RLWIMI
+/* 2396 */    MCD_OPC_FilterValue, 1, 60, 27, // Skip to: 9372
+/* 2400 */    MCD_OPC_Decode, 144, 6, 26, // Opcode: RLWIMIo
+/* 2404 */    MCD_OPC_FilterValue, 21, 19, 0, // Skip to: 2427
+/* 2408 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2411 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2419
+/* 2415 */    MCD_OPC_Decode, 145, 6, 27, // Opcode: RLWINM
+/* 2419 */    MCD_OPC_FilterValue, 1, 37, 27, // Skip to: 9372
+/* 2423 */    MCD_OPC_Decode, 148, 6, 27, // Opcode: RLWINMo
+/* 2427 */    MCD_OPC_FilterValue, 23, 19, 0, // Skip to: 2450
+/* 2431 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2434 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2442
+/* 2438 */    MCD_OPC_Decode, 149, 6, 28, // Opcode: RLWNM
+/* 2442 */    MCD_OPC_FilterValue, 1, 14, 27, // Skip to: 9372
+/* 2446 */    MCD_OPC_Decode, 150, 6, 28, // Opcode: RLWNMo
+/* 2450 */    MCD_OPC_FilterValue, 24, 14, 0, // Skip to: 2468
+/* 2454 */    MCD_OPC_CheckField, 0, 26, 0, 4, 0, // Skip to: 2464
+/* 2460 */    MCD_OPC_Decode, 225, 5, 23, // Opcode: NOP
+/* 2464 */    MCD_OPC_Decode, 239, 5, 29, // Opcode: ORI
+/* 2468 */    MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 2476
+/* 2472 */    MCD_OPC_Decode, 241, 5, 29, // Opcode: ORIS
+/* 2476 */    MCD_OPC_FilterValue, 26, 4, 0, // Skip to: 2484
+/* 2480 */    MCD_OPC_Decode, 224, 8, 29, // Opcode: XORI
+/* 2484 */    MCD_OPC_FilterValue, 27, 4, 0, // Skip to: 2492
+/* 2488 */    MCD_OPC_Decode, 226, 8, 29, // Opcode: XORIS
+/* 2492 */    MCD_OPC_FilterValue, 28, 3, 0, // Skip to: 2499
+/* 2496 */    MCD_OPC_Decode, 74, 29, // Opcode: ANDIo
+/* 2499 */    MCD_OPC_FilterValue, 29, 3, 0, // Skip to: 2506
+/* 2503 */    MCD_OPC_Decode, 72, 29, // Opcode: ANDISo
+/* 2506 */    MCD_OPC_FilterValue, 30, 134, 0, // Skip to: 2644
+/* 2510 */    MCD_OPC_ExtractField, 2, 3,  // Inst{4-2} ...
+/* 2513 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 2536
+/* 2517 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2520 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2528
+/* 2524 */    MCD_OPC_Decode, 133, 6, 30, // Opcode: RLDICL
+/* 2528 */    MCD_OPC_FilterValue, 1, 184, 26, // Skip to: 9372
+/* 2532 */    MCD_OPC_Decode, 135, 6, 30, // Opcode: RLDICLo
+/* 2536 */    MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 2559
+/* 2540 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2543 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2551
+/* 2547 */    MCD_OPC_Decode, 136, 6, 30, // Opcode: RLDICR
+/* 2551 */    MCD_OPC_FilterValue, 1, 161, 26, // Skip to: 9372
+/* 2555 */    MCD_OPC_Decode, 137, 6, 30, // Opcode: RLDICRo
+/* 2559 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 2582
+/* 2563 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2566 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2574
+/* 2570 */    MCD_OPC_Decode, 132, 6, 30, // Opcode: RLDIC
+/* 2574 */    MCD_OPC_FilterValue, 1, 138, 26, // Skip to: 9372
+/* 2578 */    MCD_OPC_Decode, 138, 6, 30, // Opcode: RLDICo
+/* 2582 */    MCD_OPC_FilterValue, 3, 19, 0, // Skip to: 2605
+/* 2586 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 2589 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2597
+/* 2593 */    MCD_OPC_Decode, 139, 6, 31, // Opcode: RLDIMI
+/* 2597 */    MCD_OPC_FilterValue, 1, 115, 26, // Skip to: 9372
+/* 2601 */    MCD_OPC_Decode, 140, 6, 31, // Opcode: RLDIMIo
+/* 2605 */    MCD_OPC_FilterValue, 4, 107, 26, // Skip to: 9372
+/* 2609 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 2612 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2620
+/* 2616 */    MCD_OPC_Decode, 128, 6, 32, // Opcode: RLDCL
+/* 2620 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 2628
+/* 2624 */    MCD_OPC_Decode, 129, 6, 32, // Opcode: RLDCLo
+/* 2628 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 2636
+/* 2632 */    MCD_OPC_Decode, 130, 6, 32, // Opcode: RLDCR
+/* 2636 */    MCD_OPC_FilterValue, 3, 76, 26, // Skip to: 9372
+/* 2640 */    MCD_OPC_Decode, 131, 6, 32, // Opcode: RLDCRo
+/* 2644 */    MCD_OPC_FilterValue, 31, 38, 12, // Skip to: 5758
+/* 2648 */    MCD_OPC_ExtractField, 2, 4,  // Inst{5-2} ...
+/* 2651 */    MCD_OPC_FilterValue, 0, 73, 0, // Skip to: 2728
+/* 2655 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 2658 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 2693
+/* 2662 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
+/* 2665 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 2679
+/* 2669 */    MCD_OPC_CheckField, 0, 2, 0, 41, 26, // Skip to: 9372
+/* 2675 */    MCD_OPC_Decode, 213, 1, 33, // Opcode: CMPW
+/* 2679 */    MCD_OPC_FilterValue, 1, 33, 26, // Skip to: 9372
+/* 2683 */    MCD_OPC_CheckField, 0, 2, 0, 27, 26, // Skip to: 9372
+/* 2689 */    MCD_OPC_Decode, 207, 1, 34, // Opcode: CMPD
+/* 2693 */    MCD_OPC_FilterValue, 1, 19, 26, // Skip to: 9372
+/* 2697 */    MCD_OPC_ExtractField, 21, 2,  // Inst{22-21} ...
+/* 2700 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 2714
+/* 2704 */    MCD_OPC_CheckField, 0, 2, 0, 6, 26, // Skip to: 9372
+/* 2710 */    MCD_OPC_Decode, 211, 1, 33, // Opcode: CMPLW
+/* 2714 */    MCD_OPC_FilterValue, 1, 254, 25, // Skip to: 9372
+/* 2718 */    MCD_OPC_CheckField, 0, 2, 0, 248, 25, // Skip to: 9372
+/* 2724 */    MCD_OPC_Decode, 209, 1, 34, // Opcode: CMPLD
+/* 2728 */    MCD_OPC_FilterValue, 1, 65, 0, // Skip to: 2797
+/* 2732 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 2735 */    MCD_OPC_FilterValue, 4, 16, 0, // Skip to: 2755
+/* 2739 */    MCD_OPC_CheckField, 16, 1, 0, 227, 25, // Skip to: 9372
+/* 2745 */    MCD_OPC_CheckField, 1, 1, 1, 221, 25, // Skip to: 9372
+/* 2751 */    MCD_OPC_Decode, 219, 8, 35, // Opcode: WRTEE
+/* 2755 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 2769
+/* 2759 */    MCD_OPC_CheckField, 1, 1, 1, 207, 25, // Skip to: 9372
+/* 2765 */    MCD_OPC_Decode, 220, 8, 36, // Opcode: WRTEEI
+/* 2769 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 2783
+/* 2773 */    MCD_OPC_CheckField, 0, 2, 2, 193, 25, // Skip to: 9372
+/* 2779 */    MCD_OPC_Decode, 163, 5, 37, // Opcode: MFDCR
+/* 2783 */    MCD_OPC_FilterValue, 14, 185, 25, // Skip to: 9372
+/* 2787 */    MCD_OPC_CheckField, 0, 2, 2, 179, 25, // Skip to: 9372
+/* 2793 */    MCD_OPC_Decode, 185, 5, 37, // Opcode: MTDCR
+/* 2797 */    MCD_OPC_FilterValue, 2, 44, 0, // Skip to: 2845
+/* 2801 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 2804 */    MCD_OPC_FilterValue, 0, 23, 0, // Skip to: 2831
+/* 2808 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 2811 */    MCD_OPC_FilterValue, 0, 157, 25, // Skip to: 9372
+/* 2815 */    MCD_OPC_CheckField, 11, 15, 128, 248, 1, 4, 0, // Skip to: 2827
+/* 2823 */    MCD_OPC_Decode, 176, 7, 23, // Opcode: TRAP
+/* 2827 */    MCD_OPC_Decode, 177, 7, 38, // Opcode: TW
+/* 2831 */    MCD_OPC_FilterValue, 2, 137, 25, // Skip to: 9372
+/* 2835 */    MCD_OPC_CheckField, 0, 2, 0, 131, 25, // Skip to: 9372
+/* 2841 */    MCD_OPC_Decode, 160, 7, 39, // Opcode: TD
+/* 2845 */    MCD_OPC_FilterValue, 3, 201, 0, // Skip to: 3050
+/* 2849 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 2852 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 2875
+/* 2856 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 2859 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2867
+/* 2863 */    MCD_OPC_Decode, 133, 5, 40, // Opcode: LVSL
+/* 2867 */    MCD_OPC_FilterValue, 2, 101, 25, // Skip to: 9372
+/* 2871 */    MCD_OPC_Decode, 130, 5, 40, // Opcode: LVEBX
+/* 2875 */    MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 2898
+/* 2879 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 2882 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 2890
+/* 2886 */    MCD_OPC_Decode, 134, 5, 40, // Opcode: LVSR
+/* 2890 */    MCD_OPC_FilterValue, 2, 78, 25, // Skip to: 9372
+/* 2894 */    MCD_OPC_Decode, 131, 5, 40, // Opcode: LVEHX
+/* 2898 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 2912
+/* 2902 */    MCD_OPC_CheckField, 0, 2, 2, 64, 25, // Skip to: 9372
+/* 2908 */    MCD_OPC_Decode, 132, 5, 40, // Opcode: LVEWX
+/* 2912 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 2926
+/* 2916 */    MCD_OPC_CheckField, 0, 2, 2, 50, 25, // Skip to: 9372
+/* 2922 */    MCD_OPC_Decode, 135, 5, 40, // Opcode: LVX
+/* 2926 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 2940
+/* 2930 */    MCD_OPC_CheckField, 0, 2, 2, 36, 25, // Skip to: 9372
+/* 2936 */    MCD_OPC_Decode, 231, 6, 40, // Opcode: STVEBX
+/* 2940 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 2954
+/* 2944 */    MCD_OPC_CheckField, 0, 2, 2, 22, 25, // Skip to: 9372
+/* 2950 */    MCD_OPC_Decode, 232, 6, 40, // Opcode: STVEHX
+/* 2954 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 2968
+/* 2958 */    MCD_OPC_CheckField, 0, 2, 2, 8, 25, // Skip to: 9372
+/* 2964 */    MCD_OPC_Decode, 233, 6, 40, // Opcode: STVEWX
+/* 2968 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 2982
+/* 2972 */    MCD_OPC_CheckField, 0, 2, 2, 250, 24, // Skip to: 9372
+/* 2978 */    MCD_OPC_Decode, 234, 6, 40, // Opcode: STVX
+/* 2982 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 2996
+/* 2986 */    MCD_OPC_CheckField, 0, 2, 2, 236, 24, // Skip to: 9372
+/* 2992 */    MCD_OPC_Decode, 136, 5, 40, // Opcode: LVXL
+/* 2996 */    MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 3016
+/* 3000 */    MCD_OPC_CheckField, 21, 5, 0, 222, 24, // Skip to: 9372
+/* 3006 */    MCD_OPC_CheckField, 0, 2, 0, 216, 24, // Skip to: 9372
+/* 3012 */    MCD_OPC_Decode, 239, 1, 41, // Opcode: DCCCI
+/* 3016 */    MCD_OPC_FilterValue, 15, 10, 0, // Skip to: 3030
+/* 3020 */    MCD_OPC_CheckField, 0, 2, 2, 202, 24, // Skip to: 9372
+/* 3026 */    MCD_OPC_Decode, 235, 6, 40, // Opcode: STVXL
+/* 3030 */    MCD_OPC_FilterValue, 30, 194, 24, // Skip to: 9372
+/* 3034 */    MCD_OPC_CheckField, 21, 5, 0, 188, 24, // Skip to: 9372
+/* 3040 */    MCD_OPC_CheckField, 0, 2, 0, 182, 24, // Skip to: 9372
+/* 3046 */    MCD_OPC_Decode, 192, 4, 41, // Opcode: ICCCI
+/* 3050 */    MCD_OPC_FilterValue, 4, 22, 1, // Skip to: 3332
+/* 3054 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3057 */    MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 3096
+/* 3061 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3064 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 3072
+/* 3068 */    MCD_OPC_Decode, 252, 6, 42, // Opcode: SUBFC
+/* 3072 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 3080
+/* 3076 */    MCD_OPC_Decode, 255, 6, 42, // Opcode: SUBFCo
+/* 3080 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3088
+/* 3084 */    MCD_OPC_Decode, 202, 5, 43, // Opcode: MULHDU
+/* 3088 */    MCD_OPC_FilterValue, 3, 136, 24, // Skip to: 9372
+/* 3092 */    MCD_OPC_Decode, 203, 5, 43, // Opcode: MULHDUo
+/* 3096 */    MCD_OPC_FilterValue, 1, 19, 0, // Skip to: 3119
+/* 3100 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3103 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 3111
+/* 3107 */    MCD_OPC_Decode, 249, 6, 42, // Opcode: SUBF
+/* 3111 */    MCD_OPC_FilterValue, 1, 113, 24, // Skip to: 9372
+/* 3115 */    MCD_OPC_Decode, 142, 7, 42, // Opcode: SUBFo
+/* 3119 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 3142
+/* 3123 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3126 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3134
+/* 3130 */    MCD_OPC_Decode, 201, 5, 43, // Opcode: MULHD
+/* 3134 */    MCD_OPC_FilterValue, 3, 90, 24, // Skip to: 9372
+/* 3138 */    MCD_OPC_Decode, 204, 5, 43, // Opcode: MULHDo
+/* 3142 */    MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 3177
+/* 3146 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3149 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3163
+/* 3153 */    MCD_OPC_CheckField, 11, 5, 0, 69, 24, // Skip to: 9372
+/* 3159 */    MCD_OPC_Decode, 221, 5, 44, // Opcode: NEG
+/* 3163 */    MCD_OPC_FilterValue, 1, 61, 24, // Skip to: 9372
+/* 3167 */    MCD_OPC_CheckField, 11, 5, 0, 55, 24, // Skip to: 9372
+/* 3173 */    MCD_OPC_Decode, 224, 5, 44, // Opcode: NEGo
+/* 3177 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 3200
+/* 3181 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3184 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 3192
+/* 3188 */    MCD_OPC_Decode, 128, 7, 42, // Opcode: SUBFE
+/* 3192 */    MCD_OPC_FilterValue, 1, 32, 24, // Skip to: 9372
+/* 3196 */    MCD_OPC_Decode, 131, 7, 42, // Opcode: SUBFEo
+/* 3200 */    MCD_OPC_FilterValue, 6, 31, 0, // Skip to: 3235
+/* 3204 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3207 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3221
+/* 3211 */    MCD_OPC_CheckField, 11, 5, 0, 11, 24, // Skip to: 9372
+/* 3217 */    MCD_OPC_Decode, 138, 7, 44, // Opcode: SUBFZE
+/* 3221 */    MCD_OPC_FilterValue, 1, 3, 24, // Skip to: 9372
+/* 3225 */    MCD_OPC_CheckField, 11, 5, 0, 253, 23, // Skip to: 9372
+/* 3231 */    MCD_OPC_Decode, 141, 7, 44, // Opcode: SUBFZEo
+/* 3235 */    MCD_OPC_FilterValue, 7, 47, 0, // Skip to: 3286
+/* 3239 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3242 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3256
+/* 3246 */    MCD_OPC_CheckField, 11, 5, 0, 232, 23, // Skip to: 9372
+/* 3252 */    MCD_OPC_Decode, 134, 7, 44, // Opcode: SUBFME
+/* 3256 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 3270
+/* 3260 */    MCD_OPC_CheckField, 11, 5, 0, 218, 23, // Skip to: 9372
+/* 3266 */    MCD_OPC_Decode, 137, 7, 44, // Opcode: SUBFMEo
+/* 3270 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3278
+/* 3274 */    MCD_OPC_Decode, 209, 5, 43, // Opcode: MULLD
+/* 3278 */    MCD_OPC_FilterValue, 3, 202, 23, // Skip to: 9372
+/* 3282 */    MCD_OPC_Decode, 210, 5, 43, // Opcode: MULLDo
+/* 3286 */    MCD_OPC_FilterValue, 14, 19, 0, // Skip to: 3309
+/* 3290 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3293 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3301
+/* 3297 */    MCD_OPC_Decode, 241, 1, 43, // Opcode: DIVDU
+/* 3301 */    MCD_OPC_FilterValue, 3, 179, 23, // Skip to: 9372
+/* 3305 */    MCD_OPC_Decode, 242, 1, 43, // Opcode: DIVDUo
+/* 3309 */    MCD_OPC_FilterValue, 15, 171, 23, // Skip to: 9372
+/* 3313 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3316 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3324
+/* 3320 */    MCD_OPC_Decode, 240, 1, 43, // Opcode: DIVD
+/* 3324 */    MCD_OPC_FilterValue, 3, 156, 23, // Skip to: 9372
+/* 3328 */    MCD_OPC_Decode, 243, 1, 43, // Opcode: DIVDo
+/* 3332 */    MCD_OPC_FilterValue, 5, 233, 0, // Skip to: 3569
+/* 3336 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3339 */    MCD_OPC_FilterValue, 0, 33, 0, // Skip to: 3376
+/* 3343 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3346 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 3353
+/* 3350 */    MCD_OPC_Decode, 27, 42, // Opcode: ADDC
+/* 3353 */    MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 3360
+/* 3357 */    MCD_OPC_Decode, 30, 42, // Opcode: ADDCo
+/* 3360 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3368
+/* 3364 */    MCD_OPC_Decode, 206, 5, 42, // Opcode: MULHWU
+/* 3368 */    MCD_OPC_FilterValue, 3, 112, 23, // Skip to: 9372
+/* 3372 */    MCD_OPC_Decode, 207, 5, 42, // Opcode: MULHWUo
+/* 3376 */    MCD_OPC_FilterValue, 2, 19, 0, // Skip to: 3399
+/* 3380 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3383 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3391
+/* 3387 */    MCD_OPC_Decode, 205, 5, 42, // Opcode: MULHW
+/* 3391 */    MCD_OPC_FilterValue, 3, 89, 23, // Skip to: 9372
+/* 3395 */    MCD_OPC_Decode, 208, 5, 42, // Opcode: MULHWo
+/* 3399 */    MCD_OPC_FilterValue, 4, 17, 0, // Skip to: 3420
+/* 3403 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3406 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 3413
+/* 3410 */    MCD_OPC_Decode, 31, 42, // Opcode: ADDE
+/* 3413 */    MCD_OPC_FilterValue, 1, 67, 23, // Skip to: 9372
+/* 3417 */    MCD_OPC_Decode, 34, 42, // Opcode: ADDEo
+/* 3420 */    MCD_OPC_FilterValue, 6, 29, 0, // Skip to: 3453
+/* 3424 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3427 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 3440
+/* 3431 */    MCD_OPC_CheckField, 11, 5, 0, 47, 23, // Skip to: 9372
+/* 3437 */    MCD_OPC_Decode, 59, 44, // Opcode: ADDZE
+/* 3440 */    MCD_OPC_FilterValue, 1, 40, 23, // Skip to: 9372
+/* 3444 */    MCD_OPC_CheckField, 11, 5, 0, 34, 23, // Skip to: 9372
+/* 3450 */    MCD_OPC_Decode, 62, 44, // Opcode: ADDZEo
+/* 3453 */    MCD_OPC_FilterValue, 7, 45, 0, // Skip to: 3502
+/* 3457 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3460 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 3473
+/* 3464 */    MCD_OPC_CheckField, 11, 5, 0, 14, 23, // Skip to: 9372
+/* 3470 */    MCD_OPC_Decode, 55, 44, // Opcode: ADDME
+/* 3473 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 3486
+/* 3477 */    MCD_OPC_CheckField, 11, 5, 0, 1, 23, // Skip to: 9372
+/* 3483 */    MCD_OPC_Decode, 58, 44, // Opcode: ADDMEo
+/* 3486 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3494
+/* 3490 */    MCD_OPC_Decode, 213, 5, 42, // Opcode: MULLW
+/* 3494 */    MCD_OPC_FilterValue, 3, 242, 22, // Skip to: 9372
+/* 3498 */    MCD_OPC_Decode, 214, 5, 42, // Opcode: MULLWo
+/* 3502 */    MCD_OPC_FilterValue, 8, 17, 0, // Skip to: 3523
+/* 3506 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3509 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 3516
+/* 3513 */    MCD_OPC_Decode, 20, 42, // Opcode: ADD4
+/* 3516 */    MCD_OPC_FilterValue, 1, 220, 22, // Skip to: 9372
+/* 3520 */    MCD_OPC_Decode, 22, 42, // Opcode: ADD4o
+/* 3523 */    MCD_OPC_FilterValue, 14, 19, 0, // Skip to: 3546
+/* 3527 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3530 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3538
+/* 3534 */    MCD_OPC_Decode, 245, 1, 42, // Opcode: DIVWU
+/* 3538 */    MCD_OPC_FilterValue, 3, 198, 22, // Skip to: 9372
+/* 3542 */    MCD_OPC_Decode, 246, 1, 42, // Opcode: DIVWUo
+/* 3546 */    MCD_OPC_FilterValue, 15, 190, 22, // Skip to: 9372
+/* 3550 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3553 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 3561
+/* 3557 */    MCD_OPC_Decode, 244, 1, 42, // Opcode: DIVW
+/* 3561 */    MCD_OPC_FilterValue, 3, 175, 22, // Skip to: 9372
+/* 3565 */    MCD_OPC_Decode, 247, 1, 42, // Opcode: DIVWo
+/* 3569 */    MCD_OPC_FilterValue, 6, 101, 0, // Skip to: 3674
+/* 3573 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3576 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 3590
+/* 3580 */    MCD_OPC_CheckField, 0, 2, 0, 154, 22, // Skip to: 9372
+/* 3586 */    MCD_OPC_Decode, 155, 5, 45, // Opcode: LXVDSX
+/* 3590 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 3604
+/* 3594 */    MCD_OPC_CheckField, 0, 2, 0, 140, 22, // Skip to: 9372
+/* 3600 */    MCD_OPC_Decode, 153, 5, 46, // Opcode: LXSDX
+/* 3604 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 3618
+/* 3608 */    MCD_OPC_CheckField, 1, 1, 0, 126, 22, // Skip to: 9372
+/* 3614 */    MCD_OPC_Decode, 246, 6, 47, // Opcode: STXSDX
+/* 3618 */    MCD_OPC_FilterValue, 24, 10, 0, // Skip to: 3632
+/* 3622 */    MCD_OPC_CheckField, 0, 2, 0, 112, 22, // Skip to: 9372
+/* 3628 */    MCD_OPC_Decode, 156, 5, 45, // Opcode: LXVW4X
+/* 3632 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 3646
+/* 3636 */    MCD_OPC_CheckField, 0, 2, 0, 98, 22, // Skip to: 9372
+/* 3642 */    MCD_OPC_Decode, 154, 5, 45, // Opcode: LXVD2X
+/* 3646 */    MCD_OPC_FilterValue, 28, 10, 0, // Skip to: 3660
+/* 3650 */    MCD_OPC_CheckField, 1, 1, 0, 84, 22, // Skip to: 9372
+/* 3656 */    MCD_OPC_Decode, 248, 6, 48, // Opcode: STXVW4X
+/* 3660 */    MCD_OPC_FilterValue, 30, 76, 22, // Skip to: 9372
+/* 3664 */    MCD_OPC_CheckField, 1, 1, 0, 70, 22, // Skip to: 9372
+/* 3670 */    MCD_OPC_Decode, 247, 6, 48, // Opcode: STXVD2X
+/* 3674 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 3688
+/* 3678 */    MCD_OPC_CheckField, 0, 2, 2, 56, 22, // Skip to: 9372
+/* 3684 */    MCD_OPC_Decode, 199, 4, 49, // Opcode: ISEL
+/* 3688 */    MCD_OPC_FilterValue, 8, 43, 0, // Skip to: 3735
+/* 3692 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 3695 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 3715
+/* 3699 */    MCD_OPC_CheckField, 6, 6, 4, 35, 22, // Skip to: 9372
+/* 3705 */    MCD_OPC_CheckField, 0, 2, 0, 29, 22, // Skip to: 9372
+/* 3711 */    MCD_OPC_Decode, 179, 5, 50, // Opcode: MTCRF
+/* 3715 */    MCD_OPC_FilterValue, 1, 21, 22, // Skip to: 9372
+/* 3719 */    MCD_OPC_CheckField, 6, 6, 4, 15, 22, // Skip to: 9372
+/* 3725 */    MCD_OPC_CheckField, 0, 2, 0, 9, 22, // Skip to: 9372
+/* 3731 */    MCD_OPC_Decode, 193, 5, 51, // Opcode: MTOCRF
+/* 3735 */    MCD_OPC_FilterValue, 9, 246, 1, // Skip to: 4241
+/* 3739 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 3742 */    MCD_OPC_FilterValue, 0, 43, 0, // Skip to: 3789
+/* 3746 */    MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
+/* 3749 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 3769
+/* 3753 */    MCD_OPC_CheckField, 11, 9, 0, 237, 21, // Skip to: 9372
+/* 3759 */    MCD_OPC_CheckField, 0, 2, 2, 231, 21, // Skip to: 9372
+/* 3765 */    MCD_OPC_Decode, 159, 5, 35, // Opcode: MFCR
+/* 3769 */    MCD_OPC_FilterValue, 1, 223, 21, // Skip to: 9372
+/* 3773 */    MCD_OPC_CheckField, 11, 1, 0, 217, 21, // Skip to: 9372
+/* 3779 */    MCD_OPC_CheckField, 0, 2, 2, 211, 21, // Skip to: 9372
+/* 3785 */    MCD_OPC_Decode, 168, 5, 52, // Opcode: MFOCRF
+/* 3789 */    MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 3809
+/* 3793 */    MCD_OPC_CheckField, 11, 10, 0, 197, 21, // Skip to: 9372
+/* 3799 */    MCD_OPC_CheckField, 0, 2, 2, 191, 21, // Skip to: 9372
+/* 3805 */    MCD_OPC_Decode, 167, 5, 35, // Opcode: MFMSR
 /* 3809 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 3823
-/* 3813 */    MCD_OPC_CheckField, 0, 2, 2, 62, 11, // Skip to: 6697
-/* 3819 */    MCD_OPC_Decode, 236, 4, 52, // Opcode: STDX
+/* 3813 */    MCD_OPC_CheckField, 1, 1, 0, 177, 21, // Skip to: 9372
+/* 3819 */    MCD_OPC_Decode, 191, 5, 53, // Opcode: MTMSR
 /* 3823 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 3837
-/* 3827 */    MCD_OPC_CheckField, 0, 2, 2, 48, 11, // Skip to: 6697
-/* 3833 */    MCD_OPC_Decode, 235, 4, 54, // Opcode: STDUX
-/* 3837 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 3851
-/* 3841 */    MCD_OPC_CheckField, 0, 2, 2, 34, 11, // Skip to: 6697
-/* 3847 */    MCD_OPC_Decode, 193, 3, 52, // Opcode: LWAX
-/* 3851 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 3865
-/* 3855 */    MCD_OPC_CheckField, 0, 2, 2, 20, 11, // Skip to: 6697
-/* 3861 */    MCD_OPC_Decode, 192, 3, 53, // Opcode: LWAUX
-/* 3865 */    MCD_OPC_FilterValue, 16, 10, 0, // Skip to: 3879
-/* 3869 */    MCD_OPC_CheckField, 0, 2, 0, 6, 11, // Skip to: 6697
-/* 3875 */    MCD_OPC_Decode, 139, 3, 52, // Opcode: LDBRX
-/* 3879 */    MCD_OPC_FilterValue, 20, 254, 10, // Skip to: 6697
-/* 3883 */    MCD_OPC_CheckField, 0, 2, 0, 248, 10, // Skip to: 6697
-/* 3889 */    MCD_OPC_Decode, 232, 4, 52, // Opcode: STDBRX
-/* 3893 */    MCD_OPC_FilterValue, 11, 101, 2, // Skip to: 4510
-/* 3897 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 3900 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3914
-/* 3904 */    MCD_OPC_CheckField, 0, 2, 2, 227, 10, // Skip to: 6697
-/* 3910 */    MCD_OPC_Decode, 203, 3, 51, // Opcode: LWZX
-/* 3914 */    MCD_OPC_FilterValue, 1, 25, 0, // Skip to: 3943
-/* 3918 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3921 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3935
-/* 3925 */    MCD_OPC_CheckField, 21, 5, 0, 206, 10, // Skip to: 6697
-/* 3931 */    MCD_OPC_Decode, 207, 1, 55, // Opcode: DCBST
-/* 3935 */    MCD_OPC_FilterValue, 2, 198, 10, // Skip to: 6697
-/* 3939 */    MCD_OPC_Decode, 201, 3, 56, // Opcode: LWZUX
-/* 3943 */    MCD_OPC_FilterValue, 2, 25, 0, // Skip to: 3972
-/* 3947 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3950 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3964
-/* 3954 */    MCD_OPC_CheckField, 21, 5, 0, 177, 10, // Skip to: 6697
-/* 3960 */    MCD_OPC_Decode, 205, 1, 55, // Opcode: DCBF
-/* 3964 */    MCD_OPC_FilterValue, 2, 169, 10, // Skip to: 6697
-/* 3968 */    MCD_OPC_Decode, 135, 3, 51, // Opcode: LBZX
-/* 3972 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 3986
-/* 3976 */    MCD_OPC_CheckField, 0, 2, 2, 155, 10, // Skip to: 6697
-/* 3982 */    MCD_OPC_Decode, 133, 3, 56, // Opcode: LBZUX
-/* 3986 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 4009
-/* 3990 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 3993 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 4001
-/* 3997 */    MCD_OPC_Decode, 136, 5, 51, // Opcode: STWCX
-/* 4001 */    MCD_OPC_FilterValue, 2, 132, 10, // Skip to: 6697
-/* 4005 */    MCD_OPC_Decode, 141, 5, 51, // Opcode: STWX
-/* 4009 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 4023
-/* 4013 */    MCD_OPC_CheckField, 0, 2, 2, 118, 10, // Skip to: 6697
-/* 4019 */    MCD_OPC_Decode, 139, 5, 57, // Opcode: STWUX
-/* 4023 */    MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 4046
-/* 4027 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4030 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 4038
-/* 4034 */    MCD_OPC_Decode, 233, 4, 52, // Opcode: STDCX
-/* 4038 */    MCD_OPC_FilterValue, 2, 95, 10, // Skip to: 6697
-/* 4042 */    MCD_OPC_Decode, 229, 4, 51, // Opcode: STBX
-/* 4046 */    MCD_OPC_FilterValue, 7, 25, 0, // Skip to: 4075
-/* 4050 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4053 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4067
-/* 4057 */    MCD_OPC_CheckField, 21, 5, 0, 74, 10, // Skip to: 6697
-/* 4063 */    MCD_OPC_Decode, 209, 1, 55, // Opcode: DCBTST
-/* 4067 */    MCD_OPC_FilterValue, 2, 66, 10, // Skip to: 6697
-/* 4071 */    MCD_OPC_Decode, 227, 4, 57, // Opcode: STBUX
-/* 4075 */    MCD_OPC_FilterValue, 8, 25, 0, // Skip to: 4104
-/* 4079 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4082 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4096
-/* 4086 */    MCD_OPC_CheckField, 21, 5, 0, 45, 10, // Skip to: 6697
-/* 4092 */    MCD_OPC_Decode, 208, 1, 55, // Opcode: DCBT
-/* 4096 */    MCD_OPC_FilterValue, 2, 37, 10, // Skip to: 6697
-/* 4100 */    MCD_OPC_Decode, 176, 3, 51, // Opcode: LHZX
-/* 4104 */    MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 4118
-/* 4108 */    MCD_OPC_CheckField, 0, 2, 2, 23, 10, // Skip to: 6697
-/* 4114 */    MCD_OPC_Decode, 174, 3, 56, // Opcode: LHZUX
-/* 4118 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 4132
-/* 4122 */    MCD_OPC_CheckField, 0, 2, 2, 9, 10, // Skip to: 6697
-/* 4128 */    MCD_OPC_Decode, 167, 3, 51, // Opcode: LHAX
-/* 4132 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 4146
-/* 4136 */    MCD_OPC_CheckField, 0, 2, 2, 251, 9, // Skip to: 6697
-/* 4142 */    MCD_OPC_Decode, 165, 3, 56, // Opcode: LHAUX
-/* 4146 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 4160
-/* 4150 */    MCD_OPC_CheckField, 0, 2, 2, 237, 9, // Skip to: 6697
-/* 4156 */    MCD_OPC_Decode, 253, 4, 51, // Opcode: STHX
-/* 4160 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 4174
-/* 4164 */    MCD_OPC_CheckField, 0, 2, 2, 223, 9, // Skip to: 6697
-/* 4170 */    MCD_OPC_Decode, 251, 4, 57, // Opcode: STHUX
-/* 4174 */    MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 4194
-/* 4178 */    MCD_OPC_CheckField, 21, 5, 0, 209, 9, // Skip to: 6697
-/* 4184 */    MCD_OPC_CheckField, 0, 2, 0, 203, 9, // Skip to: 6697
-/* 4190 */    MCD_OPC_Decode, 206, 1, 55, // Opcode: DCBI
-/* 4194 */    MCD_OPC_FilterValue, 16, 19, 0, // Skip to: 4217
-/* 4198 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4201 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4209
-/* 4205 */    MCD_OPC_Decode, 196, 3, 51, // Opcode: LWBRX
-/* 4209 */    MCD_OPC_FilterValue, 2, 180, 9, // Skip to: 6697
-/* 4213 */    MCD_OPC_Decode, 160, 3, 58, // Opcode: LFSX
-/* 4217 */    MCD_OPC_FilterValue, 17, 25, 0, // Skip to: 4246
-/* 4221 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4224 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4238
-/* 4228 */    MCD_OPC_CheckField, 11, 15, 0, 159, 9, // Skip to: 6697
-/* 4234 */    MCD_OPC_Decode, 186, 5, 23, // Opcode: TLBSYNC
-/* 4238 */    MCD_OPC_FilterValue, 2, 151, 9, // Skip to: 6697
-/* 4242 */    MCD_OPC_Decode, 159, 3, 59, // Opcode: LFSUX
-/* 4246 */    MCD_OPC_FilterValue, 18, 31, 0, // Skip to: 4281
-/* 4250 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4253 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 4273
-/* 4257 */    MCD_OPC_CheckField, 23, 3, 0, 130, 9, // Skip to: 6697
-/* 4263 */    MCD_OPC_CheckField, 11, 10, 0, 124, 9, // Skip to: 6697
-/* 4269 */    MCD_OPC_Decode, 169, 5, 60, // Opcode: SYNC
-/* 4273 */    MCD_OPC_FilterValue, 2, 116, 9, // Skip to: 6697
-/* 4277 */    MCD_OPC_Decode, 154, 3, 61, // Opcode: LFDX
-/* 4281 */    MCD_OPC_FilterValue, 19, 10, 0, // Skip to: 4295
-/* 4285 */    MCD_OPC_CheckField, 0, 2, 2, 102, 9, // Skip to: 6697
-/* 4291 */    MCD_OPC_Decode, 153, 3, 62, // Opcode: LFDUX
-/* 4295 */    MCD_OPC_FilterValue, 20, 19, 0, // Skip to: 4318
-/* 4299 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4302 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4310
-/* 4306 */    MCD_OPC_Decode, 135, 5, 51, // Opcode: STWBRX
-/* 4310 */    MCD_OPC_FilterValue, 2, 79, 9, // Skip to: 6697
-/* 4314 */    MCD_OPC_Decode, 245, 4, 58, // Opcode: STFSX
-/* 4318 */    MCD_OPC_FilterValue, 21, 10, 0, // Skip to: 4332
-/* 4322 */    MCD_OPC_CheckField, 0, 2, 2, 65, 9, // Skip to: 6697
-/* 4328 */    MCD_OPC_Decode, 244, 4, 63, // Opcode: STFSUX
-/* 4332 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 4346
-/* 4336 */    MCD_OPC_CheckField, 0, 2, 2, 51, 9, // Skip to: 6697
-/* 4342 */    MCD_OPC_Decode, 240, 4, 61, // Opcode: STFDX
-/* 4346 */    MCD_OPC_FilterValue, 23, 25, 0, // Skip to: 4375
-/* 4350 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4353 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4367
-/* 4357 */    MCD_OPC_CheckField, 21, 5, 0, 30, 9, // Skip to: 6697
-/* 4363 */    MCD_OPC_Decode, 204, 1, 55, // Opcode: DCBA
-/* 4367 */    MCD_OPC_FilterValue, 2, 22, 9, // Skip to: 6697
-/* 4371 */    MCD_OPC_Decode, 239, 4, 64, // Opcode: STFDUX
-/* 4375 */    MCD_OPC_FilterValue, 24, 10, 0, // Skip to: 4389
-/* 4379 */    MCD_OPC_CheckField, 0, 2, 0, 8, 9, // Skip to: 6697
-/* 4385 */    MCD_OPC_Decode, 169, 3, 51, // Opcode: LHBRX
-/* 4389 */    MCD_OPC_FilterValue, 26, 25, 0, // Skip to: 4418
-/* 4393 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4396 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4410
-/* 4400 */    MCD_OPC_CheckField, 11, 15, 0, 243, 8, // Skip to: 6697
-/* 4406 */    MCD_OPC_Decode, 237, 1, 23, // Opcode: EIEIO
-/* 4410 */    MCD_OPC_FilterValue, 2, 235, 8, // Skip to: 6697
-/* 4414 */    MCD_OPC_Decode, 155, 3, 61, // Opcode: LFIWAX
-/* 4418 */    MCD_OPC_FilterValue, 27, 10, 0, // Skip to: 4432
-/* 4422 */    MCD_OPC_CheckField, 0, 2, 2, 221, 8, // Skip to: 6697
-/* 4428 */    MCD_OPC_Decode, 156, 3, 61, // Opcode: LFIWZX
-/* 4432 */    MCD_OPC_FilterValue, 28, 10, 0, // Skip to: 4446
-/* 4436 */    MCD_OPC_CheckField, 0, 2, 0, 207, 8, // Skip to: 6697
-/* 4442 */    MCD_OPC_Decode, 248, 4, 51, // Opcode: STHBRX
-/* 4446 */    MCD_OPC_FilterValue, 30, 25, 0, // Skip to: 4475
-/* 4450 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4453 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4467
-/* 4457 */    MCD_OPC_CheckField, 21, 5, 0, 186, 8, // Skip to: 6697
-/* 4463 */    MCD_OPC_Decode, 245, 2, 55, // Opcode: ICBI
-/* 4467 */    MCD_OPC_FilterValue, 2, 178, 8, // Skip to: 6697
-/* 4471 */    MCD_OPC_Decode, 241, 4, 61, // Opcode: STFIWX
-/* 4475 */    MCD_OPC_FilterValue, 31, 170, 8, // Skip to: 6697
-/* 4479 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
-/* 4482 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4496
-/* 4486 */    MCD_OPC_CheckField, 0, 2, 0, 157, 8, // Skip to: 6697
-/* 4492 */    MCD_OPC_Decode, 210, 1, 55, // Opcode: DCBZ
-/* 4496 */    MCD_OPC_FilterValue, 1, 149, 8, // Skip to: 6697
-/* 4500 */    MCD_OPC_CheckField, 0, 2, 0, 143, 8, // Skip to: 6697
-/* 4506 */    MCD_OPC_Decode, 211, 1, 55, // Opcode: DCBZL
-/* 4510 */    MCD_OPC_FilterValue, 12, 95, 0, // Skip to: 4609
-/* 4514 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 4517 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 4540
-/* 4521 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4524 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4532
-/* 4528 */    MCD_OPC_Decode, 201, 4, 65, // Opcode: SLW
-/* 4532 */    MCD_OPC_FilterValue, 1, 113, 8, // Skip to: 6697
-/* 4536 */    MCD_OPC_Decode, 204, 4, 65, // Opcode: SLWo
-/* 4540 */    MCD_OPC_FilterValue, 16, 19, 0, // Skip to: 4563
-/* 4544 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4547 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4555
-/* 4551 */    MCD_OPC_Decode, 219, 4, 65, // Opcode: SRW
-/* 4555 */    MCD_OPC_FilterValue, 1, 90, 8, // Skip to: 6697
-/* 4559 */    MCD_OPC_Decode, 222, 4, 65, // Opcode: SRWo
-/* 4563 */    MCD_OPC_FilterValue, 24, 19, 0, // Skip to: 4586
-/* 4567 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4570 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4578
-/* 4574 */    MCD_OPC_Decode, 211, 4, 65, // Opcode: SRAW
-/* 4578 */    MCD_OPC_FilterValue, 1, 67, 8, // Skip to: 6697
-/* 4582 */    MCD_OPC_Decode, 214, 4, 65, // Opcode: SRAWo
-/* 4586 */    MCD_OPC_FilterValue, 25, 59, 8, // Skip to: 6697
-/* 4590 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4593 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4601
-/* 4597 */    MCD_OPC_Decode, 212, 4, 66, // Opcode: SRAWI
-/* 4601 */    MCD_OPC_FilterValue, 1, 44, 8, // Skip to: 6697
-/* 4605 */    MCD_OPC_Decode, 213, 4, 66, // Opcode: SRAWIo
-/* 4609 */    MCD_OPC_FilterValue, 13, 47, 1, // Skip to: 4916
-/* 4613 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 4616 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 4667
-/* 4620 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4623 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4637
-/* 4627 */    MCD_OPC_CheckField, 11, 5, 0, 16, 8, // Skip to: 6697
-/* 4633 */    MCD_OPC_Decode, 190, 1, 67, // Opcode: CNTLZW
-/* 4637 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 4651
-/* 4641 */    MCD_OPC_CheckField, 11, 5, 0, 2, 8, // Skip to: 6697
-/* 4647 */    MCD_OPC_Decode, 191, 1, 67, // Opcode: CNTLZWo
-/* 4651 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 4659
-/* 4655 */    MCD_OPC_Decode, 197, 4, 68, // Opcode: SLD
-/* 4659 */    MCD_OPC_FilterValue, 3, 242, 7, // Skip to: 6697
-/* 4663 */    MCD_OPC_Decode, 200, 4, 68, // Opcode: SLDo
-/* 4667 */    MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 4702
-/* 4671 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4674 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4688
-/* 4678 */    MCD_OPC_CheckField, 11, 5, 0, 221, 7, // Skip to: 6697
-/* 4684 */    MCD_OPC_Decode, 188, 1, 69, // Opcode: CNTLZD
-/* 4688 */    MCD_OPC_FilterValue, 1, 213, 7, // Skip to: 6697
-/* 4692 */    MCD_OPC_CheckField, 11, 5, 0, 207, 7, // Skip to: 6697
-/* 4698 */    MCD_OPC_Decode, 189, 1, 69, // Opcode: CNTLZDo
-/* 4702 */    MCD_OPC_FilterValue, 11, 16, 0, // Skip to: 4722
-/* 4706 */    MCD_OPC_CheckField, 11, 5, 0, 193, 7, // Skip to: 6697
-/* 4712 */    MCD_OPC_CheckField, 0, 2, 0, 187, 7, // Skip to: 6697
-/* 4718 */    MCD_OPC_Decode, 158, 4, 67, // Opcode: POPCNTW
-/* 4722 */    MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 4742
-/* 4726 */    MCD_OPC_CheckField, 11, 5, 0, 173, 7, // Skip to: 6697
-/* 4732 */    MCD_OPC_CheckField, 0, 2, 0, 167, 7, // Skip to: 6697
-/* 4738 */    MCD_OPC_Decode, 157, 4, 69, // Opcode: POPCNTD
-/* 4742 */    MCD_OPC_FilterValue, 16, 19, 0, // Skip to: 4765
-/* 4746 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4749 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 4757
-/* 4753 */    MCD_OPC_Decode, 215, 4, 68, // Opcode: SRD
-/* 4757 */    MCD_OPC_FilterValue, 3, 144, 7, // Skip to: 6697
-/* 4761 */    MCD_OPC_Decode, 218, 4, 68, // Opcode: SRDo
-/* 4765 */    MCD_OPC_FilterValue, 24, 19, 0, // Skip to: 4788
-/* 4769 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4772 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4780
-/* 4776 */    MCD_OPC_Decode, 207, 4, 68, // Opcode: SRAD
-/* 4780 */    MCD_OPC_FilterValue, 1, 121, 7, // Skip to: 6697
-/* 4784 */    MCD_OPC_Decode, 210, 4, 68, // Opcode: SRADo
-/* 4788 */    MCD_OPC_FilterValue, 25, 19, 0, // Skip to: 4811
-/* 4792 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
-/* 4795 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4803
-/* 4799 */    MCD_OPC_Decode, 208, 4, 70, // Opcode: SRADI
-/* 4803 */    MCD_OPC_FilterValue, 1, 98, 7, // Skip to: 6697
-/* 4807 */    MCD_OPC_Decode, 209, 4, 70, // Opcode: SRADIo
-/* 4811 */    MCD_OPC_FilterValue, 28, 31, 0, // Skip to: 4846
-/* 4815 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4818 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4832
-/* 4822 */    MCD_OPC_CheckField, 11, 5, 0, 77, 7, // Skip to: 6697
-/* 4828 */    MCD_OPC_Decode, 255, 1, 67, // Opcode: EXTSH
-/* 4832 */    MCD_OPC_FilterValue, 1, 69, 7, // Skip to: 6697
-/* 4836 */    MCD_OPC_CheckField, 11, 5, 0, 63, 7, // Skip to: 6697
-/* 4842 */    MCD_OPC_Decode, 131, 2, 67, // Opcode: EXTSHo
-/* 4846 */    MCD_OPC_FilterValue, 29, 31, 0, // Skip to: 4881
-/* 4850 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4853 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4867
-/* 4857 */    MCD_OPC_CheckField, 11, 5, 0, 42, 7, // Skip to: 6697
-/* 4863 */    MCD_OPC_Decode, 250, 1, 67, // Opcode: EXTSB
-/* 4867 */    MCD_OPC_FilterValue, 1, 34, 7, // Skip to: 6697
-/* 4871 */    MCD_OPC_CheckField, 11, 5, 0, 28, 7, // Skip to: 6697
-/* 4877 */    MCD_OPC_Decode, 254, 1, 67, // Opcode: EXTSBo
-/* 4881 */    MCD_OPC_FilterValue, 30, 20, 7, // Skip to: 6697
-/* 4885 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4888 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4902
-/* 4892 */    MCD_OPC_CheckField, 11, 5, 0, 7, 7, // Skip to: 6697
-/* 4898 */    MCD_OPC_Decode, 132, 2, 69, // Opcode: EXTSW
-/* 4902 */    MCD_OPC_FilterValue, 1, 255, 6, // Skip to: 6697
-/* 4906 */    MCD_OPC_CheckField, 11, 5, 0, 249, 6, // Skip to: 6697
-/* 4912 */    MCD_OPC_Decode, 135, 2, 69, // Opcode: EXTSWo
-/* 4916 */    MCD_OPC_FilterValue, 14, 183, 0, // Skip to: 5103
-/* 4920 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 4923 */    MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 4944
-/* 4927 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4930 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 4937
-/* 4934 */    MCD_OPC_Decode, 60, 65, // Opcode: AND
-/* 4937 */    MCD_OPC_FilterValue, 1, 220, 6, // Skip to: 6697
-/* 4941 */    MCD_OPC_Decode, 71, 65, // Opcode: ANDo
-/* 4944 */    MCD_OPC_FilterValue, 1, 17, 0, // Skip to: 4965
-/* 4948 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4951 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 4958
-/* 4955 */    MCD_OPC_Decode, 63, 65, // Opcode: ANDC
-/* 4958 */    MCD_OPC_FilterValue, 1, 199, 6, // Skip to: 6697
-/* 4962 */    MCD_OPC_Decode, 66, 65, // Opcode: ANDCo
-/* 4965 */    MCD_OPC_FilterValue, 3, 19, 0, // Skip to: 4988
-/* 4969 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4972 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4980
-/* 4976 */    MCD_OPC_Decode, 141, 4, 65, // Opcode: NOR
-/* 4980 */    MCD_OPC_FilterValue, 1, 177, 6, // Skip to: 6697
-/* 4984 */    MCD_OPC_Decode, 144, 4, 65, // Opcode: NORo
-/* 4988 */    MCD_OPC_FilterValue, 8, 19, 0, // Skip to: 5011
-/* 4992 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 4995 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5003
-/* 4999 */    MCD_OPC_Decode, 238, 1, 65, // Opcode: EQV
-/* 5003 */    MCD_OPC_FilterValue, 1, 154, 6, // Skip to: 6697
-/* 5007 */    MCD_OPC_Decode, 241, 1, 65, // Opcode: EQVo
-/* 5011 */    MCD_OPC_FilterValue, 9, 19, 0, // Skip to: 5034
-/* 5015 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 5018 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5026
-/* 5022 */    MCD_OPC_Decode, 229, 6, 65, // Opcode: XOR
-/* 5026 */    MCD_OPC_FilterValue, 1, 131, 6, // Skip to: 6697
-/* 5030 */    MCD_OPC_Decode, 236, 6, 65, // Opcode: XORo
-/* 5034 */    MCD_OPC_FilterValue, 12, 19, 0, // Skip to: 5057
-/* 5038 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 5041 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5049
-/* 5045 */    MCD_OPC_Decode, 148, 4, 65, // Opcode: ORC
-/* 5049 */    MCD_OPC_FilterValue, 1, 108, 6, // Skip to: 6697
-/* 5053 */    MCD_OPC_Decode, 151, 4, 65, // Opcode: ORCo
-/* 5057 */    MCD_OPC_FilterValue, 13, 19, 0, // Skip to: 5080
-/* 5061 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 5064 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5072
-/* 5068 */    MCD_OPC_Decode, 145, 4, 65, // Opcode: OR
-/* 5072 */    MCD_OPC_FilterValue, 1, 85, 6, // Skip to: 6697
-/* 5076 */    MCD_OPC_Decode, 156, 4, 65, // Opcode: ORo
-/* 5080 */    MCD_OPC_FilterValue, 14, 77, 6, // Skip to: 6697
-/* 5084 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 5087 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5095
-/* 5091 */    MCD_OPC_Decode, 130, 4, 65, // Opcode: NAND
-/* 5095 */    MCD_OPC_FilterValue, 1, 62, 6, // Skip to: 6697
-/* 5099 */    MCD_OPC_Decode, 133, 4, 65, // Opcode: NANDo
-/* 5103 */    MCD_OPC_FilterValue, 15, 54, 6, // Skip to: 6697
-/* 5107 */    MCD_OPC_CheckField, 23, 3, 0, 48, 6, // Skip to: 6697
-/* 5113 */    MCD_OPC_CheckField, 6, 15, 1, 42, 6, // Skip to: 6697
-/* 5119 */    MCD_OPC_CheckField, 0, 2, 0, 36, 6, // Skip to: 6697
-/* 5125 */    MCD_OPC_Decode, 228, 6, 60, // Opcode: WAIT
-/* 5129 */    MCD_OPC_FilterValue, 32, 4, 0, // Skip to: 5137
-/* 5133 */    MCD_OPC_Decode, 197, 3, 71, // Opcode: LWZ
-/* 5137 */    MCD_OPC_FilterValue, 33, 4, 0, // Skip to: 5145
-/* 5141 */    MCD_OPC_Decode, 199, 3, 71, // Opcode: LWZU
-/* 5145 */    MCD_OPC_FilterValue, 34, 4, 0, // Skip to: 5153
-/* 5149 */    MCD_OPC_Decode, 129, 3, 71, // Opcode: LBZ
-/* 5153 */    MCD_OPC_FilterValue, 35, 4, 0, // Skip to: 5161
-/* 5157 */    MCD_OPC_Decode, 131, 3, 71, // Opcode: LBZU
-/* 5161 */    MCD_OPC_FilterValue, 36, 4, 0, // Skip to: 5169
-/* 5165 */    MCD_OPC_Decode, 133, 5, 71, // Opcode: STW
-/* 5169 */    MCD_OPC_FilterValue, 37, 4, 0, // Skip to: 5177
-/* 5173 */    MCD_OPC_Decode, 137, 5, 71, // Opcode: STWU
-/* 5177 */    MCD_OPC_FilterValue, 38, 4, 0, // Skip to: 5185
-/* 5181 */    MCD_OPC_Decode, 223, 4, 71, // Opcode: STB
-/* 5185 */    MCD_OPC_FilterValue, 39, 4, 0, // Skip to: 5193
-/* 5189 */    MCD_OPC_Decode, 225, 4, 71, // Opcode: STBU
-/* 5193 */    MCD_OPC_FilterValue, 40, 4, 0, // Skip to: 5201
-/* 5197 */    MCD_OPC_Decode, 170, 3, 71, // Opcode: LHZ
-/* 5201 */    MCD_OPC_FilterValue, 41, 4, 0, // Skip to: 5209
-/* 5205 */    MCD_OPC_Decode, 172, 3, 71, // Opcode: LHZU
-/* 5209 */    MCD_OPC_FilterValue, 42, 4, 0, // Skip to: 5217
-/* 5213 */    MCD_OPC_Decode, 161, 3, 71, // Opcode: LHA
-/* 5217 */    MCD_OPC_FilterValue, 43, 4, 0, // Skip to: 5225
-/* 5221 */    MCD_OPC_Decode, 163, 3, 71, // Opcode: LHAU
-/* 5225 */    MCD_OPC_FilterValue, 44, 4, 0, // Skip to: 5233
-/* 5229 */    MCD_OPC_Decode, 246, 4, 71, // Opcode: STH
-/* 5233 */    MCD_OPC_FilterValue, 45, 4, 0, // Skip to: 5241
-/* 5237 */    MCD_OPC_Decode, 249, 4, 71, // Opcode: STHU
-/* 5241 */    MCD_OPC_FilterValue, 46, 4, 0, // Skip to: 5249
-/* 5245 */    MCD_OPC_Decode, 182, 3, 71, // Opcode: LMW
-/* 5249 */    MCD_OPC_FilterValue, 47, 4, 0, // Skip to: 5257
-/* 5253 */    MCD_OPC_Decode, 255, 4, 71, // Opcode: STMW
-/* 5257 */    MCD_OPC_FilterValue, 48, 4, 0, // Skip to: 5265
-/* 5261 */    MCD_OPC_Decode, 157, 3, 72, // Opcode: LFS
-/* 5265 */    MCD_OPC_FilterValue, 49, 4, 0, // Skip to: 5273
-/* 5269 */    MCD_OPC_Decode, 158, 3, 72, // Opcode: LFSU
-/* 5273 */    MCD_OPC_FilterValue, 50, 4, 0, // Skip to: 5281
-/* 5277 */    MCD_OPC_Decode, 151, 3, 73, // Opcode: LFD
-/* 5281 */    MCD_OPC_FilterValue, 51, 4, 0, // Skip to: 5289
-/* 5285 */    MCD_OPC_Decode, 152, 3, 73, // Opcode: LFDU
-/* 5289 */    MCD_OPC_FilterValue, 52, 4, 0, // Skip to: 5297
-/* 5293 */    MCD_OPC_Decode, 242, 4, 72, // Opcode: STFS
-/* 5297 */    MCD_OPC_FilterValue, 53, 4, 0, // Skip to: 5305
-/* 5301 */    MCD_OPC_Decode, 243, 4, 72, // Opcode: STFSU
-/* 5305 */    MCD_OPC_FilterValue, 54, 4, 0, // Skip to: 5313
-/* 5309 */    MCD_OPC_Decode, 237, 4, 73, // Opcode: STFD
-/* 5313 */    MCD_OPC_FilterValue, 55, 4, 0, // Skip to: 5321
-/* 5317 */    MCD_OPC_Decode, 238, 4, 73, // Opcode: STFDU
-/* 5321 */    MCD_OPC_FilterValue, 58, 27, 0, // Skip to: 5352
-/* 5325 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 5328 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5336
-/* 5332 */    MCD_OPC_Decode, 137, 3, 74, // Opcode: LD
-/* 5336 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 5344
-/* 5340 */    MCD_OPC_Decode, 140, 3, 74, // Opcode: LDU
-/* 5344 */    MCD_OPC_FilterValue, 2, 69, 5, // Skip to: 6697
-/* 5348 */    MCD_OPC_Decode, 190, 3, 74, // Opcode: LWA
-/* 5352 */    MCD_OPC_FilterValue, 59, 113, 1, // Skip to: 5725
-/* 5356 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 5359 */    MCD_OPC_FilterValue, 28, 31, 0, // Skip to: 5394
-/* 5363 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 5366 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 5380
-/* 5370 */    MCD_OPC_CheckField, 16, 5, 0, 41, 5, // Skip to: 6697
-/* 5376 */    MCD_OPC_Decode, 146, 2, 75, // Opcode: FCFIDS
-/* 5380 */    MCD_OPC_FilterValue, 30, 33, 5, // Skip to: 6697
-/* 5384 */    MCD_OPC_CheckField, 16, 5, 0, 27, 5, // Skip to: 6697
-/* 5390 */    MCD_OPC_Decode, 149, 2, 75, // Opcode: FCFIDUS
-/* 5394 */    MCD_OPC_FilterValue, 29, 31, 0, // Skip to: 5429
-/* 5398 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 5401 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 5415
-/* 5405 */    MCD_OPC_CheckField, 16, 5, 0, 6, 5, // Skip to: 6697
-/* 5411 */    MCD_OPC_Decode, 147, 2, 75, // Opcode: FCFIDSo
-/* 5415 */    MCD_OPC_FilterValue, 30, 254, 4, // Skip to: 6697
-/* 5419 */    MCD_OPC_CheckField, 16, 5, 0, 248, 4, // Skip to: 6697
-/* 5425 */    MCD_OPC_Decode, 150, 2, 75, // Opcode: FCFIDUSo
-/* 5429 */    MCD_OPC_FilterValue, 36, 10, 0, // Skip to: 5443
-/* 5433 */    MCD_OPC_CheckField, 6, 5, 0, 234, 4, // Skip to: 6697
-/* 5439 */    MCD_OPC_Decode, 172, 2, 76, // Opcode: FDIVS
-/* 5443 */    MCD_OPC_FilterValue, 37, 10, 0, // Skip to: 5457
-/* 5447 */    MCD_OPC_CheckField, 6, 5, 0, 220, 4, // Skip to: 6697
-/* 5453 */    MCD_OPC_Decode, 173, 2, 76, // Opcode: FDIVSo
-/* 5457 */    MCD_OPC_FilterValue, 40, 10, 0, // Skip to: 5471
-/* 5461 */    MCD_OPC_CheckField, 6, 5, 0, 206, 4, // Skip to: 6697
-/* 5467 */    MCD_OPC_Decode, 240, 2, 76, // Opcode: FSUBS
-/* 5471 */    MCD_OPC_FilterValue, 41, 10, 0, // Skip to: 5485
-/* 5475 */    MCD_OPC_CheckField, 6, 5, 0, 192, 4, // Skip to: 6697
-/* 5481 */    MCD_OPC_Decode, 241, 2, 76, // Opcode: FSUBSo
-/* 5485 */    MCD_OPC_FilterValue, 42, 10, 0, // Skip to: 5499
-/* 5489 */    MCD_OPC_CheckField, 6, 5, 0, 178, 4, // Skip to: 6697
-/* 5495 */    MCD_OPC_Decode, 141, 2, 76, // Opcode: FADDS
-/* 5499 */    MCD_OPC_FilterValue, 43, 10, 0, // Skip to: 5513
-/* 5503 */    MCD_OPC_CheckField, 6, 5, 0, 164, 4, // Skip to: 6697
-/* 5509 */    MCD_OPC_Decode, 142, 2, 76, // Opcode: FADDSo
-/* 5513 */    MCD_OPC_FilterValue, 44, 16, 0, // Skip to: 5533
-/* 5517 */    MCD_OPC_CheckField, 16, 5, 0, 150, 4, // Skip to: 6697
-/* 5523 */    MCD_OPC_CheckField, 6, 5, 0, 144, 4, // Skip to: 6697
-/* 5529 */    MCD_OPC_Decode, 236, 2, 77, // Opcode: FSQRTS
-/* 5533 */    MCD_OPC_FilterValue, 45, 16, 0, // Skip to: 5553
-/* 5537 */    MCD_OPC_CheckField, 16, 5, 0, 130, 4, // Skip to: 6697
-/* 5543 */    MCD_OPC_CheckField, 6, 5, 0, 124, 4, // Skip to: 6697
-/* 5549 */    MCD_OPC_Decode, 237, 2, 77, // Opcode: FSQRTSo
-/* 5553 */    MCD_OPC_FilterValue, 48, 16, 0, // Skip to: 5573
-/* 5557 */    MCD_OPC_CheckField, 16, 5, 0, 110, 4, // Skip to: 6697
-/* 5563 */    MCD_OPC_CheckField, 6, 5, 0, 104, 4, // Skip to: 6697
-/* 5569 */    MCD_OPC_Decode, 206, 2, 77, // Opcode: FRES
-/* 5573 */    MCD_OPC_FilterValue, 49, 16, 0, // Skip to: 5593
-/* 5577 */    MCD_OPC_CheckField, 16, 5, 0, 90, 4, // Skip to: 6697
-/* 5583 */    MCD_OPC_CheckField, 6, 5, 0, 84, 4, // Skip to: 6697
-/* 5589 */    MCD_OPC_Decode, 207, 2, 77, // Opcode: FRESo
-/* 5593 */    MCD_OPC_FilterValue, 50, 10, 0, // Skip to: 5607
-/* 5597 */    MCD_OPC_CheckField, 11, 5, 0, 70, 4, // Skip to: 6697
-/* 5603 */    MCD_OPC_Decode, 186, 2, 78, // Opcode: FMULS
-/* 5607 */    MCD_OPC_FilterValue, 51, 10, 0, // Skip to: 5621
-/* 5611 */    MCD_OPC_CheckField, 11, 5, 0, 56, 4, // Skip to: 6697
-/* 5617 */    MCD_OPC_Decode, 187, 2, 78, // Opcode: FMULSo
-/* 5621 */    MCD_OPC_FilterValue, 52, 16, 0, // Skip to: 5641
-/* 5625 */    MCD_OPC_CheckField, 16, 5, 0, 42, 4, // Skip to: 6697
-/* 5631 */    MCD_OPC_CheckField, 6, 5, 0, 36, 4, // Skip to: 6697
-/* 5637 */    MCD_OPC_Decode, 228, 2, 77, // Opcode: FRSQRTES
-/* 5641 */    MCD_OPC_FilterValue, 53, 16, 0, // Skip to: 5661
-/* 5645 */    MCD_OPC_CheckField, 16, 5, 0, 22, 4, // Skip to: 6697
-/* 5651 */    MCD_OPC_CheckField, 6, 5, 0, 16, 4, // Skip to: 6697
-/* 5657 */    MCD_OPC_Decode, 229, 2, 77, // Opcode: FRSQRTESo
-/* 5661 */    MCD_OPC_FilterValue, 56, 4, 0, // Skip to: 5669
-/* 5665 */    MCD_OPC_Decode, 182, 2, 79, // Opcode: FMSUBS
-/* 5669 */    MCD_OPC_FilterValue, 57, 4, 0, // Skip to: 5677
-/* 5673 */    MCD_OPC_Decode, 183, 2, 79, // Opcode: FMSUBSo
-/* 5677 */    MCD_OPC_FilterValue, 58, 4, 0, // Skip to: 5685
-/* 5681 */    MCD_OPC_Decode, 176, 2, 79, // Opcode: FMADDS
-/* 5685 */    MCD_OPC_FilterValue, 59, 4, 0, // Skip to: 5693
-/* 5689 */    MCD_OPC_Decode, 177, 2, 79, // Opcode: FMADDSo
-/* 5693 */    MCD_OPC_FilterValue, 60, 4, 0, // Skip to: 5701
-/* 5697 */    MCD_OPC_Decode, 202, 2, 79, // Opcode: FNMSUBS
-/* 5701 */    MCD_OPC_FilterValue, 61, 4, 0, // Skip to: 5709
-/* 5705 */    MCD_OPC_Decode, 203, 2, 79, // Opcode: FNMSUBSo
-/* 5709 */    MCD_OPC_FilterValue, 62, 4, 0, // Skip to: 5717
-/* 5713 */    MCD_OPC_Decode, 198, 2, 79, // Opcode: FNMADDS
-/* 5717 */    MCD_OPC_FilterValue, 63, 208, 3, // Skip to: 6697
-/* 5721 */    MCD_OPC_Decode, 199, 2, 79, // Opcode: FNMADDSo
-/* 5725 */    MCD_OPC_FilterValue, 62, 19, 0, // Skip to: 5748
-/* 5729 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
-/* 5732 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5740
-/* 5736 */    MCD_OPC_Decode, 231, 4, 74, // Opcode: STD
-/* 5740 */    MCD_OPC_FilterValue, 1, 185, 3, // Skip to: 6697
-/* 5744 */    MCD_OPC_Decode, 234, 4, 74, // Opcode: STDU
-/* 5748 */    MCD_OPC_FilterValue, 63, 177, 3, // Skip to: 6697
-/* 5752 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
-/* 5755 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 5775
-/* 5759 */    MCD_OPC_CheckField, 21, 2, 0, 164, 3, // Skip to: 6697
-/* 5765 */    MCD_OPC_CheckField, 6, 5, 0, 158, 3, // Skip to: 6697
-/* 5771 */    MCD_OPC_Decode, 154, 2, 80, // Opcode: FCMPUS
-/* 5775 */    MCD_OPC_FilterValue, 12, 19, 0, // Skip to: 5798
-/* 5779 */    MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
-/* 5782 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 5790
-/* 5786 */    MCD_OPC_Decode, 230, 3, 81, // Opcode: MTFSB1
-/* 5790 */    MCD_OPC_FilterValue, 2, 135, 3, // Skip to: 6697
-/* 5794 */    MCD_OPC_Decode, 229, 3, 81, // Opcode: MTFSB0
-/* 5798 */    MCD_OPC_FilterValue, 14, 37, 0, // Skip to: 5839
-/* 5802 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 5805 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 5819
-/* 5809 */    MCD_OPC_CheckField, 11, 10, 0, 114, 3, // Skip to: 6697
-/* 5815 */    MCD_OPC_Decode, 210, 3, 82, // Opcode: MFFS
-/* 5819 */    MCD_OPC_FilterValue, 22, 106, 3, // Skip to: 6697
-/* 5823 */    MCD_OPC_CheckField, 25, 1, 0, 100, 3, // Skip to: 6697
-/* 5829 */    MCD_OPC_CheckField, 16, 1, 0, 94, 3, // Skip to: 6697
-/* 5835 */    MCD_OPC_Decode, 231, 3, 83, // Opcode: MTFSF
-/* 5839 */    MCD_OPC_FilterValue, 16, 123, 0, // Skip to: 5966
-/* 5843 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 5846 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5854
-/* 5850 */    MCD_OPC_Decode, 157, 2, 76, // Opcode: FCPSGNS
-/* 5854 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 5868
-/* 5858 */    MCD_OPC_CheckField, 16, 5, 0, 65, 3, // Skip to: 6697
-/* 5864 */    MCD_OPC_Decode, 195, 2, 77, // Opcode: FNEGS
-/* 5868 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 5882
-/* 5872 */    MCD_OPC_CheckField, 16, 5, 0, 51, 3, // Skip to: 6697
-/* 5878 */    MCD_OPC_Decode, 179, 2, 77, // Opcode: FMR
-/* 5882 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 5896
-/* 5886 */    MCD_OPC_CheckField, 16, 5, 0, 37, 3, // Skip to: 6697
-/* 5892 */    MCD_OPC_Decode, 191, 2, 77, // Opcode: FNABSS
-/* 5896 */    MCD_OPC_FilterValue, 8, 10, 0, // Skip to: 5910
-/* 5900 */    MCD_OPC_CheckField, 16, 5, 0, 23, 3, // Skip to: 6697
-/* 5906 */    MCD_OPC_Decode, 138, 2, 77, // Opcode: FABSS
-/* 5910 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 5924
-/* 5914 */    MCD_OPC_CheckField, 16, 5, 0, 9, 3, // Skip to: 6697
-/* 5920 */    MCD_OPC_Decode, 215, 2, 77, // Opcode: FRINS
-/* 5924 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 5938
-/* 5928 */    MCD_OPC_CheckField, 16, 5, 0, 251, 2, // Skip to: 6697
-/* 5934 */    MCD_OPC_Decode, 223, 2, 77, // Opcode: FRIZS
-/* 5938 */    MCD_OPC_FilterValue, 14, 10, 0, // Skip to: 5952
-/* 5942 */    MCD_OPC_CheckField, 16, 5, 0, 237, 2, // Skip to: 6697
-/* 5948 */    MCD_OPC_Decode, 219, 2, 77, // Opcode: FRIPS
-/* 5952 */    MCD_OPC_FilterValue, 15, 229, 2, // Skip to: 6697
-/* 5956 */    MCD_OPC_CheckField, 16, 5, 0, 223, 2, // Skip to: 6697
-/* 5962 */    MCD_OPC_Decode, 211, 2, 77, // Opcode: FRIMS
-/* 5966 */    MCD_OPC_FilterValue, 17, 123, 0, // Skip to: 6093
-/* 5970 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 5973 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5981
-/* 5977 */    MCD_OPC_Decode, 158, 2, 76, // Opcode: FCPSGNSo
-/* 5981 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 5995
-/* 5985 */    MCD_OPC_CheckField, 16, 5, 0, 194, 2, // Skip to: 6697
-/* 5991 */    MCD_OPC_Decode, 196, 2, 77, // Opcode: FNEGSo
-/* 5995 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 6009
-/* 5999 */    MCD_OPC_CheckField, 16, 5, 0, 180, 2, // Skip to: 6697
-/* 6005 */    MCD_OPC_Decode, 180, 2, 77, // Opcode: FMRo
-/* 6009 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 6023
-/* 6013 */    MCD_OPC_CheckField, 16, 5, 0, 166, 2, // Skip to: 6697
-/* 6019 */    MCD_OPC_Decode, 192, 2, 77, // Opcode: FNABSSo
-/* 6023 */    MCD_OPC_FilterValue, 8, 10, 0, // Skip to: 6037
-/* 6027 */    MCD_OPC_CheckField, 16, 5, 0, 152, 2, // Skip to: 6697
-/* 6033 */    MCD_OPC_Decode, 139, 2, 77, // Opcode: FABSSo
-/* 6037 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 6051
-/* 6041 */    MCD_OPC_CheckField, 16, 5, 0, 138, 2, // Skip to: 6697
-/* 6047 */    MCD_OPC_Decode, 216, 2, 77, // Opcode: FRINSo
-/* 6051 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 6065
-/* 6055 */    MCD_OPC_CheckField, 16, 5, 0, 124, 2, // Skip to: 6697
-/* 6061 */    MCD_OPC_Decode, 224, 2, 77, // Opcode: FRIZSo
-/* 6065 */    MCD_OPC_FilterValue, 14, 10, 0, // Skip to: 6079
-/* 6069 */    MCD_OPC_CheckField, 16, 5, 0, 110, 2, // Skip to: 6697
-/* 6075 */    MCD_OPC_Decode, 220, 2, 77, // Opcode: FRIPSo
-/* 6079 */    MCD_OPC_FilterValue, 15, 102, 2, // Skip to: 6697
-/* 6083 */    MCD_OPC_CheckField, 16, 5, 0, 96, 2, // Skip to: 6697
-/* 6089 */    MCD_OPC_Decode, 212, 2, 77, // Opcode: FRIMSo
-/* 6093 */    MCD_OPC_FilterValue, 24, 16, 0, // Skip to: 6113
-/* 6097 */    MCD_OPC_CheckField, 16, 5, 0, 82, 2, // Skip to: 6697
-/* 6103 */    MCD_OPC_CheckField, 6, 5, 0, 76, 2, // Skip to: 6697
-/* 6109 */    MCD_OPC_Decode, 225, 2, 75, // Opcode: FRSP
-/* 6113 */    MCD_OPC_FilterValue, 25, 16, 0, // Skip to: 6133
-/* 6117 */    MCD_OPC_CheckField, 16, 5, 0, 62, 2, // Skip to: 6697
-/* 6123 */    MCD_OPC_CheckField, 6, 5, 0, 56, 2, // Skip to: 6697
-/* 6129 */    MCD_OPC_Decode, 226, 2, 75, // Opcode: FRSPo
-/* 6133 */    MCD_OPC_FilterValue, 28, 59, 0, // Skip to: 6196
-/* 6137 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 6140 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 6154
-/* 6144 */    MCD_OPC_CheckField, 16, 5, 0, 35, 2, // Skip to: 6697
-/* 6150 */    MCD_OPC_Decode, 165, 2, 84, // Opcode: FCTIW
-/* 6154 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 6168
-/* 6158 */    MCD_OPC_CheckField, 16, 5, 0, 21, 2, // Skip to: 6697
-/* 6164 */    MCD_OPC_Decode, 159, 2, 84, // Opcode: FCTID
-/* 6168 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 6182
-/* 6172 */    MCD_OPC_CheckField, 16, 5, 0, 7, 2, // Skip to: 6697
-/* 6178 */    MCD_OPC_Decode, 145, 2, 84, // Opcode: FCFID
-/* 6182 */    MCD_OPC_FilterValue, 30, 255, 1, // Skip to: 6697
-/* 6186 */    MCD_OPC_CheckField, 16, 5, 0, 249, 1, // Skip to: 6697
-/* 6192 */    MCD_OPC_Decode, 148, 2, 84, // Opcode: FCFIDU
-/* 6196 */    MCD_OPC_FilterValue, 29, 59, 0, // Skip to: 6259
-/* 6200 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 6203 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 6217
-/* 6207 */    MCD_OPC_CheckField, 16, 5, 0, 228, 1, // Skip to: 6697
-/* 6213 */    MCD_OPC_Decode, 170, 2, 84, // Opcode: FCTIWo
-/* 6217 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 6231
-/* 6221 */    MCD_OPC_CheckField, 16, 5, 0, 214, 1, // Skip to: 6697
-/* 6227 */    MCD_OPC_Decode, 164, 2, 84, // Opcode: FCTIDo
-/* 6231 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 6245
-/* 6235 */    MCD_OPC_CheckField, 16, 5, 0, 200, 1, // Skip to: 6697
-/* 6241 */    MCD_OPC_Decode, 152, 2, 84, // Opcode: FCFIDo
-/* 6245 */    MCD_OPC_FilterValue, 30, 192, 1, // Skip to: 6697
-/* 6249 */    MCD_OPC_CheckField, 16, 5, 0, 186, 1, // Skip to: 6697
-/* 6255 */    MCD_OPC_Decode, 151, 2, 84, // Opcode: FCFIDUo
-/* 6259 */    MCD_OPC_FilterValue, 30, 59, 0, // Skip to: 6322
-/* 6263 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 6266 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 6280
-/* 6270 */    MCD_OPC_CheckField, 16, 5, 0, 165, 1, // Skip to: 6697
-/* 6276 */    MCD_OPC_Decode, 168, 2, 84, // Opcode: FCTIWZ
-/* 6280 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 6294
-/* 6284 */    MCD_OPC_CheckField, 16, 5, 0, 151, 1, // Skip to: 6697
-/* 6290 */    MCD_OPC_Decode, 166, 2, 84, // Opcode: FCTIWUZ
-/* 6294 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 6308
-/* 6298 */    MCD_OPC_CheckField, 16, 5, 0, 137, 1, // Skip to: 6697
-/* 6304 */    MCD_OPC_Decode, 162, 2, 84, // Opcode: FCTIDZ
-/* 6308 */    MCD_OPC_FilterValue, 29, 129, 1, // Skip to: 6697
-/* 6312 */    MCD_OPC_CheckField, 16, 5, 0, 123, 1, // Skip to: 6697
-/* 6318 */    MCD_OPC_Decode, 160, 2, 84, // Opcode: FCTIDUZ
-/* 6322 */    MCD_OPC_FilterValue, 31, 59, 0, // Skip to: 6385
-/* 6326 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
-/* 6329 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 6343
-/* 6333 */    MCD_OPC_CheckField, 16, 5, 0, 102, 1, // Skip to: 6697
-/* 6339 */    MCD_OPC_Decode, 169, 2, 84, // Opcode: FCTIWZo
-/* 6343 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 6357
-/* 6347 */    MCD_OPC_CheckField, 16, 5, 0, 88, 1, // Skip to: 6697
-/* 6353 */    MCD_OPC_Decode, 167, 2, 84, // Opcode: FCTIWUZo
-/* 6357 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 6371
-/* 6361 */    MCD_OPC_CheckField, 16, 5, 0, 74, 1, // Skip to: 6697
-/* 6367 */    MCD_OPC_Decode, 163, 2, 84, // Opcode: FCTIDZo
-/* 6371 */    MCD_OPC_FilterValue, 29, 66, 1, // Skip to: 6697
-/* 6375 */    MCD_OPC_CheckField, 16, 5, 0, 60, 1, // Skip to: 6697
-/* 6381 */    MCD_OPC_Decode, 161, 2, 84, // Opcode: FCTIDUZo
-/* 6385 */    MCD_OPC_FilterValue, 36, 10, 0, // Skip to: 6399
-/* 6389 */    MCD_OPC_CheckField, 6, 5, 0, 46, 1, // Skip to: 6697
-/* 6395 */    MCD_OPC_Decode, 171, 2, 85, // Opcode: FDIV
-/* 6399 */    MCD_OPC_FilterValue, 37, 10, 0, // Skip to: 6413
-/* 6403 */    MCD_OPC_CheckField, 6, 5, 0, 32, 1, // Skip to: 6697
-/* 6409 */    MCD_OPC_Decode, 174, 2, 85, // Opcode: FDIVo
-/* 6413 */    MCD_OPC_FilterValue, 40, 10, 0, // Skip to: 6427
-/* 6417 */    MCD_OPC_CheckField, 6, 5, 0, 18, 1, // Skip to: 6697
-/* 6423 */    MCD_OPC_Decode, 239, 2, 85, // Opcode: FSUB
-/* 6427 */    MCD_OPC_FilterValue, 41, 10, 0, // Skip to: 6441
-/* 6431 */    MCD_OPC_CheckField, 6, 5, 0, 4, 1, // Skip to: 6697
-/* 6437 */    MCD_OPC_Decode, 242, 2, 85, // Opcode: FSUBo
-/* 6441 */    MCD_OPC_FilterValue, 42, 10, 0, // Skip to: 6455
-/* 6445 */    MCD_OPC_CheckField, 6, 5, 0, 246, 0, // Skip to: 6697
-/* 6451 */    MCD_OPC_Decode, 140, 2, 85, // Opcode: FADD
-/* 6455 */    MCD_OPC_FilterValue, 43, 10, 0, // Skip to: 6469
-/* 6459 */    MCD_OPC_CheckField, 6, 5, 0, 232, 0, // Skip to: 6697
-/* 6465 */    MCD_OPC_Decode, 143, 2, 85, // Opcode: FADDo
-/* 6469 */    MCD_OPC_FilterValue, 44, 16, 0, // Skip to: 6489
-/* 6473 */    MCD_OPC_CheckField, 16, 5, 0, 218, 0, // Skip to: 6697
-/* 6479 */    MCD_OPC_CheckField, 6, 5, 0, 212, 0, // Skip to: 6697
-/* 6485 */    MCD_OPC_Decode, 235, 2, 84, // Opcode: FSQRT
-/* 6489 */    MCD_OPC_FilterValue, 45, 16, 0, // Skip to: 6509
-/* 6493 */    MCD_OPC_CheckField, 16, 5, 0, 198, 0, // Skip to: 6697
-/* 6499 */    MCD_OPC_CheckField, 6, 5, 0, 192, 0, // Skip to: 6697
-/* 6505 */    MCD_OPC_Decode, 238, 2, 84, // Opcode: FSQRTo
-/* 6509 */    MCD_OPC_FilterValue, 46, 4, 0, // Skip to: 6517
-/* 6513 */    MCD_OPC_Decode, 233, 2, 86, // Opcode: FSELS
-/* 6517 */    MCD_OPC_FilterValue, 47, 4, 0, // Skip to: 6525
-/* 6521 */    MCD_OPC_Decode, 234, 2, 86, // Opcode: FSELSo
-/* 6525 */    MCD_OPC_FilterValue, 48, 16, 0, // Skip to: 6545
-/* 6529 */    MCD_OPC_CheckField, 16, 5, 0, 162, 0, // Skip to: 6697
-/* 6535 */    MCD_OPC_CheckField, 6, 5, 0, 156, 0, // Skip to: 6697
-/* 6541 */    MCD_OPC_Decode, 205, 2, 84, // Opcode: FRE
-/* 6545 */    MCD_OPC_FilterValue, 49, 16, 0, // Skip to: 6565
-/* 6549 */    MCD_OPC_CheckField, 16, 5, 0, 142, 0, // Skip to: 6697
-/* 6555 */    MCD_OPC_CheckField, 6, 5, 0, 136, 0, // Skip to: 6697
-/* 6561 */    MCD_OPC_Decode, 208, 2, 84, // Opcode: FREo
-/* 6565 */    MCD_OPC_FilterValue, 50, 10, 0, // Skip to: 6579
-/* 6569 */    MCD_OPC_CheckField, 11, 5, 0, 122, 0, // Skip to: 6697
-/* 6575 */    MCD_OPC_Decode, 185, 2, 87, // Opcode: FMUL
-/* 6579 */    MCD_OPC_FilterValue, 51, 10, 0, // Skip to: 6593
-/* 6583 */    MCD_OPC_CheckField, 11, 5, 0, 108, 0, // Skip to: 6697
-/* 6589 */    MCD_OPC_Decode, 188, 2, 87, // Opcode: FMULo
-/* 6593 */    MCD_OPC_FilterValue, 52, 16, 0, // Skip to: 6613
-/* 6597 */    MCD_OPC_CheckField, 16, 5, 0, 94, 0, // Skip to: 6697
-/* 6603 */    MCD_OPC_CheckField, 6, 5, 0, 88, 0, // Skip to: 6697
-/* 6609 */    MCD_OPC_Decode, 227, 2, 84, // Opcode: FRSQRTE
-/* 6613 */    MCD_OPC_FilterValue, 53, 16, 0, // Skip to: 6633
-/* 6617 */    MCD_OPC_CheckField, 16, 5, 0, 74, 0, // Skip to: 6697
-/* 6623 */    MCD_OPC_CheckField, 6, 5, 0, 68, 0, // Skip to: 6697
-/* 6629 */    MCD_OPC_Decode, 230, 2, 84, // Opcode: FRSQRTEo
-/* 6633 */    MCD_OPC_FilterValue, 56, 4, 0, // Skip to: 6641
-/* 6637 */    MCD_OPC_Decode, 181, 2, 88, // Opcode: FMSUB
-/* 6641 */    MCD_OPC_FilterValue, 57, 4, 0, // Skip to: 6649
-/* 6645 */    MCD_OPC_Decode, 184, 2, 88, // Opcode: FMSUBo
-/* 6649 */    MCD_OPC_FilterValue, 58, 4, 0, // Skip to: 6657
-/* 6653 */    MCD_OPC_Decode, 175, 2, 88, // Opcode: FMADD
-/* 6657 */    MCD_OPC_FilterValue, 59, 4, 0, // Skip to: 6665
-/* 6661 */    MCD_OPC_Decode, 178, 2, 88, // Opcode: FMADDo
-/* 6665 */    MCD_OPC_FilterValue, 60, 4, 0, // Skip to: 6673
-/* 6669 */    MCD_OPC_Decode, 201, 2, 88, // Opcode: FNMSUB
-/* 6673 */    MCD_OPC_FilterValue, 61, 4, 0, // Skip to: 6681
-/* 6677 */    MCD_OPC_Decode, 204, 2, 88, // Opcode: FNMSUBo
-/* 6681 */    MCD_OPC_FilterValue, 62, 4, 0, // Skip to: 6689
-/* 6685 */    MCD_OPC_Decode, 197, 2, 88, // Opcode: FNMADD
-/* 6689 */    MCD_OPC_FilterValue, 63, 4, 0, // Skip to: 6697
-/* 6693 */    MCD_OPC_Decode, 200, 2, 88, // Opcode: FNMADDo
-/* 6697 */    MCD_OPC_Fail,
+/* 3827 */    MCD_OPC_CheckField, 1, 1, 0, 163, 21, // Skip to: 9372
+/* 3833 */    MCD_OPC_Decode, 192, 5, 53, // Opcode: MTMSRD
+/* 3837 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 3851
+/* 3841 */    MCD_OPC_CheckField, 1, 1, 0, 149, 21, // Skip to: 9372
+/* 3847 */    MCD_OPC_Decode, 196, 5, 54, // Opcode: MTSR
+/* 3851 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 3865
+/* 3855 */    MCD_OPC_CheckField, 1, 1, 0, 135, 21, // Skip to: 9372
+/* 3861 */    MCD_OPC_Decode, 197, 5, 55, // Opcode: MTSRIN
+/* 3865 */    MCD_OPC_FilterValue, 8, 16, 0, // Skip to: 3885
+/* 3869 */    MCD_OPC_CheckField, 16, 10, 0, 121, 21, // Skip to: 9372
+/* 3875 */    MCD_OPC_CheckField, 0, 2, 0, 115, 21, // Skip to: 9372
+/* 3881 */    MCD_OPC_Decode, 164, 7, 56, // Opcode: TLBIEL
+/* 3885 */    MCD_OPC_FilterValue, 9, 16, 0, // Skip to: 3905
+/* 3889 */    MCD_OPC_CheckField, 16, 5, 0, 101, 21, // Skip to: 9372
+/* 3895 */    MCD_OPC_CheckField, 0, 2, 0, 95, 21, // Skip to: 9372
+/* 3901 */    MCD_OPC_Decode, 163, 7, 55, // Opcode: TLBIE
+/* 3905 */    MCD_OPC_FilterValue, 10, 32, 0, // Skip to: 3941
+/* 3909 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3912 */    MCD_OPC_FilterValue, 2, 80, 21, // Skip to: 9372
+/* 3916 */    MCD_OPC_ExtractField, 11, 10,  // Inst{20-11} ...
+/* 3919 */    MCD_OPC_FilterValue, 128, 2, 4, 0, // Skip to: 3928
+/* 3924 */    MCD_OPC_Decode, 165, 5, 35, // Opcode: MFLR
+/* 3928 */    MCD_OPC_FilterValue, 160, 2, 4, 0, // Skip to: 3937
+/* 3933 */    MCD_OPC_Decode, 161, 5, 35, // Opcode: MFCTR
+/* 3937 */    MCD_OPC_Decode, 170, 5, 37, // Opcode: MFSPR
+/* 3941 */    MCD_OPC_FilterValue, 11, 25, 0, // Skip to: 3970
+/* 3945 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 3948 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 3962
+/* 3952 */    MCD_OPC_CheckField, 11, 15, 0, 38, 21, // Skip to: 9372
+/* 3958 */    MCD_OPC_Decode, 162, 7, 23, // Opcode: TLBIA
+/* 3962 */    MCD_OPC_FilterValue, 2, 30, 21, // Skip to: 9372
+/* 3966 */    MCD_OPC_Decode, 173, 5, 37, // Opcode: MFTB
+/* 3970 */    MCD_OPC_FilterValue, 12, 16, 0, // Skip to: 3990
+/* 3974 */    MCD_OPC_CheckField, 16, 5, 0, 16, 21, // Skip to: 9372
+/* 3980 */    MCD_OPC_CheckField, 0, 2, 0, 10, 21, // Skip to: 9372
+/* 3986 */    MCD_OPC_Decode, 169, 6, 55, // Opcode: SLBMTE
+/* 3990 */    MCD_OPC_FilterValue, 13, 16, 0, // Skip to: 4010
+/* 3994 */    MCD_OPC_CheckField, 16, 10, 0, 252, 20, // Skip to: 9372
+/* 4000 */    MCD_OPC_CheckField, 0, 2, 0, 246, 20, // Skip to: 9372
+/* 4006 */    MCD_OPC_Decode, 167, 6, 56, // Opcode: SLBIE
+/* 4010 */    MCD_OPC_FilterValue, 14, 32, 0, // Skip to: 4046
+/* 4014 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4017 */    MCD_OPC_FilterValue, 2, 231, 20, // Skip to: 9372
+/* 4021 */    MCD_OPC_ExtractField, 11, 10,  // Inst{20-11} ...
+/* 4024 */    MCD_OPC_FilterValue, 128, 2, 4, 0, // Skip to: 4033
+/* 4029 */    MCD_OPC_Decode, 189, 5, 35, // Opcode: MTLR
+/* 4033 */    MCD_OPC_FilterValue, 160, 2, 4, 0, // Skip to: 4042
+/* 4038 */    MCD_OPC_Decode, 181, 5, 35, // Opcode: MTCTR
+/* 4042 */    MCD_OPC_Decode, 195, 5, 57, // Opcode: MTSPR
+/* 4046 */    MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 4066
+/* 4050 */    MCD_OPC_CheckField, 11, 15, 0, 196, 20, // Skip to: 9372
+/* 4056 */    MCD_OPC_CheckField, 0, 2, 0, 190, 20, // Skip to: 9372
+/* 4062 */    MCD_OPC_Decode, 166, 6, 23, // Opcode: SLBIA
+/* 4066 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 4080
+/* 4070 */    MCD_OPC_CheckField, 1, 1, 1, 176, 20, // Skip to: 9372
+/* 4076 */    MCD_OPC_Decode, 171, 5, 54, // Opcode: MFSR
+/* 4080 */    MCD_OPC_FilterValue, 20, 10, 0, // Skip to: 4094
+/* 4084 */    MCD_OPC_CheckField, 1, 1, 1, 162, 20, // Skip to: 9372
+/* 4090 */    MCD_OPC_Decode, 172, 5, 55, // Opcode: MFSRIN
+/* 4094 */    MCD_OPC_FilterValue, 24, 16, 0, // Skip to: 4114
+/* 4098 */    MCD_OPC_CheckField, 21, 5, 0, 148, 20, // Skip to: 9372
+/* 4104 */    MCD_OPC_CheckField, 0, 2, 0, 142, 20, // Skip to: 9372
+/* 4110 */    MCD_OPC_Decode, 165, 7, 41, // Opcode: TLBIVAX
+/* 4114 */    MCD_OPC_FilterValue, 28, 43, 0, // Skip to: 4161
+/* 4118 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4121 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 4139
+/* 4125 */    MCD_OPC_CheckField, 21, 5, 0, 4, 0, // Skip to: 4135
+/* 4131 */    MCD_OPC_Decode, 170, 7, 41, // Opcode: TLBSX
+/* 4135 */    MCD_OPC_Decode, 171, 7, 42, // Opcode: TLBSX2
+/* 4139 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 4147
+/* 4143 */    MCD_OPC_Decode, 172, 7, 42, // Opcode: TLBSX2D
+/* 4147 */    MCD_OPC_FilterValue, 2, 101, 20, // Skip to: 9372
+/* 4151 */    MCD_OPC_CheckField, 16, 5, 0, 95, 20, // Skip to: 9372
+/* 4157 */    MCD_OPC_Decode, 168, 6, 55, // Opcode: SLBMFEE
+/* 4161 */    MCD_OPC_FilterValue, 29, 21, 0, // Skip to: 4186
+/* 4165 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4168 */    MCD_OPC_FilterValue, 0, 80, 20, // Skip to: 9372
+/* 4172 */    MCD_OPC_CheckField, 11, 15, 0, 4, 0, // Skip to: 4182
+/* 4178 */    MCD_OPC_Decode, 168, 7, 23, // Opcode: TLBRE
+/* 4182 */    MCD_OPC_Decode, 169, 7, 58, // Opcode: TLBRE2
+/* 4186 */    MCD_OPC_FilterValue, 30, 31, 0, // Skip to: 4221
+/* 4190 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4193 */    MCD_OPC_FilterValue, 0, 55, 20, // Skip to: 9372
+/* 4197 */    MCD_OPC_CheckField, 11, 15, 0, 4, 0, // Skip to: 4207
+/* 4203 */    MCD_OPC_Decode, 174, 7, 23, // Opcode: TLBWE
+/* 4207 */    MCD_OPC_CheckField, 16, 10, 0, 4, 0, // Skip to: 4217
+/* 4213 */    MCD_OPC_Decode, 166, 7, 56, // Opcode: TLBLD
+/* 4217 */    MCD_OPC_Decode, 175, 7, 58, // Opcode: TLBWE2
+/* 4221 */    MCD_OPC_FilterValue, 31, 27, 20, // Skip to: 9372
+/* 4225 */    MCD_OPC_CheckField, 16, 10, 0, 21, 20, // Skip to: 9372
+/* 4231 */    MCD_OPC_CheckField, 0, 2, 0, 15, 20, // Skip to: 9372
+/* 4237 */    MCD_OPC_Decode, 167, 7, 56, // Opcode: TLBLI
+/* 4241 */    MCD_OPC_FilterValue, 10, 166, 0, // Skip to: 4411
+/* 4245 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 4248 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 4271
+/* 4252 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4255 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4263
+/* 4259 */    MCD_OPC_Decode, 138, 5, 59, // Opcode: LWARX
+/* 4263 */    MCD_OPC_FilterValue, 2, 241, 19, // Skip to: 9372
+/* 4267 */    MCD_OPC_Decode, 217, 4, 60, // Opcode: LDX
+/* 4271 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 4285
+/* 4275 */    MCD_OPC_CheckField, 0, 2, 2, 227, 19, // Skip to: 9372
+/* 4281 */    MCD_OPC_Decode, 216, 4, 61, // Opcode: LDUX
+/* 4285 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 4299
+/* 4289 */    MCD_OPC_CheckField, 0, 2, 0, 213, 19, // Skip to: 9372
+/* 4295 */    MCD_OPC_Decode, 213, 4, 60, // Opcode: LDARX
+/* 4299 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 4313
+/* 4303 */    MCD_OPC_CheckField, 0, 2, 2, 199, 19, // Skip to: 9372
+/* 4309 */    MCD_OPC_Decode, 210, 6, 60, // Opcode: STDX
+/* 4313 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 4327
+/* 4317 */    MCD_OPC_CheckField, 0, 2, 2, 185, 19, // Skip to: 9372
+/* 4323 */    MCD_OPC_Decode, 209, 6, 62, // Opcode: STDUX
+/* 4327 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 4341
+/* 4331 */    MCD_OPC_CheckField, 0, 2, 2, 171, 19, // Skip to: 9372
+/* 4337 */    MCD_OPC_Decode, 140, 5, 60, // Opcode: LWAX
+/* 4341 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 4355
+/* 4345 */    MCD_OPC_CheckField, 0, 2, 2, 157, 19, // Skip to: 9372
+/* 4351 */    MCD_OPC_Decode, 139, 5, 61, // Opcode: LWAUX
+/* 4355 */    MCD_OPC_FilterValue, 16, 10, 0, // Skip to: 4369
+/* 4359 */    MCD_OPC_CheckField, 0, 2, 0, 143, 19, // Skip to: 9372
+/* 4365 */    MCD_OPC_Decode, 214, 4, 60, // Opcode: LDBRX
+/* 4369 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 4383
+/* 4373 */    MCD_OPC_CheckField, 0, 2, 2, 129, 19, // Skip to: 9372
+/* 4379 */    MCD_OPC_Decode, 129, 5, 63, // Opcode: LSWI
+/* 4383 */    MCD_OPC_FilterValue, 20, 10, 0, // Skip to: 4397
+/* 4387 */    MCD_OPC_CheckField, 0, 2, 0, 115, 19, // Skip to: 9372
+/* 4393 */    MCD_OPC_Decode, 206, 6, 60, // Opcode: STDBRX
+/* 4397 */    MCD_OPC_FilterValue, 22, 107, 19, // Skip to: 9372
+/* 4401 */    MCD_OPC_CheckField, 0, 2, 2, 101, 19, // Skip to: 9372
+/* 4407 */    MCD_OPC_Decode, 230, 6, 63, // Opcode: STSWI
+/* 4411 */    MCD_OPC_FilterValue, 11, 212, 2, // Skip to: 5139
+/* 4415 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 4418 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4432
+/* 4422 */    MCD_OPC_CheckField, 0, 2, 2, 80, 19, // Skip to: 9372
+/* 4428 */    MCD_OPC_Decode, 150, 5, 59, // Opcode: LWZX
+/* 4432 */    MCD_OPC_FilterValue, 1, 25, 0, // Skip to: 4461
+/* 4436 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4439 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4453
+/* 4443 */    MCD_OPC_CheckField, 21, 5, 0, 59, 19, // Skip to: 9372
+/* 4449 */    MCD_OPC_Decode, 234, 1, 64, // Opcode: DCBST
+/* 4453 */    MCD_OPC_FilterValue, 2, 51, 19, // Skip to: 9372
+/* 4457 */    MCD_OPC_Decode, 148, 5, 65, // Opcode: LWZUX
+/* 4461 */    MCD_OPC_FilterValue, 2, 25, 0, // Skip to: 4490
+/* 4465 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4468 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4482
+/* 4472 */    MCD_OPC_CheckField, 21, 5, 0, 30, 19, // Skip to: 9372
+/* 4478 */    MCD_OPC_Decode, 232, 1, 64, // Opcode: DCBF
+/* 4482 */    MCD_OPC_FilterValue, 2, 22, 19, // Skip to: 9372
+/* 4486 */    MCD_OPC_Decode, 210, 4, 59, // Opcode: LBZX
+/* 4490 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 4504
+/* 4494 */    MCD_OPC_CheckField, 0, 2, 2, 8, 19, // Skip to: 9372
+/* 4500 */    MCD_OPC_Decode, 208, 4, 65, // Opcode: LBZUX
+/* 4504 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 4527
+/* 4508 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4511 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 4519
+/* 4515 */    MCD_OPC_Decode, 239, 6, 59, // Opcode: STWCX
+/* 4519 */    MCD_OPC_FilterValue, 2, 241, 18, // Skip to: 9372
+/* 4523 */    MCD_OPC_Decode, 244, 6, 59, // Opcode: STWX
+/* 4527 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 4541
+/* 4531 */    MCD_OPC_CheckField, 0, 2, 2, 227, 18, // Skip to: 9372
+/* 4537 */    MCD_OPC_Decode, 242, 6, 66, // Opcode: STWUX
+/* 4541 */    MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 4564
+/* 4545 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4548 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 4556
+/* 4552 */    MCD_OPC_Decode, 207, 6, 60, // Opcode: STDCX
+/* 4556 */    MCD_OPC_FilterValue, 2, 204, 18, // Skip to: 9372
+/* 4560 */    MCD_OPC_Decode, 203, 6, 59, // Opcode: STBX
+/* 4564 */    MCD_OPC_FilterValue, 7, 25, 0, // Skip to: 4593
+/* 4568 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4571 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4585
+/* 4575 */    MCD_OPC_CheckField, 21, 5, 0, 183, 18, // Skip to: 9372
+/* 4581 */    MCD_OPC_Decode, 236, 1, 64, // Opcode: DCBTST
+/* 4585 */    MCD_OPC_FilterValue, 2, 175, 18, // Skip to: 9372
+/* 4589 */    MCD_OPC_Decode, 201, 6, 66, // Opcode: STBUX
+/* 4593 */    MCD_OPC_FilterValue, 8, 25, 0, // Skip to: 4622
+/* 4597 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4600 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4614
+/* 4604 */    MCD_OPC_CheckField, 21, 5, 0, 154, 18, // Skip to: 9372
+/* 4610 */    MCD_OPC_Decode, 235, 1, 64, // Opcode: DCBT
+/* 4614 */    MCD_OPC_FilterValue, 2, 146, 18, // Skip to: 9372
+/* 4618 */    MCD_OPC_Decode, 250, 4, 59, // Opcode: LHZX
+/* 4622 */    MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 4636
+/* 4626 */    MCD_OPC_CheckField, 0, 2, 2, 132, 18, // Skip to: 9372
+/* 4632 */    MCD_OPC_Decode, 248, 4, 65, // Opcode: LHZUX
+/* 4636 */    MCD_OPC_FilterValue, 10, 34, 0, // Skip to: 4674
+/* 4640 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4643 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 4666
+/* 4647 */    MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 4650 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4658
+/* 4654 */    MCD_OPC_Decode, 250, 1, 67, // Opcode: DST
+/* 4658 */    MCD_OPC_FilterValue, 4, 102, 18, // Skip to: 9372
+/* 4662 */    MCD_OPC_Decode, 128, 2, 67, // Opcode: DSTT
+/* 4666 */    MCD_OPC_FilterValue, 2, 94, 18, // Skip to: 9372
+/* 4670 */    MCD_OPC_Decode, 241, 4, 59, // Opcode: LHAX
+/* 4674 */    MCD_OPC_FilterValue, 11, 34, 0, // Skip to: 4712
+/* 4678 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4681 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 4704
+/* 4685 */    MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 4688 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4696
+/* 4692 */    MCD_OPC_Decode, 252, 1, 67, // Opcode: DSTST
+/* 4696 */    MCD_OPC_FilterValue, 4, 64, 18, // Skip to: 9372
+/* 4700 */    MCD_OPC_Decode, 254, 1, 67, // Opcode: DSTSTT
+/* 4704 */    MCD_OPC_FilterValue, 2, 56, 18, // Skip to: 9372
+/* 4708 */    MCD_OPC_Decode, 239, 4, 65, // Opcode: LHAUX
+/* 4712 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 4726
+/* 4716 */    MCD_OPC_CheckField, 0, 2, 2, 42, 18, // Skip to: 9372
+/* 4722 */    MCD_OPC_Decode, 227, 6, 59, // Opcode: STHX
+/* 4726 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 4740
+/* 4730 */    MCD_OPC_CheckField, 0, 2, 2, 28, 18, // Skip to: 9372
+/* 4736 */    MCD_OPC_Decode, 225, 6, 66, // Opcode: STHUX
+/* 4740 */    MCD_OPC_FilterValue, 14, 16, 0, // Skip to: 4760
+/* 4744 */    MCD_OPC_CheckField, 21, 5, 0, 14, 18, // Skip to: 9372
+/* 4750 */    MCD_OPC_CheckField, 0, 2, 0, 8, 18, // Skip to: 9372
+/* 4756 */    MCD_OPC_Decode, 233, 1, 64, // Opcode: DCBI
+/* 4760 */    MCD_OPC_FilterValue, 16, 19, 0, // Skip to: 4783
+/* 4764 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4767 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4775
+/* 4771 */    MCD_OPC_Decode, 143, 5, 59, // Opcode: LWBRX
+/* 4775 */    MCD_OPC_FilterValue, 2, 241, 17, // Skip to: 9372
+/* 4779 */    MCD_OPC_Decode, 234, 4, 68, // Opcode: LFSX
+/* 4783 */    MCD_OPC_FilterValue, 17, 25, 0, // Skip to: 4812
+/* 4787 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4790 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4804
+/* 4794 */    MCD_OPC_CheckField, 11, 15, 0, 220, 17, // Skip to: 9372
+/* 4800 */    MCD_OPC_Decode, 173, 7, 23, // Opcode: TLBSYNC
+/* 4804 */    MCD_OPC_FilterValue, 2, 212, 17, // Skip to: 9372
+/* 4808 */    MCD_OPC_Decode, 233, 4, 69, // Opcode: LFSUX
+/* 4812 */    MCD_OPC_FilterValue, 18, 31, 0, // Skip to: 4847
+/* 4816 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4819 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 4839
+/* 4823 */    MCD_OPC_CheckField, 23, 3, 0, 191, 17, // Skip to: 9372
+/* 4829 */    MCD_OPC_CheckField, 11, 10, 0, 185, 17, // Skip to: 9372
+/* 4835 */    MCD_OPC_Decode, 147, 7, 70, // Opcode: SYNC
+/* 4839 */    MCD_OPC_FilterValue, 2, 177, 17, // Skip to: 9372
+/* 4843 */    MCD_OPC_Decode, 228, 4, 71, // Opcode: LFDX
+/* 4847 */    MCD_OPC_FilterValue, 19, 10, 0, // Skip to: 4861
+/* 4851 */    MCD_OPC_CheckField, 0, 2, 2, 163, 17, // Skip to: 9372
+/* 4857 */    MCD_OPC_Decode, 227, 4, 72, // Opcode: LFDUX
+/* 4861 */    MCD_OPC_FilterValue, 20, 19, 0, // Skip to: 4884
+/* 4865 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4868 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 4876
+/* 4872 */    MCD_OPC_Decode, 238, 6, 59, // Opcode: STWBRX
+/* 4876 */    MCD_OPC_FilterValue, 2, 140, 17, // Skip to: 9372
+/* 4880 */    MCD_OPC_Decode, 219, 6, 68, // Opcode: STFSX
+/* 4884 */    MCD_OPC_FilterValue, 21, 10, 0, // Skip to: 4898
+/* 4888 */    MCD_OPC_CheckField, 0, 2, 2, 126, 17, // Skip to: 9372
+/* 4894 */    MCD_OPC_Decode, 218, 6, 73, // Opcode: STFSUX
+/* 4898 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 4912
+/* 4902 */    MCD_OPC_CheckField, 0, 2, 2, 112, 17, // Skip to: 9372
+/* 4908 */    MCD_OPC_Decode, 214, 6, 71, // Opcode: STFDX
+/* 4912 */    MCD_OPC_FilterValue, 23, 25, 0, // Skip to: 4941
+/* 4916 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 4919 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 4933
+/* 4923 */    MCD_OPC_CheckField, 21, 5, 0, 91, 17, // Skip to: 9372
+/* 4929 */    MCD_OPC_Decode, 231, 1, 64, // Opcode: DCBA
+/* 4933 */    MCD_OPC_FilterValue, 2, 83, 17, // Skip to: 9372
+/* 4937 */    MCD_OPC_Decode, 213, 6, 74, // Opcode: STFDUX
+/* 4941 */    MCD_OPC_FilterValue, 24, 10, 0, // Skip to: 4955
+/* 4945 */    MCD_OPC_CheckField, 0, 2, 0, 69, 17, // Skip to: 9372
+/* 4951 */    MCD_OPC_Decode, 243, 4, 59, // Opcode: LHBRX
+/* 4955 */    MCD_OPC_FilterValue, 25, 43, 0, // Skip to: 5002
+/* 4959 */    MCD_OPC_ExtractField, 23, 3,  // Inst{25-23} ...
+/* 4962 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 4982
+/* 4966 */    MCD_OPC_CheckField, 11, 10, 0, 48, 17, // Skip to: 9372
+/* 4972 */    MCD_OPC_CheckField, 0, 2, 0, 42, 17, // Skip to: 9372
+/* 4978 */    MCD_OPC_Decode, 248, 1, 75, // Opcode: DSS
+/* 4982 */    MCD_OPC_FilterValue, 4, 34, 17, // Skip to: 9372
+/* 4986 */    MCD_OPC_CheckField, 11, 12, 0, 28, 17, // Skip to: 9372
+/* 4992 */    MCD_OPC_CheckField, 0, 2, 0, 22, 17, // Skip to: 9372
+/* 4998 */    MCD_OPC_Decode, 249, 1, 23, // Opcode: DSSALL
+/* 5002 */    MCD_OPC_FilterValue, 26, 41, 0, // Skip to: 5047
+/* 5006 */    MCD_OPC_ExtractField, 1, 1,  // Inst{1} ...
+/* 5009 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 5033
+/* 5013 */    MCD_OPC_CheckField, 11, 15, 0, 10, 0, // Skip to: 5029
+/* 5019 */    MCD_OPC_CheckField, 0, 1, 0, 4, 0, // Skip to: 5029
+/* 5025 */    MCD_OPC_Decode, 137, 2, 23, // Opcode: EIEIO
+/* 5029 */    MCD_OPC_Decode, 157, 5, 76, // Opcode: MBAR
+/* 5033 */    MCD_OPC_FilterValue, 1, 239, 16, // Skip to: 9372
+/* 5037 */    MCD_OPC_CheckField, 0, 1, 0, 233, 16, // Skip to: 9372
+/* 5043 */    MCD_OPC_Decode, 229, 4, 71, // Opcode: LFIWAX
+/* 5047 */    MCD_OPC_FilterValue, 27, 10, 0, // Skip to: 5061
+/* 5051 */    MCD_OPC_CheckField, 0, 2, 2, 219, 16, // Skip to: 9372
+/* 5057 */    MCD_OPC_Decode, 230, 4, 71, // Opcode: LFIWZX
+/* 5061 */    MCD_OPC_FilterValue, 28, 10, 0, // Skip to: 5075
+/* 5065 */    MCD_OPC_CheckField, 0, 2, 0, 205, 16, // Skip to: 9372
+/* 5071 */    MCD_OPC_Decode, 222, 6, 59, // Opcode: STHBRX
+/* 5075 */    MCD_OPC_FilterValue, 30, 25, 0, // Skip to: 5104
+/* 5079 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5082 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5096
+/* 5086 */    MCD_OPC_CheckField, 21, 5, 0, 184, 16, // Skip to: 9372
+/* 5092 */    MCD_OPC_Decode, 191, 4, 64, // Opcode: ICBI
+/* 5096 */    MCD_OPC_FilterValue, 2, 176, 16, // Skip to: 9372
+/* 5100 */    MCD_OPC_Decode, 215, 6, 71, // Opcode: STFIWX
+/* 5104 */    MCD_OPC_FilterValue, 31, 168, 16, // Skip to: 9372
+/* 5108 */    MCD_OPC_ExtractField, 21, 5,  // Inst{25-21} ...
+/* 5111 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5125
+/* 5115 */    MCD_OPC_CheckField, 0, 2, 0, 155, 16, // Skip to: 9372
+/* 5121 */    MCD_OPC_Decode, 237, 1, 64, // Opcode: DCBZ
+/* 5125 */    MCD_OPC_FilterValue, 1, 147, 16, // Skip to: 9372
+/* 5129 */    MCD_OPC_CheckField, 0, 2, 0, 141, 16, // Skip to: 9372
+/* 5135 */    MCD_OPC_Decode, 238, 1, 64, // Opcode: DCBZL
+/* 5139 */    MCD_OPC_FilterValue, 12, 95, 0, // Skip to: 5238
+/* 5143 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 5146 */    MCD_OPC_FilterValue, 0, 19, 0, // Skip to: 5169
+/* 5150 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5153 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5161
+/* 5157 */    MCD_OPC_Decode, 174, 6, 77, // Opcode: SLW
+/* 5161 */    MCD_OPC_FilterValue, 1, 111, 16, // Skip to: 9372
+/* 5165 */    MCD_OPC_Decode, 177, 6, 77, // Opcode: SLWo
+/* 5169 */    MCD_OPC_FilterValue, 16, 19, 0, // Skip to: 5192
+/* 5173 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5176 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5184
+/* 5180 */    MCD_OPC_Decode, 193, 6, 77, // Opcode: SRW
+/* 5184 */    MCD_OPC_FilterValue, 1, 88, 16, // Skip to: 9372
+/* 5188 */    MCD_OPC_Decode, 196, 6, 77, // Opcode: SRWo
+/* 5192 */    MCD_OPC_FilterValue, 24, 19, 0, // Skip to: 5215
+/* 5196 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5199 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5207
+/* 5203 */    MCD_OPC_Decode, 185, 6, 77, // Opcode: SRAW
+/* 5207 */    MCD_OPC_FilterValue, 1, 65, 16, // Skip to: 9372
+/* 5211 */    MCD_OPC_Decode, 188, 6, 77, // Opcode: SRAWo
+/* 5215 */    MCD_OPC_FilterValue, 25, 57, 16, // Skip to: 9372
+/* 5219 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5222 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5230
+/* 5226 */    MCD_OPC_Decode, 186, 6, 78, // Opcode: SRAWI
+/* 5230 */    MCD_OPC_FilterValue, 1, 42, 16, // Skip to: 9372
+/* 5234 */    MCD_OPC_Decode, 187, 6, 78, // Opcode: SRAWIo
+/* 5238 */    MCD_OPC_FilterValue, 13, 47, 1, // Skip to: 5545
+/* 5242 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 5245 */    MCD_OPC_FilterValue, 0, 47, 0, // Skip to: 5296
+/* 5249 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5252 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5266
+/* 5256 */    MCD_OPC_CheckField, 11, 5, 0, 14, 16, // Skip to: 9372
+/* 5262 */    MCD_OPC_Decode, 217, 1, 79, // Opcode: CNTLZW
+/* 5266 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 5280
+/* 5270 */    MCD_OPC_CheckField, 11, 5, 0, 0, 16, // Skip to: 9372
+/* 5276 */    MCD_OPC_Decode, 218, 1, 79, // Opcode: CNTLZWo
+/* 5280 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 5288
+/* 5284 */    MCD_OPC_Decode, 170, 6, 80, // Opcode: SLD
+/* 5288 */    MCD_OPC_FilterValue, 3, 240, 15, // Skip to: 9372
+/* 5292 */    MCD_OPC_Decode, 173, 6, 80, // Opcode: SLDo
+/* 5296 */    MCD_OPC_FilterValue, 1, 31, 0, // Skip to: 5331
+/* 5300 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5303 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5317
+/* 5307 */    MCD_OPC_CheckField, 11, 5, 0, 219, 15, // Skip to: 9372
+/* 5313 */    MCD_OPC_Decode, 215, 1, 81, // Opcode: CNTLZD
+/* 5317 */    MCD_OPC_FilterValue, 1, 211, 15, // Skip to: 9372
+/* 5321 */    MCD_OPC_CheckField, 11, 5, 0, 205, 15, // Skip to: 9372
+/* 5327 */    MCD_OPC_Decode, 216, 1, 81, // Opcode: CNTLZDo
+/* 5331 */    MCD_OPC_FilterValue, 11, 16, 0, // Skip to: 5351
+/* 5335 */    MCD_OPC_CheckField, 11, 5, 0, 191, 15, // Skip to: 9372
+/* 5341 */    MCD_OPC_CheckField, 0, 2, 0, 185, 15, // Skip to: 9372
+/* 5347 */    MCD_OPC_Decode, 245, 5, 79, // Opcode: POPCNTW
+/* 5351 */    MCD_OPC_FilterValue, 15, 16, 0, // Skip to: 5371
+/* 5355 */    MCD_OPC_CheckField, 11, 5, 0, 171, 15, // Skip to: 9372
+/* 5361 */    MCD_OPC_CheckField, 0, 2, 0, 165, 15, // Skip to: 9372
+/* 5367 */    MCD_OPC_Decode, 244, 5, 81, // Opcode: POPCNTD
+/* 5371 */    MCD_OPC_FilterValue, 16, 19, 0, // Skip to: 5394
+/* 5375 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5378 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 5386
+/* 5382 */    MCD_OPC_Decode, 189, 6, 80, // Opcode: SRD
+/* 5386 */    MCD_OPC_FilterValue, 3, 142, 15, // Skip to: 9372
+/* 5390 */    MCD_OPC_Decode, 192, 6, 80, // Opcode: SRDo
+/* 5394 */    MCD_OPC_FilterValue, 24, 19, 0, // Skip to: 5417
+/* 5398 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5401 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5409
+/* 5405 */    MCD_OPC_Decode, 181, 6, 80, // Opcode: SRAD
+/* 5409 */    MCD_OPC_FilterValue, 1, 119, 15, // Skip to: 9372
+/* 5413 */    MCD_OPC_Decode, 184, 6, 80, // Opcode: SRADo
+/* 5417 */    MCD_OPC_FilterValue, 25, 19, 0, // Skip to: 5440
+/* 5421 */    MCD_OPC_ExtractField, 0, 1,  // Inst{0} ...
+/* 5424 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5432
+/* 5428 */    MCD_OPC_Decode, 182, 6, 82, // Opcode: SRADI
+/* 5432 */    MCD_OPC_FilterValue, 1, 96, 15, // Skip to: 9372
+/* 5436 */    MCD_OPC_Decode, 183, 6, 82, // Opcode: SRADIo
+/* 5440 */    MCD_OPC_FilterValue, 28, 31, 0, // Skip to: 5475
+/* 5444 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5447 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5461
+/* 5451 */    MCD_OPC_CheckField, 11, 5, 0, 75, 15, // Skip to: 9372
+/* 5457 */    MCD_OPC_Decode, 198, 3, 79, // Opcode: EXTSH
+/* 5461 */    MCD_OPC_FilterValue, 1, 67, 15, // Skip to: 9372
+/* 5465 */    MCD_OPC_CheckField, 11, 5, 0, 61, 15, // Skip to: 9372
+/* 5471 */    MCD_OPC_Decode, 202, 3, 79, // Opcode: EXTSHo
+/* 5475 */    MCD_OPC_FilterValue, 29, 31, 0, // Skip to: 5510
+/* 5479 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5482 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5496
+/* 5486 */    MCD_OPC_CheckField, 11, 5, 0, 40, 15, // Skip to: 9372
+/* 5492 */    MCD_OPC_Decode, 193, 3, 79, // Opcode: EXTSB
+/* 5496 */    MCD_OPC_FilterValue, 1, 32, 15, // Skip to: 9372
+/* 5500 */    MCD_OPC_CheckField, 11, 5, 0, 26, 15, // Skip to: 9372
+/* 5506 */    MCD_OPC_Decode, 197, 3, 79, // Opcode: EXTSBo
+/* 5510 */    MCD_OPC_FilterValue, 30, 18, 15, // Skip to: 9372
+/* 5514 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5517 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5531
+/* 5521 */    MCD_OPC_CheckField, 11, 5, 0, 5, 15, // Skip to: 9372
+/* 5527 */    MCD_OPC_Decode, 203, 3, 81, // Opcode: EXTSW
+/* 5531 */    MCD_OPC_FilterValue, 1, 253, 14, // Skip to: 9372
+/* 5535 */    MCD_OPC_CheckField, 11, 5, 0, 247, 14, // Skip to: 9372
+/* 5541 */    MCD_OPC_Decode, 206, 3, 81, // Opcode: EXTSWo
+/* 5545 */    MCD_OPC_FilterValue, 14, 183, 0, // Skip to: 5732
+/* 5549 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 5552 */    MCD_OPC_FilterValue, 0, 17, 0, // Skip to: 5573
+/* 5556 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5559 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 5566
+/* 5563 */    MCD_OPC_Decode, 65, 77, // Opcode: AND
+/* 5566 */    MCD_OPC_FilterValue, 1, 218, 14, // Skip to: 9372
+/* 5570 */    MCD_OPC_Decode, 80, 77, // Opcode: ANDo
+/* 5573 */    MCD_OPC_FilterValue, 1, 17, 0, // Skip to: 5594
+/* 5577 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5580 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 5587
+/* 5584 */    MCD_OPC_Decode, 68, 77, // Opcode: ANDC
+/* 5587 */    MCD_OPC_FilterValue, 1, 197, 14, // Skip to: 9372
+/* 5591 */    MCD_OPC_Decode, 71, 77, // Opcode: ANDCo
+/* 5594 */    MCD_OPC_FilterValue, 3, 19, 0, // Skip to: 5617
+/* 5598 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5601 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5609
+/* 5605 */    MCD_OPC_Decode, 228, 5, 77, // Opcode: NOR
+/* 5609 */    MCD_OPC_FilterValue, 1, 175, 14, // Skip to: 9372
+/* 5613 */    MCD_OPC_Decode, 231, 5, 77, // Opcode: NORo
+/* 5617 */    MCD_OPC_FilterValue, 8, 19, 0, // Skip to: 5640
+/* 5621 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5624 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5632
+/* 5628 */    MCD_OPC_Decode, 138, 2, 77, // Opcode: EQV
+/* 5632 */    MCD_OPC_FilterValue, 1, 152, 14, // Skip to: 9372
+/* 5636 */    MCD_OPC_Decode, 141, 2, 77, // Opcode: EQVo
+/* 5640 */    MCD_OPC_FilterValue, 9, 19, 0, // Skip to: 5663
+/* 5644 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5647 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5655
+/* 5651 */    MCD_OPC_Decode, 221, 8, 77, // Opcode: XOR
+/* 5655 */    MCD_OPC_FilterValue, 1, 129, 14, // Skip to: 9372
+/* 5659 */    MCD_OPC_Decode, 228, 8, 77, // Opcode: XORo
+/* 5663 */    MCD_OPC_FilterValue, 12, 19, 0, // Skip to: 5686
+/* 5667 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5670 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5678
+/* 5674 */    MCD_OPC_Decode, 235, 5, 77, // Opcode: ORC
+/* 5678 */    MCD_OPC_FilterValue, 1, 106, 14, // Skip to: 9372
+/* 5682 */    MCD_OPC_Decode, 238, 5, 77, // Opcode: ORCo
+/* 5686 */    MCD_OPC_FilterValue, 13, 19, 0, // Skip to: 5709
+/* 5690 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5693 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5701
+/* 5697 */    MCD_OPC_Decode, 232, 5, 77, // Opcode: OR
+/* 5701 */    MCD_OPC_FilterValue, 1, 83, 14, // Skip to: 9372
+/* 5705 */    MCD_OPC_Decode, 243, 5, 77, // Opcode: ORo
+/* 5709 */    MCD_OPC_FilterValue, 14, 75, 14, // Skip to: 9372
+/* 5713 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5716 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5724
+/* 5720 */    MCD_OPC_Decode, 217, 5, 77, // Opcode: NAND
+/* 5724 */    MCD_OPC_FilterValue, 1, 60, 14, // Skip to: 9372
+/* 5728 */    MCD_OPC_Decode, 220, 5, 77, // Opcode: NANDo
+/* 5732 */    MCD_OPC_FilterValue, 15, 52, 14, // Skip to: 9372
+/* 5736 */    MCD_OPC_CheckField, 23, 3, 0, 46, 14, // Skip to: 9372
+/* 5742 */    MCD_OPC_CheckField, 6, 15, 1, 40, 14, // Skip to: 9372
+/* 5748 */    MCD_OPC_CheckField, 0, 2, 0, 34, 14, // Skip to: 9372
+/* 5754 */    MCD_OPC_Decode, 218, 8, 70, // Opcode: WAIT
+/* 5758 */    MCD_OPC_FilterValue, 32, 4, 0, // Skip to: 5766
+/* 5762 */    MCD_OPC_Decode, 144, 5, 83, // Opcode: LWZ
+/* 5766 */    MCD_OPC_FilterValue, 33, 4, 0, // Skip to: 5774
+/* 5770 */    MCD_OPC_Decode, 146, 5, 83, // Opcode: LWZU
+/* 5774 */    MCD_OPC_FilterValue, 34, 4, 0, // Skip to: 5782
+/* 5778 */    MCD_OPC_Decode, 204, 4, 83, // Opcode: LBZ
+/* 5782 */    MCD_OPC_FilterValue, 35, 4, 0, // Skip to: 5790
+/* 5786 */    MCD_OPC_Decode, 206, 4, 83, // Opcode: LBZU
+/* 5790 */    MCD_OPC_FilterValue, 36, 4, 0, // Skip to: 5798
+/* 5794 */    MCD_OPC_Decode, 236, 6, 83, // Opcode: STW
+/* 5798 */    MCD_OPC_FilterValue, 37, 4, 0, // Skip to: 5806
+/* 5802 */    MCD_OPC_Decode, 240, 6, 83, // Opcode: STWU
+/* 5806 */    MCD_OPC_FilterValue, 38, 4, 0, // Skip to: 5814
+/* 5810 */    MCD_OPC_Decode, 197, 6, 83, // Opcode: STB
+/* 5814 */    MCD_OPC_FilterValue, 39, 4, 0, // Skip to: 5822
+/* 5818 */    MCD_OPC_Decode, 199, 6, 83, // Opcode: STBU
+/* 5822 */    MCD_OPC_FilterValue, 40, 4, 0, // Skip to: 5830
+/* 5826 */    MCD_OPC_Decode, 244, 4, 83, // Opcode: LHZ
+/* 5830 */    MCD_OPC_FilterValue, 41, 4, 0, // Skip to: 5838
+/* 5834 */    MCD_OPC_Decode, 246, 4, 83, // Opcode: LHZU
+/* 5838 */    MCD_OPC_FilterValue, 42, 4, 0, // Skip to: 5846
+/* 5842 */    MCD_OPC_Decode, 235, 4, 83, // Opcode: LHA
+/* 5846 */    MCD_OPC_FilterValue, 43, 4, 0, // Skip to: 5854
+/* 5850 */    MCD_OPC_Decode, 237, 4, 83, // Opcode: LHAU
+/* 5854 */    MCD_OPC_FilterValue, 44, 4, 0, // Skip to: 5862
+/* 5858 */    MCD_OPC_Decode, 220, 6, 83, // Opcode: STH
+/* 5862 */    MCD_OPC_FilterValue, 45, 4, 0, // Skip to: 5870
+/* 5866 */    MCD_OPC_Decode, 223, 6, 83, // Opcode: STHU
+/* 5870 */    MCD_OPC_FilterValue, 46, 4, 0, // Skip to: 5878
+/* 5874 */    MCD_OPC_Decode, 128, 5, 83, // Opcode: LMW
+/* 5878 */    MCD_OPC_FilterValue, 47, 4, 0, // Skip to: 5886
+/* 5882 */    MCD_OPC_Decode, 229, 6, 83, // Opcode: STMW
+/* 5886 */    MCD_OPC_FilterValue, 48, 4, 0, // Skip to: 5894
+/* 5890 */    MCD_OPC_Decode, 231, 4, 84, // Opcode: LFS
+/* 5894 */    MCD_OPC_FilterValue, 49, 4, 0, // Skip to: 5902
+/* 5898 */    MCD_OPC_Decode, 232, 4, 84, // Opcode: LFSU
+/* 5902 */    MCD_OPC_FilterValue, 50, 4, 0, // Skip to: 5910
+/* 5906 */    MCD_OPC_Decode, 225, 4, 85, // Opcode: LFD
+/* 5910 */    MCD_OPC_FilterValue, 51, 4, 0, // Skip to: 5918
+/* 5914 */    MCD_OPC_Decode, 226, 4, 85, // Opcode: LFDU
+/* 5918 */    MCD_OPC_FilterValue, 52, 4, 0, // Skip to: 5926
+/* 5922 */    MCD_OPC_Decode, 216, 6, 84, // Opcode: STFS
+/* 5926 */    MCD_OPC_FilterValue, 53, 4, 0, // Skip to: 5934
+/* 5930 */    MCD_OPC_Decode, 217, 6, 84, // Opcode: STFSU
+/* 5934 */    MCD_OPC_FilterValue, 54, 4, 0, // Skip to: 5942
+/* 5938 */    MCD_OPC_Decode, 211, 6, 85, // Opcode: STFD
+/* 5942 */    MCD_OPC_FilterValue, 55, 4, 0, // Skip to: 5950
+/* 5946 */    MCD_OPC_Decode, 212, 6, 85, // Opcode: STFDU
+/* 5950 */    MCD_OPC_FilterValue, 58, 27, 0, // Skip to: 5981
+/* 5954 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 5957 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 5965
+/* 5961 */    MCD_OPC_Decode, 212, 4, 86, // Opcode: LD
+/* 5965 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 5973
+/* 5969 */    MCD_OPC_Decode, 215, 4, 86, // Opcode: LDU
+/* 5973 */    MCD_OPC_FilterValue, 2, 67, 13, // Skip to: 9372
+/* 5977 */    MCD_OPC_Decode, 137, 5, 86, // Opcode: LWA
+/* 5981 */    MCD_OPC_FilterValue, 59, 113, 1, // Skip to: 6354
+/* 5985 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 5988 */    MCD_OPC_FilterValue, 28, 31, 0, // Skip to: 6023
+/* 5992 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 5995 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 6009
+/* 5999 */    MCD_OPC_CheckField, 16, 5, 0, 39, 13, // Skip to: 9372
+/* 6005 */    MCD_OPC_Decode, 217, 3, 87, // Opcode: FCFIDS
+/* 6009 */    MCD_OPC_FilterValue, 30, 31, 13, // Skip to: 9372
+/* 6013 */    MCD_OPC_CheckField, 16, 5, 0, 25, 13, // Skip to: 9372
+/* 6019 */    MCD_OPC_Decode, 220, 3, 87, // Opcode: FCFIDUS
+/* 6023 */    MCD_OPC_FilterValue, 29, 31, 0, // Skip to: 6058
+/* 6027 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 6030 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 6044
+/* 6034 */    MCD_OPC_CheckField, 16, 5, 0, 4, 13, // Skip to: 9372
+/* 6040 */    MCD_OPC_Decode, 218, 3, 87, // Opcode: FCFIDSo
+/* 6044 */    MCD_OPC_FilterValue, 30, 252, 12, // Skip to: 9372
+/* 6048 */    MCD_OPC_CheckField, 16, 5, 0, 246, 12, // Skip to: 9372
+/* 6054 */    MCD_OPC_Decode, 221, 3, 87, // Opcode: FCFIDUSo
+/* 6058 */    MCD_OPC_FilterValue, 36, 10, 0, // Skip to: 6072
+/* 6062 */    MCD_OPC_CheckField, 6, 5, 0, 232, 12, // Skip to: 9372
+/* 6068 */    MCD_OPC_Decode, 243, 3, 88, // Opcode: FDIVS
+/* 6072 */    MCD_OPC_FilterValue, 37, 10, 0, // Skip to: 6086
+/* 6076 */    MCD_OPC_CheckField, 6, 5, 0, 218, 12, // Skip to: 9372
+/* 6082 */    MCD_OPC_Decode, 244, 3, 88, // Opcode: FDIVSo
+/* 6086 */    MCD_OPC_FilterValue, 40, 10, 0, // Skip to: 6100
+/* 6090 */    MCD_OPC_CheckField, 6, 5, 0, 204, 12, // Skip to: 9372
+/* 6096 */    MCD_OPC_Decode, 183, 4, 88, // Opcode: FSUBS
+/* 6100 */    MCD_OPC_FilterValue, 41, 10, 0, // Skip to: 6114
+/* 6104 */    MCD_OPC_CheckField, 6, 5, 0, 190, 12, // Skip to: 9372
+/* 6110 */    MCD_OPC_Decode, 184, 4, 88, // Opcode: FSUBSo
+/* 6114 */    MCD_OPC_FilterValue, 42, 10, 0, // Skip to: 6128
+/* 6118 */    MCD_OPC_CheckField, 6, 5, 0, 176, 12, // Skip to: 9372
+/* 6124 */    MCD_OPC_Decode, 212, 3, 88, // Opcode: FADDS
+/* 6128 */    MCD_OPC_FilterValue, 43, 10, 0, // Skip to: 6142
+/* 6132 */    MCD_OPC_CheckField, 6, 5, 0, 162, 12, // Skip to: 9372
+/* 6138 */    MCD_OPC_Decode, 213, 3, 88, // Opcode: FADDSo
+/* 6142 */    MCD_OPC_FilterValue, 44, 16, 0, // Skip to: 6162
+/* 6146 */    MCD_OPC_CheckField, 16, 5, 0, 148, 12, // Skip to: 9372
+/* 6152 */    MCD_OPC_CheckField, 6, 5, 0, 142, 12, // Skip to: 9372
+/* 6158 */    MCD_OPC_Decode, 179, 4, 89, // Opcode: FSQRTS
+/* 6162 */    MCD_OPC_FilterValue, 45, 16, 0, // Skip to: 6182
+/* 6166 */    MCD_OPC_CheckField, 16, 5, 0, 128, 12, // Skip to: 9372
+/* 6172 */    MCD_OPC_CheckField, 6, 5, 0, 122, 12, // Skip to: 9372
+/* 6178 */    MCD_OPC_Decode, 180, 4, 89, // Opcode: FSQRTSo
+/* 6182 */    MCD_OPC_FilterValue, 48, 16, 0, // Skip to: 6202
+/* 6186 */    MCD_OPC_CheckField, 16, 5, 0, 108, 12, // Skip to: 9372
+/* 6192 */    MCD_OPC_CheckField, 6, 5, 0, 102, 12, // Skip to: 9372
+/* 6198 */    MCD_OPC_Decode, 149, 4, 89, // Opcode: FRES
+/* 6202 */    MCD_OPC_FilterValue, 49, 16, 0, // Skip to: 6222
+/* 6206 */    MCD_OPC_CheckField, 16, 5, 0, 88, 12, // Skip to: 9372
+/* 6212 */    MCD_OPC_CheckField, 6, 5, 0, 82, 12, // Skip to: 9372
+/* 6218 */    MCD_OPC_Decode, 150, 4, 89, // Opcode: FRESo
+/* 6222 */    MCD_OPC_FilterValue, 50, 10, 0, // Skip to: 6236
+/* 6226 */    MCD_OPC_CheckField, 11, 5, 0, 68, 12, // Skip to: 9372
+/* 6232 */    MCD_OPC_Decode, 129, 4, 90, // Opcode: FMULS
+/* 6236 */    MCD_OPC_FilterValue, 51, 10, 0, // Skip to: 6250
+/* 6240 */    MCD_OPC_CheckField, 11, 5, 0, 54, 12, // Skip to: 9372
+/* 6246 */    MCD_OPC_Decode, 130, 4, 90, // Opcode: FMULSo
+/* 6250 */    MCD_OPC_FilterValue, 52, 16, 0, // Skip to: 6270
+/* 6254 */    MCD_OPC_CheckField, 16, 5, 0, 40, 12, // Skip to: 9372
+/* 6260 */    MCD_OPC_CheckField, 6, 5, 0, 34, 12, // Skip to: 9372
+/* 6266 */    MCD_OPC_Decode, 171, 4, 89, // Opcode: FRSQRTES
+/* 6270 */    MCD_OPC_FilterValue, 53, 16, 0, // Skip to: 6290
+/* 6274 */    MCD_OPC_CheckField, 16, 5, 0, 20, 12, // Skip to: 9372
+/* 6280 */    MCD_OPC_CheckField, 6, 5, 0, 14, 12, // Skip to: 9372
+/* 6286 */    MCD_OPC_Decode, 172, 4, 89, // Opcode: FRSQRTESo
+/* 6290 */    MCD_OPC_FilterValue, 56, 4, 0, // Skip to: 6298
+/* 6294 */    MCD_OPC_Decode, 253, 3, 91, // Opcode: FMSUBS
+/* 6298 */    MCD_OPC_FilterValue, 57, 4, 0, // Skip to: 6306
+/* 6302 */    MCD_OPC_Decode, 254, 3, 91, // Opcode: FMSUBSo
+/* 6306 */    MCD_OPC_FilterValue, 58, 4, 0, // Skip to: 6314
+/* 6310 */    MCD_OPC_Decode, 247, 3, 91, // Opcode: FMADDS
+/* 6314 */    MCD_OPC_FilterValue, 59, 4, 0, // Skip to: 6322
+/* 6318 */    MCD_OPC_Decode, 248, 3, 91, // Opcode: FMADDSo
+/* 6322 */    MCD_OPC_FilterValue, 60, 4, 0, // Skip to: 6330
+/* 6326 */    MCD_OPC_Decode, 145, 4, 91, // Opcode: FNMSUBS
+/* 6330 */    MCD_OPC_FilterValue, 61, 4, 0, // Skip to: 6338
+/* 6334 */    MCD_OPC_Decode, 146, 4, 91, // Opcode: FNMSUBSo
+/* 6338 */    MCD_OPC_FilterValue, 62, 4, 0, // Skip to: 6346
+/* 6342 */    MCD_OPC_Decode, 141, 4, 91, // Opcode: FNMADDS
+/* 6346 */    MCD_OPC_FilterValue, 63, 206, 11, // Skip to: 9372
+/* 6350 */    MCD_OPC_Decode, 142, 4, 91, // Opcode: FNMADDSo
+/* 6354 */    MCD_OPC_FilterValue, 60, 250, 7, // Skip to: 8400
+/* 6358 */    MCD_OPC_ExtractField, 4, 2,  // Inst{5-4} ...
+/* 6361 */    MCD_OPC_FilterValue, 0, 16, 2, // Skip to: 6893
+/* 6365 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 6368 */    MCD_OPC_FilterValue, 4, 19, 0, // Skip to: 6391
+/* 6372 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6375 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6383
+/* 6379 */    MCD_OPC_Decode, 230, 8, 92, // Opcode: XSADDDP
+/* 6383 */    MCD_OPC_FilterValue, 1, 169, 11, // Skip to: 9372
+/* 6387 */    MCD_OPC_Decode, 243, 8, 93, // Opcode: XSMADDADP
+/* 6391 */    MCD_OPC_FilterValue, 5, 19, 0, // Skip to: 6414
+/* 6395 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6398 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6406
+/* 6402 */    MCD_OPC_Decode, 136, 9, 92, // Opcode: XSSUBDP
+/* 6406 */    MCD_OPC_FilterValue, 1, 146, 11, // Skip to: 9372
+/* 6410 */    MCD_OPC_Decode, 244, 8, 93, // Opcode: XSMADDMDP
+/* 6414 */    MCD_OPC_FilterValue, 6, 19, 0, // Skip to: 6437
+/* 6418 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6421 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6429
+/* 6425 */    MCD_OPC_Decode, 249, 8, 92, // Opcode: XSMULDP
+/* 6429 */    MCD_OPC_FilterValue, 1, 123, 11, // Skip to: 9372
+/* 6433 */    MCD_OPC_Decode, 247, 8, 93, // Opcode: XSMSUBADP
+/* 6437 */    MCD_OPC_FilterValue, 7, 19, 0, // Skip to: 6460
+/* 6441 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6444 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6452
+/* 6448 */    MCD_OPC_Decode, 242, 8, 92, // Opcode: XSDIVDP
+/* 6452 */    MCD_OPC_FilterValue, 1, 100, 11, // Skip to: 9372
+/* 6456 */    MCD_OPC_Decode, 248, 8, 93, // Opcode: XSMSUBMDP
+/* 6460 */    MCD_OPC_FilterValue, 8, 19, 0, // Skip to: 6483
+/* 6464 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6467 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6475
+/* 6471 */    MCD_OPC_Decode, 142, 9, 94, // Opcode: XVADDSP
+/* 6475 */    MCD_OPC_FilterValue, 1, 77, 11, // Skip to: 9372
+/* 6479 */    MCD_OPC_Decode, 178, 9, 95, // Opcode: XVMADDASP
+/* 6483 */    MCD_OPC_FilterValue, 9, 19, 0, // Skip to: 6506
+/* 6487 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6490 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6498
+/* 6494 */    MCD_OPC_Decode, 220, 9, 94, // Opcode: XVSUBSP
+/* 6498 */    MCD_OPC_FilterValue, 1, 54, 11, // Skip to: 9372
+/* 6502 */    MCD_OPC_Decode, 180, 9, 95, // Opcode: XVMADDMSP
+/* 6506 */    MCD_OPC_FilterValue, 10, 19, 0, // Skip to: 6529
+/* 6510 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6513 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6521
+/* 6517 */    MCD_OPC_Decode, 190, 9, 94, // Opcode: XVMULSP
+/* 6521 */    MCD_OPC_FilterValue, 1, 31, 11, // Skip to: 9372
+/* 6525 */    MCD_OPC_Decode, 186, 9, 95, // Opcode: XVMSUBASP
+/* 6529 */    MCD_OPC_FilterValue, 11, 19, 0, // Skip to: 6552
+/* 6533 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6536 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6544
+/* 6540 */    MCD_OPC_Decode, 176, 9, 94, // Opcode: XVDIVSP
+/* 6544 */    MCD_OPC_FilterValue, 1, 8, 11, // Skip to: 9372
+/* 6548 */    MCD_OPC_Decode, 188, 9, 95, // Opcode: XVMSUBMSP
+/* 6552 */    MCD_OPC_FilterValue, 12, 19, 0, // Skip to: 6575
+/* 6556 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6559 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6567
+/* 6563 */    MCD_OPC_Decode, 141, 9, 94, // Opcode: XVADDDP
+/* 6567 */    MCD_OPC_FilterValue, 1, 241, 10, // Skip to: 9372
+/* 6571 */    MCD_OPC_Decode, 177, 9, 95, // Opcode: XVMADDADP
+/* 6575 */    MCD_OPC_FilterValue, 13, 19, 0, // Skip to: 6598
+/* 6579 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6582 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6590
+/* 6586 */    MCD_OPC_Decode, 219, 9, 94, // Opcode: XVSUBDP
+/* 6590 */    MCD_OPC_FilterValue, 1, 218, 10, // Skip to: 9372
+/* 6594 */    MCD_OPC_Decode, 179, 9, 95, // Opcode: XVMADDMDP
+/* 6598 */    MCD_OPC_FilterValue, 14, 19, 0, // Skip to: 6621
+/* 6602 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6605 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6613
+/* 6609 */    MCD_OPC_Decode, 189, 9, 94, // Opcode: XVMULDP
+/* 6613 */    MCD_OPC_FilterValue, 1, 195, 10, // Skip to: 9372
+/* 6617 */    MCD_OPC_Decode, 185, 9, 95, // Opcode: XVMSUBADP
+/* 6621 */    MCD_OPC_FilterValue, 15, 19, 0, // Skip to: 6644
+/* 6625 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6628 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6636
+/* 6632 */    MCD_OPC_Decode, 175, 9, 94, // Opcode: XVDIVDP
+/* 6636 */    MCD_OPC_FilterValue, 1, 172, 10, // Skip to: 9372
+/* 6640 */    MCD_OPC_Decode, 187, 9, 95, // Opcode: XVMSUBMDP
+/* 6644 */    MCD_OPC_FilterValue, 20, 19, 0, // Skip to: 6667
+/* 6648 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6651 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6659
+/* 6655 */    MCD_OPC_Decode, 245, 8, 92, // Opcode: XSMAXDP
+/* 6659 */    MCD_OPC_FilterValue, 1, 149, 10, // Skip to: 9372
+/* 6663 */    MCD_OPC_Decode, 252, 8, 93, // Opcode: XSNMADDADP
+/* 6667 */    MCD_OPC_FilterValue, 21, 19, 0, // Skip to: 6690
+/* 6671 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6674 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6682
+/* 6678 */    MCD_OPC_Decode, 246, 8, 92, // Opcode: XSMINDP
+/* 6682 */    MCD_OPC_FilterValue, 1, 126, 10, // Skip to: 9372
+/* 6686 */    MCD_OPC_Decode, 253, 8, 93, // Opcode: XSNMADDMDP
+/* 6690 */    MCD_OPC_FilterValue, 22, 19, 0, // Skip to: 6713
+/* 6694 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6697 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6705
+/* 6701 */    MCD_OPC_Decode, 233, 8, 92, // Opcode: XSCPSGNDP
+/* 6705 */    MCD_OPC_FilterValue, 1, 103, 10, // Skip to: 9372
+/* 6709 */    MCD_OPC_Decode, 254, 8, 93, // Opcode: XSNMSUBADP
+/* 6713 */    MCD_OPC_FilterValue, 23, 10, 0, // Skip to: 6727
+/* 6717 */    MCD_OPC_CheckField, 3, 1, 1, 89, 10, // Skip to: 9372
+/* 6723 */    MCD_OPC_Decode, 255, 8, 93, // Opcode: XSNMSUBMDP
+/* 6727 */    MCD_OPC_FilterValue, 24, 19, 0, // Skip to: 6750
+/* 6731 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6734 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6742
+/* 6738 */    MCD_OPC_Decode, 182, 9, 94, // Opcode: XVMAXSP
+/* 6742 */    MCD_OPC_FilterValue, 1, 66, 10, // Skip to: 9372
+/* 6746 */    MCD_OPC_Decode, 196, 9, 95, // Opcode: XVNMADDASP
+/* 6750 */    MCD_OPC_FilterValue, 25, 19, 0, // Skip to: 6773
+/* 6754 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6757 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6765
+/* 6761 */    MCD_OPC_Decode, 184, 9, 94, // Opcode: XVMINSP
+/* 6765 */    MCD_OPC_FilterValue, 1, 43, 10, // Skip to: 9372
+/* 6769 */    MCD_OPC_Decode, 198, 9, 95, // Opcode: XVNMADDMSP
+/* 6773 */    MCD_OPC_FilterValue, 26, 19, 0, // Skip to: 6796
+/* 6777 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6780 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6788
+/* 6784 */    MCD_OPC_Decode, 156, 9, 94, // Opcode: XVCPSGNSP
+/* 6788 */    MCD_OPC_FilterValue, 1, 20, 10, // Skip to: 9372
+/* 6792 */    MCD_OPC_Decode, 200, 9, 95, // Opcode: XVNMSUBASP
+/* 6796 */    MCD_OPC_FilterValue, 27, 10, 0, // Skip to: 6810
+/* 6800 */    MCD_OPC_CheckField, 3, 1, 1, 6, 10, // Skip to: 9372
+/* 6806 */    MCD_OPC_Decode, 202, 9, 95, // Opcode: XVNMSUBMSP
+/* 6810 */    MCD_OPC_FilterValue, 28, 19, 0, // Skip to: 6833
+/* 6814 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6817 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6825
+/* 6821 */    MCD_OPC_Decode, 181, 9, 94, // Opcode: XVMAXDP
+/* 6825 */    MCD_OPC_FilterValue, 1, 239, 9, // Skip to: 9372
+/* 6829 */    MCD_OPC_Decode, 195, 9, 95, // Opcode: XVNMADDADP
+/* 6833 */    MCD_OPC_FilterValue, 29, 19, 0, // Skip to: 6856
+/* 6837 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6840 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6848
+/* 6844 */    MCD_OPC_Decode, 183, 9, 94, // Opcode: XVMINDP
+/* 6848 */    MCD_OPC_FilterValue, 1, 216, 9, // Skip to: 9372
+/* 6852 */    MCD_OPC_Decode, 197, 9, 95, // Opcode: XVNMADDMDP
+/* 6856 */    MCD_OPC_FilterValue, 30, 19, 0, // Skip to: 6879
+/* 6860 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6863 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6871
+/* 6867 */    MCD_OPC_Decode, 155, 9, 94, // Opcode: XVCPSGNDP
+/* 6871 */    MCD_OPC_FilterValue, 1, 193, 9, // Skip to: 9372
+/* 6875 */    MCD_OPC_Decode, 199, 9, 95, // Opcode: XVNMSUBADP
+/* 6879 */    MCD_OPC_FilterValue, 31, 185, 9, // Skip to: 9372
+/* 6883 */    MCD_OPC_CheckField, 3, 1, 1, 179, 9, // Skip to: 9372
+/* 6889 */    MCD_OPC_Decode, 201, 9, 95, // Opcode: XVNMSUBMDP
+/* 6893 */    MCD_OPC_FilterValue, 1, 92, 1, // Skip to: 7245
+/* 6897 */    MCD_OPC_ExtractField, 6, 2,  // Inst{7-6} ...
+/* 6900 */    MCD_OPC_FilterValue, 0, 100, 0, // Skip to: 7004
+/* 6904 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 6907 */    MCD_OPC_FilterValue, 0, 34, 0, // Skip to: 6945
+/* 6911 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 6914 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6922
+/* 6918 */    MCD_OPC_Decode, 235, 9, 96, // Opcode: XXSLDWI
+/* 6922 */    MCD_OPC_FilterValue, 1, 142, 9, // Skip to: 9372
+/* 6926 */    MCD_OPC_ExtractField, 8, 2,  // Inst{9-8} ...
+/* 6929 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 6937
+/* 6933 */    MCD_OPC_Decode, 225, 9, 94, // Opcode: XXLAND
+/* 6937 */    MCD_OPC_FilterValue, 1, 127, 9, // Skip to: 9372
+/* 6941 */    MCD_OPC_Decode, 227, 9, 94, // Opcode: XXLNOR
+/* 6945 */    MCD_OPC_FilterValue, 1, 119, 9, // Skip to: 9372
+/* 6949 */    MCD_OPC_ExtractField, 8, 3,  // Inst{10-8} ...
+/* 6952 */    MCD_OPC_FilterValue, 1, 16, 0, // Skip to: 6972
+/* 6956 */    MCD_OPC_CheckField, 21, 2, 0, 106, 9, // Skip to: 9372
+/* 6962 */    MCD_OPC_CheckField, 0, 1, 0, 100, 9, // Skip to: 9372
+/* 6968 */    MCD_OPC_Decode, 232, 8, 97, // Opcode: XSCMPUDP
+/* 6972 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 6980
+/* 6976 */    MCD_OPC_Decode, 145, 9, 94, // Opcode: XVCMPEQSP
+/* 6980 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 6988
+/* 6984 */    MCD_OPC_Decode, 143, 9, 94, // Opcode: XVCMPEQDP
+/* 6988 */    MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 6996
+/* 6992 */    MCD_OPC_Decode, 146, 9, 94, // Opcode: XVCMPEQSPo
+/* 6996 */    MCD_OPC_FilterValue, 7, 68, 9, // Skip to: 9372
+/* 7000 */    MCD_OPC_Decode, 144, 9, 94, // Opcode: XVCMPEQDPo
+/* 7004 */    MCD_OPC_FilterValue, 1, 91, 0, // Skip to: 7099
+/* 7008 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 7011 */    MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 7040
+/* 7015 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
+/* 7018 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 7026
+/* 7022 */    MCD_OPC_Decode, 233, 9, 96, // Opcode: XXPERMDI
+/* 7026 */    MCD_OPC_FilterValue, 1, 38, 9, // Skip to: 9372
+/* 7030 */    MCD_OPC_CheckField, 8, 2, 0, 32, 9, // Skip to: 9372
+/* 7036 */    MCD_OPC_Decode, 226, 9, 94, // Opcode: XXLANDC
+/* 7040 */    MCD_OPC_FilterValue, 1, 24, 9, // Skip to: 9372
+/* 7044 */    MCD_OPC_ExtractField, 8, 3,  // Inst{10-8} ...
+/* 7047 */    MCD_OPC_FilterValue, 1, 16, 0, // Skip to: 7067
+/* 7051 */    MCD_OPC_CheckField, 21, 2, 0, 11, 9, // Skip to: 9372
+/* 7057 */    MCD_OPC_CheckField, 0, 1, 0, 5, 9, // Skip to: 9372
+/* 7063 */    MCD_OPC_Decode, 231, 8, 97, // Opcode: XSCMPODP
+/* 7067 */    MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 7075
+/* 7071 */    MCD_OPC_Decode, 153, 9, 94, // Opcode: XVCMPGTSP
+/* 7075 */    MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 7083
+/* 7079 */    MCD_OPC_Decode, 151, 9, 94, // Opcode: XVCMPGTDP
+/* 7083 */    MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 7091
+/* 7087 */    MCD_OPC_Decode, 154, 9, 94, // Opcode: XVCMPGTSPo
+/* 7091 */    MCD_OPC_FilterValue, 7, 229, 8, // Skip to: 9372
+/* 7095 */    MCD_OPC_Decode, 152, 9, 94, // Opcode: XVCMPGTDPo
+/* 7099 */    MCD_OPC_FilterValue, 2, 122, 0, // Skip to: 7225
+/* 7103 */    MCD_OPC_ExtractField, 8, 3,  // Inst{10-8} ...
+/* 7106 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7120
+/* 7110 */    MCD_OPC_CheckField, 3, 1, 0, 208, 8, // Skip to: 9372
+/* 7116 */    MCD_OPC_Decode, 231, 9, 94, // Opcode: XXMRGHW
+/* 7120 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7134
+/* 7124 */    MCD_OPC_CheckField, 3, 1, 0, 194, 8, // Skip to: 9372
+/* 7130 */    MCD_OPC_Decode, 232, 9, 94, // Opcode: XXMRGLW
+/* 7134 */    MCD_OPC_FilterValue, 2, 31, 0, // Skip to: 7169
+/* 7138 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 7141 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 7161
+/* 7145 */    MCD_OPC_CheckField, 18, 3, 0, 173, 8, // Skip to: 9372
+/* 7151 */    MCD_OPC_CheckField, 2, 1, 0, 167, 8, // Skip to: 9372
+/* 7157 */    MCD_OPC_Decode, 236, 9, 98, // Opcode: XXSPLTW
+/* 7161 */    MCD_OPC_FilterValue, 1, 159, 8, // Skip to: 9372
+/* 7165 */    MCD_OPC_Decode, 149, 9, 94, // Opcode: XVCMPGESP
+/* 7169 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 7183
+/* 7173 */    MCD_OPC_CheckField, 3, 1, 1, 145, 8, // Skip to: 9372
+/* 7179 */    MCD_OPC_Decode, 147, 9, 94, // Opcode: XVCMPGEDP
+/* 7183 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 7197
+/* 7187 */    MCD_OPC_CheckField, 3, 1, 0, 131, 8, // Skip to: 9372
+/* 7193 */    MCD_OPC_Decode, 228, 9, 94, // Opcode: XXLOR
+/* 7197 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 7211
+/* 7201 */    MCD_OPC_CheckField, 3, 1, 1, 117, 8, // Skip to: 9372
+/* 7207 */    MCD_OPC_Decode, 150, 9, 94, // Opcode: XVCMPGESPo
+/* 7211 */    MCD_OPC_FilterValue, 7, 109, 8, // Skip to: 9372
+/* 7215 */    MCD_OPC_CheckField, 3, 1, 1, 103, 8, // Skip to: 9372
+/* 7221 */    MCD_OPC_Decode, 148, 9, 94, // Opcode: XVCMPGEDPo
+/* 7225 */    MCD_OPC_FilterValue, 3, 95, 8, // Skip to: 9372
+/* 7229 */    MCD_OPC_CheckField, 8, 3, 4, 89, 8, // Skip to: 9372
+/* 7235 */    MCD_OPC_CheckField, 3, 1, 0, 83, 8, // Skip to: 9372
+/* 7241 */    MCD_OPC_Decode, 230, 9, 94, // Opcode: XXLXOR
+/* 7245 */    MCD_OPC_FilterValue, 2, 119, 4, // Skip to: 8392
+/* 7249 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 7252 */    MCD_OPC_FilterValue, 4, 59, 0, // Skip to: 7315
+/* 7256 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7259 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7273
+/* 7263 */    MCD_OPC_CheckField, 16, 5, 0, 55, 8, // Skip to: 9372
+/* 7269 */    MCD_OPC_Decode, 238, 8, 99, // Opcode: XSCVDPUXWS
+/* 7273 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7287
+/* 7277 */    MCD_OPC_CheckField, 16, 5, 0, 41, 8, // Skip to: 9372
+/* 7283 */    MCD_OPC_Decode, 128, 9, 99, // Opcode: XSRDPI
+/* 7287 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 7301
+/* 7291 */    MCD_OPC_CheckField, 16, 5, 0, 27, 8, // Skip to: 9372
+/* 7297 */    MCD_OPC_Decode, 134, 9, 99, // Opcode: XSRSQRTEDP
+/* 7301 */    MCD_OPC_FilterValue, 3, 19, 8, // Skip to: 9372
+/* 7305 */    MCD_OPC_CheckField, 16, 5, 0, 13, 8, // Skip to: 9372
+/* 7311 */    MCD_OPC_Decode, 135, 9, 99, // Opcode: XSSQRTDP
+/* 7315 */    MCD_OPC_FilterValue, 5, 45, 0, // Skip to: 7364
+/* 7319 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7322 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7336
+/* 7326 */    MCD_OPC_CheckField, 16, 5, 0, 248, 7, // Skip to: 9372
+/* 7332 */    MCD_OPC_Decode, 236, 8, 99, // Opcode: XSCVDPSXWS
+/* 7336 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7350
+/* 7340 */    MCD_OPC_CheckField, 16, 5, 0, 234, 7, // Skip to: 9372
+/* 7346 */    MCD_OPC_Decode, 132, 9, 99, // Opcode: XSRDPIZ
+/* 7350 */    MCD_OPC_FilterValue, 2, 226, 7, // Skip to: 9372
+/* 7354 */    MCD_OPC_CheckField, 16, 5, 0, 220, 7, // Skip to: 9372
+/* 7360 */    MCD_OPC_Decode, 133, 9, 99, // Opcode: XSREDP
+/* 7364 */    MCD_OPC_FilterValue, 6, 51, 0, // Skip to: 7419
+/* 7368 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7371 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7385
+/* 7375 */    MCD_OPC_CheckField, 16, 5, 0, 199, 7, // Skip to: 9372
+/* 7381 */    MCD_OPC_Decode, 131, 9, 99, // Opcode: XSRDPIP
+/* 7385 */    MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 7405
+/* 7389 */    MCD_OPC_CheckField, 16, 7, 0, 185, 7, // Skip to: 9372
+/* 7395 */    MCD_OPC_CheckField, 0, 1, 0, 179, 7, // Skip to: 9372
+/* 7401 */    MCD_OPC_Decode, 138, 9, 100, // Opcode: XSTSQRTDP
+/* 7405 */    MCD_OPC_FilterValue, 3, 171, 7, // Skip to: 9372
+/* 7409 */    MCD_OPC_CheckField, 16, 5, 0, 165, 7, // Skip to: 9372
+/* 7415 */    MCD_OPC_Decode, 129, 9, 99, // Opcode: XSRDPIC
+/* 7419 */    MCD_OPC_FilterValue, 7, 43, 0, // Skip to: 7466
+/* 7423 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 7426 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 7446
+/* 7430 */    MCD_OPC_CheckField, 16, 5, 0, 144, 7, // Skip to: 9372
+/* 7436 */    MCD_OPC_CheckField, 2, 1, 1, 138, 7, // Skip to: 9372
+/* 7442 */    MCD_OPC_Decode, 130, 9, 99, // Opcode: XSRDPIM
+/* 7446 */    MCD_OPC_FilterValue, 1, 130, 7, // Skip to: 9372
+/* 7450 */    MCD_OPC_CheckField, 21, 2, 0, 124, 7, // Skip to: 9372
+/* 7456 */    MCD_OPC_CheckField, 0, 1, 0, 118, 7, // Skip to: 9372
+/* 7462 */    MCD_OPC_Decode, 137, 9, 97, // Opcode: XSTDIVDP
+/* 7466 */    MCD_OPC_FilterValue, 8, 59, 0, // Skip to: 7529
+/* 7470 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7473 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7487
+/* 7477 */    MCD_OPC_CheckField, 16, 5, 0, 97, 7, // Skip to: 9372
+/* 7483 */    MCD_OPC_Decode, 166, 9, 101, // Opcode: XVCVSPUXWS
+/* 7487 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7501
+/* 7491 */    MCD_OPC_CheckField, 16, 5, 0, 83, 7, // Skip to: 9372
+/* 7497 */    MCD_OPC_Decode, 210, 9, 101, // Opcode: XVRSPI
+/* 7501 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 7515
+/* 7505 */    MCD_OPC_CheckField, 16, 5, 0, 69, 7, // Skip to: 9372
+/* 7511 */    MCD_OPC_Decode, 216, 9, 101, // Opcode: XVRSQRTESP
+/* 7515 */    MCD_OPC_FilterValue, 3, 61, 7, // Skip to: 9372
+/* 7519 */    MCD_OPC_CheckField, 16, 5, 0, 55, 7, // Skip to: 9372
+/* 7525 */    MCD_OPC_Decode, 218, 9, 101, // Opcode: XVSQRTSP
+/* 7529 */    MCD_OPC_FilterValue, 9, 45, 0, // Skip to: 7578
+/* 7533 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7536 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7550
+/* 7540 */    MCD_OPC_CheckField, 16, 5, 0, 34, 7, // Skip to: 9372
+/* 7546 */    MCD_OPC_Decode, 164, 9, 101, // Opcode: XVCVSPSXWS
+/* 7550 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7564
+/* 7554 */    MCD_OPC_CheckField, 16, 5, 0, 20, 7, // Skip to: 9372
+/* 7560 */    MCD_OPC_Decode, 214, 9, 101, // Opcode: XVRSPIZ
+/* 7564 */    MCD_OPC_FilterValue, 2, 12, 7, // Skip to: 9372
+/* 7568 */    MCD_OPC_CheckField, 16, 5, 0, 6, 7, // Skip to: 9372
+/* 7574 */    MCD_OPC_Decode, 209, 9, 101, // Opcode: XVRESP
+/* 7578 */    MCD_OPC_FilterValue, 10, 65, 0, // Skip to: 7647
+/* 7582 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7585 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7599
+/* 7589 */    MCD_OPC_CheckField, 16, 5, 0, 241, 6, // Skip to: 9372
+/* 7595 */    MCD_OPC_Decode, 174, 9, 101, // Opcode: XVCVUXWSP
+/* 7599 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7613
+/* 7603 */    MCD_OPC_CheckField, 16, 5, 0, 227, 6, // Skip to: 9372
+/* 7609 */    MCD_OPC_Decode, 213, 9, 101, // Opcode: XVRSPIP
+/* 7613 */    MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 7633
+/* 7617 */    MCD_OPC_CheckField, 16, 7, 0, 213, 6, // Skip to: 9372
+/* 7623 */    MCD_OPC_CheckField, 0, 1, 0, 207, 6, // Skip to: 9372
+/* 7629 */    MCD_OPC_Decode, 224, 9, 102, // Opcode: XVTSQRTSP
+/* 7633 */    MCD_OPC_FilterValue, 3, 199, 6, // Skip to: 9372
+/* 7637 */    MCD_OPC_CheckField, 16, 5, 0, 193, 6, // Skip to: 9372
+/* 7643 */    MCD_OPC_Decode, 211, 9, 101, // Opcode: XVRSPIC
+/* 7647 */    MCD_OPC_FilterValue, 11, 58, 0, // Skip to: 7709
+/* 7651 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 7654 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 7689
+/* 7658 */    MCD_OPC_ExtractField, 2, 1,  // Inst{2} ...
+/* 7661 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7675
+/* 7665 */    MCD_OPC_CheckField, 16, 5, 0, 165, 6, // Skip to: 9372
+/* 7671 */    MCD_OPC_Decode, 170, 9, 101, // Opcode: XVCVSXWSP
+/* 7675 */    MCD_OPC_FilterValue, 1, 157, 6, // Skip to: 9372
+/* 7679 */    MCD_OPC_CheckField, 16, 5, 0, 151, 6, // Skip to: 9372
+/* 7685 */    MCD_OPC_Decode, 212, 9, 101, // Opcode: XVRSPIM
+/* 7689 */    MCD_OPC_FilterValue, 1, 143, 6, // Skip to: 9372
+/* 7693 */    MCD_OPC_CheckField, 21, 2, 0, 137, 6, // Skip to: 9372
+/* 7699 */    MCD_OPC_CheckField, 0, 1, 0, 131, 6, // Skip to: 9372
+/* 7705 */    MCD_OPC_Decode, 222, 9, 103, // Opcode: XVTDIVSP
+/* 7709 */    MCD_OPC_FilterValue, 12, 59, 0, // Skip to: 7772
+/* 7713 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7716 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7730
+/* 7720 */    MCD_OPC_CheckField, 16, 5, 0, 110, 6, // Skip to: 9372
+/* 7726 */    MCD_OPC_Decode, 161, 9, 101, // Opcode: XVCVDPUXWS
+/* 7730 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7744
+/* 7734 */    MCD_OPC_CheckField, 16, 5, 0, 96, 6, // Skip to: 9372
+/* 7740 */    MCD_OPC_Decode, 203, 9, 101, // Opcode: XVRDPI
+/* 7744 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 7758
+/* 7748 */    MCD_OPC_CheckField, 16, 5, 0, 82, 6, // Skip to: 9372
+/* 7754 */    MCD_OPC_Decode, 215, 9, 101, // Opcode: XVRSQRTEDP
+/* 7758 */    MCD_OPC_FilterValue, 3, 74, 6, // Skip to: 9372
+/* 7762 */    MCD_OPC_CheckField, 16, 5, 0, 68, 6, // Skip to: 9372
+/* 7768 */    MCD_OPC_Decode, 217, 9, 101, // Opcode: XVSQRTDP
+/* 7772 */    MCD_OPC_FilterValue, 13, 45, 0, // Skip to: 7821
+/* 7776 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7779 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7793
+/* 7783 */    MCD_OPC_CheckField, 16, 5, 0, 47, 6, // Skip to: 9372
+/* 7789 */    MCD_OPC_Decode, 159, 9, 101, // Opcode: XVCVDPSXWS
+/* 7793 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7807
+/* 7797 */    MCD_OPC_CheckField, 16, 5, 0, 33, 6, // Skip to: 9372
+/* 7803 */    MCD_OPC_Decode, 207, 9, 101, // Opcode: XVRDPIZ
+/* 7807 */    MCD_OPC_FilterValue, 2, 25, 6, // Skip to: 9372
+/* 7811 */    MCD_OPC_CheckField, 16, 5, 0, 19, 6, // Skip to: 9372
+/* 7817 */    MCD_OPC_Decode, 208, 9, 101, // Opcode: XVREDP
+/* 7821 */    MCD_OPC_FilterValue, 14, 65, 0, // Skip to: 7890
+/* 7825 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7828 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7842
+/* 7832 */    MCD_OPC_CheckField, 16, 5, 0, 254, 5, // Skip to: 9372
+/* 7838 */    MCD_OPC_Decode, 173, 9, 101, // Opcode: XVCVUXWDP
+/* 7842 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 7856
+/* 7846 */    MCD_OPC_CheckField, 16, 5, 0, 240, 5, // Skip to: 9372
+/* 7852 */    MCD_OPC_Decode, 206, 9, 101, // Opcode: XVRDPIP
+/* 7856 */    MCD_OPC_FilterValue, 2, 16, 0, // Skip to: 7876
+/* 7860 */    MCD_OPC_CheckField, 16, 7, 0, 226, 5, // Skip to: 9372
+/* 7866 */    MCD_OPC_CheckField, 0, 1, 0, 220, 5, // Skip to: 9372
+/* 7872 */    MCD_OPC_Decode, 223, 9, 102, // Opcode: XVTSQRTDP
+/* 7876 */    MCD_OPC_FilterValue, 3, 212, 5, // Skip to: 9372
+/* 7880 */    MCD_OPC_CheckField, 16, 5, 0, 206, 5, // Skip to: 9372
+/* 7886 */    MCD_OPC_Decode, 204, 9, 101, // Opcode: XVRDPIC
+/* 7890 */    MCD_OPC_FilterValue, 15, 58, 0, // Skip to: 7952
+/* 7894 */    MCD_OPC_ExtractField, 3, 1,  // Inst{3} ...
+/* 7897 */    MCD_OPC_FilterValue, 0, 31, 0, // Skip to: 7932
+/* 7901 */    MCD_OPC_ExtractField, 2, 1,  // Inst{2} ...
+/* 7904 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7918
+/* 7908 */    MCD_OPC_CheckField, 16, 5, 0, 178, 5, // Skip to: 9372
+/* 7914 */    MCD_OPC_Decode, 169, 9, 101, // Opcode: XVCVSXWDP
+/* 7918 */    MCD_OPC_FilterValue, 1, 170, 5, // Skip to: 9372
+/* 7922 */    MCD_OPC_CheckField, 16, 5, 0, 164, 5, // Skip to: 9372
+/* 7928 */    MCD_OPC_Decode, 205, 9, 101, // Opcode: XVRDPIM
+/* 7932 */    MCD_OPC_FilterValue, 1, 156, 5, // Skip to: 9372
+/* 7936 */    MCD_OPC_CheckField, 21, 2, 0, 150, 5, // Skip to: 9372
+/* 7942 */    MCD_OPC_CheckField, 0, 1, 0, 144, 5, // Skip to: 9372
+/* 7948 */    MCD_OPC_Decode, 221, 9, 103, // Opcode: XVTDIVDP
+/* 7952 */    MCD_OPC_FilterValue, 16, 16, 0, // Skip to: 7972
+/* 7956 */    MCD_OPC_CheckField, 16, 5, 0, 130, 5, // Skip to: 9372
+/* 7962 */    MCD_OPC_CheckField, 2, 2, 1, 124, 5, // Skip to: 9372
+/* 7968 */    MCD_OPC_Decode, 234, 8, 99, // Opcode: XSCVDPSP
+/* 7972 */    MCD_OPC_FilterValue, 20, 31, 0, // Skip to: 8007
+/* 7976 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 7979 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 7993
+/* 7983 */    MCD_OPC_CheckField, 16, 5, 0, 103, 5, // Skip to: 9372
+/* 7989 */    MCD_OPC_Decode, 237, 8, 99, // Opcode: XSCVDPUXDS
+/* 7993 */    MCD_OPC_FilterValue, 1, 95, 5, // Skip to: 9372
+/* 7997 */    MCD_OPC_CheckField, 16, 5, 0, 89, 5, // Skip to: 9372
+/* 8003 */    MCD_OPC_Decode, 239, 8, 99, // Opcode: XSCVSPDP
+/* 8007 */    MCD_OPC_FilterValue, 21, 31, 0, // Skip to: 8042
+/* 8011 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8014 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8028
+/* 8018 */    MCD_OPC_CheckField, 16, 5, 0, 68, 5, // Skip to: 9372
+/* 8024 */    MCD_OPC_Decode, 235, 8, 99, // Opcode: XSCVDPSXDS
+/* 8028 */    MCD_OPC_FilterValue, 1, 60, 5, // Skip to: 9372
+/* 8032 */    MCD_OPC_CheckField, 16, 5, 0, 54, 5, // Skip to: 9372
+/* 8038 */    MCD_OPC_Decode, 229, 8, 99, // Opcode: XSABSDP
+/* 8042 */    MCD_OPC_FilterValue, 22, 31, 0, // Skip to: 8077
+/* 8046 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8049 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8063
+/* 8053 */    MCD_OPC_CheckField, 16, 5, 0, 33, 5, // Skip to: 9372
+/* 8059 */    MCD_OPC_Decode, 241, 8, 99, // Opcode: XSCVUXDDP
+/* 8063 */    MCD_OPC_FilterValue, 1, 25, 5, // Skip to: 9372
+/* 8067 */    MCD_OPC_CheckField, 16, 5, 0, 19, 5, // Skip to: 9372
+/* 8073 */    MCD_OPC_Decode, 250, 8, 99, // Opcode: XSNABSDP
+/* 8077 */    MCD_OPC_FilterValue, 23, 31, 0, // Skip to: 8112
+/* 8081 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8084 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8098
+/* 8088 */    MCD_OPC_CheckField, 16, 5, 0, 254, 4, // Skip to: 9372
+/* 8094 */    MCD_OPC_Decode, 240, 8, 99, // Opcode: XSCVSXDDP
+/* 8098 */    MCD_OPC_FilterValue, 1, 246, 4, // Skip to: 9372
+/* 8102 */    MCD_OPC_CheckField, 16, 5, 0, 240, 4, // Skip to: 9372
+/* 8108 */    MCD_OPC_Decode, 251, 8, 99, // Opcode: XSNEGDP
+/* 8112 */    MCD_OPC_FilterValue, 24, 31, 0, // Skip to: 8147
+/* 8116 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8119 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8133
+/* 8123 */    MCD_OPC_CheckField, 16, 5, 0, 219, 4, // Skip to: 9372
+/* 8129 */    MCD_OPC_Decode, 165, 9, 101, // Opcode: XVCVSPUXDS
+/* 8133 */    MCD_OPC_FilterValue, 1, 211, 4, // Skip to: 9372
+/* 8137 */    MCD_OPC_CheckField, 16, 5, 0, 205, 4, // Skip to: 9372
+/* 8143 */    MCD_OPC_Decode, 157, 9, 101, // Opcode: XVCVDPSP
+/* 8147 */    MCD_OPC_FilterValue, 25, 31, 0, // Skip to: 8182
+/* 8151 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8154 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8168
+/* 8158 */    MCD_OPC_CheckField, 16, 5, 0, 184, 4, // Skip to: 9372
+/* 8164 */    MCD_OPC_Decode, 163, 9, 101, // Opcode: XVCVSPSXDS
+/* 8168 */    MCD_OPC_FilterValue, 1, 176, 4, // Skip to: 9372
+/* 8172 */    MCD_OPC_CheckField, 16, 5, 0, 170, 4, // Skip to: 9372
+/* 8178 */    MCD_OPC_Decode, 140, 9, 101, // Opcode: XVABSSP
+/* 8182 */    MCD_OPC_FilterValue, 26, 31, 0, // Skip to: 8217
+/* 8186 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8189 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8203
+/* 8193 */    MCD_OPC_CheckField, 16, 5, 0, 149, 4, // Skip to: 9372
+/* 8199 */    MCD_OPC_Decode, 172, 9, 101, // Opcode: XVCVUXDSP
+/* 8203 */    MCD_OPC_FilterValue, 1, 141, 4, // Skip to: 9372
+/* 8207 */    MCD_OPC_CheckField, 16, 5, 0, 135, 4, // Skip to: 9372
+/* 8213 */    MCD_OPC_Decode, 192, 9, 101, // Opcode: XVNABSSP
+/* 8217 */    MCD_OPC_FilterValue, 27, 31, 0, // Skip to: 8252
+/* 8221 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8224 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8238
+/* 8228 */    MCD_OPC_CheckField, 16, 5, 0, 114, 4, // Skip to: 9372
+/* 8234 */    MCD_OPC_Decode, 168, 9, 101, // Opcode: XVCVSXDSP
+/* 8238 */    MCD_OPC_FilterValue, 1, 106, 4, // Skip to: 9372
+/* 8242 */    MCD_OPC_CheckField, 16, 5, 0, 100, 4, // Skip to: 9372
+/* 8248 */    MCD_OPC_Decode, 194, 9, 101, // Opcode: XVNEGSP
+/* 8252 */    MCD_OPC_FilterValue, 28, 31, 0, // Skip to: 8287
+/* 8256 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8259 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8273
+/* 8263 */    MCD_OPC_CheckField, 16, 5, 0, 79, 4, // Skip to: 9372
+/* 8269 */    MCD_OPC_Decode, 160, 9, 101, // Opcode: XVCVDPUXDS
+/* 8273 */    MCD_OPC_FilterValue, 1, 71, 4, // Skip to: 9372
+/* 8277 */    MCD_OPC_CheckField, 16, 5, 0, 65, 4, // Skip to: 9372
+/* 8283 */    MCD_OPC_Decode, 162, 9, 101, // Opcode: XVCVSPDP
+/* 8287 */    MCD_OPC_FilterValue, 29, 31, 0, // Skip to: 8322
+/* 8291 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8294 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8308
+/* 8298 */    MCD_OPC_CheckField, 16, 5, 0, 44, 4, // Skip to: 9372
+/* 8304 */    MCD_OPC_Decode, 158, 9, 101, // Opcode: XVCVDPSXDS
+/* 8308 */    MCD_OPC_FilterValue, 1, 36, 4, // Skip to: 9372
+/* 8312 */    MCD_OPC_CheckField, 16, 5, 0, 30, 4, // Skip to: 9372
+/* 8318 */    MCD_OPC_Decode, 139, 9, 101, // Opcode: XVABSDP
+/* 8322 */    MCD_OPC_FilterValue, 30, 31, 0, // Skip to: 8357
+/* 8326 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8329 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8343
+/* 8333 */    MCD_OPC_CheckField, 16, 5, 0, 9, 4, // Skip to: 9372
+/* 8339 */    MCD_OPC_Decode, 171, 9, 101, // Opcode: XVCVUXDDP
+/* 8343 */    MCD_OPC_FilterValue, 1, 1, 4, // Skip to: 9372
+/* 8347 */    MCD_OPC_CheckField, 16, 5, 0, 251, 3, // Skip to: 9372
+/* 8353 */    MCD_OPC_Decode, 191, 9, 101, // Opcode: XVNABSDP
+/* 8357 */    MCD_OPC_FilterValue, 31, 243, 3, // Skip to: 9372
+/* 8361 */    MCD_OPC_ExtractField, 2, 2,  // Inst{3-2} ...
+/* 8364 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8378
+/* 8368 */    MCD_OPC_CheckField, 16, 5, 0, 230, 3, // Skip to: 9372
+/* 8374 */    MCD_OPC_Decode, 167, 9, 101, // Opcode: XVCVSXDDP
+/* 8378 */    MCD_OPC_FilterValue, 1, 222, 3, // Skip to: 9372
+/* 8382 */    MCD_OPC_CheckField, 16, 5, 0, 216, 3, // Skip to: 9372
+/* 8388 */    MCD_OPC_Decode, 193, 9, 101, // Opcode: XVNEGDP
+/* 8392 */    MCD_OPC_FilterValue, 3, 208, 3, // Skip to: 9372
+/* 8396 */    MCD_OPC_Decode, 234, 9, 104, // Opcode: XXSEL
+/* 8400 */    MCD_OPC_FilterValue, 62, 19, 0, // Skip to: 8423
+/* 8404 */    MCD_OPC_ExtractField, 0, 2,  // Inst{1-0} ...
+/* 8407 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 8415
+/* 8411 */    MCD_OPC_Decode, 205, 6, 86, // Opcode: STD
+/* 8415 */    MCD_OPC_FilterValue, 1, 185, 3, // Skip to: 9372
+/* 8419 */    MCD_OPC_Decode, 208, 6, 86, // Opcode: STDU
+/* 8423 */    MCD_OPC_FilterValue, 63, 177, 3, // Skip to: 9372
+/* 8427 */    MCD_OPC_ExtractField, 0, 6,  // Inst{5-0} ...
+/* 8430 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 8450
+/* 8434 */    MCD_OPC_CheckField, 21, 2, 0, 164, 3, // Skip to: 9372
+/* 8440 */    MCD_OPC_CheckField, 6, 5, 0, 158, 3, // Skip to: 9372
+/* 8446 */    MCD_OPC_Decode, 225, 3, 105, // Opcode: FCMPUS
+/* 8450 */    MCD_OPC_FilterValue, 12, 19, 0, // Skip to: 8473
+/* 8454 */    MCD_OPC_ExtractField, 6, 15,  // Inst{20-6} ...
+/* 8457 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 8465
+/* 8461 */    MCD_OPC_Decode, 187, 5, 76, // Opcode: MTFSB1
+/* 8465 */    MCD_OPC_FilterValue, 2, 135, 3, // Skip to: 9372
+/* 8469 */    MCD_OPC_Decode, 186, 5, 76, // Opcode: MTFSB0
+/* 8473 */    MCD_OPC_FilterValue, 14, 37, 0, // Skip to: 8514
+/* 8477 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 8480 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 8494
+/* 8484 */    MCD_OPC_CheckField, 11, 10, 0, 114, 3, // Skip to: 9372
+/* 8490 */    MCD_OPC_Decode, 164, 5, 106, // Opcode: MFFS
+/* 8494 */    MCD_OPC_FilterValue, 22, 106, 3, // Skip to: 9372
+/* 8498 */    MCD_OPC_CheckField, 25, 1, 0, 100, 3, // Skip to: 9372
+/* 8504 */    MCD_OPC_CheckField, 16, 1, 0, 94, 3, // Skip to: 9372
+/* 8510 */    MCD_OPC_Decode, 188, 5, 107, // Opcode: MTFSF
+/* 8514 */    MCD_OPC_FilterValue, 16, 123, 0, // Skip to: 8641
+/* 8518 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 8521 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 8529
+/* 8525 */    MCD_OPC_Decode, 228, 3, 88, // Opcode: FCPSGNS
+/* 8529 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 8543
+/* 8533 */    MCD_OPC_CheckField, 16, 5, 0, 65, 3, // Skip to: 9372
+/* 8539 */    MCD_OPC_Decode, 138, 4, 89, // Opcode: FNEGS
+/* 8543 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 8557
+/* 8547 */    MCD_OPC_CheckField, 16, 5, 0, 51, 3, // Skip to: 9372
+/* 8553 */    MCD_OPC_Decode, 250, 3, 89, // Opcode: FMR
+/* 8557 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 8571
+/* 8561 */    MCD_OPC_CheckField, 16, 5, 0, 37, 3, // Skip to: 9372
+/* 8567 */    MCD_OPC_Decode, 134, 4, 89, // Opcode: FNABSS
+/* 8571 */    MCD_OPC_FilterValue, 8, 10, 0, // Skip to: 8585
+/* 8575 */    MCD_OPC_CheckField, 16, 5, 0, 23, 3, // Skip to: 9372
+/* 8581 */    MCD_OPC_Decode, 209, 3, 89, // Opcode: FABSS
+/* 8585 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 8599
+/* 8589 */    MCD_OPC_CheckField, 16, 5, 0, 9, 3, // Skip to: 9372
+/* 8595 */    MCD_OPC_Decode, 158, 4, 89, // Opcode: FRINS
+/* 8599 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 8613
+/* 8603 */    MCD_OPC_CheckField, 16, 5, 0, 251, 2, // Skip to: 9372
+/* 8609 */    MCD_OPC_Decode, 166, 4, 89, // Opcode: FRIZS
+/* 8613 */    MCD_OPC_FilterValue, 14, 10, 0, // Skip to: 8627
+/* 8617 */    MCD_OPC_CheckField, 16, 5, 0, 237, 2, // Skip to: 9372
+/* 8623 */    MCD_OPC_Decode, 162, 4, 89, // Opcode: FRIPS
+/* 8627 */    MCD_OPC_FilterValue, 15, 229, 2, // Skip to: 9372
+/* 8631 */    MCD_OPC_CheckField, 16, 5, 0, 223, 2, // Skip to: 9372
+/* 8637 */    MCD_OPC_Decode, 154, 4, 89, // Opcode: FRIMS
+/* 8641 */    MCD_OPC_FilterValue, 17, 123, 0, // Skip to: 8768
+/* 8645 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 8648 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 8656
+/* 8652 */    MCD_OPC_Decode, 229, 3, 88, // Opcode: FCPSGNSo
+/* 8656 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 8670
+/* 8660 */    MCD_OPC_CheckField, 16, 5, 0, 194, 2, // Skip to: 9372
+/* 8666 */    MCD_OPC_Decode, 139, 4, 89, // Opcode: FNEGSo
+/* 8670 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 8684
+/* 8674 */    MCD_OPC_CheckField, 16, 5, 0, 180, 2, // Skip to: 9372
+/* 8680 */    MCD_OPC_Decode, 251, 3, 89, // Opcode: FMRo
+/* 8684 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 8698
+/* 8688 */    MCD_OPC_CheckField, 16, 5, 0, 166, 2, // Skip to: 9372
+/* 8694 */    MCD_OPC_Decode, 135, 4, 89, // Opcode: FNABSSo
+/* 8698 */    MCD_OPC_FilterValue, 8, 10, 0, // Skip to: 8712
+/* 8702 */    MCD_OPC_CheckField, 16, 5, 0, 152, 2, // Skip to: 9372
+/* 8708 */    MCD_OPC_Decode, 210, 3, 89, // Opcode: FABSSo
+/* 8712 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 8726
+/* 8716 */    MCD_OPC_CheckField, 16, 5, 0, 138, 2, // Skip to: 9372
+/* 8722 */    MCD_OPC_Decode, 159, 4, 89, // Opcode: FRINSo
+/* 8726 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 8740
+/* 8730 */    MCD_OPC_CheckField, 16, 5, 0, 124, 2, // Skip to: 9372
+/* 8736 */    MCD_OPC_Decode, 167, 4, 89, // Opcode: FRIZSo
+/* 8740 */    MCD_OPC_FilterValue, 14, 10, 0, // Skip to: 8754
+/* 8744 */    MCD_OPC_CheckField, 16, 5, 0, 110, 2, // Skip to: 9372
+/* 8750 */    MCD_OPC_Decode, 163, 4, 89, // Opcode: FRIPSo
+/* 8754 */    MCD_OPC_FilterValue, 15, 102, 2, // Skip to: 9372
+/* 8758 */    MCD_OPC_CheckField, 16, 5, 0, 96, 2, // Skip to: 9372
+/* 8764 */    MCD_OPC_Decode, 155, 4, 89, // Opcode: FRIMSo
+/* 8768 */    MCD_OPC_FilterValue, 24, 16, 0, // Skip to: 8788
+/* 8772 */    MCD_OPC_CheckField, 16, 5, 0, 82, 2, // Skip to: 9372
+/* 8778 */    MCD_OPC_CheckField, 6, 5, 0, 76, 2, // Skip to: 9372
+/* 8784 */    MCD_OPC_Decode, 168, 4, 87, // Opcode: FRSP
+/* 8788 */    MCD_OPC_FilterValue, 25, 16, 0, // Skip to: 8808
+/* 8792 */    MCD_OPC_CheckField, 16, 5, 0, 62, 2, // Skip to: 9372
+/* 8798 */    MCD_OPC_CheckField, 6, 5, 0, 56, 2, // Skip to: 9372
+/* 8804 */    MCD_OPC_Decode, 169, 4, 87, // Opcode: FRSPo
+/* 8808 */    MCD_OPC_FilterValue, 28, 59, 0, // Skip to: 8871
+/* 8812 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 8815 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8829
+/* 8819 */    MCD_OPC_CheckField, 16, 5, 0, 35, 2, // Skip to: 9372
+/* 8825 */    MCD_OPC_Decode, 236, 3, 108, // Opcode: FCTIW
+/* 8829 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 8843
+/* 8833 */    MCD_OPC_CheckField, 16, 5, 0, 21, 2, // Skip to: 9372
+/* 8839 */    MCD_OPC_Decode, 230, 3, 108, // Opcode: FCTID
+/* 8843 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 8857
+/* 8847 */    MCD_OPC_CheckField, 16, 5, 0, 7, 2, // Skip to: 9372
+/* 8853 */    MCD_OPC_Decode, 216, 3, 108, // Opcode: FCFID
+/* 8857 */    MCD_OPC_FilterValue, 30, 255, 1, // Skip to: 9372
+/* 8861 */    MCD_OPC_CheckField, 16, 5, 0, 249, 1, // Skip to: 9372
+/* 8867 */    MCD_OPC_Decode, 219, 3, 108, // Opcode: FCFIDU
+/* 8871 */    MCD_OPC_FilterValue, 29, 59, 0, // Skip to: 8934
+/* 8875 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 8878 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8892
+/* 8882 */    MCD_OPC_CheckField, 16, 5, 0, 228, 1, // Skip to: 9372
+/* 8888 */    MCD_OPC_Decode, 241, 3, 108, // Opcode: FCTIWo
+/* 8892 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 8906
+/* 8896 */    MCD_OPC_CheckField, 16, 5, 0, 214, 1, // Skip to: 9372
+/* 8902 */    MCD_OPC_Decode, 235, 3, 108, // Opcode: FCTIDo
+/* 8906 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 8920
+/* 8910 */    MCD_OPC_CheckField, 16, 5, 0, 200, 1, // Skip to: 9372
+/* 8916 */    MCD_OPC_Decode, 223, 3, 108, // Opcode: FCFIDo
+/* 8920 */    MCD_OPC_FilterValue, 30, 192, 1, // Skip to: 9372
+/* 8924 */    MCD_OPC_CheckField, 16, 5, 0, 186, 1, // Skip to: 9372
+/* 8930 */    MCD_OPC_Decode, 222, 3, 108, // Opcode: FCFIDUo
+/* 8934 */    MCD_OPC_FilterValue, 30, 59, 0, // Skip to: 8997
+/* 8938 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 8941 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 8955
+/* 8945 */    MCD_OPC_CheckField, 16, 5, 0, 165, 1, // Skip to: 9372
+/* 8951 */    MCD_OPC_Decode, 239, 3, 108, // Opcode: FCTIWZ
+/* 8955 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 8969
+/* 8959 */    MCD_OPC_CheckField, 16, 5, 0, 151, 1, // Skip to: 9372
+/* 8965 */    MCD_OPC_Decode, 237, 3, 108, // Opcode: FCTIWUZ
+/* 8969 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 8983
+/* 8973 */    MCD_OPC_CheckField, 16, 5, 0, 137, 1, // Skip to: 9372
+/* 8979 */    MCD_OPC_Decode, 233, 3, 108, // Opcode: FCTIDZ
+/* 8983 */    MCD_OPC_FilterValue, 29, 129, 1, // Skip to: 9372
+/* 8987 */    MCD_OPC_CheckField, 16, 5, 0, 123, 1, // Skip to: 9372
+/* 8993 */    MCD_OPC_Decode, 231, 3, 108, // Opcode: FCTIDUZ
+/* 8997 */    MCD_OPC_FilterValue, 31, 59, 0, // Skip to: 9060
+/* 9001 */    MCD_OPC_ExtractField, 6, 5,  // Inst{10-6} ...
+/* 9004 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 9018
+/* 9008 */    MCD_OPC_CheckField, 16, 5, 0, 102, 1, // Skip to: 9372
+/* 9014 */    MCD_OPC_Decode, 240, 3, 108, // Opcode: FCTIWZo
+/* 9018 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 9032
+/* 9022 */    MCD_OPC_CheckField, 16, 5, 0, 88, 1, // Skip to: 9372
+/* 9028 */    MCD_OPC_Decode, 238, 3, 108, // Opcode: FCTIWUZo
+/* 9032 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 9046
+/* 9036 */    MCD_OPC_CheckField, 16, 5, 0, 74, 1, // Skip to: 9372
+/* 9042 */    MCD_OPC_Decode, 234, 3, 108, // Opcode: FCTIDZo
+/* 9046 */    MCD_OPC_FilterValue, 29, 66, 1, // Skip to: 9372
+/* 9050 */    MCD_OPC_CheckField, 16, 5, 0, 60, 1, // Skip to: 9372
+/* 9056 */    MCD_OPC_Decode, 232, 3, 108, // Opcode: FCTIDUZo
+/* 9060 */    MCD_OPC_FilterValue, 36, 10, 0, // Skip to: 9074
+/* 9064 */    MCD_OPC_CheckField, 6, 5, 0, 46, 1, // Skip to: 9372
+/* 9070 */    MCD_OPC_Decode, 242, 3, 109, // Opcode: FDIV
+/* 9074 */    MCD_OPC_FilterValue, 37, 10, 0, // Skip to: 9088
+/* 9078 */    MCD_OPC_CheckField, 6, 5, 0, 32, 1, // Skip to: 9372
+/* 9084 */    MCD_OPC_Decode, 245, 3, 109, // Opcode: FDIVo
+/* 9088 */    MCD_OPC_FilterValue, 40, 10, 0, // Skip to: 9102
+/* 9092 */    MCD_OPC_CheckField, 6, 5, 0, 18, 1, // Skip to: 9372
+/* 9098 */    MCD_OPC_Decode, 182, 4, 109, // Opcode: FSUB
+/* 9102 */    MCD_OPC_FilterValue, 41, 10, 0, // Skip to: 9116
+/* 9106 */    MCD_OPC_CheckField, 6, 5, 0, 4, 1, // Skip to: 9372
+/* 9112 */    MCD_OPC_Decode, 185, 4, 109, // Opcode: FSUBo
+/* 9116 */    MCD_OPC_FilterValue, 42, 10, 0, // Skip to: 9130
+/* 9120 */    MCD_OPC_CheckField, 6, 5, 0, 246, 0, // Skip to: 9372
+/* 9126 */    MCD_OPC_Decode, 211, 3, 109, // Opcode: FADD
+/* 9130 */    MCD_OPC_FilterValue, 43, 10, 0, // Skip to: 9144
+/* 9134 */    MCD_OPC_CheckField, 6, 5, 0, 232, 0, // Skip to: 9372
+/* 9140 */    MCD_OPC_Decode, 214, 3, 109, // Opcode: FADDo
+/* 9144 */    MCD_OPC_FilterValue, 44, 16, 0, // Skip to: 9164
+/* 9148 */    MCD_OPC_CheckField, 16, 5, 0, 218, 0, // Skip to: 9372
+/* 9154 */    MCD_OPC_CheckField, 6, 5, 0, 212, 0, // Skip to: 9372
+/* 9160 */    MCD_OPC_Decode, 178, 4, 108, // Opcode: FSQRT
+/* 9164 */    MCD_OPC_FilterValue, 45, 16, 0, // Skip to: 9184
+/* 9168 */    MCD_OPC_CheckField, 16, 5, 0, 198, 0, // Skip to: 9372
+/* 9174 */    MCD_OPC_CheckField, 6, 5, 0, 192, 0, // Skip to: 9372
+/* 9180 */    MCD_OPC_Decode, 181, 4, 108, // Opcode: FSQRTo
+/* 9184 */    MCD_OPC_FilterValue, 46, 4, 0, // Skip to: 9192
+/* 9188 */    MCD_OPC_Decode, 176, 4, 110, // Opcode: FSELS
+/* 9192 */    MCD_OPC_FilterValue, 47, 4, 0, // Skip to: 9200
+/* 9196 */    MCD_OPC_Decode, 177, 4, 110, // Opcode: FSELSo
+/* 9200 */    MCD_OPC_FilterValue, 48, 16, 0, // Skip to: 9220
+/* 9204 */    MCD_OPC_CheckField, 16, 5, 0, 162, 0, // Skip to: 9372
+/* 9210 */    MCD_OPC_CheckField, 6, 5, 0, 156, 0, // Skip to: 9372
+/* 9216 */    MCD_OPC_Decode, 148, 4, 108, // Opcode: FRE
+/* 9220 */    MCD_OPC_FilterValue, 49, 16, 0, // Skip to: 9240
+/* 9224 */    MCD_OPC_CheckField, 16, 5, 0, 142, 0, // Skip to: 9372
+/* 9230 */    MCD_OPC_CheckField, 6, 5, 0, 136, 0, // Skip to: 9372
+/* 9236 */    MCD_OPC_Decode, 151, 4, 108, // Opcode: FREo
+/* 9240 */    MCD_OPC_FilterValue, 50, 10, 0, // Skip to: 9254
+/* 9244 */    MCD_OPC_CheckField, 11, 5, 0, 122, 0, // Skip to: 9372
+/* 9250 */    MCD_OPC_Decode, 128, 4, 111, // Opcode: FMUL
+/* 9254 */    MCD_OPC_FilterValue, 51, 10, 0, // Skip to: 9268
+/* 9258 */    MCD_OPC_CheckField, 11, 5, 0, 108, 0, // Skip to: 9372
+/* 9264 */    MCD_OPC_Decode, 131, 4, 111, // Opcode: FMULo
+/* 9268 */    MCD_OPC_FilterValue, 52, 16, 0, // Skip to: 9288
+/* 9272 */    MCD_OPC_CheckField, 16, 5, 0, 94, 0, // Skip to: 9372
+/* 9278 */    MCD_OPC_CheckField, 6, 5, 0, 88, 0, // Skip to: 9372
+/* 9284 */    MCD_OPC_Decode, 170, 4, 108, // Opcode: FRSQRTE
+/* 9288 */    MCD_OPC_FilterValue, 53, 16, 0, // Skip to: 9308
+/* 9292 */    MCD_OPC_CheckField, 16, 5, 0, 74, 0, // Skip to: 9372
+/* 9298 */    MCD_OPC_CheckField, 6, 5, 0, 68, 0, // Skip to: 9372
+/* 9304 */    MCD_OPC_Decode, 173, 4, 108, // Opcode: FRSQRTEo
+/* 9308 */    MCD_OPC_FilterValue, 56, 4, 0, // Skip to: 9316
+/* 9312 */    MCD_OPC_Decode, 252, 3, 112, // Opcode: FMSUB
+/* 9316 */    MCD_OPC_FilterValue, 57, 4, 0, // Skip to: 9324
+/* 9320 */    MCD_OPC_Decode, 255, 3, 112, // Opcode: FMSUBo
+/* 9324 */    MCD_OPC_FilterValue, 58, 4, 0, // Skip to: 9332
+/* 9328 */    MCD_OPC_Decode, 246, 3, 112, // Opcode: FMADD
+/* 9332 */    MCD_OPC_FilterValue, 59, 4, 0, // Skip to: 9340
+/* 9336 */    MCD_OPC_Decode, 249, 3, 112, // Opcode: FMADDo
+/* 9340 */    MCD_OPC_FilterValue, 60, 4, 0, // Skip to: 9348
+/* 9344 */    MCD_OPC_Decode, 144, 4, 112, // Opcode: FNMSUB
+/* 9348 */    MCD_OPC_FilterValue, 61, 4, 0, // Skip to: 9356
+/* 9352 */    MCD_OPC_Decode, 147, 4, 112, // Opcode: FNMSUBo
+/* 9356 */    MCD_OPC_FilterValue, 62, 4, 0, // Skip to: 9364
+/* 9360 */    MCD_OPC_Decode, 140, 4, 112, // Opcode: FNMADD
+/* 9364 */    MCD_OPC_FilterValue, 63, 4, 0, // Skip to: 9372
+/* 9368 */    MCD_OPC_Decode, 143, 4, 112, // Opcode: FNMADDo
+/* 9372 */    MCD_OPC_Fail,
   0
 };
 
@@ -1914,31 +2534,23 @@
     return S; \
   case 35: \
     tmp = fieldname(insn, 21, 5); \
-    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 36: \
-    tmp = fieldname(insn, 21, 5); \
-    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 15, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 37: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeVRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 5) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 38: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -1946,7 +2558,7 @@
     return S; \
   case 39: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
@@ -1954,11 +2566,77 @@
     return S; \
   case 40: \
     tmp = fieldname(insn, 21, 5); \
+    if (DecodeVRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 41: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 42: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 43: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 44: \
+    tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 41: \
+  case 45: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 46: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 47: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 48: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 49: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -1968,153 +2646,171 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeCRBITRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 42: \
-    tmp = fieldname(insn, 12, 8); \
-    MCOperand_CreateImm0(MI, tmp); \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 43: \
-    tmp = fieldname(insn, 12, 8); \
-    if (decodeCRBitMOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 44: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 45: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 8); \
-    if (decodeCRBitMOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 46: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 1); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
-  case 47: \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 48: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 49: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 5) << 5); \
-    tmp |= (fieldname(insn, 16, 5) << 0); \
-    MCOperand_CreateImm0(MI, tmp); \
-    return S; \
   case 50: \
-    tmp = 0; \
-    tmp |= (fieldname(insn, 11, 5) << 5); \
-    tmp |= (fieldname(insn, 16, 5) << 0); \
+    tmp = fieldname(insn, 12, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 51: \
+    tmp = fieldname(insn, 12, 8); \
+    if (decodeCRBitMOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 52: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 8); \
+    if (decodeCRBitMOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 53: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 54: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 4); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 55: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 56: \
-    tmp = fieldname(insn, 21, 5); \
-    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 57: \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 11, 5) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 58: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 11, 5); \
-    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 1); \
+    MCOperand_CreateImm0(MI, tmp); \
     return S; \
   case 59: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 5); \
-    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 60: \
-    tmp = fieldname(insn, 21, 2); \
-    MCOperand_CreateImm0(MI, tmp); \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 61: \
     tmp = fieldname(insn, 21, 5); \
-    if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
     if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 62: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 63: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 64: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 65: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 66: \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 67: \
+    tmp = fieldname(insn, 21, 2); \
+    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 68: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 69: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 70: \
+    tmp = fieldname(insn, 21, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 71: \
+    tmp = fieldname(insn, 21, 5); \
+    if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 5); \
+    if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 11, 5); \
+    if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 72: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2124,7 +2820,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 63: \
+  case 73: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -2134,7 +2830,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 64: \
+  case 74: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodePointerLikeRegClass1(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -2144,7 +2840,15 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodePointerLikeRegClass0(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 65: \
+  case 75: \
+    tmp = fieldname(insn, 21, 2); \
+    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 76: \
+    tmp = fieldname(insn, 21, 5); \
+    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 77: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -2152,7 +2856,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 66: \
+  case 78: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -2160,13 +2864,13 @@
     tmp = fieldname(insn, 11, 5); \
     if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 67: \
+  case 79: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 68: \
+  case 80: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -2174,13 +2878,13 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 69: \
+  case 81: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 70: \
+  case 82: \
     tmp = fieldname(insn, 16, 5); \
     if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 21, 5); \
@@ -2190,37 +2894,37 @@
     tmp |= (fieldname(insn, 11, 5) << 0); \
     if (decodeUImmOperand(MI, tmp, Address, Decoder, 6) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 71: \
+  case 83: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeGPRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 21); \
     if (decodeMemRIOperands(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 72: \
+  case 84: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 21); \
     if (decodeMemRIOperands(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 73: \
+  case 85: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 21); \
     if (decodeMemRIOperands(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 74: \
+  case 86: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeG8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 2, 19); \
     if (decodeMemRIXOperands(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 75: \
+  case 87: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 76: \
+  case 88: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2228,13 +2932,13 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 77: \
+  case 89: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 78: \
+  case 90: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2242,7 +2946,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 79: \
+  case 91: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2252,7 +2956,177 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 80: \
+  case 92: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 93: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 94: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 95: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 96: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 97: \
+    tmp = fieldname(insn, 23, 3); \
+    if (DecodeCRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 98: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 2); \
+    MCOperand_CreateImm0(MI, tmp); \
+    return S; \
+  case 99: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 100: \
+    tmp = fieldname(insn, 23, 3); \
+    if (DecodeCRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSFRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 101: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 102: \
+    tmp = fieldname(insn, 23, 3); \
+    if (DecodeCRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 103: \
+    tmp = fieldname(insn, 23, 3); \
+    if (DecodeCRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 104: \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 0, 1) << 5); \
+    tmp |= (fieldname(insn, 21, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 2, 1) << 5); \
+    tmp |= (fieldname(insn, 16, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 1, 1) << 5); \
+    tmp |= (fieldname(insn, 11, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = 0; \
+    tmp |= (fieldname(insn, 3, 1) << 5); \
+    tmp |= (fieldname(insn, 6, 5) << 0); \
+    if (DecodeVSRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 105: \
     tmp = fieldname(insn, 23, 3); \
     if (DecodeCRRCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2260,27 +3134,23 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 81: \
-    tmp = fieldname(insn, 21, 5); \
-    if (decodeUImmOperand(MI, tmp, Address, Decoder, 5) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 82: \
+  case 106: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 83: \
+  case 107: \
     tmp = fieldname(insn, 17, 8); \
     MCOperand_CreateImm0(MI, tmp); \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 84: \
+  case 108: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 85: \
+  case 109: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2288,7 +3158,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 86: \
+  case 110: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2298,7 +3168,7 @@
     tmp = fieldname(insn, 11, 5); \
     if (DecodeF4RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 87: \
+  case 111: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2306,7 +3176,7 @@
     tmp = fieldname(insn, 6, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 88: \
+  case 112: \
     tmp = fieldname(insn, 21, 5); \
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 5); \
@@ -2317,7 +3187,7 @@
     if (DecodeF8RCRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   } \
-}
+} 
 
 // DecodeToMCInst(decodeToMCInst_2, fieldFromInstruction_2, uint16_t)
 DecodeToMCInst(decodeToMCInst_4, fieldFromInstruction_4, uint32_t)
@@ -2378,12 +3248,12 @@
       break; \
     } \
     case MCD_OPC_Decode: { \
-      Opc = (uint32_t)decodeULEB128(++Ptr, &Len); \
+      Opc = (unsigned)decodeULEB128(++Ptr, &Len); \
       Ptr += Len; \
-      DecodeIdx = (uint32_t)decodeULEB128(Ptr, &Len); \
+      DecodeIdx = (unsigned)decodeULEB128(Ptr, &Len); \
       Ptr += Len; \
       MCInst_setOpcode(MI, Opc); \
-      return decoder(S, DecodeIdx, insn, MI, Address, 0); \
+      return decoder(S, DecodeIdx, insn, MI, Address, MI); \
     } \
     case MCD_OPC_SoftFail: { \
       PositiveMask = (InsnType)decodeULEB128(++Ptr, &Len); \
diff --git a/arch/PowerPC/PPCGenInstrInfo.inc b/arch/PowerPC/PPCGenInstrInfo.inc
index 65b0f43..7711c96 100644
--- a/arch/PowerPC/PPCGenInstrInfo.inc
+++ b/arch/PowerPC/PPCGenInstrInfo.inc
@@ -16,7 +16,7 @@
 enum {
     PPC_PHI	= 0,
     PPC_INLINEASM	= 1,
-    PPC_PROLOG_LABEL	= 2,
+    PPC_CFI_INSTRUCTION	= 2,
     PPC_EH_LABEL	= 3,
     PPC_GC_LABEL	= 4,
     PPC_KILL	= 5,
@@ -33,873 +33,1257 @@
     PPC_LIFETIME_END	= 16,
     PPC_STACKMAP	= 17,
     PPC_PATCHPOINT	= 18,
-    PPC_ADD4	= 19,
-    PPC_ADD4TLS	= 20,
-    PPC_ADD4o	= 21,
-    PPC_ADD8	= 22,
-    PPC_ADD8TLS	= 23,
-    PPC_ADD8TLS_	= 24,
-    PPC_ADD8o	= 25,
-    PPC_ADDC	= 26,
-    PPC_ADDC8	= 27,
-    PPC_ADDC8o	= 28,
-    PPC_ADDCo	= 29,
-    PPC_ADDE	= 30,
-    PPC_ADDE8	= 31,
-    PPC_ADDE8o	= 32,
-    PPC_ADDEo	= 33,
-    PPC_ADDI	= 34,
-    PPC_ADDI8	= 35,
-    PPC_ADDIC	= 36,
-    PPC_ADDIC8	= 37,
-    PPC_ADDICo	= 38,
-    PPC_ADDIS	= 39,
-    PPC_ADDIS8	= 40,
-    PPC_ADDISdtprelHA	= 41,
-    PPC_ADDISgotTprelHA	= 42,
-    PPC_ADDIStlsgdHA	= 43,
-    PPC_ADDIStlsldHA	= 44,
-    PPC_ADDIStocHA	= 45,
-    PPC_ADDIdtprelL	= 46,
-    PPC_ADDItlsgdL	= 47,
-    PPC_ADDItlsldL	= 48,
-    PPC_ADDItocL	= 49,
-    PPC_ADDME	= 50,
-    PPC_ADDME8	= 51,
-    PPC_ADDME8o	= 52,
-    PPC_ADDMEo	= 53,
-    PPC_ADDZE	= 54,
-    PPC_ADDZE8	= 55,
-    PPC_ADDZE8o	= 56,
-    PPC_ADDZEo	= 57,
-    PPC_ADJCALLSTACKDOWN	= 58,
-    PPC_ADJCALLSTACKUP	= 59,
-    PPC_AND	= 60,
-    PPC_AND8	= 61,
-    PPC_AND8o	= 62,
-    PPC_ANDC	= 63,
-    PPC_ANDC8	= 64,
-    PPC_ANDC8o	= 65,
-    PPC_ANDCo	= 66,
-    PPC_ANDISo	= 67,
-    PPC_ANDISo8	= 68,
-    PPC_ANDIo	= 69,
-    PPC_ANDIo8	= 70,
-    PPC_ANDo	= 71,
-    PPC_ATOMIC_CMP_SWAP_I16	= 72,
-    PPC_ATOMIC_CMP_SWAP_I32	= 73,
-    PPC_ATOMIC_CMP_SWAP_I64	= 74,
-    PPC_ATOMIC_CMP_SWAP_I8	= 75,
-    PPC_ATOMIC_LOAD_ADD_I16	= 76,
-    PPC_ATOMIC_LOAD_ADD_I32	= 77,
-    PPC_ATOMIC_LOAD_ADD_I64	= 78,
-    PPC_ATOMIC_LOAD_ADD_I8	= 79,
-    PPC_ATOMIC_LOAD_AND_I16	= 80,
-    PPC_ATOMIC_LOAD_AND_I32	= 81,
-    PPC_ATOMIC_LOAD_AND_I64	= 82,
-    PPC_ATOMIC_LOAD_AND_I8	= 83,
-    PPC_ATOMIC_LOAD_NAND_I16	= 84,
-    PPC_ATOMIC_LOAD_NAND_I32	= 85,
-    PPC_ATOMIC_LOAD_NAND_I64	= 86,
-    PPC_ATOMIC_LOAD_NAND_I8	= 87,
-    PPC_ATOMIC_LOAD_OR_I16	= 88,
-    PPC_ATOMIC_LOAD_OR_I32	= 89,
-    PPC_ATOMIC_LOAD_OR_I64	= 90,
-    PPC_ATOMIC_LOAD_OR_I8	= 91,
-    PPC_ATOMIC_LOAD_SUB_I16	= 92,
-    PPC_ATOMIC_LOAD_SUB_I32	= 93,
-    PPC_ATOMIC_LOAD_SUB_I64	= 94,
-    PPC_ATOMIC_LOAD_SUB_I8	= 95,
-    PPC_ATOMIC_LOAD_XOR_I16	= 96,
-    PPC_ATOMIC_LOAD_XOR_I32	= 97,
-    PPC_ATOMIC_LOAD_XOR_I64	= 98,
-    PPC_ATOMIC_LOAD_XOR_I8	= 99,
-    PPC_ATOMIC_SWAP_I16	= 100,
-    PPC_ATOMIC_SWAP_I32	= 101,
-    PPC_ATOMIC_SWAP_I64	= 102,
-    PPC_ATOMIC_SWAP_I8	= 103,
-    PPC_B	= 104,
-    PPC_BA	= 105,
-    PPC_BCC	= 106,
-    PPC_BCCA	= 107,
-    PPC_BCCL	= 108,
-    PPC_BCCLA	= 109,
-    PPC_BCCTR	= 110,
-    PPC_BCCTR8	= 111,
-    PPC_BCCTRL	= 112,
-    PPC_BCCTRL8	= 113,
-    PPC_BCLR	= 114,
-    PPC_BCLRL	= 115,
-    PPC_BCLalways	= 116,
-    PPC_BCTR	= 117,
-    PPC_BCTR8	= 118,
-    PPC_BCTRL	= 119,
-    PPC_BCTRL8	= 120,
-    PPC_BDNZ	= 121,
-    PPC_BDNZ8	= 122,
-    PPC_BDNZA	= 123,
-    PPC_BDNZAm	= 124,
-    PPC_BDNZAp	= 125,
-    PPC_BDNZL	= 126,
-    PPC_BDNZLA	= 127,
-    PPC_BDNZLAm	= 128,
-    PPC_BDNZLAp	= 129,
-    PPC_BDNZLR	= 130,
-    PPC_BDNZLR8	= 131,
-    PPC_BDNZLRL	= 132,
-    PPC_BDNZLRLm	= 133,
-    PPC_BDNZLRLp	= 134,
-    PPC_BDNZLRm	= 135,
-    PPC_BDNZLRp	= 136,
-    PPC_BDNZLm	= 137,
-    PPC_BDNZLp	= 138,
-    PPC_BDNZm	= 139,
-    PPC_BDNZp	= 140,
-    PPC_BDZ	= 141,
-    PPC_BDZ8	= 142,
-    PPC_BDZA	= 143,
-    PPC_BDZAm	= 144,
-    PPC_BDZAp	= 145,
-    PPC_BDZL	= 146,
-    PPC_BDZLA	= 147,
-    PPC_BDZLAm	= 148,
-    PPC_BDZLAp	= 149,
-    PPC_BDZLR	= 150,
-    PPC_BDZLR8	= 151,
-    PPC_BDZLRL	= 152,
-    PPC_BDZLRLm	= 153,
-    PPC_BDZLRLp	= 154,
-    PPC_BDZLRm	= 155,
-    PPC_BDZLRp	= 156,
-    PPC_BDZLm	= 157,
-    PPC_BDZLp	= 158,
-    PPC_BDZm	= 159,
-    PPC_BDZp	= 160,
-    PPC_BL	= 161,
-    PPC_BL8	= 162,
-    PPC_BL8_NOP	= 163,
-    PPC_BL8_NOP_TLS	= 164,
-    PPC_BL8_TLS	= 165,
-    PPC_BL8_TLS_	= 166,
-    PPC_BLA	= 167,
-    PPC_BLA8	= 168,
-    PPC_BLA8_NOP	= 169,
-    PPC_BLR	= 170,
-    PPC_BLRL	= 171,
-    PPC_CLRLSLDI	= 172,
-    PPC_CLRLSLDIo	= 173,
-    PPC_CLRLSLWI	= 174,
-    PPC_CLRLSLWIo	= 175,
-    PPC_CLRRDI	= 176,
-    PPC_CLRRDIo	= 177,
-    PPC_CLRRWI	= 178,
-    PPC_CLRRWIo	= 179,
-    PPC_CMPD	= 180,
-    PPC_CMPDI	= 181,
-    PPC_CMPLD	= 182,
-    PPC_CMPLDI	= 183,
-    PPC_CMPLW	= 184,
-    PPC_CMPLWI	= 185,
-    PPC_CMPW	= 186,
-    PPC_CMPWI	= 187,
-    PPC_CNTLZD	= 188,
-    PPC_CNTLZDo	= 189,
-    PPC_CNTLZW	= 190,
-    PPC_CNTLZWo	= 191,
-    PPC_CR6SET	= 192,
-    PPC_CR6UNSET	= 193,
-    PPC_CRAND	= 194,
-    PPC_CRANDC	= 195,
-    PPC_CREQV	= 196,
-    PPC_CRNAND	= 197,
-    PPC_CRNOR	= 198,
-    PPC_CROR	= 199,
-    PPC_CRORC	= 200,
-    PPC_CRSET	= 201,
-    PPC_CRUNSET	= 202,
-    PPC_CRXOR	= 203,
-    PPC_DCBA	= 204,
-    PPC_DCBF	= 205,
-    PPC_DCBI	= 206,
-    PPC_DCBST	= 207,
-    PPC_DCBT	= 208,
-    PPC_DCBTST	= 209,
-    PPC_DCBZ	= 210,
-    PPC_DCBZL	= 211,
-    PPC_DIVD	= 212,
-    PPC_DIVDU	= 213,
-    PPC_DIVDUo	= 214,
-    PPC_DIVDo	= 215,
-    PPC_DIVW	= 216,
-    PPC_DIVWU	= 217,
-    PPC_DIVWUo	= 218,
-    PPC_DIVWo	= 219,
-    PPC_DSS	= 220,
-    PPC_DSSALL	= 221,
-    PPC_DST	= 222,
-    PPC_DST64	= 223,
-    PPC_DSTST	= 224,
-    PPC_DSTST64	= 225,
-    PPC_DSTSTT	= 226,
-    PPC_DSTSTT64	= 227,
-    PPC_DSTT	= 228,
-    PPC_DSTT64	= 229,
-    PPC_DYNALLOC	= 230,
-    PPC_DYNALLOC8	= 231,
-    PPC_EH_SjLj_LongJmp32	= 232,
-    PPC_EH_SjLj_LongJmp64	= 233,
-    PPC_EH_SjLj_SetJmp32	= 234,
-    PPC_EH_SjLj_SetJmp64	= 235,
-    PPC_EH_SjLj_Setup	= 236,
-    PPC_EIEIO	= 237,
-    PPC_EQV	= 238,
-    PPC_EQV8	= 239,
-    PPC_EQV8o	= 240,
-    PPC_EQVo	= 241,
-    PPC_EXTLDI	= 242,
-    PPC_EXTLDIo	= 243,
-    PPC_EXTLWI	= 244,
-    PPC_EXTLWIo	= 245,
-    PPC_EXTRDI	= 246,
-    PPC_EXTRDIo	= 247,
-    PPC_EXTRWI	= 248,
-    PPC_EXTRWIo	= 249,
-    PPC_EXTSB	= 250,
-    PPC_EXTSB8	= 251,
-    PPC_EXTSB8_32_64	= 252,
-    PPC_EXTSB8o	= 253,
-    PPC_EXTSBo	= 254,
-    PPC_EXTSH	= 255,
-    PPC_EXTSH8	= 256,
-    PPC_EXTSH8_32_64	= 257,
-    PPC_EXTSH8o	= 258,
-    PPC_EXTSHo	= 259,
-    PPC_EXTSW	= 260,
-    PPC_EXTSW_32_64	= 261,
-    PPC_EXTSW_32_64o	= 262,
-    PPC_EXTSWo	= 263,
-    PPC_FABSD	= 264,
-    PPC_FABSDo	= 265,
-    PPC_FABSS	= 266,
-    PPC_FABSSo	= 267,
-    PPC_FADD	= 268,
-    PPC_FADDS	= 269,
-    PPC_FADDSo	= 270,
-    PPC_FADDo	= 271,
-    PPC_FADDrtz	= 272,
-    PPC_FCFID	= 273,
-    PPC_FCFIDS	= 274,
-    PPC_FCFIDSo	= 275,
-    PPC_FCFIDU	= 276,
-    PPC_FCFIDUS	= 277,
-    PPC_FCFIDUSo	= 278,
-    PPC_FCFIDUo	= 279,
-    PPC_FCFIDo	= 280,
-    PPC_FCMPUD	= 281,
-    PPC_FCMPUS	= 282,
-    PPC_FCPSGND	= 283,
-    PPC_FCPSGNDo	= 284,
-    PPC_FCPSGNS	= 285,
-    PPC_FCPSGNSo	= 286,
-    PPC_FCTID	= 287,
-    PPC_FCTIDUZ	= 288,
-    PPC_FCTIDUZo	= 289,
-    PPC_FCTIDZ	= 290,
-    PPC_FCTIDZo	= 291,
-    PPC_FCTIDo	= 292,
-    PPC_FCTIW	= 293,
-    PPC_FCTIWUZ	= 294,
-    PPC_FCTIWUZo	= 295,
-    PPC_FCTIWZ	= 296,
-    PPC_FCTIWZo	= 297,
-    PPC_FCTIWo	= 298,
-    PPC_FDIV	= 299,
-    PPC_FDIVS	= 300,
-    PPC_FDIVSo	= 301,
-    PPC_FDIVo	= 302,
-    PPC_FMADD	= 303,
-    PPC_FMADDS	= 304,
-    PPC_FMADDSo	= 305,
-    PPC_FMADDo	= 306,
-    PPC_FMR	= 307,
-    PPC_FMRo	= 308,
-    PPC_FMSUB	= 309,
-    PPC_FMSUBS	= 310,
-    PPC_FMSUBSo	= 311,
-    PPC_FMSUBo	= 312,
-    PPC_FMUL	= 313,
-    PPC_FMULS	= 314,
-    PPC_FMULSo	= 315,
-    PPC_FMULo	= 316,
-    PPC_FNABSD	= 317,
-    PPC_FNABSDo	= 318,
-    PPC_FNABSS	= 319,
-    PPC_FNABSSo	= 320,
-    PPC_FNEGD	= 321,
-    PPC_FNEGDo	= 322,
-    PPC_FNEGS	= 323,
-    PPC_FNEGSo	= 324,
-    PPC_FNMADD	= 325,
-    PPC_FNMADDS	= 326,
-    PPC_FNMADDSo	= 327,
-    PPC_FNMADDo	= 328,
-    PPC_FNMSUB	= 329,
-    PPC_FNMSUBS	= 330,
-    PPC_FNMSUBSo	= 331,
-    PPC_FNMSUBo	= 332,
-    PPC_FRE	= 333,
-    PPC_FRES	= 334,
-    PPC_FRESo	= 335,
-    PPC_FREo	= 336,
-    PPC_FRIMD	= 337,
-    PPC_FRIMDo	= 338,
-    PPC_FRIMS	= 339,
-    PPC_FRIMSo	= 340,
-    PPC_FRIND	= 341,
-    PPC_FRINDo	= 342,
-    PPC_FRINS	= 343,
-    PPC_FRINSo	= 344,
-    PPC_FRIPD	= 345,
-    PPC_FRIPDo	= 346,
-    PPC_FRIPS	= 347,
-    PPC_FRIPSo	= 348,
-    PPC_FRIZD	= 349,
-    PPC_FRIZDo	= 350,
-    PPC_FRIZS	= 351,
-    PPC_FRIZSo	= 352,
-    PPC_FRSP	= 353,
-    PPC_FRSPo	= 354,
-    PPC_FRSQRTE	= 355,
-    PPC_FRSQRTES	= 356,
-    PPC_FRSQRTESo	= 357,
-    PPC_FRSQRTEo	= 358,
-    PPC_FSELD	= 359,
-    PPC_FSELDo	= 360,
-    PPC_FSELS	= 361,
-    PPC_FSELSo	= 362,
-    PPC_FSQRT	= 363,
-    PPC_FSQRTS	= 364,
-    PPC_FSQRTSo	= 365,
-    PPC_FSQRTo	= 366,
-    PPC_FSUB	= 367,
-    PPC_FSUBS	= 368,
-    PPC_FSUBSo	= 369,
-    PPC_FSUBo	= 370,
-    PPC_GETtlsADDR	= 371,
-    PPC_GETtlsldADDR	= 372,
-    PPC_ICBI	= 373,
-    PPC_INSLWI	= 374,
-    PPC_INSLWIo	= 375,
-    PPC_INSRDI	= 376,
-    PPC_INSRDIo	= 377,
-    PPC_INSRWI	= 378,
-    PPC_INSRWIo	= 379,
-    PPC_ISEL	= 380,
-    PPC_ISEL8	= 381,
-    PPC_ISYNC	= 382,
-    PPC_LA	= 383,
-    PPC_LAx	= 384,
-    PPC_LBZ	= 385,
-    PPC_LBZ8	= 386,
-    PPC_LBZU	= 387,
-    PPC_LBZU8	= 388,
-    PPC_LBZUX	= 389,
-    PPC_LBZUX8	= 390,
-    PPC_LBZX	= 391,
-    PPC_LBZX8	= 392,
-    PPC_LD	= 393,
-    PPC_LDARX	= 394,
-    PPC_LDBRX	= 395,
-    PPC_LDU	= 396,
-    PPC_LDUX	= 397,
-    PPC_LDX	= 398,
-    PPC_LDgotTprelL	= 399,
-    PPC_LDgotTprelL32	= 400,
-    PPC_LDinto_toc	= 401,
-    PPC_LDtoc	= 402,
-    PPC_LDtocCPT	= 403,
-    PPC_LDtocJTI	= 404,
-    PPC_LDtocL	= 405,
-    PPC_LDtoc_restore	= 406,
-    PPC_LFD	= 407,
-    PPC_LFDU	= 408,
-    PPC_LFDUX	= 409,
-    PPC_LFDX	= 410,
-    PPC_LFIWAX	= 411,
-    PPC_LFIWZX	= 412,
-    PPC_LFS	= 413,
-    PPC_LFSU	= 414,
-    PPC_LFSUX	= 415,
-    PPC_LFSX	= 416,
-    PPC_LHA	= 417,
-    PPC_LHA8	= 418,
-    PPC_LHAU	= 419,
-    PPC_LHAU8	= 420,
-    PPC_LHAUX	= 421,
-    PPC_LHAUX8	= 422,
-    PPC_LHAX	= 423,
-    PPC_LHAX8	= 424,
-    PPC_LHBRX	= 425,
-    PPC_LHZ	= 426,
-    PPC_LHZ8	= 427,
-    PPC_LHZU	= 428,
-    PPC_LHZU8	= 429,
-    PPC_LHZUX	= 430,
-    PPC_LHZUX8	= 431,
-    PPC_LHZX	= 432,
-    PPC_LHZX8	= 433,
-    PPC_LI	= 434,
-    PPC_LI8	= 435,
-    PPC_LIS	= 436,
-    PPC_LIS8	= 437,
-    PPC_LMW	= 438,
-    PPC_LVEBX	= 439,
-    PPC_LVEHX	= 440,
-    PPC_LVEWX	= 441,
-    PPC_LVSL	= 442,
-    PPC_LVSR	= 443,
-    PPC_LVX	= 444,
-    PPC_LVXL	= 445,
-    PPC_LWA	= 446,
-    PPC_LWARX	= 447,
-    PPC_LWAUX	= 448,
-    PPC_LWAX	= 449,
-    PPC_LWAX_32	= 450,
-    PPC_LWA_32	= 451,
-    PPC_LWBRX	= 452,
-    PPC_LWZ	= 453,
-    PPC_LWZ8	= 454,
-    PPC_LWZU	= 455,
-    PPC_LWZU8	= 456,
-    PPC_LWZUX	= 457,
-    PPC_LWZUX8	= 458,
-    PPC_LWZX	= 459,
-    PPC_LWZX8	= 460,
-    PPC_MCRF	= 461,
-    PPC_MFCR	= 462,
-    PPC_MFCR8	= 463,
-    PPC_MFCTR	= 464,
-    PPC_MFCTR8	= 465,
-    PPC_MFFS	= 466,
-    PPC_MFLR	= 467,
-    PPC_MFLR8	= 468,
-    PPC_MFMSR	= 469,
-    PPC_MFOCRF	= 470,
-    PPC_MFOCRF8	= 471,
-    PPC_MFSPR	= 472,
-    PPC_MFTB	= 473,
-    PPC_MFTB8	= 474,
-    PPC_MFVRSAVE	= 475,
-    PPC_MFVRSAVEv	= 476,
-    PPC_MFVSCR	= 477,
-    PPC_MSYNC	= 478,
-    PPC_MTCRF	= 479,
-    PPC_MTCRF8	= 480,
-    PPC_MTCTR	= 481,
-    PPC_MTCTR8	= 482,
-    PPC_MTCTR8loop	= 483,
-    PPC_MTCTRloop	= 484,
-    PPC_MTFSB0	= 485,
-    PPC_MTFSB1	= 486,
-    PPC_MTFSF	= 487,
-    PPC_MTLR	= 488,
-    PPC_MTLR8	= 489,
-    PPC_MTMSR	= 490,
-    PPC_MTMSRD	= 491,
-    PPC_MTOCRF	= 492,
-    PPC_MTOCRF8	= 493,
-    PPC_MTSPR	= 494,
-    PPC_MTVRSAVE	= 495,
-    PPC_MTVRSAVEv	= 496,
-    PPC_MTVSCR	= 497,
-    PPC_MULHD	= 498,
-    PPC_MULHDU	= 499,
-    PPC_MULHDUo	= 500,
-    PPC_MULHDo	= 501,
-    PPC_MULHW	= 502,
-    PPC_MULHWU	= 503,
-    PPC_MULHWUo	= 504,
-    PPC_MULHWo	= 505,
-    PPC_MULLD	= 506,
-    PPC_MULLDo	= 507,
-    PPC_MULLI	= 508,
-    PPC_MULLI8	= 509,
-    PPC_MULLW	= 510,
-    PPC_MULLWo	= 511,
-    PPC_MovePCtoLR	= 512,
-    PPC_MovePCtoLR8	= 513,
-    PPC_NAND	= 514,
-    PPC_NAND8	= 515,
-    PPC_NAND8o	= 516,
-    PPC_NANDo	= 517,
-    PPC_NEG	= 518,
-    PPC_NEG8	= 519,
-    PPC_NEG8o	= 520,
-    PPC_NEGo	= 521,
-    PPC_NOP	= 522,
-    PPC_NOP_GT_PWR6	= 523,
-    PPC_NOP_GT_PWR7	= 524,
-    PPC_NOR	= 525,
-    PPC_NOR8	= 526,
-    PPC_NOR8o	= 527,
-    PPC_NORo	= 528,
-    PPC_OR	= 529,
-    PPC_OR8	= 530,
-    PPC_OR8o	= 531,
-    PPC_ORC	= 532,
-    PPC_ORC8	= 533,
-    PPC_ORC8o	= 534,
-    PPC_ORCo	= 535,
-    PPC_ORI	= 536,
-    PPC_ORI8	= 537,
-    PPC_ORIS	= 538,
-    PPC_ORIS8	= 539,
-    PPC_ORo	= 540,
-    PPC_POPCNTD	= 541,
-    PPC_POPCNTW	= 542,
-    PPC_PPC32GOT	= 543,
-    PPC_RESTORE_CR	= 544,
-    PPC_RESTORE_VRSAVE	= 545,
-    PPC_RLDCL	= 546,
-    PPC_RLDCLo	= 547,
-    PPC_RLDCR	= 548,
-    PPC_RLDCRo	= 549,
-    PPC_RLDIC	= 550,
-    PPC_RLDICL	= 551,
-    PPC_RLDICL_32_64	= 552,
-    PPC_RLDICLo	= 553,
-    PPC_RLDICR	= 554,
-    PPC_RLDICRo	= 555,
-    PPC_RLDICo	= 556,
-    PPC_RLDIMI	= 557,
-    PPC_RLDIMIo	= 558,
-    PPC_RLWIMI	= 559,
-    PPC_RLWIMIo	= 560,
-    PPC_RLWINM	= 561,
-    PPC_RLWINM8	= 562,
-    PPC_RLWINM8o	= 563,
-    PPC_RLWINMo	= 564,
-    PPC_RLWNM	= 565,
-    PPC_RLWNMo	= 566,
-    PPC_ROTRDI	= 567,
-    PPC_ROTRDIo	= 568,
-    PPC_ROTRWI	= 569,
-    PPC_ROTRWIo	= 570,
-    PPC_SC	= 571,
-    PPC_SELECT_CC_F4	= 572,
-    PPC_SELECT_CC_F8	= 573,
-    PPC_SELECT_CC_I4	= 574,
-    PPC_SELECT_CC_I8	= 575,
-    PPC_SELECT_CC_VRRC	= 576,
-    PPC_SLBIA	= 577,
-    PPC_SLBIE	= 578,
-    PPC_SLBMFEE	= 579,
-    PPC_SLBMTE	= 580,
-    PPC_SLD	= 581,
-    PPC_SLDI	= 582,
-    PPC_SLDIo	= 583,
-    PPC_SLDo	= 584,
-    PPC_SLW	= 585,
-    PPC_SLWI	= 586,
-    PPC_SLWIo	= 587,
-    PPC_SLWo	= 588,
-    PPC_SPILL_CR	= 589,
-    PPC_SPILL_VRSAVE	= 590,
-    PPC_SRAD	= 591,
-    PPC_SRADI	= 592,
-    PPC_SRADIo	= 593,
-    PPC_SRADo	= 594,
-    PPC_SRAW	= 595,
-    PPC_SRAWI	= 596,
-    PPC_SRAWIo	= 597,
-    PPC_SRAWo	= 598,
-    PPC_SRD	= 599,
-    PPC_SRDI	= 600,
-    PPC_SRDIo	= 601,
-    PPC_SRDo	= 602,
-    PPC_SRW	= 603,
-    PPC_SRWI	= 604,
-    PPC_SRWIo	= 605,
-    PPC_SRWo	= 606,
-    PPC_STB	= 607,
-    PPC_STB8	= 608,
-    PPC_STBU	= 609,
-    PPC_STBU8	= 610,
-    PPC_STBUX	= 611,
-    PPC_STBUX8	= 612,
-    PPC_STBX	= 613,
-    PPC_STBX8	= 614,
-    PPC_STD	= 615,
-    PPC_STDBRX	= 616,
-    PPC_STDCX	= 617,
-    PPC_STDU	= 618,
-    PPC_STDUX	= 619,
-    PPC_STDX	= 620,
-    PPC_STFD	= 621,
-    PPC_STFDU	= 622,
-    PPC_STFDUX	= 623,
-    PPC_STFDX	= 624,
-    PPC_STFIWX	= 625,
-    PPC_STFS	= 626,
-    PPC_STFSU	= 627,
-    PPC_STFSUX	= 628,
-    PPC_STFSX	= 629,
-    PPC_STH	= 630,
-    PPC_STH8	= 631,
-    PPC_STHBRX	= 632,
-    PPC_STHU	= 633,
-    PPC_STHU8	= 634,
-    PPC_STHUX	= 635,
-    PPC_STHUX8	= 636,
-    PPC_STHX	= 637,
-    PPC_STHX8	= 638,
-    PPC_STMW	= 639,
-    PPC_STVEBX	= 640,
-    PPC_STVEHX	= 641,
-    PPC_STVEWX	= 642,
-    PPC_STVX	= 643,
-    PPC_STVXL	= 644,
-    PPC_STW	= 645,
-    PPC_STW8	= 646,
-    PPC_STWBRX	= 647,
-    PPC_STWCX	= 648,
-    PPC_STWU	= 649,
-    PPC_STWU8	= 650,
-    PPC_STWUX	= 651,
-    PPC_STWUX8	= 652,
-    PPC_STWX	= 653,
-    PPC_STWX8	= 654,
-    PPC_SUBF	= 655,
-    PPC_SUBF8	= 656,
-    PPC_SUBF8o	= 657,
-    PPC_SUBFC	= 658,
-    PPC_SUBFC8	= 659,
-    PPC_SUBFC8o	= 660,
-    PPC_SUBFCo	= 661,
-    PPC_SUBFE	= 662,
-    PPC_SUBFE8	= 663,
-    PPC_SUBFE8o	= 664,
-    PPC_SUBFEo	= 665,
-    PPC_SUBFIC	= 666,
-    PPC_SUBFIC8	= 667,
-    PPC_SUBFME	= 668,
-    PPC_SUBFME8	= 669,
-    PPC_SUBFME8o	= 670,
-    PPC_SUBFMEo	= 671,
-    PPC_SUBFZE	= 672,
-    PPC_SUBFZE8	= 673,
-    PPC_SUBFZE8o	= 674,
-    PPC_SUBFZEo	= 675,
-    PPC_SUBFo	= 676,
-    PPC_SUBI	= 677,
-    PPC_SUBIC	= 678,
-    PPC_SUBICo	= 679,
-    PPC_SUBIS	= 680,
-    PPC_SYNC	= 681,
-    PPC_TAILB	= 682,
-    PPC_TAILB8	= 683,
-    PPC_TAILBA	= 684,
-    PPC_TAILBA8	= 685,
-    PPC_TAILBCTR	= 686,
-    PPC_TAILBCTR8	= 687,
-    PPC_TCRETURNai	= 688,
-    PPC_TCRETURNai8	= 689,
-    PPC_TCRETURNdi	= 690,
-    PPC_TCRETURNdi8	= 691,
-    PPC_TCRETURNri	= 692,
-    PPC_TCRETURNri8	= 693,
-    PPC_TD	= 694,
-    PPC_TDI	= 695,
-    PPC_TLBIE	= 696,
-    PPC_TLBIEL	= 697,
-    PPC_TLBSYNC	= 698,
-    PPC_TRAP	= 699,
-    PPC_TW	= 700,
-    PPC_TWI	= 701,
-    PPC_UPDATE_VRSAVE	= 702,
-    PPC_VADDCUW	= 703,
-    PPC_VADDFP	= 704,
-    PPC_VADDSBS	= 705,
-    PPC_VADDSHS	= 706,
-    PPC_VADDSWS	= 707,
-    PPC_VADDUBM	= 708,
-    PPC_VADDUBS	= 709,
-    PPC_VADDUHM	= 710,
-    PPC_VADDUHS	= 711,
-    PPC_VADDUWM	= 712,
-    PPC_VADDUWS	= 713,
-    PPC_VAND	= 714,
-    PPC_VANDC	= 715,
-    PPC_VAVGSB	= 716,
-    PPC_VAVGSH	= 717,
-    PPC_VAVGSW	= 718,
-    PPC_VAVGUB	= 719,
-    PPC_VAVGUH	= 720,
-    PPC_VAVGUW	= 721,
-    PPC_VCFSX	= 722,
-    PPC_VCFSX_0	= 723,
-    PPC_VCFUX	= 724,
-    PPC_VCFUX_0	= 725,
-    PPC_VCMPBFP	= 726,
-    PPC_VCMPBFPo	= 727,
-    PPC_VCMPEQFP	= 728,
-    PPC_VCMPEQFPo	= 729,
-    PPC_VCMPEQUB	= 730,
-    PPC_VCMPEQUBo	= 731,
-    PPC_VCMPEQUH	= 732,
-    PPC_VCMPEQUHo	= 733,
-    PPC_VCMPEQUW	= 734,
-    PPC_VCMPEQUWo	= 735,
-    PPC_VCMPGEFP	= 736,
-    PPC_VCMPGEFPo	= 737,
-    PPC_VCMPGTFP	= 738,
-    PPC_VCMPGTFPo	= 739,
-    PPC_VCMPGTSB	= 740,
-    PPC_VCMPGTSBo	= 741,
-    PPC_VCMPGTSH	= 742,
-    PPC_VCMPGTSHo	= 743,
-    PPC_VCMPGTSW	= 744,
-    PPC_VCMPGTSWo	= 745,
-    PPC_VCMPGTUB	= 746,
-    PPC_VCMPGTUBo	= 747,
-    PPC_VCMPGTUH	= 748,
-    PPC_VCMPGTUHo	= 749,
-    PPC_VCMPGTUW	= 750,
-    PPC_VCMPGTUWo	= 751,
-    PPC_VCTSXS	= 752,
-    PPC_VCTSXS_0	= 753,
-    PPC_VCTUXS	= 754,
-    PPC_VCTUXS_0	= 755,
-    PPC_VEXPTEFP	= 756,
-    PPC_VLOGEFP	= 757,
-    PPC_VMADDFP	= 758,
-    PPC_VMAXFP	= 759,
-    PPC_VMAXSB	= 760,
-    PPC_VMAXSH	= 761,
-    PPC_VMAXSW	= 762,
-    PPC_VMAXUB	= 763,
-    PPC_VMAXUH	= 764,
-    PPC_VMAXUW	= 765,
-    PPC_VMHADDSHS	= 766,
-    PPC_VMHRADDSHS	= 767,
-    PPC_VMINFP	= 768,
-    PPC_VMINSB	= 769,
-    PPC_VMINSH	= 770,
-    PPC_VMINSW	= 771,
-    PPC_VMINUB	= 772,
-    PPC_VMINUH	= 773,
-    PPC_VMINUW	= 774,
-    PPC_VMLADDUHM	= 775,
-    PPC_VMRGHB	= 776,
-    PPC_VMRGHH	= 777,
-    PPC_VMRGHW	= 778,
-    PPC_VMRGLB	= 779,
-    PPC_VMRGLH	= 780,
-    PPC_VMRGLW	= 781,
-    PPC_VMSUMMBM	= 782,
-    PPC_VMSUMSHM	= 783,
-    PPC_VMSUMSHS	= 784,
-    PPC_VMSUMUBM	= 785,
-    PPC_VMSUMUHM	= 786,
-    PPC_VMSUMUHS	= 787,
-    PPC_VMULESB	= 788,
-    PPC_VMULESH	= 789,
-    PPC_VMULEUB	= 790,
-    PPC_VMULEUH	= 791,
-    PPC_VMULOSB	= 792,
-    PPC_VMULOSH	= 793,
-    PPC_VMULOUB	= 794,
-    PPC_VMULOUH	= 795,
-    PPC_VNMSUBFP	= 796,
-    PPC_VNOR	= 797,
-    PPC_VOR	= 798,
-    PPC_VPERM	= 799,
-    PPC_VPKPX	= 800,
-    PPC_VPKSHSS	= 801,
-    PPC_VPKSHUS	= 802,
-    PPC_VPKSWSS	= 803,
-    PPC_VPKSWUS	= 804,
-    PPC_VPKUHUM	= 805,
-    PPC_VPKUHUS	= 806,
-    PPC_VPKUWUM	= 807,
-    PPC_VPKUWUS	= 808,
-    PPC_VREFP	= 809,
-    PPC_VRFIM	= 810,
-    PPC_VRFIN	= 811,
-    PPC_VRFIP	= 812,
-    PPC_VRFIZ	= 813,
-    PPC_VRLB	= 814,
-    PPC_VRLH	= 815,
-    PPC_VRLW	= 816,
-    PPC_VRSQRTEFP	= 817,
-    PPC_VSEL	= 818,
-    PPC_VSL	= 819,
-    PPC_VSLB	= 820,
-    PPC_VSLDOI	= 821,
-    PPC_VSLH	= 822,
-    PPC_VSLO	= 823,
-    PPC_VSLW	= 824,
-    PPC_VSPLTB	= 825,
-    PPC_VSPLTH	= 826,
-    PPC_VSPLTISB	= 827,
-    PPC_VSPLTISH	= 828,
-    PPC_VSPLTISW	= 829,
-    PPC_VSPLTW	= 830,
-    PPC_VSR	= 831,
-    PPC_VSRAB	= 832,
-    PPC_VSRAH	= 833,
-    PPC_VSRAW	= 834,
-    PPC_VSRB	= 835,
-    PPC_VSRH	= 836,
-    PPC_VSRO	= 837,
-    PPC_VSRW	= 838,
-    PPC_VSUBCUW	= 839,
-    PPC_VSUBFP	= 840,
-    PPC_VSUBSBS	= 841,
-    PPC_VSUBSHS	= 842,
-    PPC_VSUBSWS	= 843,
-    PPC_VSUBUBM	= 844,
-    PPC_VSUBUBS	= 845,
-    PPC_VSUBUHM	= 846,
-    PPC_VSUBUHS	= 847,
-    PPC_VSUBUWM	= 848,
-    PPC_VSUBUWS	= 849,
-    PPC_VSUM2SWS	= 850,
-    PPC_VSUM4SBS	= 851,
-    PPC_VSUM4SHS	= 852,
-    PPC_VSUM4UBS	= 853,
-    PPC_VSUMSWS	= 854,
-    PPC_VUPKHPX	= 855,
-    PPC_VUPKHSB	= 856,
-    PPC_VUPKHSH	= 857,
-    PPC_VUPKLPX	= 858,
-    PPC_VUPKLSB	= 859,
-    PPC_VUPKLSH	= 860,
-    PPC_VXOR	= 861,
-    PPC_V_SET0	= 862,
-    PPC_V_SET0B	= 863,
-    PPC_V_SET0H	= 864,
-    PPC_V_SETALLONES	= 865,
-    PPC_V_SETALLONESB	= 866,
-    PPC_V_SETALLONESH	= 867,
-    PPC_WAIT	= 868,
-    PPC_XOR	= 869,
-    PPC_XOR8	= 870,
-    PPC_XOR8o	= 871,
-    PPC_XORI	= 872,
-    PPC_XORI8	= 873,
-    PPC_XORIS	= 874,
-    PPC_XORIS8	= 875,
-    PPC_XORo	= 876,
-    PPC_gBC	= 877,
-    PPC_gBCA	= 878,
-    PPC_gBCCTR	= 879,
-    PPC_gBCCTRL	= 880,
-    PPC_gBCL	= 881,
-    PPC_gBCLA	= 882,
-    PPC_gBCLR	= 883,
-    PPC_gBCLRL	= 884,
-    PPC_INSTRUCTION_LIST_END = 885
+    PPC_LOAD_STACK_GUARD	= 19,
+    PPC_ADD4	= 20,
+    PPC_ADD4TLS	= 21,
+    PPC_ADD4o	= 22,
+    PPC_ADD8	= 23,
+    PPC_ADD8TLS	= 24,
+    PPC_ADD8TLS_	= 25,
+    PPC_ADD8o	= 26,
+    PPC_ADDC	= 27,
+    PPC_ADDC8	= 28,
+    PPC_ADDC8o	= 29,
+    PPC_ADDCo	= 30,
+    PPC_ADDE	= 31,
+    PPC_ADDE8	= 32,
+    PPC_ADDE8o	= 33,
+    PPC_ADDEo	= 34,
+    PPC_ADDI	= 35,
+    PPC_ADDI8	= 36,
+    PPC_ADDIC	= 37,
+    PPC_ADDIC8	= 38,
+    PPC_ADDICo	= 39,
+    PPC_ADDIS	= 40,
+    PPC_ADDIS8	= 41,
+    PPC_ADDISdtprelHA	= 42,
+    PPC_ADDISdtprelHA32	= 43,
+    PPC_ADDISgotTprelHA	= 44,
+    PPC_ADDIStlsgdHA	= 45,
+    PPC_ADDIStlsldHA	= 46,
+    PPC_ADDIStocHA	= 47,
+    PPC_ADDIdtprelL	= 48,
+    PPC_ADDIdtprelL32	= 49,
+    PPC_ADDItlsgdL	= 50,
+    PPC_ADDItlsgdL32	= 51,
+    PPC_ADDItlsldL	= 52,
+    PPC_ADDItlsldL32	= 53,
+    PPC_ADDItocL	= 54,
+    PPC_ADDME	= 55,
+    PPC_ADDME8	= 56,
+    PPC_ADDME8o	= 57,
+    PPC_ADDMEo	= 58,
+    PPC_ADDZE	= 59,
+    PPC_ADDZE8	= 60,
+    PPC_ADDZE8o	= 61,
+    PPC_ADDZEo	= 62,
+    PPC_ADJCALLSTACKDOWN	= 63,
+    PPC_ADJCALLSTACKUP	= 64,
+    PPC_AND	= 65,
+    PPC_AND8	= 66,
+    PPC_AND8o	= 67,
+    PPC_ANDC	= 68,
+    PPC_ANDC8	= 69,
+    PPC_ANDC8o	= 70,
+    PPC_ANDCo	= 71,
+    PPC_ANDISo	= 72,
+    PPC_ANDISo8	= 73,
+    PPC_ANDIo	= 74,
+    PPC_ANDIo8	= 75,
+    PPC_ANDIo_1_EQ_BIT	= 76,
+    PPC_ANDIo_1_EQ_BIT8	= 77,
+    PPC_ANDIo_1_GT_BIT	= 78,
+    PPC_ANDIo_1_GT_BIT8	= 79,
+    PPC_ANDo	= 80,
+    PPC_ATOMIC_CMP_SWAP_I16	= 81,
+    PPC_ATOMIC_CMP_SWAP_I32	= 82,
+    PPC_ATOMIC_CMP_SWAP_I64	= 83,
+    PPC_ATOMIC_CMP_SWAP_I8	= 84,
+    PPC_ATOMIC_LOAD_ADD_I16	= 85,
+    PPC_ATOMIC_LOAD_ADD_I32	= 86,
+    PPC_ATOMIC_LOAD_ADD_I64	= 87,
+    PPC_ATOMIC_LOAD_ADD_I8	= 88,
+    PPC_ATOMIC_LOAD_AND_I16	= 89,
+    PPC_ATOMIC_LOAD_AND_I32	= 90,
+    PPC_ATOMIC_LOAD_AND_I64	= 91,
+    PPC_ATOMIC_LOAD_AND_I8	= 92,
+    PPC_ATOMIC_LOAD_NAND_I16	= 93,
+    PPC_ATOMIC_LOAD_NAND_I32	= 94,
+    PPC_ATOMIC_LOAD_NAND_I64	= 95,
+    PPC_ATOMIC_LOAD_NAND_I8	= 96,
+    PPC_ATOMIC_LOAD_OR_I16	= 97,
+    PPC_ATOMIC_LOAD_OR_I32	= 98,
+    PPC_ATOMIC_LOAD_OR_I64	= 99,
+    PPC_ATOMIC_LOAD_OR_I8	= 100,
+    PPC_ATOMIC_LOAD_SUB_I16	= 101,
+    PPC_ATOMIC_LOAD_SUB_I32	= 102,
+    PPC_ATOMIC_LOAD_SUB_I64	= 103,
+    PPC_ATOMIC_LOAD_SUB_I8	= 104,
+    PPC_ATOMIC_LOAD_XOR_I16	= 105,
+    PPC_ATOMIC_LOAD_XOR_I32	= 106,
+    PPC_ATOMIC_LOAD_XOR_I64	= 107,
+    PPC_ATOMIC_LOAD_XOR_I8	= 108,
+    PPC_ATOMIC_SWAP_I16	= 109,
+    PPC_ATOMIC_SWAP_I32	= 110,
+    PPC_ATOMIC_SWAP_I64	= 111,
+    PPC_ATOMIC_SWAP_I8	= 112,
+    PPC_B	= 113,
+    PPC_BA	= 114,
+    PPC_BC	= 115,
+    PPC_BCC	= 116,
+    PPC_BCCA	= 117,
+    PPC_BCCCTR	= 118,
+    PPC_BCCCTR8	= 119,
+    PPC_BCCCTRL	= 120,
+    PPC_BCCCTRL8	= 121,
+    PPC_BCCL	= 122,
+    PPC_BCCLA	= 123,
+    PPC_BCCLR	= 124,
+    PPC_BCCLRL	= 125,
+    PPC_BCCTR	= 126,
+    PPC_BCCTR8	= 127,
+    PPC_BCCTR8n	= 128,
+    PPC_BCCTRL	= 129,
+    PPC_BCCTRL8	= 130,
+    PPC_BCCTRL8n	= 131,
+    PPC_BCCTRLn	= 132,
+    PPC_BCCTRn	= 133,
+    PPC_BCL	= 134,
+    PPC_BCLR	= 135,
+    PPC_BCLRL	= 136,
+    PPC_BCLRLn	= 137,
+    PPC_BCLRn	= 138,
+    PPC_BCLalways	= 139,
+    PPC_BCLn	= 140,
+    PPC_BCTR	= 141,
+    PPC_BCTR8	= 142,
+    PPC_BCTRL	= 143,
+    PPC_BCTRL8	= 144,
+    PPC_BCn	= 145,
+    PPC_BDNZ	= 146,
+    PPC_BDNZ8	= 147,
+    PPC_BDNZA	= 148,
+    PPC_BDNZAm	= 149,
+    PPC_BDNZAp	= 150,
+    PPC_BDNZL	= 151,
+    PPC_BDNZLA	= 152,
+    PPC_BDNZLAm	= 153,
+    PPC_BDNZLAp	= 154,
+    PPC_BDNZLR	= 155,
+    PPC_BDNZLR8	= 156,
+    PPC_BDNZLRL	= 157,
+    PPC_BDNZLRLm	= 158,
+    PPC_BDNZLRLp	= 159,
+    PPC_BDNZLRm	= 160,
+    PPC_BDNZLRp	= 161,
+    PPC_BDNZLm	= 162,
+    PPC_BDNZLp	= 163,
+    PPC_BDNZm	= 164,
+    PPC_BDNZp	= 165,
+    PPC_BDZ	= 166,
+    PPC_BDZ8	= 167,
+    PPC_BDZA	= 168,
+    PPC_BDZAm	= 169,
+    PPC_BDZAp	= 170,
+    PPC_BDZL	= 171,
+    PPC_BDZLA	= 172,
+    PPC_BDZLAm	= 173,
+    PPC_BDZLAp	= 174,
+    PPC_BDZLR	= 175,
+    PPC_BDZLR8	= 176,
+    PPC_BDZLRL	= 177,
+    PPC_BDZLRLm	= 178,
+    PPC_BDZLRLp	= 179,
+    PPC_BDZLRm	= 180,
+    PPC_BDZLRp	= 181,
+    PPC_BDZLm	= 182,
+    PPC_BDZLp	= 183,
+    PPC_BDZm	= 184,
+    PPC_BDZp	= 185,
+    PPC_BL	= 186,
+    PPC_BL8	= 187,
+    PPC_BL8_NOP	= 188,
+    PPC_BL8_NOP_TLS	= 189,
+    PPC_BL8_TLS	= 190,
+    PPC_BL8_TLS_	= 191,
+    PPC_BLA	= 192,
+    PPC_BLA8	= 193,
+    PPC_BLA8_NOP	= 194,
+    PPC_BLR	= 195,
+    PPC_BLRL	= 196,
+    PPC_BL_TLS	= 197,
+    PPC_BRINC	= 198,
+    PPC_CLRLSLDI	= 199,
+    PPC_CLRLSLDIo	= 200,
+    PPC_CLRLSLWI	= 201,
+    PPC_CLRLSLWIo	= 202,
+    PPC_CLRRDI	= 203,
+    PPC_CLRRDIo	= 204,
+    PPC_CLRRWI	= 205,
+    PPC_CLRRWIo	= 206,
+    PPC_CMPD	= 207,
+    PPC_CMPDI	= 208,
+    PPC_CMPLD	= 209,
+    PPC_CMPLDI	= 210,
+    PPC_CMPLW	= 211,
+    PPC_CMPLWI	= 212,
+    PPC_CMPW	= 213,
+    PPC_CMPWI	= 214,
+    PPC_CNTLZD	= 215,
+    PPC_CNTLZDo	= 216,
+    PPC_CNTLZW	= 217,
+    PPC_CNTLZWo	= 218,
+    PPC_CR6SET	= 219,
+    PPC_CR6UNSET	= 220,
+    PPC_CRAND	= 221,
+    PPC_CRANDC	= 222,
+    PPC_CREQV	= 223,
+    PPC_CRNAND	= 224,
+    PPC_CRNOR	= 225,
+    PPC_CROR	= 226,
+    PPC_CRORC	= 227,
+    PPC_CRSET	= 228,
+    PPC_CRUNSET	= 229,
+    PPC_CRXOR	= 230,
+    PPC_DCBA	= 231,
+    PPC_DCBF	= 232,
+    PPC_DCBI	= 233,
+    PPC_DCBST	= 234,
+    PPC_DCBT	= 235,
+    PPC_DCBTST	= 236,
+    PPC_DCBZ	= 237,
+    PPC_DCBZL	= 238,
+    PPC_DCCCI	= 239,
+    PPC_DIVD	= 240,
+    PPC_DIVDU	= 241,
+    PPC_DIVDUo	= 242,
+    PPC_DIVDo	= 243,
+    PPC_DIVW	= 244,
+    PPC_DIVWU	= 245,
+    PPC_DIVWUo	= 246,
+    PPC_DIVWo	= 247,
+    PPC_DSS	= 248,
+    PPC_DSSALL	= 249,
+    PPC_DST	= 250,
+    PPC_DST64	= 251,
+    PPC_DSTST	= 252,
+    PPC_DSTST64	= 253,
+    PPC_DSTSTT	= 254,
+    PPC_DSTSTT64	= 255,
+    PPC_DSTT	= 256,
+    PPC_DSTT64	= 257,
+    PPC_DYNALLOC	= 258,
+    PPC_DYNALLOC8	= 259,
+    PPC_EH_SjLj_LongJmp32	= 260,
+    PPC_EH_SjLj_LongJmp64	= 261,
+    PPC_EH_SjLj_SetJmp32	= 262,
+    PPC_EH_SjLj_SetJmp64	= 263,
+    PPC_EH_SjLj_Setup	= 264,
+    PPC_EIEIO	= 265,
+    PPC_EQV	= 266,
+    PPC_EQV8	= 267,
+    PPC_EQV8o	= 268,
+    PPC_EQVo	= 269,
+    PPC_EVABS	= 270,
+    PPC_EVADDIW	= 271,
+    PPC_EVADDSMIAAW	= 272,
+    PPC_EVADDSSIAAW	= 273,
+    PPC_EVADDUMIAAW	= 274,
+    PPC_EVADDUSIAAW	= 275,
+    PPC_EVADDW	= 276,
+    PPC_EVAND	= 277,
+    PPC_EVANDC	= 278,
+    PPC_EVCMPEQ	= 279,
+    PPC_EVCMPGTS	= 280,
+    PPC_EVCMPGTU	= 281,
+    PPC_EVCMPLTS	= 282,
+    PPC_EVCMPLTU	= 283,
+    PPC_EVCNTLSW	= 284,
+    PPC_EVCNTLZW	= 285,
+    PPC_EVDIVWS	= 286,
+    PPC_EVDIVWU	= 287,
+    PPC_EVEQV	= 288,
+    PPC_EVEXTSB	= 289,
+    PPC_EVEXTSH	= 290,
+    PPC_EVLDD	= 291,
+    PPC_EVLDDX	= 292,
+    PPC_EVLDH	= 293,
+    PPC_EVLDHX	= 294,
+    PPC_EVLDW	= 295,
+    PPC_EVLDWX	= 296,
+    PPC_EVLHHESPLAT	= 297,
+    PPC_EVLHHESPLATX	= 298,
+    PPC_EVLHHOSSPLAT	= 299,
+    PPC_EVLHHOSSPLATX	= 300,
+    PPC_EVLHHOUSPLAT	= 301,
+    PPC_EVLHHOUSPLATX	= 302,
+    PPC_EVLWHE	= 303,
+    PPC_EVLWHEX	= 304,
+    PPC_EVLWHOS	= 305,
+    PPC_EVLWHOSX	= 306,
+    PPC_EVLWHOU	= 307,
+    PPC_EVLWHOUX	= 308,
+    PPC_EVLWHSPLAT	= 309,
+    PPC_EVLWHSPLATX	= 310,
+    PPC_EVLWWSPLAT	= 311,
+    PPC_EVLWWSPLATX	= 312,
+    PPC_EVMERGEHI	= 313,
+    PPC_EVMERGEHILO	= 314,
+    PPC_EVMERGELO	= 315,
+    PPC_EVMERGELOHI	= 316,
+    PPC_EVMHEGSMFAA	= 317,
+    PPC_EVMHEGSMFAN	= 318,
+    PPC_EVMHEGSMIAA	= 319,
+    PPC_EVMHEGSMIAN	= 320,
+    PPC_EVMHEGUMIAA	= 321,
+    PPC_EVMHEGUMIAN	= 322,
+    PPC_EVMHESMF	= 323,
+    PPC_EVMHESMFA	= 324,
+    PPC_EVMHESMFAAW	= 325,
+    PPC_EVMHESMFANW	= 326,
+    PPC_EVMHESMI	= 327,
+    PPC_EVMHESMIA	= 328,
+    PPC_EVMHESMIAAW	= 329,
+    PPC_EVMHESMIANW	= 330,
+    PPC_EVMHESSF	= 331,
+    PPC_EVMHESSFA	= 332,
+    PPC_EVMHESSFAAW	= 333,
+    PPC_EVMHESSFANW	= 334,
+    PPC_EVMHESSIAAW	= 335,
+    PPC_EVMHESSIANW	= 336,
+    PPC_EVMHEUMI	= 337,
+    PPC_EVMHEUMIA	= 338,
+    PPC_EVMHEUMIAAW	= 339,
+    PPC_EVMHEUMIANW	= 340,
+    PPC_EVMHEUSIAAW	= 341,
+    PPC_EVMHEUSIANW	= 342,
+    PPC_EVMHOGSMFAA	= 343,
+    PPC_EVMHOGSMFAN	= 344,
+    PPC_EVMHOGSMIAA	= 345,
+    PPC_EVMHOGSMIAN	= 346,
+    PPC_EVMHOGUMIAA	= 347,
+    PPC_EVMHOGUMIAN	= 348,
+    PPC_EVMHOSMF	= 349,
+    PPC_EVMHOSMFA	= 350,
+    PPC_EVMHOSMFAAW	= 351,
+    PPC_EVMHOSMFANW	= 352,
+    PPC_EVMHOSMI	= 353,
+    PPC_EVMHOSMIA	= 354,
+    PPC_EVMHOSMIAAW	= 355,
+    PPC_EVMHOSMIANW	= 356,
+    PPC_EVMHOSSF	= 357,
+    PPC_EVMHOSSFA	= 358,
+    PPC_EVMHOSSFAAW	= 359,
+    PPC_EVMHOSSFANW	= 360,
+    PPC_EVMHOSSIAAW	= 361,
+    PPC_EVMHOSSIANW	= 362,
+    PPC_EVMHOUMI	= 363,
+    PPC_EVMHOUMIA	= 364,
+    PPC_EVMHOUMIAAW	= 365,
+    PPC_EVMHOUMIANW	= 366,
+    PPC_EVMHOUSIAAW	= 367,
+    PPC_EVMHOUSIANW	= 368,
+    PPC_EVMRA	= 369,
+    PPC_EVMWHSMF	= 370,
+    PPC_EVMWHSMFA	= 371,
+    PPC_EVMWHSMI	= 372,
+    PPC_EVMWHSMIA	= 373,
+    PPC_EVMWHSSF	= 374,
+    PPC_EVMWHSSFA	= 375,
+    PPC_EVMWHUMI	= 376,
+    PPC_EVMWHUMIA	= 377,
+    PPC_EVMWLSMIAAW	= 378,
+    PPC_EVMWLSMIANW	= 379,
+    PPC_EVMWLSSIAAW	= 380,
+    PPC_EVMWLSSIANW	= 381,
+    PPC_EVMWLUMI	= 382,
+    PPC_EVMWLUMIA	= 383,
+    PPC_EVMWLUMIAAW	= 384,
+    PPC_EVMWLUMIANW	= 385,
+    PPC_EVMWLUSIAAW	= 386,
+    PPC_EVMWLUSIANW	= 387,
+    PPC_EVMWSMF	= 388,
+    PPC_EVMWSMFA	= 389,
+    PPC_EVMWSMFAA	= 390,
+    PPC_EVMWSMFAN	= 391,
+    PPC_EVMWSMI	= 392,
+    PPC_EVMWSMIA	= 393,
+    PPC_EVMWSMIAA	= 394,
+    PPC_EVMWSMIAN	= 395,
+    PPC_EVMWSSF	= 396,
+    PPC_EVMWSSFA	= 397,
+    PPC_EVMWSSFAA	= 398,
+    PPC_EVMWSSFAN	= 399,
+    PPC_EVMWUMI	= 400,
+    PPC_EVMWUMIA	= 401,
+    PPC_EVMWUMIAA	= 402,
+    PPC_EVMWUMIAN	= 403,
+    PPC_EVNAND	= 404,
+    PPC_EVNEG	= 405,
+    PPC_EVNOR	= 406,
+    PPC_EVOR	= 407,
+    PPC_EVORC	= 408,
+    PPC_EVRLW	= 409,
+    PPC_EVRLWI	= 410,
+    PPC_EVRNDW	= 411,
+    PPC_EVSLW	= 412,
+    PPC_EVSLWI	= 413,
+    PPC_EVSPLATFI	= 414,
+    PPC_EVSPLATI	= 415,
+    PPC_EVSRWIS	= 416,
+    PPC_EVSRWIU	= 417,
+    PPC_EVSRWS	= 418,
+    PPC_EVSRWU	= 419,
+    PPC_EVSTDD	= 420,
+    PPC_EVSTDDX	= 421,
+    PPC_EVSTDH	= 422,
+    PPC_EVSTDHX	= 423,
+    PPC_EVSTDW	= 424,
+    PPC_EVSTDWX	= 425,
+    PPC_EVSTWHE	= 426,
+    PPC_EVSTWHEX	= 427,
+    PPC_EVSTWHO	= 428,
+    PPC_EVSTWHOX	= 429,
+    PPC_EVSTWWE	= 430,
+    PPC_EVSTWWEX	= 431,
+    PPC_EVSTWWO	= 432,
+    PPC_EVSTWWOX	= 433,
+    PPC_EVSUBFSMIAAW	= 434,
+    PPC_EVSUBFSSIAAW	= 435,
+    PPC_EVSUBFUMIAAW	= 436,
+    PPC_EVSUBFUSIAAW	= 437,
+    PPC_EVSUBFW	= 438,
+    PPC_EVSUBIFW	= 439,
+    PPC_EVXOR	= 440,
+    PPC_EXTLDI	= 441,
+    PPC_EXTLDIo	= 442,
+    PPC_EXTLWI	= 443,
+    PPC_EXTLWIo	= 444,
+    PPC_EXTRDI	= 445,
+    PPC_EXTRDIo	= 446,
+    PPC_EXTRWI	= 447,
+    PPC_EXTRWIo	= 448,
+    PPC_EXTSB	= 449,
+    PPC_EXTSB8	= 450,
+    PPC_EXTSB8_32_64	= 451,
+    PPC_EXTSB8o	= 452,
+    PPC_EXTSBo	= 453,
+    PPC_EXTSH	= 454,
+    PPC_EXTSH8	= 455,
+    PPC_EXTSH8_32_64	= 456,
+    PPC_EXTSH8o	= 457,
+    PPC_EXTSHo	= 458,
+    PPC_EXTSW	= 459,
+    PPC_EXTSW_32_64	= 460,
+    PPC_EXTSW_32_64o	= 461,
+    PPC_EXTSWo	= 462,
+    PPC_FABSD	= 463,
+    PPC_FABSDo	= 464,
+    PPC_FABSS	= 465,
+    PPC_FABSSo	= 466,
+    PPC_FADD	= 467,
+    PPC_FADDS	= 468,
+    PPC_FADDSo	= 469,
+    PPC_FADDo	= 470,
+    PPC_FADDrtz	= 471,
+    PPC_FCFID	= 472,
+    PPC_FCFIDS	= 473,
+    PPC_FCFIDSo	= 474,
+    PPC_FCFIDU	= 475,
+    PPC_FCFIDUS	= 476,
+    PPC_FCFIDUSo	= 477,
+    PPC_FCFIDUo	= 478,
+    PPC_FCFIDo	= 479,
+    PPC_FCMPUD	= 480,
+    PPC_FCMPUS	= 481,
+    PPC_FCPSGND	= 482,
+    PPC_FCPSGNDo	= 483,
+    PPC_FCPSGNS	= 484,
+    PPC_FCPSGNSo	= 485,
+    PPC_FCTID	= 486,
+    PPC_FCTIDUZ	= 487,
+    PPC_FCTIDUZo	= 488,
+    PPC_FCTIDZ	= 489,
+    PPC_FCTIDZo	= 490,
+    PPC_FCTIDo	= 491,
+    PPC_FCTIW	= 492,
+    PPC_FCTIWUZ	= 493,
+    PPC_FCTIWUZo	= 494,
+    PPC_FCTIWZ	= 495,
+    PPC_FCTIWZo	= 496,
+    PPC_FCTIWo	= 497,
+    PPC_FDIV	= 498,
+    PPC_FDIVS	= 499,
+    PPC_FDIVSo	= 500,
+    PPC_FDIVo	= 501,
+    PPC_FMADD	= 502,
+    PPC_FMADDS	= 503,
+    PPC_FMADDSo	= 504,
+    PPC_FMADDo	= 505,
+    PPC_FMR	= 506,
+    PPC_FMRo	= 507,
+    PPC_FMSUB	= 508,
+    PPC_FMSUBS	= 509,
+    PPC_FMSUBSo	= 510,
+    PPC_FMSUBo	= 511,
+    PPC_FMUL	= 512,
+    PPC_FMULS	= 513,
+    PPC_FMULSo	= 514,
+    PPC_FMULo	= 515,
+    PPC_FNABSD	= 516,
+    PPC_FNABSDo	= 517,
+    PPC_FNABSS	= 518,
+    PPC_FNABSSo	= 519,
+    PPC_FNEGD	= 520,
+    PPC_FNEGDo	= 521,
+    PPC_FNEGS	= 522,
+    PPC_FNEGSo	= 523,
+    PPC_FNMADD	= 524,
+    PPC_FNMADDS	= 525,
+    PPC_FNMADDSo	= 526,
+    PPC_FNMADDo	= 527,
+    PPC_FNMSUB	= 528,
+    PPC_FNMSUBS	= 529,
+    PPC_FNMSUBSo	= 530,
+    PPC_FNMSUBo	= 531,
+    PPC_FRE	= 532,
+    PPC_FRES	= 533,
+    PPC_FRESo	= 534,
+    PPC_FREo	= 535,
+    PPC_FRIMD	= 536,
+    PPC_FRIMDo	= 537,
+    PPC_FRIMS	= 538,
+    PPC_FRIMSo	= 539,
+    PPC_FRIND	= 540,
+    PPC_FRINDo	= 541,
+    PPC_FRINS	= 542,
+    PPC_FRINSo	= 543,
+    PPC_FRIPD	= 544,
+    PPC_FRIPDo	= 545,
+    PPC_FRIPS	= 546,
+    PPC_FRIPSo	= 547,
+    PPC_FRIZD	= 548,
+    PPC_FRIZDo	= 549,
+    PPC_FRIZS	= 550,
+    PPC_FRIZSo	= 551,
+    PPC_FRSP	= 552,
+    PPC_FRSPo	= 553,
+    PPC_FRSQRTE	= 554,
+    PPC_FRSQRTES	= 555,
+    PPC_FRSQRTESo	= 556,
+    PPC_FRSQRTEo	= 557,
+    PPC_FSELD	= 558,
+    PPC_FSELDo	= 559,
+    PPC_FSELS	= 560,
+    PPC_FSELSo	= 561,
+    PPC_FSQRT	= 562,
+    PPC_FSQRTS	= 563,
+    PPC_FSQRTSo	= 564,
+    PPC_FSQRTo	= 565,
+    PPC_FSUB	= 566,
+    PPC_FSUBS	= 567,
+    PPC_FSUBSo	= 568,
+    PPC_FSUBo	= 569,
+    PPC_GETtlsADDR	= 570,
+    PPC_GETtlsADDR32	= 571,
+    PPC_GETtlsldADDR	= 572,
+    PPC_GETtlsldADDR32	= 573,
+    PPC_GetGBRO	= 574,
+    PPC_ICBI	= 575,
+    PPC_ICCCI	= 576,
+    PPC_INSLWI	= 577,
+    PPC_INSLWIo	= 578,
+    PPC_INSRDI	= 579,
+    PPC_INSRDIo	= 580,
+    PPC_INSRWI	= 581,
+    PPC_INSRWIo	= 582,
+    PPC_ISEL	= 583,
+    PPC_ISEL8	= 584,
+    PPC_ISYNC	= 585,
+    PPC_LA	= 586,
+    PPC_LAx	= 587,
+    PPC_LBZ	= 588,
+    PPC_LBZ8	= 589,
+    PPC_LBZU	= 590,
+    PPC_LBZU8	= 591,
+    PPC_LBZUX	= 592,
+    PPC_LBZUX8	= 593,
+    PPC_LBZX	= 594,
+    PPC_LBZX8	= 595,
+    PPC_LD	= 596,
+    PPC_LDARX	= 597,
+    PPC_LDBRX	= 598,
+    PPC_LDU	= 599,
+    PPC_LDUX	= 600,
+    PPC_LDX	= 601,
+    PPC_LDgotTprelL	= 602,
+    PPC_LDgotTprelL32	= 603,
+    PPC_LDinto_toc	= 604,
+    PPC_LDtoc	= 605,
+    PPC_LDtocCPT	= 606,
+    PPC_LDtocJTI	= 607,
+    PPC_LDtocL	= 608,
+    PPC_LFD	= 609,
+    PPC_LFDU	= 610,
+    PPC_LFDUX	= 611,
+    PPC_LFDX	= 612,
+    PPC_LFIWAX	= 613,
+    PPC_LFIWZX	= 614,
+    PPC_LFS	= 615,
+    PPC_LFSU	= 616,
+    PPC_LFSUX	= 617,
+    PPC_LFSX	= 618,
+    PPC_LHA	= 619,
+    PPC_LHA8	= 620,
+    PPC_LHAU	= 621,
+    PPC_LHAU8	= 622,
+    PPC_LHAUX	= 623,
+    PPC_LHAUX8	= 624,
+    PPC_LHAX	= 625,
+    PPC_LHAX8	= 626,
+    PPC_LHBRX	= 627,
+    PPC_LHZ	= 628,
+    PPC_LHZ8	= 629,
+    PPC_LHZU	= 630,
+    PPC_LHZU8	= 631,
+    PPC_LHZUX	= 632,
+    PPC_LHZUX8	= 633,
+    PPC_LHZX	= 634,
+    PPC_LHZX8	= 635,
+    PPC_LI	= 636,
+    PPC_LI8	= 637,
+    PPC_LIS	= 638,
+    PPC_LIS8	= 639,
+    PPC_LMW	= 640,
+    PPC_LSWI	= 641,
+    PPC_LVEBX	= 642,
+    PPC_LVEHX	= 643,
+    PPC_LVEWX	= 644,
+    PPC_LVSL	= 645,
+    PPC_LVSR	= 646,
+    PPC_LVX	= 647,
+    PPC_LVXL	= 648,
+    PPC_LWA	= 649,
+    PPC_LWARX	= 650,
+    PPC_LWAUX	= 651,
+    PPC_LWAX	= 652,
+    PPC_LWAX_32	= 653,
+    PPC_LWA_32	= 654,
+    PPC_LWBRX	= 655,
+    PPC_LWZ	= 656,
+    PPC_LWZ8	= 657,
+    PPC_LWZU	= 658,
+    PPC_LWZU8	= 659,
+    PPC_LWZUX	= 660,
+    PPC_LWZUX8	= 661,
+    PPC_LWZX	= 662,
+    PPC_LWZX8	= 663,
+    PPC_LWZtoc	= 664,
+    PPC_LXSDX	= 665,
+    PPC_LXVD2X	= 666,
+    PPC_LXVDSX	= 667,
+    PPC_LXVW4X	= 668,
+    PPC_MBAR	= 669,
+    PPC_MCRF	= 670,
+    PPC_MFCR	= 671,
+    PPC_MFCR8	= 672,
+    PPC_MFCTR	= 673,
+    PPC_MFCTR8	= 674,
+    PPC_MFDCR	= 675,
+    PPC_MFFS	= 676,
+    PPC_MFLR	= 677,
+    PPC_MFLR8	= 678,
+    PPC_MFMSR	= 679,
+    PPC_MFOCRF	= 680,
+    PPC_MFOCRF8	= 681,
+    PPC_MFSPR	= 682,
+    PPC_MFSR	= 683,
+    PPC_MFSRIN	= 684,
+    PPC_MFTB	= 685,
+    PPC_MFTB8	= 686,
+    PPC_MFVRSAVE	= 687,
+    PPC_MFVRSAVEv	= 688,
+    PPC_MFVSCR	= 689,
+    PPC_MSYNC	= 690,
+    PPC_MTCRF	= 691,
+    PPC_MTCRF8	= 692,
+    PPC_MTCTR	= 693,
+    PPC_MTCTR8	= 694,
+    PPC_MTCTR8loop	= 695,
+    PPC_MTCTRloop	= 696,
+    PPC_MTDCR	= 697,
+    PPC_MTFSB0	= 698,
+    PPC_MTFSB1	= 699,
+    PPC_MTFSF	= 700,
+    PPC_MTLR	= 701,
+    PPC_MTLR8	= 702,
+    PPC_MTMSR	= 703,
+    PPC_MTMSRD	= 704,
+    PPC_MTOCRF	= 705,
+    PPC_MTOCRF8	= 706,
+    PPC_MTSPR	= 707,
+    PPC_MTSR	= 708,
+    PPC_MTSRIN	= 709,
+    PPC_MTVRSAVE	= 710,
+    PPC_MTVRSAVEv	= 711,
+    PPC_MTVSCR	= 712,
+    PPC_MULHD	= 713,
+    PPC_MULHDU	= 714,
+    PPC_MULHDUo	= 715,
+    PPC_MULHDo	= 716,
+    PPC_MULHW	= 717,
+    PPC_MULHWU	= 718,
+    PPC_MULHWUo	= 719,
+    PPC_MULHWo	= 720,
+    PPC_MULLD	= 721,
+    PPC_MULLDo	= 722,
+    PPC_MULLI	= 723,
+    PPC_MULLI8	= 724,
+    PPC_MULLW	= 725,
+    PPC_MULLWo	= 726,
+    PPC_MovePCtoLR	= 727,
+    PPC_MovePCtoLR8	= 728,
+    PPC_NAND	= 729,
+    PPC_NAND8	= 730,
+    PPC_NAND8o	= 731,
+    PPC_NANDo	= 732,
+    PPC_NEG	= 733,
+    PPC_NEG8	= 734,
+    PPC_NEG8o	= 735,
+    PPC_NEGo	= 736,
+    PPC_NOP	= 737,
+    PPC_NOP_GT_PWR6	= 738,
+    PPC_NOP_GT_PWR7	= 739,
+    PPC_NOR	= 740,
+    PPC_NOR8	= 741,
+    PPC_NOR8o	= 742,
+    PPC_NORo	= 743,
+    PPC_OR	= 744,
+    PPC_OR8	= 745,
+    PPC_OR8o	= 746,
+    PPC_ORC	= 747,
+    PPC_ORC8	= 748,
+    PPC_ORC8o	= 749,
+    PPC_ORCo	= 750,
+    PPC_ORI	= 751,
+    PPC_ORI8	= 752,
+    PPC_ORIS	= 753,
+    PPC_ORIS8	= 754,
+    PPC_ORo	= 755,
+    PPC_POPCNTD	= 756,
+    PPC_POPCNTW	= 757,
+    PPC_PPC32GOT	= 758,
+    PPC_PPC32PICGOT	= 759,
+    PPC_RESTORE_CR	= 760,
+    PPC_RESTORE_CRBIT	= 761,
+    PPC_RESTORE_VRSAVE	= 762,
+    PPC_RFCI	= 763,
+    PPC_RFDI	= 764,
+    PPC_RFI	= 765,
+    PPC_RFID	= 766,
+    PPC_RFMCI	= 767,
+    PPC_RLDCL	= 768,
+    PPC_RLDCLo	= 769,
+    PPC_RLDCR	= 770,
+    PPC_RLDCRo	= 771,
+    PPC_RLDIC	= 772,
+    PPC_RLDICL	= 773,
+    PPC_RLDICL_32_64	= 774,
+    PPC_RLDICLo	= 775,
+    PPC_RLDICR	= 776,
+    PPC_RLDICRo	= 777,
+    PPC_RLDICo	= 778,
+    PPC_RLDIMI	= 779,
+    PPC_RLDIMIo	= 780,
+    PPC_RLWIMI	= 781,
+    PPC_RLWIMI8	= 782,
+    PPC_RLWIMI8o	= 783,
+    PPC_RLWIMIo	= 784,
+    PPC_RLWINM	= 785,
+    PPC_RLWINM8	= 786,
+    PPC_RLWINM8o	= 787,
+    PPC_RLWINMo	= 788,
+    PPC_RLWNM	= 789,
+    PPC_RLWNMo	= 790,
+    PPC_ROTRDI	= 791,
+    PPC_ROTRDIo	= 792,
+    PPC_ROTRWI	= 793,
+    PPC_ROTRWIo	= 794,
+    PPC_SC	= 795,
+    PPC_SELECT_CC_F4	= 796,
+    PPC_SELECT_CC_F8	= 797,
+    PPC_SELECT_CC_I4	= 798,
+    PPC_SELECT_CC_I8	= 799,
+    PPC_SELECT_CC_VRRC	= 800,
+    PPC_SELECT_F4	= 801,
+    PPC_SELECT_F8	= 802,
+    PPC_SELECT_I4	= 803,
+    PPC_SELECT_I8	= 804,
+    PPC_SELECT_VRRC	= 805,
+    PPC_SLBIA	= 806,
+    PPC_SLBIE	= 807,
+    PPC_SLBMFEE	= 808,
+    PPC_SLBMTE	= 809,
+    PPC_SLD	= 810,
+    PPC_SLDI	= 811,
+    PPC_SLDIo	= 812,
+    PPC_SLDo	= 813,
+    PPC_SLW	= 814,
+    PPC_SLWI	= 815,
+    PPC_SLWIo	= 816,
+    PPC_SLWo	= 817,
+    PPC_SPILL_CR	= 818,
+    PPC_SPILL_CRBIT	= 819,
+    PPC_SPILL_VRSAVE	= 820,
+    PPC_SRAD	= 821,
+    PPC_SRADI	= 822,
+    PPC_SRADIo	= 823,
+    PPC_SRADo	= 824,
+    PPC_SRAW	= 825,
+    PPC_SRAWI	= 826,
+    PPC_SRAWIo	= 827,
+    PPC_SRAWo	= 828,
+    PPC_SRD	= 829,
+    PPC_SRDI	= 830,
+    PPC_SRDIo	= 831,
+    PPC_SRDo	= 832,
+    PPC_SRW	= 833,
+    PPC_SRWI	= 834,
+    PPC_SRWIo	= 835,
+    PPC_SRWo	= 836,
+    PPC_STB	= 837,
+    PPC_STB8	= 838,
+    PPC_STBU	= 839,
+    PPC_STBU8	= 840,
+    PPC_STBUX	= 841,
+    PPC_STBUX8	= 842,
+    PPC_STBX	= 843,
+    PPC_STBX8	= 844,
+    PPC_STD	= 845,
+    PPC_STDBRX	= 846,
+    PPC_STDCX	= 847,
+    PPC_STDU	= 848,
+    PPC_STDUX	= 849,
+    PPC_STDX	= 850,
+    PPC_STFD	= 851,
+    PPC_STFDU	= 852,
+    PPC_STFDUX	= 853,
+    PPC_STFDX	= 854,
+    PPC_STFIWX	= 855,
+    PPC_STFS	= 856,
+    PPC_STFSU	= 857,
+    PPC_STFSUX	= 858,
+    PPC_STFSX	= 859,
+    PPC_STH	= 860,
+    PPC_STH8	= 861,
+    PPC_STHBRX	= 862,
+    PPC_STHU	= 863,
+    PPC_STHU8	= 864,
+    PPC_STHUX	= 865,
+    PPC_STHUX8	= 866,
+    PPC_STHX	= 867,
+    PPC_STHX8	= 868,
+    PPC_STMW	= 869,
+    PPC_STSWI	= 870,
+    PPC_STVEBX	= 871,
+    PPC_STVEHX	= 872,
+    PPC_STVEWX	= 873,
+    PPC_STVX	= 874,
+    PPC_STVXL	= 875,
+    PPC_STW	= 876,
+    PPC_STW8	= 877,
+    PPC_STWBRX	= 878,
+    PPC_STWCX	= 879,
+    PPC_STWU	= 880,
+    PPC_STWU8	= 881,
+    PPC_STWUX	= 882,
+    PPC_STWUX8	= 883,
+    PPC_STWX	= 884,
+    PPC_STWX8	= 885,
+    PPC_STXSDX	= 886,
+    PPC_STXVD2X	= 887,
+    PPC_STXVW4X	= 888,
+    PPC_SUBF	= 889,
+    PPC_SUBF8	= 890,
+    PPC_SUBF8o	= 891,
+    PPC_SUBFC	= 892,
+    PPC_SUBFC8	= 893,
+    PPC_SUBFC8o	= 894,
+    PPC_SUBFCo	= 895,
+    PPC_SUBFE	= 896,
+    PPC_SUBFE8	= 897,
+    PPC_SUBFE8o	= 898,
+    PPC_SUBFEo	= 899,
+    PPC_SUBFIC	= 900,
+    PPC_SUBFIC8	= 901,
+    PPC_SUBFME	= 902,
+    PPC_SUBFME8	= 903,
+    PPC_SUBFME8o	= 904,
+    PPC_SUBFMEo	= 905,
+    PPC_SUBFZE	= 906,
+    PPC_SUBFZE8	= 907,
+    PPC_SUBFZE8o	= 908,
+    PPC_SUBFZEo	= 909,
+    PPC_SUBFo	= 910,
+    PPC_SUBI	= 911,
+    PPC_SUBIC	= 912,
+    PPC_SUBICo	= 913,
+    PPC_SUBIS	= 914,
+    PPC_SYNC	= 915,
+    PPC_TAILB	= 916,
+    PPC_TAILB8	= 917,
+    PPC_TAILBA	= 918,
+    PPC_TAILBA8	= 919,
+    PPC_TAILBCTR	= 920,
+    PPC_TAILBCTR8	= 921,
+    PPC_TCRETURNai	= 922,
+    PPC_TCRETURNai8	= 923,
+    PPC_TCRETURNdi	= 924,
+    PPC_TCRETURNdi8	= 925,
+    PPC_TCRETURNri	= 926,
+    PPC_TCRETURNri8	= 927,
+    PPC_TD	= 928,
+    PPC_TDI	= 929,
+    PPC_TLBIA	= 930,
+    PPC_TLBIE	= 931,
+    PPC_TLBIEL	= 932,
+    PPC_TLBIVAX	= 933,
+    PPC_TLBLD	= 934,
+    PPC_TLBLI	= 935,
+    PPC_TLBRE	= 936,
+    PPC_TLBRE2	= 937,
+    PPC_TLBSX	= 938,
+    PPC_TLBSX2	= 939,
+    PPC_TLBSX2D	= 940,
+    PPC_TLBSYNC	= 941,
+    PPC_TLBWE	= 942,
+    PPC_TLBWE2	= 943,
+    PPC_TRAP	= 944,
+    PPC_TW	= 945,
+    PPC_TWI	= 946,
+    PPC_UPDATE_VRSAVE	= 947,
+    PPC_UpdateGBR	= 948,
+    PPC_VADDCUW	= 949,
+    PPC_VADDFP	= 950,
+    PPC_VADDSBS	= 951,
+    PPC_VADDSHS	= 952,
+    PPC_VADDSWS	= 953,
+    PPC_VADDUBM	= 954,
+    PPC_VADDUBS	= 955,
+    PPC_VADDUHM	= 956,
+    PPC_VADDUHS	= 957,
+    PPC_VADDUWM	= 958,
+    PPC_VADDUWS	= 959,
+    PPC_VAND	= 960,
+    PPC_VANDC	= 961,
+    PPC_VAVGSB	= 962,
+    PPC_VAVGSH	= 963,
+    PPC_VAVGSW	= 964,
+    PPC_VAVGUB	= 965,
+    PPC_VAVGUH	= 966,
+    PPC_VAVGUW	= 967,
+    PPC_VCFSX	= 968,
+    PPC_VCFSX_0	= 969,
+    PPC_VCFUX	= 970,
+    PPC_VCFUX_0	= 971,
+    PPC_VCMPBFP	= 972,
+    PPC_VCMPBFPo	= 973,
+    PPC_VCMPEQFP	= 974,
+    PPC_VCMPEQFPo	= 975,
+    PPC_VCMPEQUB	= 976,
+    PPC_VCMPEQUBo	= 977,
+    PPC_VCMPEQUH	= 978,
+    PPC_VCMPEQUHo	= 979,
+    PPC_VCMPEQUW	= 980,
+    PPC_VCMPEQUWo	= 981,
+    PPC_VCMPGEFP	= 982,
+    PPC_VCMPGEFPo	= 983,
+    PPC_VCMPGTFP	= 984,
+    PPC_VCMPGTFPo	= 985,
+    PPC_VCMPGTSB	= 986,
+    PPC_VCMPGTSBo	= 987,
+    PPC_VCMPGTSH	= 988,
+    PPC_VCMPGTSHo	= 989,
+    PPC_VCMPGTSW	= 990,
+    PPC_VCMPGTSWo	= 991,
+    PPC_VCMPGTUB	= 992,
+    PPC_VCMPGTUBo	= 993,
+    PPC_VCMPGTUH	= 994,
+    PPC_VCMPGTUHo	= 995,
+    PPC_VCMPGTUW	= 996,
+    PPC_VCMPGTUWo	= 997,
+    PPC_VCTSXS	= 998,
+    PPC_VCTSXS_0	= 999,
+    PPC_VCTUXS	= 1000,
+    PPC_VCTUXS_0	= 1001,
+    PPC_VEXPTEFP	= 1002,
+    PPC_VLOGEFP	= 1003,
+    PPC_VMADDFP	= 1004,
+    PPC_VMAXFP	= 1005,
+    PPC_VMAXSB	= 1006,
+    PPC_VMAXSH	= 1007,
+    PPC_VMAXSW	= 1008,
+    PPC_VMAXUB	= 1009,
+    PPC_VMAXUH	= 1010,
+    PPC_VMAXUW	= 1011,
+    PPC_VMHADDSHS	= 1012,
+    PPC_VMHRADDSHS	= 1013,
+    PPC_VMINFP	= 1014,
+    PPC_VMINSB	= 1015,
+    PPC_VMINSH	= 1016,
+    PPC_VMINSW	= 1017,
+    PPC_VMINUB	= 1018,
+    PPC_VMINUH	= 1019,
+    PPC_VMINUW	= 1020,
+    PPC_VMLADDUHM	= 1021,
+    PPC_VMRGHB	= 1022,
+    PPC_VMRGHH	= 1023,
+    PPC_VMRGHW	= 1024,
+    PPC_VMRGLB	= 1025,
+    PPC_VMRGLH	= 1026,
+    PPC_VMRGLW	= 1027,
+    PPC_VMSUMMBM	= 1028,
+    PPC_VMSUMSHM	= 1029,
+    PPC_VMSUMSHS	= 1030,
+    PPC_VMSUMUBM	= 1031,
+    PPC_VMSUMUHM	= 1032,
+    PPC_VMSUMUHS	= 1033,
+    PPC_VMULESB	= 1034,
+    PPC_VMULESH	= 1035,
+    PPC_VMULEUB	= 1036,
+    PPC_VMULEUH	= 1037,
+    PPC_VMULOSB	= 1038,
+    PPC_VMULOSH	= 1039,
+    PPC_VMULOUB	= 1040,
+    PPC_VMULOUH	= 1041,
+    PPC_VNMSUBFP	= 1042,
+    PPC_VNOR	= 1043,
+    PPC_VOR	= 1044,
+    PPC_VPERM	= 1045,
+    PPC_VPKPX	= 1046,
+    PPC_VPKSHSS	= 1047,
+    PPC_VPKSHUS	= 1048,
+    PPC_VPKSWSS	= 1049,
+    PPC_VPKSWUS	= 1050,
+    PPC_VPKUHUM	= 1051,
+    PPC_VPKUHUS	= 1052,
+    PPC_VPKUWUM	= 1053,
+    PPC_VPKUWUS	= 1054,
+    PPC_VREFP	= 1055,
+    PPC_VRFIM	= 1056,
+    PPC_VRFIN	= 1057,
+    PPC_VRFIP	= 1058,
+    PPC_VRFIZ	= 1059,
+    PPC_VRLB	= 1060,
+    PPC_VRLH	= 1061,
+    PPC_VRLW	= 1062,
+    PPC_VRSQRTEFP	= 1063,
+    PPC_VSEL	= 1064,
+    PPC_VSL	= 1065,
+    PPC_VSLB	= 1066,
+    PPC_VSLDOI	= 1067,
+    PPC_VSLH	= 1068,
+    PPC_VSLO	= 1069,
+    PPC_VSLW	= 1070,
+    PPC_VSPLTB	= 1071,
+    PPC_VSPLTH	= 1072,
+    PPC_VSPLTISB	= 1073,
+    PPC_VSPLTISH	= 1074,
+    PPC_VSPLTISW	= 1075,
+    PPC_VSPLTW	= 1076,
+    PPC_VSR	= 1077,
+    PPC_VSRAB	= 1078,
+    PPC_VSRAH	= 1079,
+    PPC_VSRAW	= 1080,
+    PPC_VSRB	= 1081,
+    PPC_VSRH	= 1082,
+    PPC_VSRO	= 1083,
+    PPC_VSRW	= 1084,
+    PPC_VSUBCUW	= 1085,
+    PPC_VSUBFP	= 1086,
+    PPC_VSUBSBS	= 1087,
+    PPC_VSUBSHS	= 1088,
+    PPC_VSUBSWS	= 1089,
+    PPC_VSUBUBM	= 1090,
+    PPC_VSUBUBS	= 1091,
+    PPC_VSUBUHM	= 1092,
+    PPC_VSUBUHS	= 1093,
+    PPC_VSUBUWM	= 1094,
+    PPC_VSUBUWS	= 1095,
+    PPC_VSUM2SWS	= 1096,
+    PPC_VSUM4SBS	= 1097,
+    PPC_VSUM4SHS	= 1098,
+    PPC_VSUM4UBS	= 1099,
+    PPC_VSUMSWS	= 1100,
+    PPC_VUPKHPX	= 1101,
+    PPC_VUPKHSB	= 1102,
+    PPC_VUPKHSH	= 1103,
+    PPC_VUPKLPX	= 1104,
+    PPC_VUPKLSB	= 1105,
+    PPC_VUPKLSH	= 1106,
+    PPC_VXOR	= 1107,
+    PPC_V_SET0	= 1108,
+    PPC_V_SET0B	= 1109,
+    PPC_V_SET0H	= 1110,
+    PPC_V_SETALLONES	= 1111,
+    PPC_V_SETALLONESB	= 1112,
+    PPC_V_SETALLONESH	= 1113,
+    PPC_WAIT	= 1114,
+    PPC_WRTEE	= 1115,
+    PPC_WRTEEI	= 1116,
+    PPC_XOR	= 1117,
+    PPC_XOR8	= 1118,
+    PPC_XOR8o	= 1119,
+    PPC_XORI	= 1120,
+    PPC_XORI8	= 1121,
+    PPC_XORIS	= 1122,
+    PPC_XORIS8	= 1123,
+    PPC_XORo	= 1124,
+    PPC_XSABSDP	= 1125,
+    PPC_XSADDDP	= 1126,
+    PPC_XSCMPODP	= 1127,
+    PPC_XSCMPUDP	= 1128,
+    PPC_XSCPSGNDP	= 1129,
+    PPC_XSCVDPSP	= 1130,
+    PPC_XSCVDPSXDS	= 1131,
+    PPC_XSCVDPSXWS	= 1132,
+    PPC_XSCVDPUXDS	= 1133,
+    PPC_XSCVDPUXWS	= 1134,
+    PPC_XSCVSPDP	= 1135,
+    PPC_XSCVSXDDP	= 1136,
+    PPC_XSCVUXDDP	= 1137,
+    PPC_XSDIVDP	= 1138,
+    PPC_XSMADDADP	= 1139,
+    PPC_XSMADDMDP	= 1140,
+    PPC_XSMAXDP	= 1141,
+    PPC_XSMINDP	= 1142,
+    PPC_XSMSUBADP	= 1143,
+    PPC_XSMSUBMDP	= 1144,
+    PPC_XSMULDP	= 1145,
+    PPC_XSNABSDP	= 1146,
+    PPC_XSNEGDP	= 1147,
+    PPC_XSNMADDADP	= 1148,
+    PPC_XSNMADDMDP	= 1149,
+    PPC_XSNMSUBADP	= 1150,
+    PPC_XSNMSUBMDP	= 1151,
+    PPC_XSRDPI	= 1152,
+    PPC_XSRDPIC	= 1153,
+    PPC_XSRDPIM	= 1154,
+    PPC_XSRDPIP	= 1155,
+    PPC_XSRDPIZ	= 1156,
+    PPC_XSREDP	= 1157,
+    PPC_XSRSQRTEDP	= 1158,
+    PPC_XSSQRTDP	= 1159,
+    PPC_XSSUBDP	= 1160,
+    PPC_XSTDIVDP	= 1161,
+    PPC_XSTSQRTDP	= 1162,
+    PPC_XVABSDP	= 1163,
+    PPC_XVABSSP	= 1164,
+    PPC_XVADDDP	= 1165,
+    PPC_XVADDSP	= 1166,
+    PPC_XVCMPEQDP	= 1167,
+    PPC_XVCMPEQDPo	= 1168,
+    PPC_XVCMPEQSP	= 1169,
+    PPC_XVCMPEQSPo	= 1170,
+    PPC_XVCMPGEDP	= 1171,
+    PPC_XVCMPGEDPo	= 1172,
+    PPC_XVCMPGESP	= 1173,
+    PPC_XVCMPGESPo	= 1174,
+    PPC_XVCMPGTDP	= 1175,
+    PPC_XVCMPGTDPo	= 1176,
+    PPC_XVCMPGTSP	= 1177,
+    PPC_XVCMPGTSPo	= 1178,
+    PPC_XVCPSGNDP	= 1179,
+    PPC_XVCPSGNSP	= 1180,
+    PPC_XVCVDPSP	= 1181,
+    PPC_XVCVDPSXDS	= 1182,
+    PPC_XVCVDPSXWS	= 1183,
+    PPC_XVCVDPUXDS	= 1184,
+    PPC_XVCVDPUXWS	= 1185,
+    PPC_XVCVSPDP	= 1186,
+    PPC_XVCVSPSXDS	= 1187,
+    PPC_XVCVSPSXWS	= 1188,
+    PPC_XVCVSPUXDS	= 1189,
+    PPC_XVCVSPUXWS	= 1190,
+    PPC_XVCVSXDDP	= 1191,
+    PPC_XVCVSXDSP	= 1192,
+    PPC_XVCVSXWDP	= 1193,
+    PPC_XVCVSXWSP	= 1194,
+    PPC_XVCVUXDDP	= 1195,
+    PPC_XVCVUXDSP	= 1196,
+    PPC_XVCVUXWDP	= 1197,
+    PPC_XVCVUXWSP	= 1198,
+    PPC_XVDIVDP	= 1199,
+    PPC_XVDIVSP	= 1200,
+    PPC_XVMADDADP	= 1201,
+    PPC_XVMADDASP	= 1202,
+    PPC_XVMADDMDP	= 1203,
+    PPC_XVMADDMSP	= 1204,
+    PPC_XVMAXDP	= 1205,
+    PPC_XVMAXSP	= 1206,
+    PPC_XVMINDP	= 1207,
+    PPC_XVMINSP	= 1208,
+    PPC_XVMSUBADP	= 1209,
+    PPC_XVMSUBASP	= 1210,
+    PPC_XVMSUBMDP	= 1211,
+    PPC_XVMSUBMSP	= 1212,
+    PPC_XVMULDP	= 1213,
+    PPC_XVMULSP	= 1214,
+    PPC_XVNABSDP	= 1215,
+    PPC_XVNABSSP	= 1216,
+    PPC_XVNEGDP	= 1217,
+    PPC_XVNEGSP	= 1218,
+    PPC_XVNMADDADP	= 1219,
+    PPC_XVNMADDASP	= 1220,
+    PPC_XVNMADDMDP	= 1221,
+    PPC_XVNMADDMSP	= 1222,
+    PPC_XVNMSUBADP	= 1223,
+    PPC_XVNMSUBASP	= 1224,
+    PPC_XVNMSUBMDP	= 1225,
+    PPC_XVNMSUBMSP	= 1226,
+    PPC_XVRDPI	= 1227,
+    PPC_XVRDPIC	= 1228,
+    PPC_XVRDPIM	= 1229,
+    PPC_XVRDPIP	= 1230,
+    PPC_XVRDPIZ	= 1231,
+    PPC_XVREDP	= 1232,
+    PPC_XVRESP	= 1233,
+    PPC_XVRSPI	= 1234,
+    PPC_XVRSPIC	= 1235,
+    PPC_XVRSPIM	= 1236,
+    PPC_XVRSPIP	= 1237,
+    PPC_XVRSPIZ	= 1238,
+    PPC_XVRSQRTEDP	= 1239,
+    PPC_XVRSQRTESP	= 1240,
+    PPC_XVSQRTDP	= 1241,
+    PPC_XVSQRTSP	= 1242,
+    PPC_XVSUBDP	= 1243,
+    PPC_XVSUBSP	= 1244,
+    PPC_XVTDIVDP	= 1245,
+    PPC_XVTDIVSP	= 1246,
+    PPC_XVTSQRTDP	= 1247,
+    PPC_XVTSQRTSP	= 1248,
+    PPC_XXLAND	= 1249,
+    PPC_XXLANDC	= 1250,
+    PPC_XXLNOR	= 1251,
+    PPC_XXLOR	= 1252,
+    PPC_XXLORf	= 1253,
+    PPC_XXLXOR	= 1254,
+    PPC_XXMRGHW	= 1255,
+    PPC_XXMRGLW	= 1256,
+    PPC_XXPERMDI	= 1257,
+    PPC_XXSEL	= 1258,
+    PPC_XXSLDWI	= 1259,
+    PPC_XXSPLTW	= 1260,
+    PPC_gBC	= 1261,
+    PPC_gBCA	= 1262,
+    PPC_gBCCTR	= 1263,
+    PPC_gBCCTRL	= 1264,
+    PPC_gBCL	= 1265,
+    PPC_gBCLA	= 1266,
+    PPC_gBCLR	= 1267,
+    PPC_gBCLRL	= 1268,
+    PPC_INSTRUCTION_LIST_END = 1269
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/PowerPC/PPCGenRegisterInfo.inc b/arch/PowerPC/PPCGenRegisterInfo.inc
index 08693a3..2843104 100644
--- a/arch/PowerPC/PPCGenRegisterInfo.inc
+++ b/arch/PowerPC/PPCGenRegisterInfo.inc
@@ -17,186 +17,283 @@
   PPC_NoRegister,
   PPC_BP = 1,
   PPC_CARRY = 2,
-  PPC_CTR = 3,
-  PPC_FP = 4,
-  PPC_LR = 5,
-  PPC_RM = 6,
-  PPC_VRSAVE = 7,
-  PPC_ZERO = 8,
-  PPC_BP8 = 9,
-  PPC_CR0 = 10,
-  PPC_CR1 = 11,
-  PPC_CR2 = 12,
-  PPC_CR3 = 13,
-  PPC_CR4 = 14,
-  PPC_CR5 = 15,
-  PPC_CR6 = 16,
-  PPC_CR7 = 17,
-  PPC_CTR8 = 18,
-  PPC_F0 = 19,
-  PPC_F1 = 20,
-  PPC_F2 = 21,
-  PPC_F3 = 22,
-  PPC_F4 = 23,
-  PPC_F5 = 24,
-  PPC_F6 = 25,
-  PPC_F7 = 26,
-  PPC_F8 = 27,
-  PPC_F9 = 28,
-  PPC_F10 = 29,
-  PPC_F11 = 30,
-  PPC_F12 = 31,
-  PPC_F13 = 32,
-  PPC_F14 = 33,
-  PPC_F15 = 34,
-  PPC_F16 = 35,
-  PPC_F17 = 36,
-  PPC_F18 = 37,
-  PPC_F19 = 38,
-  PPC_F20 = 39,
-  PPC_F21 = 40,
-  PPC_F22 = 41,
-  PPC_F23 = 42,
-  PPC_F24 = 43,
-  PPC_F25 = 44,
-  PPC_F26 = 45,
-  PPC_F27 = 46,
-  PPC_F28 = 47,
-  PPC_F29 = 48,
-  PPC_F30 = 49,
-  PPC_F31 = 50,
-  PPC_FP8 = 51,
-  PPC_LR8 = 52,
-  PPC_R0 = 53,
-  PPC_R1 = 54,
-  PPC_R2 = 55,
-  PPC_R3 = 56,
-  PPC_R4 = 57,
-  PPC_R5 = 58,
-  PPC_R6 = 59,
-  PPC_R7 = 60,
-  PPC_R8 = 61,
-  PPC_R9 = 62,
-  PPC_R10 = 63,
-  PPC_R11 = 64,
-  PPC_R12 = 65,
-  PPC_R13 = 66,
-  PPC_R14 = 67,
-  PPC_R15 = 68,
-  PPC_R16 = 69,
-  PPC_R17 = 70,
-  PPC_R18 = 71,
-  PPC_R19 = 72,
-  PPC_R20 = 73,
-  PPC_R21 = 74,
-  PPC_R22 = 75,
-  PPC_R23 = 76,
-  PPC_R24 = 77,
-  PPC_R25 = 78,
-  PPC_R26 = 79,
-  PPC_R27 = 80,
-  PPC_R28 = 81,
-  PPC_R29 = 82,
-  PPC_R30 = 83,
-  PPC_R31 = 84,
-  PPC_V0 = 85,
-  PPC_V1 = 86,
-  PPC_V2 = 87,
-  PPC_V3 = 88,
-  PPC_V4 = 89,
-  PPC_V5 = 90,
-  PPC_V6 = 91,
-  PPC_V7 = 92,
-  PPC_V8 = 93,
-  PPC_V9 = 94,
-  PPC_V10 = 95,
-  PPC_V11 = 96,
-  PPC_V12 = 97,
-  PPC_V13 = 98,
-  PPC_V14 = 99,
-  PPC_V15 = 100,
-  PPC_V16 = 101,
-  PPC_V17 = 102,
-  PPC_V18 = 103,
-  PPC_V19 = 104,
-  PPC_V20 = 105,
-  PPC_V21 = 106,
-  PPC_V22 = 107,
-  PPC_V23 = 108,
-  PPC_V24 = 109,
-  PPC_V25 = 110,
-  PPC_V26 = 111,
-  PPC_V27 = 112,
-  PPC_V28 = 113,
-  PPC_V29 = 114,
-  PPC_V30 = 115,
-  PPC_V31 = 116,
-  PPC_X0 = 117,
-  PPC_X1 = 118,
-  PPC_X2 = 119,
-  PPC_X3 = 120,
-  PPC_X4 = 121,
-  PPC_X5 = 122,
-  PPC_X6 = 123,
-  PPC_X7 = 124,
-  PPC_X8 = 125,
-  PPC_X9 = 126,
-  PPC_X10 = 127,
-  PPC_X11 = 128,
-  PPC_X12 = 129,
-  PPC_X13 = 130,
-  PPC_X14 = 131,
-  PPC_X15 = 132,
-  PPC_X16 = 133,
-  PPC_X17 = 134,
-  PPC_X18 = 135,
-  PPC_X19 = 136,
-  PPC_X20 = 137,
-  PPC_X21 = 138,
-  PPC_X22 = 139,
-  PPC_X23 = 140,
-  PPC_X24 = 141,
-  PPC_X25 = 142,
-  PPC_X26 = 143,
-  PPC_X27 = 144,
-  PPC_X28 = 145,
-  PPC_X29 = 146,
-  PPC_X30 = 147,
-  PPC_X31 = 148,
-  PPC_ZERO8 = 149,
-  PPC_CR0EQ = 150,
-  PPC_CR1EQ = 151,
-  PPC_CR2EQ = 152,
-  PPC_CR3EQ = 153,
-  PPC_CR4EQ = 154,
-  PPC_CR5EQ = 155,
-  PPC_CR6EQ = 156,
-  PPC_CR7EQ = 157,
-  PPC_CR0GT = 158,
-  PPC_CR1GT = 159,
-  PPC_CR2GT = 160,
-  PPC_CR3GT = 161,
-  PPC_CR4GT = 162,
-  PPC_CR5GT = 163,
-  PPC_CR6GT = 164,
-  PPC_CR7GT = 165,
-  PPC_CR0LT = 166,
-  PPC_CR1LT = 167,
-  PPC_CR2LT = 168,
-  PPC_CR3LT = 169,
-  PPC_CR4LT = 170,
-  PPC_CR5LT = 171,
-  PPC_CR6LT = 172,
-  PPC_CR7LT = 173,
-  PPC_CR0UN = 174,
-  PPC_CR1UN = 175,
-  PPC_CR2UN = 176,
-  PPC_CR3UN = 177,
-  PPC_CR4UN = 178,
-  PPC_CR5UN = 179,
-  PPC_CR6UN = 180,
-  PPC_CR7UN = 181,
-  PPC_NUM_TARGET_REGS 	// 182
+  PPC_CC = 3,
+  PPC_CTR = 4,
+  PPC_FP = 5,
+  PPC_LR = 6,
+  PPC_RM = 7,
+  PPC_VRSAVE = 8,
+  PPC_ZERO = 9,
+  PPC_BP8 = 10,
+  PPC_CR0 = 11,
+  PPC_CR1 = 12,
+  PPC_CR2 = 13,
+  PPC_CR3 = 14,
+  PPC_CR4 = 15,
+  PPC_CR5 = 16,
+  PPC_CR6 = 17,
+  PPC_CR7 = 18,
+  PPC_CTR8 = 19,
+  PPC_F0 = 20,
+  PPC_F1 = 21,
+  PPC_F2 = 22,
+  PPC_F3 = 23,
+  PPC_F4 = 24,
+  PPC_F5 = 25,
+  PPC_F6 = 26,
+  PPC_F7 = 27,
+  PPC_F8 = 28,
+  PPC_F9 = 29,
+  PPC_F10 = 30,
+  PPC_F11 = 31,
+  PPC_F12 = 32,
+  PPC_F13 = 33,
+  PPC_F14 = 34,
+  PPC_F15 = 35,
+  PPC_F16 = 36,
+  PPC_F17 = 37,
+  PPC_F18 = 38,
+  PPC_F19 = 39,
+  PPC_F20 = 40,
+  PPC_F21 = 41,
+  PPC_F22 = 42,
+  PPC_F23 = 43,
+  PPC_F24 = 44,
+  PPC_F25 = 45,
+  PPC_F26 = 46,
+  PPC_F27 = 47,
+  PPC_F28 = 48,
+  PPC_F29 = 49,
+  PPC_F30 = 50,
+  PPC_F31 = 51,
+  PPC_FP8 = 52,
+  PPC_LR8 = 53,
+  PPC_R0 = 54,
+  PPC_R1 = 55,
+  PPC_R2 = 56,
+  PPC_R3 = 57,
+  PPC_R4 = 58,
+  PPC_R5 = 59,
+  PPC_R6 = 60,
+  PPC_R7 = 61,
+  PPC_R8 = 62,
+  PPC_R9 = 63,
+  PPC_R10 = 64,
+  PPC_R11 = 65,
+  PPC_R12 = 66,
+  PPC_R13 = 67,
+  PPC_R14 = 68,
+  PPC_R15 = 69,
+  PPC_R16 = 70,
+  PPC_R17 = 71,
+  PPC_R18 = 72,
+  PPC_R19 = 73,
+  PPC_R20 = 74,
+  PPC_R21 = 75,
+  PPC_R22 = 76,
+  PPC_R23 = 77,
+  PPC_R24 = 78,
+  PPC_R25 = 79,
+  PPC_R26 = 80,
+  PPC_R27 = 81,
+  PPC_R28 = 82,
+  PPC_R29 = 83,
+  PPC_R30 = 84,
+  PPC_R31 = 85,
+  PPC_V0 = 86,
+  PPC_V1 = 87,
+  PPC_V2 = 88,
+  PPC_V3 = 89,
+  PPC_V4 = 90,
+  PPC_V5 = 91,
+  PPC_V6 = 92,
+  PPC_V7 = 93,
+  PPC_V8 = 94,
+  PPC_V9 = 95,
+  PPC_V10 = 96,
+  PPC_V11 = 97,
+  PPC_V12 = 98,
+  PPC_V13 = 99,
+  PPC_V14 = 100,
+  PPC_V15 = 101,
+  PPC_V16 = 102,
+  PPC_V17 = 103,
+  PPC_V18 = 104,
+  PPC_V19 = 105,
+  PPC_V20 = 106,
+  PPC_V21 = 107,
+  PPC_V22 = 108,
+  PPC_V23 = 109,
+  PPC_V24 = 110,
+  PPC_V25 = 111,
+  PPC_V26 = 112,
+  PPC_V27 = 113,
+  PPC_V28 = 114,
+  PPC_V29 = 115,
+  PPC_V30 = 116,
+  PPC_V31 = 117,
+  PPC_VF0 = 118,
+  PPC_VF1 = 119,
+  PPC_VF2 = 120,
+  PPC_VF3 = 121,
+  PPC_VF4 = 122,
+  PPC_VF5 = 123,
+  PPC_VF6 = 124,
+  PPC_VF7 = 125,
+  PPC_VF8 = 126,
+  PPC_VF9 = 127,
+  PPC_VF10 = 128,
+  PPC_VF11 = 129,
+  PPC_VF12 = 130,
+  PPC_VF13 = 131,
+  PPC_VF14 = 132,
+  PPC_VF15 = 133,
+  PPC_VF16 = 134,
+  PPC_VF17 = 135,
+  PPC_VF18 = 136,
+  PPC_VF19 = 137,
+  PPC_VF20 = 138,
+  PPC_VF21 = 139,
+  PPC_VF22 = 140,
+  PPC_VF23 = 141,
+  PPC_VF24 = 142,
+  PPC_VF25 = 143,
+  PPC_VF26 = 144,
+  PPC_VF27 = 145,
+  PPC_VF28 = 146,
+  PPC_VF29 = 147,
+  PPC_VF30 = 148,
+  PPC_VF31 = 149,
+  PPC_VSH0 = 150,
+  PPC_VSH1 = 151,
+  PPC_VSH2 = 152,
+  PPC_VSH3 = 153,
+  PPC_VSH4 = 154,
+  PPC_VSH5 = 155,
+  PPC_VSH6 = 156,
+  PPC_VSH7 = 157,
+  PPC_VSH8 = 158,
+  PPC_VSH9 = 159,
+  PPC_VSH10 = 160,
+  PPC_VSH11 = 161,
+  PPC_VSH12 = 162,
+  PPC_VSH13 = 163,
+  PPC_VSH14 = 164,
+  PPC_VSH15 = 165,
+  PPC_VSH16 = 166,
+  PPC_VSH17 = 167,
+  PPC_VSH18 = 168,
+  PPC_VSH19 = 169,
+  PPC_VSH20 = 170,
+  PPC_VSH21 = 171,
+  PPC_VSH22 = 172,
+  PPC_VSH23 = 173,
+  PPC_VSH24 = 174,
+  PPC_VSH25 = 175,
+  PPC_VSH26 = 176,
+  PPC_VSH27 = 177,
+  PPC_VSH28 = 178,
+  PPC_VSH29 = 179,
+  PPC_VSH30 = 180,
+  PPC_VSH31 = 181,
+  PPC_VSL0 = 182,
+  PPC_VSL1 = 183,
+  PPC_VSL2 = 184,
+  PPC_VSL3 = 185,
+  PPC_VSL4 = 186,
+  PPC_VSL5 = 187,
+  PPC_VSL6 = 188,
+  PPC_VSL7 = 189,
+  PPC_VSL8 = 190,
+  PPC_VSL9 = 191,
+  PPC_VSL10 = 192,
+  PPC_VSL11 = 193,
+  PPC_VSL12 = 194,
+  PPC_VSL13 = 195,
+  PPC_VSL14 = 196,
+  PPC_VSL15 = 197,
+  PPC_VSL16 = 198,
+  PPC_VSL17 = 199,
+  PPC_VSL18 = 200,
+  PPC_VSL19 = 201,
+  PPC_VSL20 = 202,
+  PPC_VSL21 = 203,
+  PPC_VSL22 = 204,
+  PPC_VSL23 = 205,
+  PPC_VSL24 = 206,
+  PPC_VSL25 = 207,
+  PPC_VSL26 = 208,
+  PPC_VSL27 = 209,
+  PPC_VSL28 = 210,
+  PPC_VSL29 = 211,
+  PPC_VSL30 = 212,
+  PPC_VSL31 = 213,
+  PPC_X0 = 214,
+  PPC_X1 = 215,
+  PPC_X2 = 216,
+  PPC_X3 = 217,
+  PPC_X4 = 218,
+  PPC_X5 = 219,
+  PPC_X6 = 220,
+  PPC_X7 = 221,
+  PPC_X8 = 222,
+  PPC_X9 = 223,
+  PPC_X10 = 224,
+  PPC_X11 = 225,
+  PPC_X12 = 226,
+  PPC_X13 = 227,
+  PPC_X14 = 228,
+  PPC_X15 = 229,
+  PPC_X16 = 230,
+  PPC_X17 = 231,
+  PPC_X18 = 232,
+  PPC_X19 = 233,
+  PPC_X20 = 234,
+  PPC_X21 = 235,
+  PPC_X22 = 236,
+  PPC_X23 = 237,
+  PPC_X24 = 238,
+  PPC_X25 = 239,
+  PPC_X26 = 240,
+  PPC_X27 = 241,
+  PPC_X28 = 242,
+  PPC_X29 = 243,
+  PPC_X30 = 244,
+  PPC_X31 = 245,
+  PPC_ZERO8 = 246,
+  PPC_CR0EQ = 247,
+  PPC_CR1EQ = 248,
+  PPC_CR2EQ = 249,
+  PPC_CR3EQ = 250,
+  PPC_CR4EQ = 251,
+  PPC_CR5EQ = 252,
+  PPC_CR6EQ = 253,
+  PPC_CR7EQ = 254,
+  PPC_CR0GT = 255,
+  PPC_CR1GT = 256,
+  PPC_CR2GT = 257,
+  PPC_CR3GT = 258,
+  PPC_CR4GT = 259,
+  PPC_CR5GT = 260,
+  PPC_CR6GT = 261,
+  PPC_CR7GT = 262,
+  PPC_CR0LT = 263,
+  PPC_CR1LT = 264,
+  PPC_CR2LT = 265,
+  PPC_CR3LT = 266,
+  PPC_CR4LT = 267,
+  PPC_CR5LT = 268,
+  PPC_CR6LT = 269,
+  PPC_CR7LT = 270,
+  PPC_CR0UN = 271,
+  PPC_CR1UN = 272,
+  PPC_CR2UN = 273,
+  PPC_CR3UN = 274,
+  PPC_CR4UN = 275,
+  PPC_CR5UN = 276,
+  PPC_CR6UN = 277,
+  PPC_CR7UN = 278,
+  PPC_NUM_TARGET_REGS 	// 279
 };
 
 // Register classes
@@ -208,24 +305,32 @@
   PPC_F4RCRegClassID = 4,
   PPC_CRRCRegClassID = 5,
   PPC_CARRYRCRegClassID = 6,
-  PPC_CTRRCRegClassID = 7,
-  PPC_VRSAVERCRegClassID = 8,
-  PPC_G8RCRegClassID = 9,
-  PPC_G8RC_NOX0RegClassID = 10,
-  PPC_G8RC_and_G8RC_NOX0RegClassID = 11,
-  PPC_F8RCRegClassID = 12,
-  PPC_CTRRC8RegClassID = 13,
-  PPC_VRRCRegClassID = 14
+  PPC_CCRCRegClassID = 7,
+  PPC_CTRRCRegClassID = 8,
+  PPC_VRSAVERCRegClassID = 9,
+  PPC_VSFRCRegClassID = 10,
+  PPC_G8RCRegClassID = 11,
+  PPC_G8RC_NOX0RegClassID = 12,
+  PPC_G8RC_and_G8RC_NOX0RegClassID = 13,
+  PPC_F8RCRegClassID = 14,
+  PPC_VFRCRegClassID = 15,
+  PPC_CTRRC8RegClassID = 16,
+  PPC_VSRCRegClassID = 17,
+  PPC_VRRCRegClassID = 18,
+  PPC_VSHRCRegClassID = 19,
+  PPC_VSLRCRegClassID = 20
 };
 
 // Subregister indices
 enum {
   PPC_NoSubRegister,
   PPC_sub_32,	// 1
-  PPC_sub_eq,	// 2
-  PPC_sub_gt,	// 3
-  PPC_sub_lt,	// 4
-  PPC_sub_un,	// 5
+  PPC_sub_64,	// 2
+  PPC_sub_128,	// 3
+  PPC_sub_eq,	// 4
+  PPC_sub_gt,	// 5
+  PPC_sub_lt,	// 6
+  PPC_sub_un,	// 7
   PPC_NUM_TARGET_SUBREGS
 };
 #endif // GET_REGINFO_ENUM
@@ -244,285 +349,399 @@
 
 static MCPhysReg PPCRegDiffLists[] = {
   /* 0 */ 0, 0,
-  /* 2 */ 65504, 1, 1, 1, 0,
-  /* 7 */ 3, 0,
-  /* 9 */ 8, 0,
-  /* 11 */ 21, 0,
-  /* 13 */ 22, 0,
-  /* 15 */ 156, 65528, 65528, 24, 0,
-  /* 20 */ 47, 0,
-  /* 22 */ 64, 0,
-  /* 24 */ 141, 0,
-  /* 26 */ 64851, 0,
-  /* 28 */ 64880, 0,
-  /* 30 */ 64913, 0,
-  /* 32 */ 64946, 0,
-  /* 34 */ 65096, 0,
-  /* 36 */ 65372, 0,
-  /* 38 */ 65380, 0,
-  /* 40 */ 65388, 0,
-  /* 42 */ 65395, 0,
-  /* 44 */ 65396, 0,
-  /* 46 */ 65472, 0,
-  /* 48 */ 65489, 0,
-  /* 50 */ 65493, 0,
-  /* 52 */ 65528, 0,
-  /* 54 */ 65535, 0,
+  /* 2 */ 2, 1, 1, 1, 1, 1, 1, 1, 0,
+  /* 11 */ 65527, 14, 1, 1, 1, 0,
+  /* 17 */ 65527, 17, 1, 1, 1, 0,
+  /* 23 */ 65527, 20, 1, 1, 1, 0,
+  /* 29 */ 65527, 23, 1, 1, 1, 0,
+  /* 35 */ 65527, 26, 1, 1, 1, 0,
+  /* 41 */ 65527, 29, 1, 1, 1, 0,
+  /* 47 */ 65527, 32, 1, 1, 1, 0,
+  /* 53 */ 65527, 35, 1, 1, 1, 0,
+  /* 59 */ 6, 0,
+  /* 61 */ 9, 0,
+  /* 63 */ 11, 0,
+  /* 65 */ 252, 65528, 65528, 24, 0,
+  /* 70 */ 28, 0,
+  /* 72 */ 29, 0,
+  /* 74 */ 65472, 32, 0,
+  /* 77 */ 47, 0,
+  /* 79 */ 65504, 64, 0,
+  /* 82 */ 160, 0,
+  /* 84 */ 162, 0,
+  /* 86 */ 237, 0,
+  /* 88 */ 64471, 0,
+  /* 90 */ 64500, 0,
+  /* 92 */ 64533, 0,
+  /* 94 */ 64566, 0,
+  /* 96 */ 64813, 0,
+  /* 98 */ 65276, 0,
+  /* 100 */ 65284, 0,
+  /* 102 */ 65292, 0,
+  /* 104 */ 65299, 0,
+  /* 106 */ 65300, 0,
+  /* 108 */ 65374, 0,
+  /* 110 */ 65376, 0,
+  /* 112 */ 65403, 0,
+  /* 114 */ 65404, 0,
+  /* 116 */ 65489, 0,
+  /* 118 */ 65500, 0,
+  /* 120 */ 65527, 0,
+  /* 122 */ 65532, 0,
+  /* 124 */ 65535, 0,
 };
 
 static uint16_t PPCSubRegIdxLists[] = {
   /* 0 */ 1, 0,
-  /* 2 */ 4, 3, 2, 5, 0,
+  /* 2 */ 3, 2, 0,
+  /* 5 */ 6, 5, 4, 7, 0,
 };
 
 static MCRegisterDesc PPCRegDesc[] = { // Descriptors
-  { 3, 0, 0, 0, 0 },
-  { 563, 1, 9, 1, 865 },
-  { 720, 1, 1, 1, 865 },
-  { 620, 1, 1, 1, 865 },
-  { 566, 1, 20, 1, 865 },
-  { 617, 1, 1, 1, 865 },
-  { 507, 1, 1, 1, 865 },
-  { 500, 1, 1, 1, 865 },
-  { 558, 1, 24, 1, 865 },
-  { 433, 52, 1, 0, 0 },
-  { 51, 15, 1, 2, 36 },
-  { 112, 15, 1, 2, 36 },
-  { 157, 15, 1, 2, 36 },
-  { 202, 15, 1, 2, 36 },
-  { 247, 15, 1, 2, 36 },
-  { 292, 15, 1, 2, 36 },
-  { 337, 15, 1, 2, 36 },
-  { 382, 15, 1, 2, 36 },
-  { 445, 1, 1, 1, 209 },
-  { 48, 1, 1, 1, 209 },
-  { 109, 1, 1, 1, 209 },
-  { 154, 1, 1, 1, 209 },
-  { 199, 1, 1, 1, 209 },
-  { 244, 1, 1, 1, 209 },
-  { 289, 1, 1, 1, 209 },
-  { 334, 1, 1, 1, 209 },
-  { 379, 1, 1, 1, 209 },
-  { 424, 1, 1, 1, 209 },
-  { 488, 1, 1, 1, 209 },
-  { 0, 1, 1, 1, 209 },
-  { 61, 1, 1, 1, 209 },
-  { 122, 1, 1, 1, 209 },
-  { 167, 1, 1, 1, 209 },
-  { 212, 1, 1, 1, 209 },
-  { 257, 1, 1, 1, 209 },
-  { 302, 1, 1, 1, 209 },
-  { 347, 1, 1, 1, 209 },
-  { 392, 1, 1, 1, 209 },
-  { 456, 1, 1, 1, 209 },
-  { 16, 1, 1, 1, 209 },
-  { 77, 1, 1, 1, 209 },
-  { 138, 1, 1, 1, 209 },
-  { 183, 1, 1, 1, 209 },
-  { 228, 1, 1, 1, 209 },
-  { 273, 1, 1, 1, 209 },
-  { 318, 1, 1, 1, 209 },
-  { 363, 1, 1, 1, 209 },
-  { 408, 1, 1, 1, 209 },
-  { 472, 1, 1, 1, 209 },
-  { 32, 1, 1, 1, 209 },
-  { 93, 1, 1, 1, 209 },
-  { 437, 48, 1, 0, 112 },
-  { 441, 1, 1, 1, 177 },
-  { 52, 1, 22, 1, 177 },
-  { 113, 1, 22, 1, 177 },
-  { 158, 1, 22, 1, 177 },
-  { 203, 1, 22, 1, 177 },
-  { 248, 1, 22, 1, 177 },
-  { 293, 1, 22, 1, 177 },
-  { 338, 1, 22, 1, 177 },
-  { 383, 1, 22, 1, 177 },
-  { 442, 1, 22, 1, 177 },
-  { 491, 1, 22, 1, 177 },
-  { 4, 1, 22, 1, 177 },
-  { 65, 1, 22, 1, 177 },
-  { 126, 1, 22, 1, 177 },
-  { 171, 1, 22, 1, 177 },
-  { 216, 1, 22, 1, 177 },
-  { 261, 1, 22, 1, 177 },
-  { 306, 1, 22, 1, 177 },
-  { 351, 1, 22, 1, 177 },
-  { 396, 1, 22, 1, 177 },
-  { 460, 1, 22, 1, 177 },
-  { 20, 1, 22, 1, 177 },
-  { 81, 1, 22, 1, 177 },
-  { 142, 1, 22, 1, 177 },
-  { 187, 1, 22, 1, 177 },
-  { 232, 1, 22, 1, 177 },
-  { 277, 1, 22, 1, 177 },
-  { 322, 1, 22, 1, 177 },
-  { 367, 1, 22, 1, 177 },
-  { 412, 1, 22, 1, 177 },
-  { 476, 1, 22, 1, 177 },
-  { 36, 1, 22, 1, 177 },
-  { 97, 1, 22, 1, 177 },
-  { 55, 1, 1, 1, 177 },
-  { 116, 1, 1, 1, 177 },
-  { 161, 1, 1, 1, 177 },
-  { 206, 1, 1, 1, 177 },
-  { 251, 1, 1, 1, 177 },
-  { 296, 1, 1, 1, 177 },
-  { 341, 1, 1, 1, 177 },
-  { 386, 1, 1, 1, 177 },
-  { 450, 1, 1, 1, 177 },
-  { 494, 1, 1, 1, 177 },
-  { 8, 1, 1, 1, 177 },
-  { 69, 1, 1, 1, 177 },
-  { 130, 1, 1, 1, 177 },
-  { 175, 1, 1, 1, 177 },
-  { 220, 1, 1, 1, 177 },
-  { 265, 1, 1, 1, 177 },
-  { 310, 1, 1, 1, 177 },
-  { 355, 1, 1, 1, 177 },
-  { 400, 1, 1, 1, 177 },
-  { 464, 1, 1, 1, 177 },
-  { 24, 1, 1, 1, 177 },
-  { 85, 1, 1, 1, 177 },
-  { 146, 1, 1, 1, 177 },
-  { 191, 1, 1, 1, 177 },
-  { 236, 1, 1, 1, 177 },
-  { 281, 1, 1, 1, 177 },
-  { 326, 1, 1, 1, 177 },
-  { 371, 1, 1, 1, 177 },
-  { 416, 1, 1, 1, 177 },
-  { 480, 1, 1, 1, 177 },
-  { 40, 1, 1, 1, 177 },
-  { 101, 1, 1, 1, 177 },
-  { 58, 46, 1, 0, 801 },
-  { 119, 46, 1, 0, 801 },
-  { 164, 46, 1, 0, 801 },
-  { 209, 46, 1, 0, 801 },
-  { 254, 46, 1, 0, 801 },
-  { 299, 46, 1, 0, 801 },
-  { 344, 46, 1, 0, 801 },
-  { 389, 46, 1, 0, 801 },
-  { 453, 46, 1, 0, 801 },
-  { 497, 46, 1, 0, 801 },
-  { 12, 46, 1, 0, 801 },
-  { 73, 46, 1, 0, 801 },
-  { 134, 46, 1, 0, 801 },
-  { 179, 46, 1, 0, 801 },
-  { 224, 46, 1, 0, 801 },
-  { 269, 46, 1, 0, 801 },
-  { 314, 46, 1, 0, 801 },
-  { 359, 46, 1, 0, 801 },
-  { 404, 46, 1, 0, 801 },
-  { 468, 46, 1, 0, 801 },
-  { 28, 46, 1, 0, 801 },
-  { 89, 46, 1, 0, 801 },
-  { 150, 46, 1, 0, 801 },
-  { 195, 46, 1, 0, 801 },
-  { 240, 46, 1, 0, 801 },
-  { 285, 46, 1, 0, 801 },
-  { 330, 46, 1, 0, 801 },
-  { 375, 46, 1, 0, 801 },
-  { 420, 46, 1, 0, 801 },
-  { 484, 46, 1, 0, 801 },
-  { 44, 46, 1, 0, 801 },
-  { 105, 46, 1, 0, 801 },
-  { 427, 42, 1, 0, 547 },
-  { 569, 1, 44, 1, 547 },
-  { 575, 1, 44, 1, 516 },
-  { 581, 1, 44, 1, 516 },
-  { 587, 1, 44, 1, 516 },
-  { 593, 1, 44, 1, 516 },
-  { 599, 1, 44, 1, 516 },
-  { 605, 1, 44, 1, 516 },
-  { 611, 1, 44, 1, 516 },
-  { 624, 1, 40, 1, 484 },
-  { 630, 1, 40, 1, 484 },
-  { 636, 1, 40, 1, 484 },
-  { 642, 1, 40, 1, 484 },
-  { 648, 1, 40, 1, 484 },
-  { 654, 1, 40, 1, 484 },
-  { 660, 1, 40, 1, 484 },
-  { 666, 1, 40, 1, 484 },
-  { 672, 1, 38, 1, 452 },
-  { 678, 1, 38, 1, 452 },
-  { 684, 1, 38, 1, 452 },
-  { 690, 1, 38, 1, 452 },
-  { 696, 1, 38, 1, 452 },
-  { 702, 1, 38, 1, 452 },
-  { 708, 1, 38, 1, 452 },
-  { 714, 1, 38, 1, 452 },
-  { 510, 1, 36, 1, 420 },
-  { 516, 1, 36, 1, 420 },
-  { 522, 1, 36, 1, 420 },
-  { 528, 1, 36, 1, 420 },
-  { 534, 1, 36, 1, 420 },
-  { 540, 1, 36, 1, 420 },
-  { 546, 1, 36, 1, 420 },
-  { 552, 1, 36, 1, 420 },
+  { 4, 0, 0, 0, 0 },
+  { 962, 1, 61, 1, 1985 },
+  { 1119, 1, 1, 1, 1985 },
+  { 896, 1, 1, 1, 32 },
+  { 1019, 1, 1, 1, 945 },
+  { 965, 1, 77, 1, 945 },
+  { 1016, 1, 1, 1, 945 },
+  { 906, 1, 1, 1, 945 },
+  { 899, 1, 1, 1, 945 },
+  { 957, 1, 86, 1, 945 },
+  { 792, 120, 1, 0, 0 },
+  { 101, 65, 1, 5, 177 },
+  { 212, 65, 1, 5, 273 },
+  { 294, 65, 1, 5, 369 },
+  { 376, 65, 1, 5, 465 },
+  { 458, 65, 1, 5, 561 },
+  { 540, 65, 1, 5, 657 },
+  { 622, 65, 1, 5, 753 },
+  { 704, 65, 1, 5, 849 },
+  { 804, 1, 1, 1, 1153 },
+  { 88, 1, 84, 1, 1153 },
+  { 199, 1, 84, 1, 1153 },
+  { 281, 1, 84, 1, 1153 },
+  { 363, 1, 84, 1, 1153 },
+  { 445, 1, 84, 1, 1153 },
+  { 527, 1, 84, 1, 1153 },
+  { 609, 1, 84, 1, 1153 },
+  { 691, 1, 84, 1, 1153 },
+  { 773, 1, 84, 1, 1153 },
+  { 874, 1, 84, 1, 1153 },
+  { 1, 1, 84, 1, 1153 },
+  { 112, 1, 84, 1, 1153 },
+  { 223, 1, 84, 1, 1153 },
+  { 305, 1, 84, 1, 1153 },
+  { 387, 1, 84, 1, 1153 },
+  { 469, 1, 84, 1, 1153 },
+  { 551, 1, 84, 1, 1153 },
+  { 633, 1, 84, 1, 1153 },
+  { 715, 1, 84, 1, 1153 },
+  { 816, 1, 84, 1, 1153 },
+  { 30, 1, 84, 1, 1153 },
+  { 141, 1, 84, 1, 1153 },
+  { 252, 1, 84, 1, 1153 },
+  { 334, 1, 84, 1, 1153 },
+  { 416, 1, 84, 1, 1153 },
+  { 498, 1, 84, 1, 1153 },
+  { 580, 1, 84, 1, 1153 },
+  { 662, 1, 84, 1, 1153 },
+  { 744, 1, 84, 1, 1153 },
+  { 845, 1, 84, 1, 1153 },
+  { 59, 1, 84, 1, 1153 },
+  { 170, 1, 84, 1, 1153 },
+  { 796, 116, 1, 0, 1008 },
+  { 800, 1, 1, 1, 1121 },
+  { 102, 1, 82, 1, 1121 },
+  { 213, 1, 82, 1, 1121 },
+  { 295, 1, 82, 1, 1121 },
+  { 377, 1, 82, 1, 1121 },
+  { 459, 1, 82, 1, 1121 },
+  { 541, 1, 82, 1, 1121 },
+  { 623, 1, 82, 1, 1121 },
+  { 705, 1, 82, 1, 1121 },
+  { 801, 1, 82, 1, 1121 },
+  { 887, 1, 82, 1, 1121 },
+  { 17, 1, 82, 1, 1121 },
+  { 128, 1, 82, 1, 1121 },
+  { 239, 1, 82, 1, 1121 },
+  { 321, 1, 82, 1, 1121 },
+  { 403, 1, 82, 1, 1121 },
+  { 485, 1, 82, 1, 1121 },
+  { 567, 1, 82, 1, 1121 },
+  { 649, 1, 82, 1, 1121 },
+  { 731, 1, 82, 1, 1121 },
+  { 832, 1, 82, 1, 1121 },
+  { 46, 1, 82, 1, 1121 },
+  { 157, 1, 82, 1, 1121 },
+  { 268, 1, 82, 1, 1121 },
+  { 350, 1, 82, 1, 1121 },
+  { 432, 1, 82, 1, 1121 },
+  { 514, 1, 82, 1, 1121 },
+  { 596, 1, 82, 1, 1121 },
+  { 678, 1, 82, 1, 1121 },
+  { 760, 1, 82, 1, 1121 },
+  { 861, 1, 82, 1, 1121 },
+  { 75, 1, 82, 1, 1121 },
+  { 186, 1, 82, 1, 1121 },
+  { 105, 75, 80, 3, 1121 },
+  { 216, 75, 80, 3, 1121 },
+  { 298, 75, 80, 3, 1121 },
+  { 380, 75, 80, 3, 1121 },
+  { 462, 75, 80, 3, 1121 },
+  { 544, 75, 80, 3, 1121 },
+  { 626, 75, 80, 3, 1121 },
+  { 708, 75, 80, 3, 1121 },
+  { 809, 75, 80, 3, 1121 },
+  { 890, 75, 80, 3, 1121 },
+  { 21, 75, 80, 3, 1121 },
+  { 132, 75, 80, 3, 1121 },
+  { 243, 75, 80, 3, 1121 },
+  { 325, 75, 80, 3, 1121 },
+  { 407, 75, 80, 3, 1121 },
+  { 489, 75, 80, 3, 1121 },
+  { 571, 75, 80, 3, 1121 },
+  { 653, 75, 80, 3, 1121 },
+  { 735, 75, 80, 3, 1121 },
+  { 836, 75, 80, 3, 1121 },
+  { 50, 75, 80, 3, 1121 },
+  { 161, 75, 80, 3, 1121 },
+  { 272, 75, 80, 3, 1121 },
+  { 354, 75, 80, 3, 1121 },
+  { 436, 75, 80, 3, 1121 },
+  { 518, 75, 80, 3, 1121 },
+  { 600, 75, 80, 3, 1121 },
+  { 682, 75, 80, 3, 1121 },
+  { 764, 75, 80, 3, 1121 },
+  { 865, 75, 80, 3, 1121 },
+  { 79, 75, 80, 3, 1121 },
+  { 190, 75, 80, 3, 1121 },
+  { 87, 1, 79, 1, 1953 },
+  { 198, 1, 79, 1, 1953 },
+  { 280, 1, 79, 1, 1953 },
+  { 362, 1, 79, 1, 1953 },
+  { 444, 1, 79, 1, 1953 },
+  { 526, 1, 79, 1, 1953 },
+  { 608, 1, 79, 1, 1953 },
+  { 690, 1, 79, 1, 1953 },
+  { 772, 1, 79, 1, 1953 },
+  { 873, 1, 79, 1, 1953 },
+  { 0, 1, 79, 1, 1953 },
+  { 111, 1, 79, 1, 1953 },
+  { 222, 1, 79, 1, 1953 },
+  { 304, 1, 79, 1, 1953 },
+  { 386, 1, 79, 1, 1953 },
+  { 468, 1, 79, 1, 1953 },
+  { 550, 1, 79, 1, 1953 },
+  { 632, 1, 79, 1, 1953 },
+  { 714, 1, 79, 1, 1953 },
+  { 815, 1, 79, 1, 1953 },
+  { 29, 1, 79, 1, 1953 },
+  { 140, 1, 79, 1, 1953 },
+  { 251, 1, 79, 1, 1953 },
+  { 333, 1, 79, 1, 1953 },
+  { 415, 1, 79, 1, 1953 },
+  { 497, 1, 79, 1, 1953 },
+  { 579, 1, 79, 1, 1953 },
+  { 661, 1, 79, 1, 1953 },
+  { 743, 1, 79, 1, 1953 },
+  { 844, 1, 79, 1, 1953 },
+  { 58, 1, 79, 1, 1953 },
+  { 169, 1, 79, 1, 1953 },
+  { 91, 74, 1, 2, 1889 },
+  { 202, 74, 1, 2, 1889 },
+  { 284, 74, 1, 2, 1889 },
+  { 366, 74, 1, 2, 1889 },
+  { 448, 74, 1, 2, 1889 },
+  { 530, 74, 1, 2, 1889 },
+  { 612, 74, 1, 2, 1889 },
+  { 694, 74, 1, 2, 1889 },
+  { 776, 74, 1, 2, 1889 },
+  { 877, 74, 1, 2, 1889 },
+  { 5, 74, 1, 2, 1889 },
+  { 116, 74, 1, 2, 1889 },
+  { 227, 74, 1, 2, 1889 },
+  { 309, 74, 1, 2, 1889 },
+  { 391, 74, 1, 2, 1889 },
+  { 473, 74, 1, 2, 1889 },
+  { 555, 74, 1, 2, 1889 },
+  { 637, 74, 1, 2, 1889 },
+  { 719, 74, 1, 2, 1889 },
+  { 820, 74, 1, 2, 1889 },
+  { 34, 74, 1, 2, 1889 },
+  { 145, 74, 1, 2, 1889 },
+  { 256, 74, 1, 2, 1889 },
+  { 338, 74, 1, 2, 1889 },
+  { 420, 74, 1, 2, 1889 },
+  { 502, 74, 1, 2, 1889 },
+  { 584, 74, 1, 2, 1889 },
+  { 666, 74, 1, 2, 1889 },
+  { 748, 74, 1, 2, 1889 },
+  { 849, 74, 1, 2, 1889 },
+  { 63, 74, 1, 2, 1889 },
+  { 174, 74, 1, 2, 1889 },
+  { 96, 108, 1, 3, 1793 },
+  { 207, 108, 1, 3, 1793 },
+  { 289, 108, 1, 3, 1793 },
+  { 371, 108, 1, 3, 1793 },
+  { 453, 108, 1, 3, 1793 },
+  { 535, 108, 1, 3, 1793 },
+  { 617, 108, 1, 3, 1793 },
+  { 699, 108, 1, 3, 1793 },
+  { 781, 108, 1, 3, 1793 },
+  { 882, 108, 1, 3, 1793 },
+  { 11, 108, 1, 3, 1793 },
+  { 122, 108, 1, 3, 1793 },
+  { 233, 108, 1, 3, 1793 },
+  { 315, 108, 1, 3, 1793 },
+  { 397, 108, 1, 3, 1793 },
+  { 479, 108, 1, 3, 1793 },
+  { 561, 108, 1, 3, 1793 },
+  { 643, 108, 1, 3, 1793 },
+  { 725, 108, 1, 3, 1793 },
+  { 826, 108, 1, 3, 1793 },
+  { 40, 108, 1, 3, 1793 },
+  { 151, 108, 1, 3, 1793 },
+  { 262, 108, 1, 3, 1793 },
+  { 344, 108, 1, 3, 1793 },
+  { 426, 108, 1, 3, 1793 },
+  { 508, 108, 1, 3, 1793 },
+  { 590, 108, 1, 3, 1793 },
+  { 672, 108, 1, 3, 1793 },
+  { 754, 108, 1, 3, 1793 },
+  { 855, 108, 1, 3, 1793 },
+  { 69, 108, 1, 3, 1793 },
+  { 180, 108, 1, 3, 1793 },
+  { 108, 110, 1, 0, 1825 },
+  { 219, 110, 1, 0, 1825 },
+  { 301, 110, 1, 0, 1825 },
+  { 383, 110, 1, 0, 1825 },
+  { 465, 110, 1, 0, 1825 },
+  { 547, 110, 1, 0, 1825 },
+  { 629, 110, 1, 0, 1825 },
+  { 711, 110, 1, 0, 1825 },
+  { 812, 110, 1, 0, 1825 },
+  { 893, 110, 1, 0, 1825 },
+  { 25, 110, 1, 0, 1825 },
+  { 136, 110, 1, 0, 1825 },
+  { 247, 110, 1, 0, 1825 },
+  { 329, 110, 1, 0, 1825 },
+  { 411, 110, 1, 0, 1825 },
+  { 493, 110, 1, 0, 1825 },
+  { 575, 110, 1, 0, 1825 },
+  { 657, 110, 1, 0, 1825 },
+  { 739, 110, 1, 0, 1825 },
+  { 840, 110, 1, 0, 1825 },
+  { 54, 110, 1, 0, 1825 },
+  { 165, 110, 1, 0, 1825 },
+  { 276, 110, 1, 0, 1825 },
+  { 358, 110, 1, 0, 1825 },
+  { 440, 110, 1, 0, 1825 },
+  { 522, 110, 1, 0, 1825 },
+  { 604, 110, 1, 0, 1825 },
+  { 686, 110, 1, 0, 1825 },
+  { 768, 110, 1, 0, 1825 },
+  { 869, 110, 1, 0, 1825 },
+  { 83, 110, 1, 0, 1825 },
+  { 194, 110, 1, 0, 1825 },
+  { 786, 104, 1, 0, 1539 },
+  { 968, 1, 106, 1, 1539 },
+  { 974, 1, 106, 1, 1508 },
+  { 980, 1, 106, 1, 1508 },
+  { 986, 1, 106, 1, 1508 },
+  { 992, 1, 106, 1, 1508 },
+  { 998, 1, 106, 1, 1508 },
+  { 1004, 1, 106, 1, 1508 },
+  { 1010, 1, 106, 1, 1508 },
+  { 1023, 1, 102, 1, 1476 },
+  { 1029, 1, 102, 1, 1476 },
+  { 1035, 1, 102, 1, 1476 },
+  { 1041, 1, 102, 1, 1476 },
+  { 1047, 1, 102, 1, 1476 },
+  { 1053, 1, 102, 1, 1476 },
+  { 1059, 1, 102, 1, 1476 },
+  { 1065, 1, 102, 1, 1476 },
+  { 1071, 1, 100, 1, 1444 },
+  { 1077, 1, 100, 1, 1444 },
+  { 1083, 1, 100, 1, 1444 },
+  { 1089, 1, 100, 1, 1444 },
+  { 1095, 1, 100, 1, 1444 },
+  { 1101, 1, 100, 1, 1444 },
+  { 1107, 1, 100, 1, 1444 },
+  { 1113, 1, 100, 1, 1444 },
+  { 909, 1, 98, 1, 1412 },
+  { 915, 1, 98, 1, 1412 },
+  { 921, 1, 98, 1, 1412 },
+  { 927, 1, 98, 1, 1412 },
+  { 933, 1, 98, 1, 1412 },
+  { 939, 1, 98, 1, 1412 },
+  { 945, 1, 98, 1, 1412 },
+  { 951, 1, 98, 1, 1412 },
 };
 
 
   // GPRC Register Class...
-  static uint16_t GPRC[] = {
+  static MCPhysReg GPRC[] = {
     PPC_R2, PPC_R3, PPC_R4, PPC_R5, PPC_R6, PPC_R7, PPC_R8, PPC_R9, PPC_R10, PPC_R11, PPC_R12, PPC_R30, PPC_R29, PPC_R28, PPC_R27, PPC_R26, PPC_R25, PPC_R24, PPC_R23, PPC_R22, PPC_R21, PPC_R20, PPC_R19, PPC_R18, PPC_R17, PPC_R16, PPC_R15, PPC_R14, PPC_R13, PPC_R31, PPC_R0, PPC_R1, PPC_FP, PPC_BP, 
   };
 
   // GPRC Bit set.
   static uint8_t GPRCBits[] = {
-    0x12, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x1f, 
+    0x22, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // GPRC_NOR0 Register Class...
-  static uint16_t GPRC_NOR0[] = {
+  static MCPhysReg GPRC_NOR0[] = {
     PPC_R2, PPC_R3, PPC_R4, PPC_R5, PPC_R6, PPC_R7, PPC_R8, PPC_R9, PPC_R10, PPC_R11, PPC_R12, PPC_R30, PPC_R29, PPC_R28, PPC_R27, PPC_R26, PPC_R25, PPC_R24, PPC_R23, PPC_R22, PPC_R21, PPC_R20, PPC_R19, PPC_R18, PPC_R17, PPC_R16, PPC_R15, PPC_R14, PPC_R13, PPC_R31, PPC_R1, PPC_FP, PPC_BP, PPC_ZERO, 
   };
 
   // GPRC_NOR0 Bit set.
   static uint8_t GPRC_NOR0Bits[] = {
-    0x12, 0x01, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x1f, 
+    0x22, 0x02, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // GPRC_and_GPRC_NOR0 Register Class...
-  static uint16_t GPRC_and_GPRC_NOR0[] = {
+  static MCPhysReg GPRC_and_GPRC_NOR0[] = {
     PPC_R2, PPC_R3, PPC_R4, PPC_R5, PPC_R6, PPC_R7, PPC_R8, PPC_R9, PPC_R10, PPC_R11, PPC_R12, PPC_R30, PPC_R29, PPC_R28, PPC_R27, PPC_R26, PPC_R25, PPC_R24, PPC_R23, PPC_R22, PPC_R21, PPC_R20, PPC_R19, PPC_R18, PPC_R17, PPC_R16, PPC_R15, PPC_R14, PPC_R13, PPC_R31, PPC_R1, PPC_FP, PPC_BP, 
   };
 
   // GPRC_and_GPRC_NOR0 Bit set.
   static uint8_t GPRC_and_GPRC_NOR0Bits[] = {
-    0x12, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x1f, 
+    0x22, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // CRBITRC Register Class...
-  static uint16_t CRBITRC[] = {
-    PPC_CR0LT, PPC_CR0GT, PPC_CR0EQ, PPC_CR0UN, PPC_CR1LT, PPC_CR1GT, PPC_CR1EQ, PPC_CR1UN, PPC_CR2LT, PPC_CR2GT, PPC_CR2EQ, PPC_CR2UN, PPC_CR3LT, PPC_CR3GT, PPC_CR3EQ, PPC_CR3UN, PPC_CR4LT, PPC_CR4GT, PPC_CR4EQ, PPC_CR4UN, PPC_CR5LT, PPC_CR5GT, PPC_CR5EQ, PPC_CR5UN, PPC_CR6LT, PPC_CR6GT, PPC_CR6EQ, PPC_CR6UN, PPC_CR7LT, PPC_CR7GT, PPC_CR7EQ, PPC_CR7UN, 
+  static MCPhysReg CRBITRC[] = {
+    PPC_CR2LT, PPC_CR2GT, PPC_CR2EQ, PPC_CR2UN, PPC_CR3LT, PPC_CR3GT, PPC_CR3EQ, PPC_CR3UN, PPC_CR4LT, PPC_CR4GT, PPC_CR4EQ, PPC_CR4UN, PPC_CR5LT, PPC_CR5GT, PPC_CR5EQ, PPC_CR5UN, PPC_CR6LT, PPC_CR6GT, PPC_CR6EQ, PPC_CR6UN, PPC_CR7LT, PPC_CR7GT, PPC_CR7EQ, PPC_CR7UN, PPC_CR1LT, PPC_CR1GT, PPC_CR1EQ, PPC_CR1UN, PPC_CR0LT, PPC_CR0GT, PPC_CR0EQ, PPC_CR0UN, 
   };
 
   // CRBITRC Bit set.
   static uint8_t CRBITRCBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x7f, 
   };
 
   // F4RC Register Class...
-  static uint16_t F4RC[] = {
+  static MCPhysReg F4RC[] = {
     PPC_F0, PPC_F1, PPC_F2, PPC_F3, PPC_F4, PPC_F5, PPC_F6, PPC_F7, PPC_F8, PPC_F9, PPC_F10, PPC_F11, PPC_F12, PPC_F13, PPC_F31, PPC_F30, PPC_F29, PPC_F28, PPC_F27, PPC_F26, PPC_F25, PPC_F24, PPC_F23, PPC_F22, PPC_F21, PPC_F20, PPC_F19, PPC_F18, PPC_F17, PPC_F16, PPC_F15, PPC_F14, 
   };
 
   // F4RC Bit set.
   static uint8_t F4RCBits[] = {
-    0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
   };
 
   // CRRC Register Class...
-  static uint16_t CRRC[] = {
+  static MCPhysReg CRRC[] = {
     PPC_CR0, PPC_CR1, PPC_CR5, PPC_CR6, PPC_CR7, PPC_CR2, PPC_CR3, PPC_CR4, 
   };
 
   // CRRC Bit set.
   static uint8_t CRRCBits[] = {
-    0x00, 0xfc, 0x03, 
+    0x00, 0xf8, 0x07, 
   };
 
   // CARRYRC Register Class...
-  static uint16_t CARRYRC[] = {
+  static MCPhysReg CARRYRC[] = {
     PPC_CARRY, 
   };
 
@@ -531,103 +750,168 @@
     0x04, 
   };
 
+  // CCRC Register Class...
+  static MCPhysReg CCRC[] = {
+    PPC_CC, 
+  };
+
+  // CCRC Bit set.
+  static uint8_t CCRCBits[] = {
+    0x08, 
+  };
+
   // CTRRC Register Class...
-  static uint16_t CTRRC[] = {
+  static MCPhysReg CTRRC[] = {
     PPC_CTR, 
   };
 
   // CTRRC Bit set.
   static uint8_t CTRRCBits[] = {
-    0x08, 
+    0x10, 
   };
 
   // VRSAVERC Register Class...
-  static uint16_t VRSAVERC[] = {
+  static MCPhysReg VRSAVERC[] = {
     PPC_VRSAVE, 
   };
 
   // VRSAVERC Bit set.
   static uint8_t VRSAVERCBits[] = {
-    0x80, 
+    0x00, 0x01, 
+  };
+
+  // VSFRC Register Class...
+  static MCPhysReg VSFRC[] = {
+    PPC_F0, PPC_F1, PPC_F2, PPC_F3, PPC_F4, PPC_F5, PPC_F6, PPC_F7, PPC_F8, PPC_F9, PPC_F10, PPC_F11, PPC_F12, PPC_F13, PPC_F31, PPC_F30, PPC_F29, PPC_F28, PPC_F27, PPC_F26, PPC_F25, PPC_F24, PPC_F23, PPC_F22, PPC_F21, PPC_F20, PPC_F19, PPC_F18, PPC_F17, PPC_F16, PPC_F15, PPC_F14, PPC_VF2, PPC_VF3, PPC_VF4, PPC_VF5, PPC_VF0, PPC_VF1, PPC_VF6, PPC_VF7, PPC_VF8, PPC_VF9, PPC_VF10, PPC_VF11, PPC_VF12, PPC_VF13, PPC_VF14, PPC_VF15, PPC_VF16, PPC_VF17, PPC_VF18, PPC_VF19, PPC_VF31, PPC_VF30, PPC_VF29, PPC_VF28, PPC_VF27, PPC_VF26, PPC_VF25, PPC_VF24, PPC_VF23, PPC_VF22, PPC_VF21, PPC_VF20, 
+  };
+
+  // VSFRC Bit set.
+  static uint8_t VSFRCBits[] = {
+    0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // G8RC Register Class...
-  static uint16_t G8RC[] = {
+  static MCPhysReg G8RC[] = {
     PPC_X2, PPC_X3, PPC_X4, PPC_X5, PPC_X6, PPC_X7, PPC_X8, PPC_X9, PPC_X10, PPC_X11, PPC_X12, PPC_X30, PPC_X29, PPC_X28, PPC_X27, PPC_X26, PPC_X25, PPC_X24, PPC_X23, PPC_X22, PPC_X21, PPC_X20, PPC_X19, PPC_X18, PPC_X17, PPC_X16, PPC_X15, PPC_X14, PPC_X31, PPC_X13, PPC_X0, PPC_X1, PPC_FP8, PPC_BP8, 
   };
 
   // G8RC Bit set.
   static uint8_t G8RCBits[] = {
-    0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x1f, 
+    0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // G8RC_NOX0 Register Class...
-  static uint16_t G8RC_NOX0[] = {
+  static MCPhysReg G8RC_NOX0[] = {
     PPC_X2, PPC_X3, PPC_X4, PPC_X5, PPC_X6, PPC_X7, PPC_X8, PPC_X9, PPC_X10, PPC_X11, PPC_X12, PPC_X30, PPC_X29, PPC_X28, PPC_X27, PPC_X26, PPC_X25, PPC_X24, PPC_X23, PPC_X22, PPC_X21, PPC_X20, PPC_X19, PPC_X18, PPC_X17, PPC_X16, PPC_X15, PPC_X14, PPC_X31, PPC_X13, PPC_X1, PPC_FP8, PPC_BP8, PPC_ZERO8, 
   };
 
   // G8RC_NOX0 Bit set.
   static uint8_t G8RC_NOX0Bits[] = {
-    0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+    0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x7f, 
   };
 
   // G8RC_and_G8RC_NOX0 Register Class...
-  static uint16_t G8RC_and_G8RC_NOX0[] = {
+  static MCPhysReg G8RC_and_G8RC_NOX0[] = {
     PPC_X2, PPC_X3, PPC_X4, PPC_X5, PPC_X6, PPC_X7, PPC_X8, PPC_X9, PPC_X10, PPC_X11, PPC_X12, PPC_X30, PPC_X29, PPC_X28, PPC_X27, PPC_X26, PPC_X25, PPC_X24, PPC_X23, PPC_X22, PPC_X21, PPC_X20, PPC_X19, PPC_X18, PPC_X17, PPC_X16, PPC_X15, PPC_X14, PPC_X31, PPC_X13, PPC_X1, PPC_FP8, PPC_BP8, 
   };
 
   // G8RC_and_G8RC_NOX0 Bit set.
   static uint8_t G8RC_and_G8RC_NOX0Bits[] = {
-    0x00, 0x02, 0x00, 0x00, 0x00, 0x00, 0x08, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x1f, 
+    0x00, 0x04, 0x00, 0x00, 0x00, 0x00, 0x10, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x80, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // F8RC Register Class...
-  static uint16_t F8RC[] = {
+  static MCPhysReg F8RC[] = {
     PPC_F0, PPC_F1, PPC_F2, PPC_F3, PPC_F4, PPC_F5, PPC_F6, PPC_F7, PPC_F8, PPC_F9, PPC_F10, PPC_F11, PPC_F12, PPC_F13, PPC_F31, PPC_F30, PPC_F29, PPC_F28, PPC_F27, PPC_F26, PPC_F25, PPC_F24, PPC_F23, PPC_F22, PPC_F21, PPC_F20, PPC_F19, PPC_F18, PPC_F17, PPC_F16, PPC_F15, PPC_F14, 
   };
 
   // F8RC Bit set.
   static uint8_t F8RCBits[] = {
-    0x00, 0x00, 0xf8, 0xff, 0xff, 0xff, 0x07, 
+    0x00, 0x00, 0xf0, 0xff, 0xff, 0xff, 0x0f, 
+  };
+
+  // VFRC Register Class...
+  static MCPhysReg VFRC[] = {
+    PPC_VF2, PPC_VF3, PPC_VF4, PPC_VF5, PPC_VF0, PPC_VF1, PPC_VF6, PPC_VF7, PPC_VF8, PPC_VF9, PPC_VF10, PPC_VF11, PPC_VF12, PPC_VF13, PPC_VF14, PPC_VF15, PPC_VF16, PPC_VF17, PPC_VF18, PPC_VF19, PPC_VF31, PPC_VF30, PPC_VF29, PPC_VF28, PPC_VF27, PPC_VF26, PPC_VF25, PPC_VF24, PPC_VF23, PPC_VF22, PPC_VF21, PPC_VF20, 
+  };
+
+  // VFRC Bit set.
+  static uint8_t VFRCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // CTRRC8 Register Class...
-  static uint16_t CTRRC8[] = {
+  static MCPhysReg CTRRC8[] = {
     PPC_CTR8, 
   };
 
   // CTRRC8 Bit set.
   static uint8_t CTRRC8Bits[] = {
-    0x00, 0x00, 0x04, 
+    0x00, 0x00, 0x08, 
+  };
+
+  // VSRC Register Class...
+  static MCPhysReg VSRC[] = {
+    PPC_VSL0, PPC_VSL1, PPC_VSL2, PPC_VSL3, PPC_VSL4, PPC_VSL5, PPC_VSL6, PPC_VSL7, PPC_VSL8, PPC_VSL9, PPC_VSL10, PPC_VSL11, PPC_VSL12, PPC_VSL13, PPC_VSL31, PPC_VSL30, PPC_VSL29, PPC_VSL28, PPC_VSL27, PPC_VSL26, PPC_VSL25, PPC_VSL24, PPC_VSL23, PPC_VSL22, PPC_VSL21, PPC_VSL20, PPC_VSL19, PPC_VSL18, PPC_VSL17, PPC_VSL16, PPC_VSL15, PPC_VSL14, PPC_VSH2, PPC_VSH3, PPC_VSH4, PPC_VSH5, PPC_VSH0, PPC_VSH1, PPC_VSH6, PPC_VSH7, PPC_VSH8, PPC_VSH9, PPC_VSH10, PPC_VSH11, PPC_VSH12, PPC_VSH13, PPC_VSH14, PPC_VSH15, PPC_VSH16, PPC_VSH17, PPC_VSH18, PPC_VSH19, PPC_VSH31, PPC_VSH30, PPC_VSH29, PPC_VSH28, PPC_VSH27, PPC_VSH26, PPC_VSH25, PPC_VSH24, PPC_VSH23, PPC_VSH22, PPC_VSH21, PPC_VSH20, 
+  };
+
+  // VSRC Bit set.
+  static uint8_t VSRCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0xff, 0x3f, 
   };
 
   // VRRC Register Class...
-  static uint16_t VRRC[] = {
+  static MCPhysReg VRRC[] = {
     PPC_V2, PPC_V3, PPC_V4, PPC_V5, PPC_V0, PPC_V1, PPC_V6, PPC_V7, PPC_V8, PPC_V9, PPC_V10, PPC_V11, PPC_V12, PPC_V13, PPC_V14, PPC_V15, PPC_V16, PPC_V17, PPC_V18, PPC_V19, PPC_V31, PPC_V30, PPC_V29, PPC_V28, PPC_V27, PPC_V26, PPC_V25, PPC_V24, PPC_V23, PPC_V22, PPC_V21, PPC_V20, 
   };
 
   // VRRC Bit set.
   static uint8_t VRRCBits[] = {
-    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xe0, 0xff, 0xff, 0xff, 0x1f, 
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
   };
 
+  // VSHRC Register Class...
+  static MCPhysReg VSHRC[] = {
+    PPC_VSH2, PPC_VSH3, PPC_VSH4, PPC_VSH5, PPC_VSH0, PPC_VSH1, PPC_VSH6, PPC_VSH7, PPC_VSH8, PPC_VSH9, PPC_VSH10, PPC_VSH11, PPC_VSH12, PPC_VSH13, PPC_VSH14, PPC_VSH15, PPC_VSH16, PPC_VSH17, PPC_VSH18, PPC_VSH19, PPC_VSH31, PPC_VSH30, PPC_VSH29, PPC_VSH28, PPC_VSH27, PPC_VSH26, PPC_VSH25, PPC_VSH24, PPC_VSH23, PPC_VSH22, PPC_VSH21, PPC_VSH20, 
+  };
+
+  // VSHRC Bit set.
+  static uint8_t VSHRCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+  };
+
+  // VSLRC Register Class...
+  static MCPhysReg VSLRC[] = {
+    PPC_VSL0, PPC_VSL1, PPC_VSL2, PPC_VSL3, PPC_VSL4, PPC_VSL5, PPC_VSL6, PPC_VSL7, PPC_VSL8, PPC_VSL9, PPC_VSL10, PPC_VSL11, PPC_VSL12, PPC_VSL13, PPC_VSL31, PPC_VSL30, PPC_VSL29, PPC_VSL28, PPC_VSL27, PPC_VSL26, PPC_VSL25, PPC_VSL24, PPC_VSL23, PPC_VSL22, PPC_VSL21, PPC_VSL20, PPC_VSL19, PPC_VSL18, PPC_VSL17, PPC_VSL16, PPC_VSL15, PPC_VSL14, 
+  };
+
+  // VSLRC Bit set.
+  static uint8_t VSLRCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xc0, 0xff, 0xff, 0xff, 0x3f, 
+  };
 
 static MCRegisterClass PPCMCRegisterClasses[] = {
   { "GPRC", GPRC, GPRCBits, 34, sizeof(GPRCBits), PPC_GPRCRegClassID, 4, 4, 1, 1 },
   { "GPRC_NOR0", GPRC_NOR0, GPRC_NOR0Bits, 34, sizeof(GPRC_NOR0Bits), PPC_GPRC_NOR0RegClassID, 4, 4, 1, 1 },
   { "GPRC_and_GPRC_NOR0", GPRC_and_GPRC_NOR0, GPRC_and_GPRC_NOR0Bits, 33, sizeof(GPRC_and_GPRC_NOR0Bits), PPC_GPRC_and_GPRC_NOR0RegClassID, 4, 4, 1, 1 },
-  { "CRBITRC", CRBITRC, CRBITRCBits, 32, sizeof(CRBITRCBits), PPC_CRBITRCRegClassID, 4, 4, -1, 1 },
+  { "CRBITRC", CRBITRC, CRBITRCBits, 32, sizeof(CRBITRCBits), PPC_CRBITRCRegClassID, 4, 4, 1, 1 },
   { "F4RC", F4RC, F4RCBits, 32, sizeof(F4RCBits), PPC_F4RCRegClassID, 4, 4, 1, 1 },
   { "CRRC", CRRC, CRRCBits, 8, sizeof(CRRCBits), PPC_CRRCRegClassID, 4, 4, 1, 1 },
   { "CARRYRC", CARRYRC, CARRYRCBits, 1, sizeof(CARRYRCBits), PPC_CARRYRCRegClassID, 4, 4, -1, 1 },
+  { "CCRC", CCRC, CCRCBits, 1, sizeof(CCRCBits), PPC_CCRCRegClassID, 4, 4, 1, 0 },
   { "CTRRC", CTRRC, CTRRCBits, 1, sizeof(CTRRCBits), PPC_CTRRCRegClassID, 4, 4, 1, 0 },
   { "VRSAVERC", VRSAVERC, VRSAVERCBits, 1, sizeof(VRSAVERCBits), PPC_VRSAVERCRegClassID, 4, 4, 1, 1 },
+  { "VSFRC", VSFRC, VSFRCBits, 64, sizeof(VSFRCBits), PPC_VSFRCRegClassID, 8, 8, 1, 1 },
   { "G8RC", G8RC, G8RCBits, 34, sizeof(G8RCBits), PPC_G8RCRegClassID, 8, 8, 1, 1 },
   { "G8RC_NOX0", G8RC_NOX0, G8RC_NOX0Bits, 34, sizeof(G8RC_NOX0Bits), PPC_G8RC_NOX0RegClassID, 8, 8, 1, 1 },
   { "G8RC_and_G8RC_NOX0", G8RC_and_G8RC_NOX0, G8RC_and_G8RC_NOX0Bits, 33, sizeof(G8RC_and_G8RC_NOX0Bits), PPC_G8RC_and_G8RC_NOX0RegClassID, 8, 8, 1, 1 },
   { "F8RC", F8RC, F8RCBits, 32, sizeof(F8RCBits), PPC_F8RCRegClassID, 8, 8, 1, 1 },
+  { "VFRC", VFRC, VFRCBits, 32, sizeof(VFRCBits), PPC_VFRCRegClassID, 8, 8, 1, 1 },
   { "CTRRC8", CTRRC8, CTRRC8Bits, 1, sizeof(CTRRC8Bits), PPC_CTRRC8RegClassID, 8, 8, 1, 0 },
+  { "VSRC", VSRC, VSRCBits, 64, sizeof(VSRCBits), PPC_VSRCRegClassID, 16, 16, 1, 1 },
   { "VRRC", VRRC, VRRCBits, 32, sizeof(VRRCBits), PPC_VRRCRegClassID, 16, 16, 1, 1 },
+  { "VSHRC", VSHRC, VSHRCBits, 32, sizeof(VSHRCBits), PPC_VSHRCRegClassID, 16, 16, 1, 1 },
+  { "VSLRC", VSLRC, VSLRCBits, 32, sizeof(VSLRCBits), PPC_VSLRCRegClassID, 16, 16, 1, 1 },
 };
 
 #endif // GET_REGINFO_MC_DESC
diff --git a/arch/PowerPC/PPCGenSubtargetInfo.inc b/arch/PowerPC/PPCGenSubtargetInfo.inc
index b5c32c9..17dfcc0 100644
--- a/arch/PowerPC/PPCGenSubtargetInfo.inc
+++ b/arch/PowerPC/PPCGenSubtargetInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_SUBTARGETINFO_ENUM
@@ -36,27 +36,35 @@
 #define PPC_DirectivePwr6 (1ULL << 20)
 #define PPC_DirectivePwr6x (1ULL << 21)
 #define PPC_DirectivePwr7 (1ULL << 22)
-#define PPC_Feature64Bit (1ULL << 23)
-#define PPC_Feature64BitRegs (1ULL << 24)
-#define PPC_FeatureAltivec (1ULL << 25)
-#define PPC_FeatureBookE (1ULL << 26)
-#define PPC_FeatureFCPSGN (1ULL << 27)
-#define PPC_FeatureFPCVT (1ULL << 28)
-#define PPC_FeatureFPRND (1ULL << 29)
-#define PPC_FeatureFRE (1ULL << 30)
-#define PPC_FeatureFRES (1ULL << 31)
-#define PPC_FeatureFRSQRTE (1ULL << 32)
-#define PPC_FeatureFRSQRTES (1ULL << 33)
-#define PPC_FeatureFSqrt (1ULL << 34)
-#define PPC_FeatureISEL (1ULL << 35)
-#define PPC_FeatureLDBRX (1ULL << 36)
-#define PPC_FeatureLFIWAX (1ULL << 37)
-#define PPC_FeatureMFOCRF (1ULL << 38)
-#define PPC_FeaturePOPCNTD (1ULL << 39)
-#define PPC_FeatureQPX (1ULL << 40)
-#define PPC_FeatureRecipPrec (1ULL << 41)
-#define PPC_FeatureSTFIWX (1ULL << 42)
-#define PPC_FeatureVSX (1ULL << 43)
+#define PPC_DirectivePwr8 (1ULL << 23)
+#define PPC_Feature64Bit (1ULL << 24)
+#define PPC_Feature64BitRegs (1ULL << 25)
+#define PPC_FeatureAltivec (1ULL << 26)
+#define PPC_FeatureBookE (1ULL << 27)
+#define PPC_FeatureCRBits (1ULL << 28)
+#define PPC_FeatureE500 (1ULL << 29)
+#define PPC_FeatureELFv1 (1ULL << 30)
+#define PPC_FeatureELFv2 (1ULL << 31)
+#define PPC_FeatureFCPSGN (1ULL << 32)
+#define PPC_FeatureFPCVT (1ULL << 33)
+#define PPC_FeatureFPRND (1ULL << 34)
+#define PPC_FeatureFRE (1ULL << 35)
+#define PPC_FeatureFRES (1ULL << 36)
+#define PPC_FeatureFRSQRTE (1ULL << 37)
+#define PPC_FeatureFRSQRTES (1ULL << 38)
+#define PPC_FeatureFSqrt (1ULL << 39)
+#define PPC_FeatureISEL (1ULL << 40)
+#define PPC_FeatureLDBRX (1ULL << 41)
+#define PPC_FeatureLFIWAX (1ULL << 42)
+#define PPC_FeatureMFOCRF (1ULL << 43)
+#define PPC_FeaturePOPCNTD (1ULL << 44)
+#define PPC_FeaturePPC4xx (1ULL << 45)
+#define PPC_FeaturePPC6xx (1ULL << 46)
+#define PPC_FeatureQPX (1ULL << 47)
+#define PPC_FeatureRecipPrec (1ULL << 48)
+#define PPC_FeatureSPE (1ULL << 49)
+#define PPC_FeatureSTFIWX (1ULL << 50)
+#define PPC_FeatureVSX (1ULL << 51)
 
 #endif // GET_SUBTARGETINFO_ENUM
 
diff --git a/arch/PowerPC/PPCInstPrinter.c b/arch/PowerPC/PPCInstPrinter.c
index 5cdd2cf..5956a80 100644
--- a/arch/PowerPC/PPCInstPrinter.c
+++ b/arch/PowerPC/PPCInstPrinter.c
@@ -36,6 +36,7 @@
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O);
 static void printInstruction(MCInst *MI, SStream *O, MCRegisterInfo *MRI);
 static void printAbsBranchOperand(MCInst *MI, unsigned OpNo, SStream *O);
+static char *printAliasInstr(MCInst *MI, SStream *OS, void *info);
 
 static void set_mem_access(MCInst *MI, bool status)
 {
@@ -60,7 +61,7 @@
 		return;
 
 	// check if this insn has branch hint
-	if (strrchr(insn_asm, '+') != NULL) {
+	if (strrchr(insn_asm, '+') != NULL && !strstr(insn_asm, ".+")) {
 		insn->detail->ppc.bh = PPC_BH_PLUS;
 	} else if (strrchr(insn_asm, '-') != NULL) {
 		insn->detail->ppc.bh = PPC_BH_MINUS;
@@ -72,6 +73,8 @@
 
 void PPC_printInst(MCInst *MI, SStream *O, void *Info)
 {
+	char *mnem;
+
 	// Check for slwi/srwi mnemonics.
 	if (MCInst_getOpcode(MI) == PPC_RLWINM) {
 		unsigned char SH = (unsigned char)MCOperand_getImm(MCInst_getOperand(MI, 2));
@@ -81,11 +84,13 @@
 
 		if (SH <= 31 && MB == 0 && ME == (31-SH)) {
 			SStream_concat0(O, "slwi\t");
+			MCInst_setOpcodePub(MI, PPC_INS_SLWI);
 			useSubstituteMnemonic = true;
 		}
 
 		if (SH <= 31 && MB == (32-SH) && ME == 31) {
 			SStream_concat0(O, "srwi\t");
+			MCInst_setOpcodePub(MI, PPC_INS_SRWI);
 			useSubstituteMnemonic = true;
 			SH = 32-SH;
 		}
@@ -106,6 +111,7 @@
 	if ((MCInst_getOpcode(MI) == PPC_OR || MCInst_getOpcode(MI) == PPC_OR8) &&
 			MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 1))) {
 		SStream_concat0(O, "mr\t");
+		MCInst_setOpcodePub(MI, PPC_INS_MR);
 		printOperand(MI, 0, O);
 		SStream_concat0(O, ", ");
 		printOperand(MI, 1, O);
@@ -118,6 +124,7 @@
 		// rldicr RA, RS, SH, 63-SH == sldi RA, RS, SH
 		if (63-SH == ME) {
 			SStream_concat0(O, "sldi\t");
+			MCInst_setOpcodePub(MI, PPC_INS_SLDI);
 			printOperand(MI, 0, O);
 			SStream_concat0(O, ", ");
 			printOperand(MI, 1, O);
@@ -130,7 +137,16 @@
 		}
 	}
 
-	printInstruction(MI, O, NULL);
+	mnem = printAliasInstr(MI, O, Info);
+	if (mnem) {
+		// check to remove the last letter of ('.', '-', '+')
+		if (mnem[strlen(mnem) - 1] == '-' || mnem[strlen(mnem) - 1] == '+' || mnem[strlen(mnem) - 1] == '.')
+			mnem[strlen(mnem) - 1] = '\0';
+
+		MCInst_setOpcodePub(MI, PPC_map_insn(mnem));
+		cs_mem_free(mnem);
+	} else
+		printInstruction(MI, O, NULL);
 }
 
 
@@ -184,6 +200,11 @@
 			case PPC_PRED_NU:
 				SStream_concat0(O, "nu");
 				return;
+			case PPC_PRED_BIT_SET:
+			case PPC_PRED_BIT_UNSET:
+				// llvm_unreachable("Invalid use of bit predicate code");
+				SStream_concat0(O, "invalid-predicate");
+				return;
 		}
 	}
 
@@ -218,6 +239,11 @@
 			case PPC_PRED_NU_PLUS:
 				SStream_concat0(O, "+");
 				return;
+			case PPC_PRED_BIT_SET:
+			case PPC_PRED_BIT_UNSET:
+				// llvm_unreachable("Invalid use of bit predicate code");
+				SStream_concat0(O, "invalid-predicate");
+				return;
 			default:	// unreachable
 				return;
 		}
@@ -229,6 +255,40 @@
 	printOperand(MI, OpNo + 1, O);
 }
 
+static void printU2ImmOperand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned int Value = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	//assert(Value <= 3 && "Invalid u2imm argument!");
+
+	if (Value > HEX_THRESHOLD)
+		SStream_concat(O, "0x%x", Value);
+	else
+		SStream_concat(O, "%u", Value);
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->ppc.operands[MI->flat_insn->detail->ppc.op_count].type = PPC_OP_IMM;
+		MI->flat_insn->detail->ppc.operands[MI->flat_insn->detail->ppc.op_count].imm = Value;
+		MI->flat_insn->detail->ppc.op_count++;
+	}
+}
+
+static void printU4ImmOperand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	unsigned int Value = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
+	//assert(Value <= 15 && "Invalid u4imm argument!");
+
+	if (Value > HEX_THRESHOLD)
+		SStream_concat(O, "0x%x", Value);
+	else
+		SStream_concat(O, "%u", Value);
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->ppc.operands[MI->flat_insn->detail->ppc.op_count].type = PPC_OP_IMM;
+		MI->flat_insn->detail->ppc.operands[MI->flat_insn->detail->ppc.op_count].imm = Value;
+		MI->flat_insn->detail->ppc.op_count++;
+	}
+}
+
 static void printS5ImmOperand(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	int Value = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNo));
@@ -376,23 +436,29 @@
 
 static void printAbsBranchOperand(MCInst *MI, unsigned OpNo, SStream *O)
 {
-	int tmp;
+	int imm;
 	if (!MCOperand_isImm(MCInst_getOperand(MI, OpNo))) {
 		printOperand(MI, OpNo, O);
 		return;
 	}
 
-	tmp = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNo)) * 4;
-	if (tmp >= 0) {
-		if (tmp > HEX_THRESHOLD)
-			SStream_concat(O, "0x%x", tmp);
+	imm = (int)MCOperand_getImm(MCInst_getOperand(MI, OpNo)) * 4;
+	if (imm >= 0) {
+		if (imm > HEX_THRESHOLD)
+			SStream_concat(O, "0x%x", imm);
 		else
-			SStream_concat(O, "%u", tmp);
+			SStream_concat(O, "%u", imm);
 	} else {
-		if (tmp < -HEX_THRESHOLD)
-			SStream_concat(O, "-0x%x", -tmp);
+		if (imm < -HEX_THRESHOLD)
+			SStream_concat(O, "-0x%x", -imm);
 		else
-			SStream_concat(O, "-%u", -tmp);
+			SStream_concat(O, "-%u", -imm);
+	}
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->ppc.operands[MI->flat_insn->detail->ppc.op_count].type = PPC_OP_IMM;
+		MI->flat_insn->detail->ppc.operands[MI->flat_insn->detail->ppc.op_count].imm = imm;
+		MI->flat_insn->detail->ppc.op_count++;
 	}
 }
 
@@ -457,13 +523,23 @@
 static void printTLSCall(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	set_mem_access(MI, true);
-	printBranchOperand(MI, OpNo, O);
+	//printBranchOperand(MI, OpNo, O);
+
+	// On PPC64, VariantKind is VK_None, but on PPC32, it's VK_PLT, and it must
+	// come at the _end_ of the expression.
+	// MCOperand *Op;
+	// Op = MCInst_getOperand(MI, OpNo);
+	//const MCSymbolRefExpr &refExp = cast<MCSymbolRefExpr>(*Op.getExpr());
+	//O << refExp.getSymbol().getName();
+
 	SStream_concat0(O, "(");
 	printOperand(MI, OpNo + 1, O);
 	SStream_concat0(O, ")");
 	set_mem_access(MI, false);
-}
 
+	//if (refExp.getKind() != MCSymbolRefExpr::VK_None)
+	//	O << '@' << MCSymbolRefExpr::getVariantKindName(refExp.getKind());
+}
 
 #ifndef CAPSTONE_DIET
 /// stripRegisterPrefix - This method strips the character prefix from a
@@ -474,6 +550,8 @@
 		case 'r':
 		case 'f':
 		case 'v':
+			if (RegName[1] == 's')
+				return RegName + 2;
 			return RegName + 1;
 		case 'c':
 			if (RegName[1] == 'r')
@@ -541,7 +619,16 @@
 	}
 }
 
-//#define PRINT_ALIAS_INSTR
+static void op_addImm(MCInst *MI, int v)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].type = ARM_OP_IMM;
+		MI->flat_insn->detail->arm.operands[MI->flat_insn->detail->arm.op_count].imm = v;
+		MI->flat_insn->detail->arm.op_count++;
+	}
+}
+
+#define PRINT_ALIAS_INSTR
 #include "PPCGenAsmWriter.inc"
 
 #endif
diff --git a/arch/PowerPC/PPCMapping.c b/arch/PowerPC/PPCMapping.c
index 7611bf1..59d71d7 100644
--- a/arch/PowerPC/PPCMapping.c
+++ b/arch/PowerPC/PPCMapping.c
@@ -18,6 +18,7 @@
 	{ PPC_REG_INVALID, NULL },
 
 	{ PPC_REG_CARRY, "ca" },
+	{ PPC_REG_CC, "cc"},
 	{ PPC_REG_CR0, "cr0" },
 	{ PPC_REG_CR1, "cr1" },
 	{ PPC_REG_CR2, "cr2" },
@@ -26,30 +27,6 @@
 	{ PPC_REG_CR5, "cr5" },
 	{ PPC_REG_CR6, "cr6" },
 	{ PPC_REG_CR7, "cr7" },
-	{ PPC_REG_CR8, "cr8" },
-	{ PPC_REG_CR9, "cr9" },
-	{ PPC_REG_CR10, "cr10" },
-	{ PPC_REG_CR11, "cr11" },
-	{ PPC_REG_CR12, "cr12" },
-	{ PPC_REG_CR13, "cr13" },
-	{ PPC_REG_CR14, "cr14" },
-	{ PPC_REG_CR15, "cr15" },
-	{ PPC_REG_CR16, "cr16" },
-	{ PPC_REG_CR17, "cr17" },
-	{ PPC_REG_CR18, "cr18" },
-	{ PPC_REG_CR19, "cr19" },
-	{ PPC_REG_CR20, "cr20" },
-	{ PPC_REG_CR21, "cr21" },
-	{ PPC_REG_CR22, "cr22" },
-	{ PPC_REG_CR23, "cr23" },
-	{ PPC_REG_CR24, "cr24" },
-	{ PPC_REG_CR25, "cr25" },
-	{ PPC_REG_CR26, "cr26" },
-	{ PPC_REG_CR27, "cr27" },
-	{ PPC_REG_CR28, "cr28" },
-	{ PPC_REG_CR29, "cr29" },
-	{ PPC_REG_CR30, "cr30" },
-	{ PPC_REG_CR31, "cr31" },
 	{ PPC_REG_CTR, "ctr" },
 	{ PPC_REG_F0, "f0" },
 	{ PPC_REG_F1, "f1" },
@@ -149,6 +126,70 @@
 	{ PPC_REG_V30, "v30" },
 	{ PPC_REG_V31, "v31" },
 	{ PPC_REG_VRSAVE, "vrsave" },
+	{ PPC_REG_VS0, "vs0"},
+	{ PPC_REG_VS1, "vs1"},
+	{ PPC_REG_VS2, "vs2"},
+	{ PPC_REG_VS3, "vs3"},
+	{ PPC_REG_VS4, "vs4"},
+	{ PPC_REG_VS5, "vs5"},
+	{ PPC_REG_VS6, "vs6"},
+	{ PPC_REG_VS7, "vs7"},
+	{ PPC_REG_VS8, "vs8"},
+	{ PPC_REG_VS9, "vs9"},
+	{ PPC_REG_VS10, "vs10"},
+	{ PPC_REG_VS11, "vs11"},
+	{ PPC_REG_VS12, "vs12"},
+	{ PPC_REG_VS13, "vs13"},
+	{ PPC_REG_VS14, "vs14"},
+	{ PPC_REG_VS15, "vs15"},
+	{ PPC_REG_VS16, "vs16"},
+	{ PPC_REG_VS17, "vs17"},
+	{ PPC_REG_VS18, "vs18"},
+	{ PPC_REG_VS19, "vs19"},
+	{ PPC_REG_VS20, "vs20"},
+	{ PPC_REG_VS21, "vs21"},
+	{ PPC_REG_VS22, "vs22"},
+	{ PPC_REG_VS23, "vs23"},
+	{ PPC_REG_VS24, "vs24"},
+	{ PPC_REG_VS25, "vs25"},
+	{ PPC_REG_VS26, "vs26"},
+	{ PPC_REG_VS27, "vs27"},
+	{ PPC_REG_VS28, "vs28"},
+	{ PPC_REG_VS29, "vs29"},
+	{ PPC_REG_VS30, "vs30"},
+	{ PPC_REG_VS31, "vs31"},
+	{ PPC_REG_VS32, "vs32"},
+	{ PPC_REG_VS33, "vs33"},
+	{ PPC_REG_VS34, "vs34"},
+	{ PPC_REG_VS35, "vs35"},
+	{ PPC_REG_VS36, "vs36"},
+	{ PPC_REG_VS37, "vs37"},
+	{ PPC_REG_VS38, "vs38"},
+	{ PPC_REG_VS39, "vs39"},
+	{ PPC_REG_VS40, "vs40"},
+	{ PPC_REG_VS41, "vs41"},
+	{ PPC_REG_VS42, "vs42"},
+	{ PPC_REG_VS43, "vs43"},
+	{ PPC_REG_VS44, "vs44"},
+	{ PPC_REG_VS45, "vs45"},
+	{ PPC_REG_VS46, "vs46"},
+	{ PPC_REG_VS47, "vs47"},
+	{ PPC_REG_VS48, "vs48"},
+	{ PPC_REG_VS49, "vs49"},
+	{ PPC_REG_VS50, "vs50"},
+	{ PPC_REG_VS51, "vs51"},
+	{ PPC_REG_VS52, "vs52"},
+	{ PPC_REG_VS53, "vs53"},
+	{ PPC_REG_VS54, "vs54"},
+	{ PPC_REG_VS55, "vs55"},
+	{ PPC_REG_VS56, "vs56"},
+	{ PPC_REG_VS57, "vs57"},
+	{ PPC_REG_VS58, "vs58"},
+	{ PPC_REG_VS59, "vs59"},
+	{ PPC_REG_VS60, "vs60"},
+	{ PPC_REG_VS61, "vs61"},
+	{ PPC_REG_VS62, "vs62"},
+	{ PPC_REG_VS63, "vs63"},
 
 	// extras
 	{ PPC_REG_RM, "rm" },
@@ -444,72 +485,162 @@
 #endif
 	},
 	{
-		PPC_BCC, PPC_INS_B,
+		PPC_BC, PPC_INS_BC,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		PPC_BCCA, PPC_INS_B,
+		PPC_BCC, PPC_INS_B_CC,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		PPC_BCCL, PPC_INS_B,
+		PPC_BCCA, PPC_INS_BA_CC,
 #ifndef CAPSTONE_DIET
-		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		PPC_BCCLA, PPC_INS_B,
-#ifndef CAPSTONE_DIET
-		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		PPC_BCCTR, PPC_INS_B,
+		PPC_BCCCTR, PPC_INS_BCTR_CC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR, 0 }, { 0 }, { 0 }, 1, 1
 #endif
 	},
 	{
-		PPC_BCCTR8, PPC_INS_B,
+		PPC_BCCCTR8, PPC_INS_BCTR_CC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR8, 0 }, { 0 }, { PPC_GRP_MODE64, 0 }, 1, 1
 #endif
 	},
 	{
-		PPC_BCCTRL, PPC_INS_B,
+		PPC_BCCCTRL, PPC_INS_BCTRL_CC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR, PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		PPC_BCCTRL8, PPC_INS_B,
+		PPC_BCCCTRL8, PPC_INS_BCTRL_CC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR8, PPC_REG_RM, 0 }, { PPC_REG_LR8, 0 }, { PPC_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
-		PPC_BCLR, PPC_INS_B,
+		PPC_BCCL, PPC_INS_BL_CC,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCCLA, PPC_INS_BLA_CC,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCCLR, PPC_INS_BLR_CC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_LR, PPC_REG_RM, 0 }, { 0 }, { 0 }, 1, 0
 #endif
 	},
 	{
-		PPC_BCLRL, PPC_INS_B,
+		PPC_BCCLRL, PPC_INS_BLRL_CC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_LR, PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		PPC_BCCTR, PPC_INS_BCCTR,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR, 0 }, { 0 }, { 0 }, 1, 1
+#endif
+	},
+	{
+		PPC_BCCTR8, PPC_INS_BCCTR,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR8, 0 }, { 0 }, { PPC_GRP_MODE64, 0 }, 1, 1
+#endif
+	},
+	{
+		PPC_BCCTR8n, PPC_INS_BCCTR,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR8, 0 }, { 0 }, { PPC_GRP_MODE64, 0 }, 1, 1
+#endif
+	},
+	{
+		PPC_BCCTRL, PPC_INS_BCCTRL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR, PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCCTRL8, PPC_INS_BCCTRL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR8, PPC_REG_RM, 0 }, { PPC_REG_LR8, 0 }, { PPC_GRP_MODE64, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCCTRL8n, PPC_INS_BCCTRL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR8, PPC_REG_RM, 0 }, { PPC_REG_LR8, 0 }, { PPC_GRP_MODE64, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCCTRLn, PPC_INS_BCCTRL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR, PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCCTRn, PPC_INS_BCCTR,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_CTR, 0 }, { 0 }, { 0 }, 1, 1
+#endif
+	},
+	{
+		PPC_BCL, PPC_INS_BCL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCLR, PPC_INS_BCLR,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_LR, PPC_REG_RM, 0 }, { 0 }, { 0 }, 1, 0
+#endif
+	},
+	{
+		PPC_BCLRL, PPC_INS_BCLRL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_LR, PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCLRLn, PPC_INS_BCLRL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_LR, PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BCLRn, PPC_INS_BCLR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 1, 0
+#endif
+	},
+	{
 		PPC_BCLalways, PPC_INS_BCL,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		PPC_BCLn, PPC_INS_BCL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_BCTR, PPC_INS_BCTR,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR, 0 }, { 0 }, { 0 }, 1, 1
@@ -534,6 +665,12 @@
 #endif
 	},
 	{
+		PPC_BCn, PPC_INS_BC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 1, 0
+#endif
+	},
+	{
 		PPC_BDNZ, PPC_INS_BDNZ,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR, 0 }, { PPC_REG_CTR, 0 }, { 0 }, 1, 0
@@ -840,6 +977,18 @@
 #endif
 	},
 	{
+		PPC_BL_TLS, PPC_INS_BL,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_LR, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_BRINC, PPC_INS_BRINC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_CMPD, PPC_INS_CMPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -1032,6 +1181,12 @@
 #endif
 	},
 	{
+		PPC_DCCCI, PPC_INS_DCCCI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_DIVD, PPC_INS_DIVD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -1170,6 +1325,1032 @@
 #endif
 	},
 	{
+		PPC_EVABS, PPC_INS_EVABS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVADDIW, PPC_INS_EVADDIW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVADDSMIAAW, PPC_INS_EVADDSMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVADDSSIAAW, PPC_INS_EVADDSSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVADDUMIAAW, PPC_INS_EVADDUMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVADDUSIAAW, PPC_INS_EVADDUSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVADDW, PPC_INS_EVADDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVAND, PPC_INS_EVAND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVANDC, PPC_INS_EVANDC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCMPEQ, PPC_INS_EVCMPEQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCMPGTS, PPC_INS_EVCMPGTS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCMPGTU, PPC_INS_EVCMPGTU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCMPLTS, PPC_INS_EVCMPLTS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCMPLTU, PPC_INS_EVCMPLTU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCNTLSW, PPC_INS_EVCNTLSW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVCNTLZW, PPC_INS_EVCNTLZW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVDIVWS, PPC_INS_EVDIVWS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVDIVWU, PPC_INS_EVDIVWU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVEQV, PPC_INS_EVEQV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVEXTSB, PPC_INS_EVEXTSB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVEXTSH, PPC_INS_EVEXTSH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLDD, PPC_INS_EVLDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLDDX, PPC_INS_EVLDDX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLDH, PPC_INS_EVLDH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLDHX, PPC_INS_EVLDHX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLDW, PPC_INS_EVLDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLDWX, PPC_INS_EVLDWX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLHHESPLAT, PPC_INS_EVLHHESPLAT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLHHESPLATX, PPC_INS_EVLHHESPLATX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLHHOSSPLAT, PPC_INS_EVLHHOSSPLAT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLHHOSSPLATX, PPC_INS_EVLHHOSSPLATX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLHHOUSPLAT, PPC_INS_EVLHHOUSPLAT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLHHOUSPLATX, PPC_INS_EVLHHOUSPLATX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHE, PPC_INS_EVLWHE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHEX, PPC_INS_EVLWHEX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHOS, PPC_INS_EVLWHOS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHOSX, PPC_INS_EVLWHOSX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHOU, PPC_INS_EVLWHOU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHOUX, PPC_INS_EVLWHOUX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHSPLAT, PPC_INS_EVLWHSPLAT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWHSPLATX, PPC_INS_EVLWHSPLATX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWWSPLAT, PPC_INS_EVLWWSPLAT,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVLWWSPLATX, PPC_INS_EVLWWSPLATX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMERGEHI, PPC_INS_EVMERGEHI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMERGEHILO, PPC_INS_EVMERGEHILO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMERGELO, PPC_INS_EVMERGELO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMERGELOHI, PPC_INS_EVMERGELOHI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEGSMFAA, PPC_INS_EVMHEGSMFAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEGSMFAN, PPC_INS_EVMHEGSMFAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEGSMIAA, PPC_INS_EVMHEGSMIAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEGSMIAN, PPC_INS_EVMHEGSMIAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEGUMIAA, PPC_INS_EVMHEGUMIAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEGUMIAN, PPC_INS_EVMHEGUMIAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMF, PPC_INS_EVMHESMF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMFA, PPC_INS_EVMHESMFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMFAAW, PPC_INS_EVMHESMFAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMFANW, PPC_INS_EVMHESMFANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMI, PPC_INS_EVMHESMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMIA, PPC_INS_EVMHESMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMIAAW, PPC_INS_EVMHESMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESMIANW, PPC_INS_EVMHESMIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESSF, PPC_INS_EVMHESSF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESSFA, PPC_INS_EVMHESSFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESSFAAW, PPC_INS_EVMHESSFAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESSFANW, PPC_INS_EVMHESSFANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESSIAAW, PPC_INS_EVMHESSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHESSIANW, PPC_INS_EVMHESSIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEUMI, PPC_INS_EVMHEUMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEUMIA, PPC_INS_EVMHEUMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEUMIAAW, PPC_INS_EVMHEUMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEUMIANW, PPC_INS_EVMHEUMIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEUSIAAW, PPC_INS_EVMHEUSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHEUSIANW, PPC_INS_EVMHEUSIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOGSMFAA, PPC_INS_EVMHOGSMFAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOGSMFAN, PPC_INS_EVMHOGSMFAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOGSMIAA, PPC_INS_EVMHOGSMIAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOGSMIAN, PPC_INS_EVMHOGSMIAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOGUMIAA, PPC_INS_EVMHOGUMIAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOGUMIAN, PPC_INS_EVMHOGUMIAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMF, PPC_INS_EVMHOSMF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMFA, PPC_INS_EVMHOSMFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMFAAW, PPC_INS_EVMHOSMFAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMFANW, PPC_INS_EVMHOSMFANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMI, PPC_INS_EVMHOSMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMIA, PPC_INS_EVMHOSMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMIAAW, PPC_INS_EVMHOSMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSMIANW, PPC_INS_EVMHOSMIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSSF, PPC_INS_EVMHOSSF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSSFA, PPC_INS_EVMHOSSFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSSFAAW, PPC_INS_EVMHOSSFAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSSFANW, PPC_INS_EVMHOSSFANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSSIAAW, PPC_INS_EVMHOSSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOSSIANW, PPC_INS_EVMHOSSIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOUMI, PPC_INS_EVMHOUMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOUMIA, PPC_INS_EVMHOUMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOUMIAAW, PPC_INS_EVMHOUMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOUMIANW, PPC_INS_EVMHOUMIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOUSIAAW, PPC_INS_EVMHOUSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMHOUSIANW, PPC_INS_EVMHOUSIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMRA, PPC_INS_EVMRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHSMF, PPC_INS_EVMWHSMF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHSMFA, PPC_INS_EVMWHSMFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHSMI, PPC_INS_EVMWHSMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHSMIA, PPC_INS_EVMWHSMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHSSF, PPC_INS_EVMWHSSF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHSSFA, PPC_INS_EVMWHSSFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHUMI, PPC_INS_EVMWHUMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWHUMIA, PPC_INS_EVMWHUMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLSMIAAW, PPC_INS_EVMWLSMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLSMIANW, PPC_INS_EVMWLSMIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLSSIAAW, PPC_INS_EVMWLSSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLSSIANW, PPC_INS_EVMWLSSIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLUMI, PPC_INS_EVMWLUMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLUMIA, PPC_INS_EVMWLUMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLUMIAAW, PPC_INS_EVMWLUMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLUMIANW, PPC_INS_EVMWLUMIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLUSIAAW, PPC_INS_EVMWLUSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWLUSIANW, PPC_INS_EVMWLUSIANW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMF, PPC_INS_EVMWSMF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMFA, PPC_INS_EVMWSMFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMFAA, PPC_INS_EVMWSMFAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMFAN, PPC_INS_EVMWSMFAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMI, PPC_INS_EVMWSMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMIA, PPC_INS_EVMWSMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMIAA, PPC_INS_EVMWSMIAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSMIAN, PPC_INS_EVMWSMIAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSSF, PPC_INS_EVMWSSF,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSSFA, PPC_INS_EVMWSSFA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSSFAA, PPC_INS_EVMWSSFAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWSSFAN, PPC_INS_EVMWSSFAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWUMI, PPC_INS_EVMWUMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWUMIA, PPC_INS_EVMWUMIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWUMIAA, PPC_INS_EVMWUMIAA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVMWUMIAN, PPC_INS_EVMWUMIAN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVNAND, PPC_INS_EVNAND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVNEG, PPC_INS_EVNEG,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVNOR, PPC_INS_EVNOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVOR, PPC_INS_EVOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVORC, PPC_INS_EVORC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVRLW, PPC_INS_EVRLW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVRLWI, PPC_INS_EVRLWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVRNDW, PPC_INS_EVRNDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSLW, PPC_INS_EVSLW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSLWI, PPC_INS_EVSLWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSPLATFI, PPC_INS_EVSPLATFI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSPLATI, PPC_INS_EVSPLATI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSRWIS, PPC_INS_EVSRWIS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSRWIU, PPC_INS_EVSRWIU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSRWS, PPC_INS_EVSRWS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSRWU, PPC_INS_EVSRWU,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTDD, PPC_INS_EVSTDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTDDX, PPC_INS_EVSTDDX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTDH, PPC_INS_EVSTDH,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTDHX, PPC_INS_EVSTDHX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTDW, PPC_INS_EVSTDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTDWX, PPC_INS_EVSTDWX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWHE, PPC_INS_EVSTWHE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWHEX, PPC_INS_EVSTWHEX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWHO, PPC_INS_EVSTWHO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWHOX, PPC_INS_EVSTWHOX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWWE, PPC_INS_EVSTWWE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWWEX, PPC_INS_EVSTWWEX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWWO, PPC_INS_EVSTWWO,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSTWWOX, PPC_INS_EVSTWWOX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSUBFSMIAAW, PPC_INS_EVSUBFSMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSUBFSSIAAW, PPC_INS_EVSUBFSSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSUBFUMIAAW, PPC_INS_EVSUBFUMIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSUBFUSIAAW, PPC_INS_EVSUBFUSIAAW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSUBFW, PPC_INS_EVSUBFW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVSUBIFW, PPC_INS_EVSUBIFW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_EVXOR, PPC_INS_EVXOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_SPE, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_EXTSB, PPC_INS_EXTSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -1896,6 +3077,12 @@
 #endif
 	},
 	{
+		PPC_ICCCI, PPC_INS_ICCCI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_ISEL, PPC_INS_ISEL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2010,12 +3197,6 @@
 #endif
 	},
 	{
-		PPC_LDtoc_restore, PPC_INS_LD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		PPC_LFD, PPC_INS_LFD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2208,6 +3389,12 @@
 #endif
 	},
 	{
+		PPC_LSWI, PPC_INS_LSWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_LVEBX, PPC_INS_LVEBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { PPC_GRP_ALTIVEC, 0 }, 0, 0
@@ -2340,6 +3527,36 @@
 #endif
 	},
 	{
+		PPC_LXSDX, PPC_INS_LXSDX,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_LXVD2X, PPC_INS_LXVD2X,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_LXVDSX, PPC_INS_LXVDSX,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_LXVW4X, PPC_INS_LXVW4X,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_MBAR, PPC_INS_MBAR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_MCRF, PPC_INS_MCRF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2370,6 +3587,12 @@
 #endif
 	},
 	{
+		PPC_MFDCR, PPC_INS_MFDCR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_MFFS, PPC_INS_MFFS,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_RM, 0 }, { 0 }, { 0 }, 0, 0
@@ -2412,6 +3635,18 @@
 #endif
 	},
 	{
+		PPC_MFSR, PPC_INS_MFSR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_MFSRIN, PPC_INS_MFSRIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_MFTB, PPC_INS_MFTB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2484,6 +3719,12 @@
 #endif
 	},
 	{
+		PPC_MTDCR, PPC_INS_MTDCR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_MTFSB0, PPC_INS_MTFSB0,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_RM, 0 }, { PPC_REG_RM, 0 }, { 0 }, 0, 0
@@ -2544,6 +3785,18 @@
 #endif
 	},
 	{
+		PPC_MTSR, PPC_INS_MTSR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_MTSRIN, PPC_INS_MTSRIN,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_MTVRSAVE, PPC_INS_MTSPR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2820,6 +4073,36 @@
 #endif
 	},
 	{
+		PPC_RFCI, PPC_INS_RFCI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_RFDI, PPC_INS_RFDI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_E500, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_RFI, PPC_INS_RFI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_RFID, PPC_INS_RFID,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_RFMCI, PPC_INS_RFMCI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_E500, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_RLDCL, PPC_INS_RLDCL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2904,6 +4187,18 @@
 #endif
 	},
 	{
+		PPC_RLWIMI8, PPC_INS_RLWIMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_RLWIMI8o, PPC_INS_RLWIMI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { PPC_REG_CR0, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_RLWIMIo, PPC_INS_RLWIMI,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { PPC_REG_CR0, 0 }, { 0 }, 0, 0
@@ -3270,6 +4565,12 @@
 #endif
 	},
 	{
+		PPC_STSWI, PPC_INS_STSWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_STVEBX, PPC_INS_STVEBX,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { PPC_GRP_ALTIVEC, 0 }, 0, 0
@@ -3360,6 +4661,24 @@
 #endif
 	},
 	{
+		PPC_STXSDX, PPC_INS_STXSDX,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_STXVD2X, PPC_INS_STXVD2X,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_STXVW4X, PPC_INS_STXVW4X,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_SUBF, PPC_INS_SUBF,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -3546,6 +4865,12 @@
 #endif
 	},
 	{
+		PPC_TLBIA, PPC_INS_TLBIA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_TLBIE, PPC_INS_TLBIE,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -3558,12 +4883,72 @@
 #endif
 	},
 	{
+		PPC_TLBIVAX, PPC_INS_TLBIVAX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBLD, PPC_INS_TLBLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC6XX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBLI, PPC_INS_TLBLI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC6XX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBRE, PPC_INS_TLBRE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBRE2, PPC_INS_TLBRE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBSX, PPC_INS_TLBSX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBSX2, PPC_INS_TLBSX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBSX2D, PPC_INS_TLBSX,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_TLBSYNC, PPC_INS_TLBSYNC,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		PPC_TLBWE, PPC_INS_TLBWE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_TLBWE2, PPC_INS_TLBWE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_PPC4XX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_TRAP, PPC_INS_TRAP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -4578,6 +5963,18 @@
 #endif
 	},
 	{
+		PPC_WRTEE, PPC_INS_WRTEE,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_WRTEEI, PPC_INS_WRTEEI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_BOOKE, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_XOR, PPC_INS_XOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -4626,6 +6023,822 @@
 #endif
 	},
 	{
+		PPC_XSABSDP, PPC_INS_XSABSDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSADDDP, PPC_INS_XSADDDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCMPODP, PPC_INS_XSCMPODP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCMPUDP, PPC_INS_XSCMPUDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCPSGNDP, PPC_INS_XSCPSGNDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVDPSP, PPC_INS_XSCVDPSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVDPSXDS, PPC_INS_XSCVDPSXDS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVDPSXWS, PPC_INS_XSCVDPSXWS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVDPUXDS, PPC_INS_XSCVDPUXDS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVDPUXWS, PPC_INS_XSCVDPUXWS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVSPDP, PPC_INS_XSCVSPDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVSXDDP, PPC_INS_XSCVSXDDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSCVUXDDP, PPC_INS_XSCVUXDDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSDIVDP, PPC_INS_XSDIVDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMADDADP, PPC_INS_XSMADDADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMADDMDP, PPC_INS_XSMADDMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMAXDP, PPC_INS_XSMAXDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMINDP, PPC_INS_XSMINDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMSUBADP, PPC_INS_XSMSUBADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMSUBMDP, PPC_INS_XSMSUBMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSMULDP, PPC_INS_XSMULDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSNABSDP, PPC_INS_XSNABSDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSNEGDP, PPC_INS_XSNEGDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSNMADDADP, PPC_INS_XSNMADDADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSNMADDMDP, PPC_INS_XSNMADDMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSNMSUBADP, PPC_INS_XSNMSUBADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSNMSUBMDP, PPC_INS_XSNMSUBMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSRDPI, PPC_INS_XSRDPI,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSRDPIC, PPC_INS_XSRDPIC,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSRDPIM, PPC_INS_XSRDPIM,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSRDPIP, PPC_INS_XSRDPIP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSRDPIZ, PPC_INS_XSRDPIZ,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSREDP, PPC_INS_XSREDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSRSQRTEDP, PPC_INS_XSRSQRTEDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSSQRTDP, PPC_INS_XSSQRTDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSSUBDP, PPC_INS_XSSUBDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSTDIVDP, PPC_INS_XSTDIVDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XSTSQRTDP, PPC_INS_XSTSQRTDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVABSDP, PPC_INS_XVABSDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVABSSP, PPC_INS_XVABSSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVADDDP, PPC_INS_XVADDDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVADDSP, PPC_INS_XVADDSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPEQDP, PPC_INS_XVCMPEQDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPEQDPo, PPC_INS_XVCMPEQDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_CR6, 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPEQSP, PPC_INS_XVCMPEQSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPEQSPo, PPC_INS_XVCMPEQSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_CR6, 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGEDP, PPC_INS_XVCMPGEDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGEDPo, PPC_INS_XVCMPGEDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_CR6, 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGESP, PPC_INS_XVCMPGESP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGESPo, PPC_INS_XVCMPGESP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_CR6, 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGTDP, PPC_INS_XVCMPGTDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGTDPo, PPC_INS_XVCMPGTDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_CR6, 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGTSP, PPC_INS_XVCMPGTSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCMPGTSPo, PPC_INS_XVCMPGTSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { PPC_REG_CR6, 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCPSGNDP, PPC_INS_XVCPSGNDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCPSGNSP, PPC_INS_XVCPSGNSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVDPSP, PPC_INS_XVCVDPSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVDPSXDS, PPC_INS_XVCVDPSXDS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVDPSXWS, PPC_INS_XVCVDPSXWS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVDPUXDS, PPC_INS_XVCVDPUXDS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVDPUXWS, PPC_INS_XVCVDPUXWS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSPDP, PPC_INS_XVCVSPDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSPSXDS, PPC_INS_XVCVSPSXDS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSPSXWS, PPC_INS_XVCVSPSXWS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSPUXDS, PPC_INS_XVCVSPUXDS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSPUXWS, PPC_INS_XVCVSPUXWS,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSXDDP, PPC_INS_XVCVSXDDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSXDSP, PPC_INS_XVCVSXDSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSXWDP, PPC_INS_XVCVSXWDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVSXWSP, PPC_INS_XVCVSXWSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVUXDDP, PPC_INS_XVCVUXDDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVUXDSP, PPC_INS_XVCVUXDSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVUXWDP, PPC_INS_XVCVUXWDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVCVUXWSP, PPC_INS_XVCVUXWSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVDIVDP, PPC_INS_XVDIVDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVDIVSP, PPC_INS_XVDIVSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMADDADP, PPC_INS_XVMADDADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMADDASP, PPC_INS_XVMADDASP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMADDMDP, PPC_INS_XVMADDMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMADDMSP, PPC_INS_XVMADDMSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMAXDP, PPC_INS_XVMAXDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMAXSP, PPC_INS_XVMAXSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMINDP, PPC_INS_XVMINDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMINSP, PPC_INS_XVMINSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMSUBADP, PPC_INS_XVMSUBADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMSUBASP, PPC_INS_XVMSUBASP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMSUBMDP, PPC_INS_XVMSUBMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMSUBMSP, PPC_INS_XVMSUBMSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMULDP, PPC_INS_XVMULDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVMULSP, PPC_INS_XVMULSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNABSDP, PPC_INS_XVNABSDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNABSSP, PPC_INS_XVNABSSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNEGDP, PPC_INS_XVNEGDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNEGSP, PPC_INS_XVNEGSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMADDADP, PPC_INS_XVNMADDADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMADDASP, PPC_INS_XVNMADDASP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMADDMDP, PPC_INS_XVNMADDMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMADDMSP, PPC_INS_XVNMADDMSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMSUBADP, PPC_INS_XVNMSUBADP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMSUBASP, PPC_INS_XVNMSUBASP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMSUBMDP, PPC_INS_XVNMSUBMDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVNMSUBMSP, PPC_INS_XVNMSUBMSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRDPI, PPC_INS_XVRDPI,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRDPIC, PPC_INS_XVRDPIC,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRDPIM, PPC_INS_XVRDPIM,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRDPIP, PPC_INS_XVRDPIP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRDPIZ, PPC_INS_XVRDPIZ,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVREDP, PPC_INS_XVREDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRESP, PPC_INS_XVRESP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSPI, PPC_INS_XVRSPI,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSPIC, PPC_INS_XVRSPIC,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSPIM, PPC_INS_XVRSPIM,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSPIP, PPC_INS_XVRSPIP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSPIZ, PPC_INS_XVRSPIZ,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSQRTEDP, PPC_INS_XVRSQRTEDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVRSQRTESP, PPC_INS_XVRSQRTESP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVSQRTDP, PPC_INS_XVSQRTDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVSQRTSP, PPC_INS_XVSQRTSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVSUBDP, PPC_INS_XVSUBDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVSUBSP, PPC_INS_XVSUBSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVTDIVDP, PPC_INS_XVTDIVDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVTDIVSP, PPC_INS_XVTDIVSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVTSQRTDP, PPC_INS_XVTSQRTDP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XVTSQRTSP, PPC_INS_XVTSQRTSP,
+#ifndef CAPSTONE_DIET
+		{ PPC_REG_RM, 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXLAND, PPC_INS_XXLAND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXLANDC, PPC_INS_XXLANDC,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXLNOR, PPC_INS_XXLNOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXLOR, PPC_INS_XXLOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXLORf, PPC_INS_XXLOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXLXOR, PPC_INS_XXLXOR,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXMRGHW, PPC_INS_XXMRGHW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXMRGLW, PPC_INS_XXMRGLW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXPERMDI, PPC_INS_XXPERMDI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXSEL, PPC_INS_XXSEL,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXSLDWI, PPC_INS_XXSLDWI,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
+		PPC_XXSPLTW, PPC_INS_XXSPLTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { PPC_GRP_VSX, 0 }, 0, 0
+#endif
+	},
+	{
 		PPC_gBC, PPC_INS_BC,
 #ifndef CAPSTONE_DIET
 		{ PPC_REG_CTR, PPC_REG_RM, 0 }, { PPC_REG_CTR, 0 }, { 0 }, 0, 0
@@ -4728,7 +6941,12 @@
 	{ PPC_INS_ANDI, "andi" },
 	{ PPC_INS_B, "b" },
 	{ PPC_INS_BA, "ba" },
+	{ PPC_INS_BC, "bc" },
+	{ PPC_INS_BCCTR, "bcctr" },
+	{ PPC_INS_BCCTRL, "bcctrl" },
 	{ PPC_INS_BCL, "bcl" },
+	{ PPC_INS_BCLR, "bclr" },
+	{ PPC_INS_BCLRL, "bclrl" },
 	{ PPC_INS_BCTR, "bctr" },
 	{ PPC_INS_BCTRL, "bctrl" },
 	{ PPC_INS_BDNZ, "bdnz" },
@@ -4747,6 +6965,7 @@
 	{ PPC_INS_BLA, "bla" },
 	{ PPC_INS_BLR, "blr" },
 	{ PPC_INS_BLRL, "blrl" },
+	{ PPC_INS_BRINC, "brinc" },
 	{ PPC_INS_CMPD, "cmpd" },
 	{ PPC_INS_CMPDI, "cmpdi" },
 	{ PPC_INS_CMPLD, "cmpld" },
@@ -4773,6 +6992,7 @@
 	{ PPC_INS_DCBTST, "dcbtst" },
 	{ PPC_INS_DCBZ, "dcbz" },
 	{ PPC_INS_DCBZL, "dcbzl" },
+	{ PPC_INS_DCCCI, "dccci" },
 	{ PPC_INS_DIVD, "divd" },
 	{ PPC_INS_DIVDU, "divdu" },
 	{ PPC_INS_DIVW, "divw" },
@@ -4785,6 +7005,177 @@
 	{ PPC_INS_DSTT, "dstt" },
 	{ PPC_INS_EIEIO, "eieio" },
 	{ PPC_INS_EQV, "eqv" },
+	{ PPC_INS_EVABS, "evabs" },
+	{ PPC_INS_EVADDIW, "evaddiw" },
+	{ PPC_INS_EVADDSMIAAW, "evaddsmiaaw" },
+	{ PPC_INS_EVADDSSIAAW, "evaddssiaaw" },
+	{ PPC_INS_EVADDUMIAAW, "evaddumiaaw" },
+	{ PPC_INS_EVADDUSIAAW, "evaddusiaaw" },
+	{ PPC_INS_EVADDW, "evaddw" },
+	{ PPC_INS_EVAND, "evand" },
+	{ PPC_INS_EVANDC, "evandc" },
+	{ PPC_INS_EVCMPEQ, "evcmpeq" },
+	{ PPC_INS_EVCMPGTS, "evcmpgts" },
+	{ PPC_INS_EVCMPGTU, "evcmpgtu" },
+	{ PPC_INS_EVCMPLTS, "evcmplts" },
+	{ PPC_INS_EVCMPLTU, "evcmpltu" },
+	{ PPC_INS_EVCNTLSW, "evcntlsw" },
+	{ PPC_INS_EVCNTLZW, "evcntlzw" },
+	{ PPC_INS_EVDIVWS, "evdivws" },
+	{ PPC_INS_EVDIVWU, "evdivwu" },
+	{ PPC_INS_EVEQV, "eveqv" },
+	{ PPC_INS_EVEXTSB, "evextsb" },
+	{ PPC_INS_EVEXTSH, "evextsh" },
+	{ PPC_INS_EVLDD, "evldd" },
+	{ PPC_INS_EVLDDX, "evlddx" },
+	{ PPC_INS_EVLDH, "evldh" },
+	{ PPC_INS_EVLDHX, "evldhx" },
+	{ PPC_INS_EVLDW, "evldw" },
+	{ PPC_INS_EVLDWX, "evldwx" },
+	{ PPC_INS_EVLHHESPLAT, "evlhhesplat" },
+	{ PPC_INS_EVLHHESPLATX, "evlhhesplatx" },
+	{ PPC_INS_EVLHHOSSPLAT, "evlhhossplat" },
+	{ PPC_INS_EVLHHOSSPLATX, "evlhhossplatx" },
+	{ PPC_INS_EVLHHOUSPLAT, "evlhhousplat" },
+	{ PPC_INS_EVLHHOUSPLATX, "evlhhousplatx" },
+	{ PPC_INS_EVLWHE, "evlwhe" },
+	{ PPC_INS_EVLWHEX, "evlwhex" },
+	{ PPC_INS_EVLWHOS, "evlwhos" },
+	{ PPC_INS_EVLWHOSX, "evlwhosx" },
+	{ PPC_INS_EVLWHOU, "evlwhou" },
+	{ PPC_INS_EVLWHOUX, "evlwhoux" },
+	{ PPC_INS_EVLWHSPLAT, "evlwhsplat" },
+	{ PPC_INS_EVLWHSPLATX, "evlwhsplatx" },
+	{ PPC_INS_EVLWWSPLAT, "evlwwsplat" },
+	{ PPC_INS_EVLWWSPLATX, "evlwwsplatx" },
+	{ PPC_INS_EVMERGEHI, "evmergehi" },
+	{ PPC_INS_EVMERGEHILO, "evmergehilo" },
+	{ PPC_INS_EVMERGELO, "evmergelo" },
+	{ PPC_INS_EVMERGELOHI, "evmergelohi" },
+	{ PPC_INS_EVMHEGSMFAA, "evmhegsmfaa" },
+	{ PPC_INS_EVMHEGSMFAN, "evmhegsmfan" },
+	{ PPC_INS_EVMHEGSMIAA, "evmhegsmiaa" },
+	{ PPC_INS_EVMHEGSMIAN, "evmhegsmian" },
+	{ PPC_INS_EVMHEGUMIAA, "evmhegumiaa" },
+	{ PPC_INS_EVMHEGUMIAN, "evmhegumian" },
+	{ PPC_INS_EVMHESMF, "evmhesmf" },
+	{ PPC_INS_EVMHESMFA, "evmhesmfa" },
+	{ PPC_INS_EVMHESMFAAW, "evmhesmfaaw" },
+	{ PPC_INS_EVMHESMFANW, "evmhesmfanw" },
+	{ PPC_INS_EVMHESMI, "evmhesmi" },
+	{ PPC_INS_EVMHESMIA, "evmhesmia" },
+	{ PPC_INS_EVMHESMIAAW, "evmhesmiaaw" },
+	{ PPC_INS_EVMHESMIANW, "evmhesmianw" },
+	{ PPC_INS_EVMHESSF, "evmhessf" },
+	{ PPC_INS_EVMHESSFA, "evmhessfa" },
+	{ PPC_INS_EVMHESSFAAW, "evmhessfaaw" },
+	{ PPC_INS_EVMHESSFANW, "evmhessfanw" },
+	{ PPC_INS_EVMHESSIAAW, "evmhessiaaw" },
+	{ PPC_INS_EVMHESSIANW, "evmhessianw" },
+	{ PPC_INS_EVMHEUMI, "evmheumi" },
+	{ PPC_INS_EVMHEUMIA, "evmheumia" },
+	{ PPC_INS_EVMHEUMIAAW, "evmheumiaaw" },
+	{ PPC_INS_EVMHEUMIANW, "evmheumianw" },
+	{ PPC_INS_EVMHEUSIAAW, "evmheusiaaw" },
+	{ PPC_INS_EVMHEUSIANW, "evmheusianw" },
+	{ PPC_INS_EVMHOGSMFAA, "evmhogsmfaa" },
+	{ PPC_INS_EVMHOGSMFAN, "evmhogsmfan" },
+	{ PPC_INS_EVMHOGSMIAA, "evmhogsmiaa" },
+	{ PPC_INS_EVMHOGSMIAN, "evmhogsmian" },
+	{ PPC_INS_EVMHOGUMIAA, "evmhogumiaa" },
+	{ PPC_INS_EVMHOGUMIAN, "evmhogumian" },
+	{ PPC_INS_EVMHOSMF, "evmhosmf" },
+	{ PPC_INS_EVMHOSMFA, "evmhosmfa" },
+	{ PPC_INS_EVMHOSMFAAW, "evmhosmfaaw" },
+	{ PPC_INS_EVMHOSMFANW, "evmhosmfanw" },
+	{ PPC_INS_EVMHOSMI, "evmhosmi" },
+	{ PPC_INS_EVMHOSMIA, "evmhosmia" },
+	{ PPC_INS_EVMHOSMIAAW, "evmhosmiaaw" },
+	{ PPC_INS_EVMHOSMIANW, "evmhosmianw" },
+	{ PPC_INS_EVMHOSSF, "evmhossf" },
+	{ PPC_INS_EVMHOSSFA, "evmhossfa" },
+	{ PPC_INS_EVMHOSSFAAW, "evmhossfaaw" },
+	{ PPC_INS_EVMHOSSFANW, "evmhossfanw" },
+	{ PPC_INS_EVMHOSSIAAW, "evmhossiaaw" },
+	{ PPC_INS_EVMHOSSIANW, "evmhossianw" },
+	{ PPC_INS_EVMHOUMI, "evmhoumi" },
+	{ PPC_INS_EVMHOUMIA, "evmhoumia" },
+	{ PPC_INS_EVMHOUMIAAW, "evmhoumiaaw" },
+	{ PPC_INS_EVMHOUMIANW, "evmhoumianw" },
+	{ PPC_INS_EVMHOUSIAAW, "evmhousiaaw" },
+	{ PPC_INS_EVMHOUSIANW, "evmhousianw" },
+	{ PPC_INS_EVMRA, "evmra" },
+	{ PPC_INS_EVMWHSMF, "evmwhsmf" },
+	{ PPC_INS_EVMWHSMFA, "evmwhsmfa" },
+	{ PPC_INS_EVMWHSMI, "evmwhsmi" },
+	{ PPC_INS_EVMWHSMIA, "evmwhsmia" },
+	{ PPC_INS_EVMWHSSF, "evmwhssf" },
+	{ PPC_INS_EVMWHSSFA, "evmwhssfa" },
+	{ PPC_INS_EVMWHUMI, "evmwhumi" },
+	{ PPC_INS_EVMWHUMIA, "evmwhumia" },
+	{ PPC_INS_EVMWLSMIAAW, "evmwlsmiaaw" },
+	{ PPC_INS_EVMWLSMIANW, "evmwlsmianw" },
+	{ PPC_INS_EVMWLSSIAAW, "evmwlssiaaw" },
+	{ PPC_INS_EVMWLSSIANW, "evmwlssianw" },
+	{ PPC_INS_EVMWLUMI, "evmwlumi" },
+	{ PPC_INS_EVMWLUMIA, "evmwlumia" },
+	{ PPC_INS_EVMWLUMIAAW, "evmwlumiaaw" },
+	{ PPC_INS_EVMWLUMIANW, "evmwlumianw" },
+	{ PPC_INS_EVMWLUSIAAW, "evmwlusiaaw" },
+	{ PPC_INS_EVMWLUSIANW, "evmwlusianw" },
+	{ PPC_INS_EVMWSMF, "evmwsmf" },
+	{ PPC_INS_EVMWSMFA, "evmwsmfa" },
+	{ PPC_INS_EVMWSMFAA, "evmwsmfaa" },
+	{ PPC_INS_EVMWSMFAN, "evmwsmfan" },
+	{ PPC_INS_EVMWSMI, "evmwsmi" },
+	{ PPC_INS_EVMWSMIA, "evmwsmia" },
+	{ PPC_INS_EVMWSMIAA, "evmwsmiaa" },
+	{ PPC_INS_EVMWSMIAN, "evmwsmian" },
+	{ PPC_INS_EVMWSSF, "evmwssf" },
+	{ PPC_INS_EVMWSSFA, "evmwssfa" },
+	{ PPC_INS_EVMWSSFAA, "evmwssfaa" },
+	{ PPC_INS_EVMWSSFAN, "evmwssfan" },
+	{ PPC_INS_EVMWUMI, "evmwumi" },
+	{ PPC_INS_EVMWUMIA, "evmwumia" },
+	{ PPC_INS_EVMWUMIAA, "evmwumiaa" },
+	{ PPC_INS_EVMWUMIAN, "evmwumian" },
+	{ PPC_INS_EVNAND, "evnand" },
+	{ PPC_INS_EVNEG, "evneg" },
+	{ PPC_INS_EVNOR, "evnor" },
+	{ PPC_INS_EVOR, "evor" },
+	{ PPC_INS_EVORC, "evorc" },
+	{ PPC_INS_EVRLW, "evrlw" },
+	{ PPC_INS_EVRLWI, "evrlwi" },
+	{ PPC_INS_EVRNDW, "evrndw" },
+	{ PPC_INS_EVSLW, "evslw" },
+	{ PPC_INS_EVSLWI, "evslwi" },
+	{ PPC_INS_EVSPLATFI, "evsplatfi" },
+	{ PPC_INS_EVSPLATI, "evsplati" },
+	{ PPC_INS_EVSRWIS, "evsrwis" },
+	{ PPC_INS_EVSRWIU, "evsrwiu" },
+	{ PPC_INS_EVSRWS, "evsrws" },
+	{ PPC_INS_EVSRWU, "evsrwu" },
+	{ PPC_INS_EVSTDD, "evstdd" },
+	{ PPC_INS_EVSTDDX, "evstddx" },
+	{ PPC_INS_EVSTDH, "evstdh" },
+	{ PPC_INS_EVSTDHX, "evstdhx" },
+	{ PPC_INS_EVSTDW, "evstdw" },
+	{ PPC_INS_EVSTDWX, "evstdwx" },
+	{ PPC_INS_EVSTWHE, "evstwhe" },
+	{ PPC_INS_EVSTWHEX, "evstwhex" },
+	{ PPC_INS_EVSTWHO, "evstwho" },
+	{ PPC_INS_EVSTWHOX, "evstwhox" },
+	{ PPC_INS_EVSTWWE, "evstwwe" },
+	{ PPC_INS_EVSTWWEX, "evstwwex" },
+	{ PPC_INS_EVSTWWO, "evstwwo" },
+	{ PPC_INS_EVSTWWOX, "evstwwox" },
+	{ PPC_INS_EVSUBFSMIAAW, "evsubfsmiaaw" },
+	{ PPC_INS_EVSUBFSSIAAW, "evsubfssiaaw" },
+	{ PPC_INS_EVSUBFUMIAAW, "evsubfumiaaw" },
+	{ PPC_INS_EVSUBFUSIAAW, "evsubfusiaaw" },
+	{ PPC_INS_EVSUBFW, "evsubfw" },
+	{ PPC_INS_EVSUBIFW, "evsubifw" },
+	{ PPC_INS_EVXOR, "evxor" },
 	{ PPC_INS_EXTSB, "extsb" },
 	{ PPC_INS_EXTSH, "extsh" },
 	{ PPC_INS_EXTSW, "extsw" },
@@ -4833,6 +7224,7 @@
 	{ PPC_INS_FSUB, "fsub" },
 	{ PPC_INS_FSUBS, "fsubs" },
 	{ PPC_INS_ICBI, "icbi" },
+	{ PPC_INS_ICCCI, "iccci" },
 	{ PPC_INS_ISEL, "isel" },
 	{ PPC_INS_ISYNC, "isync" },
 	{ PPC_INS_LA, "la" },
@@ -4868,6 +7260,7 @@
 	{ PPC_INS_LI, "li" },
 	{ PPC_INS_LIS, "lis" },
 	{ PPC_INS_LMW, "lmw" },
+	{ PPC_INS_LSWI, "lswi" },
 	{ PPC_INS_LVEBX, "lvebx" },
 	{ PPC_INS_LVEHX, "lvehx" },
 	{ PPC_INS_LVEWX, "lvewx" },
@@ -4884,19 +7277,28 @@
 	{ PPC_INS_LWZU, "lwzu" },
 	{ PPC_INS_LWZUX, "lwzux" },
 	{ PPC_INS_LWZX, "lwzx" },
+	{ PPC_INS_LXSDX, "lxsdx" },
+	{ PPC_INS_LXVD2X, "lxvd2x" },
+	{ PPC_INS_LXVDSX, "lxvdsx" },
+	{ PPC_INS_LXVW4X, "lxvw4x" },
+	{ PPC_INS_MBAR, "mbar" },
 	{ PPC_INS_MCRF, "mcrf" },
 	{ PPC_INS_MFCR, "mfcr" },
 	{ PPC_INS_MFCTR, "mfctr" },
+	{ PPC_INS_MFDCR, "mfdcr" },
 	{ PPC_INS_MFFS, "mffs" },
 	{ PPC_INS_MFLR, "mflr" },
 	{ PPC_INS_MFMSR, "mfmsr" },
 	{ PPC_INS_MFOCRF, "mfocrf" },
 	{ PPC_INS_MFSPR, "mfspr" },
+	{ PPC_INS_MFSR, "mfsr" },
+	{ PPC_INS_MFSRIN, "mfsrin" },
 	{ PPC_INS_MFTB, "mftb" },
 	{ PPC_INS_MFVSCR, "mfvscr" },
 	{ PPC_INS_MSYNC, "msync" },
 	{ PPC_INS_MTCRF, "mtcrf" },
 	{ PPC_INS_MTCTR, "mtctr" },
+	{ PPC_INS_MTDCR, "mtdcr" },
 	{ PPC_INS_MTFSB0, "mtfsb0" },
 	{ PPC_INS_MTFSB1, "mtfsb1" },
 	{ PPC_INS_MTFSF, "mtfsf" },
@@ -4905,6 +7307,8 @@
 	{ PPC_INS_MTMSRD, "mtmsrd" },
 	{ PPC_INS_MTOCRF, "mtocrf" },
 	{ PPC_INS_MTSPR, "mtspr" },
+	{ PPC_INS_MTSR, "mtsr" },
+	{ PPC_INS_MTSRIN, "mtsrin" },
 	{ PPC_INS_MTVSCR, "mtvscr" },
 	{ PPC_INS_MULHD, "mulhd" },
 	{ PPC_INS_MULHDU, "mulhdu" },
@@ -4923,6 +7327,11 @@
 	{ PPC_INS_ORIS, "oris" },
 	{ PPC_INS_POPCNTD, "popcntd" },
 	{ PPC_INS_POPCNTW, "popcntw" },
+	{ PPC_INS_RFCI, "rfci" },
+	{ PPC_INS_RFDI, "rfdi" },
+	{ PPC_INS_RFI, "rfi" },
+	{ PPC_INS_RFID, "rfid" },
+	{ PPC_INS_RFMCI, "rfmci" },
 	{ PPC_INS_RLDCL, "rldcl" },
 	{ PPC_INS_RLDCR, "rldcr" },
 	{ PPC_INS_RLDIC, "rldic" },
@@ -4970,6 +7379,7 @@
 	{ PPC_INS_STHUX, "sthux" },
 	{ PPC_INS_STHX, "sthx" },
 	{ PPC_INS_STMW, "stmw" },
+	{ PPC_INS_STSWI, "stswi" },
 	{ PPC_INS_STVEBX, "stvebx" },
 	{ PPC_INS_STVEHX, "stvehx" },
 	{ PPC_INS_STVEWX, "stvewx" },
@@ -4981,6 +7391,9 @@
 	{ PPC_INS_STWU, "stwu" },
 	{ PPC_INS_STWUX, "stwux" },
 	{ PPC_INS_STWX, "stwx" },
+	{ PPC_INS_STXSDX, "stxsdx" },
+	{ PPC_INS_STXVD2X, "stxvd2x" },
+	{ PPC_INS_STXVW4X, "stxvw4x" },
 	{ PPC_INS_SUBF, "subf" },
 	{ PPC_INS_SUBFC, "subfc" },
 	{ PPC_INS_SUBFE, "subfe" },
@@ -4990,9 +7403,16 @@
 	{ PPC_INS_SYNC, "sync" },
 	{ PPC_INS_TD, "td" },
 	{ PPC_INS_TDI, "tdi" },
+	{ PPC_INS_TLBIA, "tlbia" },
 	{ PPC_INS_TLBIE, "tlbie" },
 	{ PPC_INS_TLBIEL, "tlbiel" },
+	{ PPC_INS_TLBIVAX, "tlbivax" },
+	{ PPC_INS_TLBLD, "tlbld" },
+	{ PPC_INS_TLBLI, "tlbli" },
+	{ PPC_INS_TLBRE, "tlbre" },
+	{ PPC_INS_TLBSX, "tlbsx" },
 	{ PPC_INS_TLBSYNC, "tlbsync" },
+	{ PPC_INS_TLBWE, "tlbwe" },
 	{ PPC_INS_TRAP, "trap" },
 	{ PPC_INS_TW, "tw" },
 	{ PPC_INS_TWI, "twi" },
@@ -5139,16 +7559,322 @@
 	{ PPC_INS_VUPKLSH, "vupklsh" },
 	{ PPC_INS_VXOR, "vxor" },
 	{ PPC_INS_WAIT, "wait" },
+	{ PPC_INS_WRTEE, "wrtee" },
+	{ PPC_INS_WRTEEI, "wrteei" },
 	{ PPC_INS_XOR, "xor" },
 	{ PPC_INS_XORI, "xori" },
 	{ PPC_INS_XORIS, "xoris" },
-	{ PPC_INS_BC, "bc" },
+	{ PPC_INS_XSABSDP, "xsabsdp" },
+	{ PPC_INS_XSADDDP, "xsadddp" },
+	{ PPC_INS_XSCMPODP, "xscmpodp" },
+	{ PPC_INS_XSCMPUDP, "xscmpudp" },
+	{ PPC_INS_XSCPSGNDP, "xscpsgndp" },
+	{ PPC_INS_XSCVDPSP, "xscvdpsp" },
+	{ PPC_INS_XSCVDPSXDS, "xscvdpsxds" },
+	{ PPC_INS_XSCVDPSXWS, "xscvdpsxws" },
+	{ PPC_INS_XSCVDPUXDS, "xscvdpuxds" },
+	{ PPC_INS_XSCVDPUXWS, "xscvdpuxws" },
+	{ PPC_INS_XSCVSPDP, "xscvspdp" },
+	{ PPC_INS_XSCVSXDDP, "xscvsxddp" },
+	{ PPC_INS_XSCVUXDDP, "xscvuxddp" },
+	{ PPC_INS_XSDIVDP, "xsdivdp" },
+	{ PPC_INS_XSMADDADP, "xsmaddadp" },
+	{ PPC_INS_XSMADDMDP, "xsmaddmdp" },
+	{ PPC_INS_XSMAXDP, "xsmaxdp" },
+	{ PPC_INS_XSMINDP, "xsmindp" },
+	{ PPC_INS_XSMSUBADP, "xsmsubadp" },
+	{ PPC_INS_XSMSUBMDP, "xsmsubmdp" },
+	{ PPC_INS_XSMULDP, "xsmuldp" },
+	{ PPC_INS_XSNABSDP, "xsnabsdp" },
+	{ PPC_INS_XSNEGDP, "xsnegdp" },
+	{ PPC_INS_XSNMADDADP, "xsnmaddadp" },
+	{ PPC_INS_XSNMADDMDP, "xsnmaddmdp" },
+	{ PPC_INS_XSNMSUBADP, "xsnmsubadp" },
+	{ PPC_INS_XSNMSUBMDP, "xsnmsubmdp" },
+	{ PPC_INS_XSRDPI, "xsrdpi" },
+	{ PPC_INS_XSRDPIC, "xsrdpic" },
+	{ PPC_INS_XSRDPIM, "xsrdpim" },
+	{ PPC_INS_XSRDPIP, "xsrdpip" },
+	{ PPC_INS_XSRDPIZ, "xsrdpiz" },
+	{ PPC_INS_XSREDP, "xsredp" },
+	{ PPC_INS_XSRSQRTEDP, "xsrsqrtedp" },
+	{ PPC_INS_XSSQRTDP, "xssqrtdp" },
+	{ PPC_INS_XSSUBDP, "xssubdp" },
+	{ PPC_INS_XSTDIVDP, "xstdivdp" },
+	{ PPC_INS_XSTSQRTDP, "xstsqrtdp" },
+	{ PPC_INS_XVABSDP, "xvabsdp" },
+	{ PPC_INS_XVABSSP, "xvabssp" },
+	{ PPC_INS_XVADDDP, "xvadddp" },
+	{ PPC_INS_XVADDSP, "xvaddsp" },
+	{ PPC_INS_XVCMPEQDP, "xvcmpeqdp" },
+	{ PPC_INS_XVCMPEQSP, "xvcmpeqsp" },
+	{ PPC_INS_XVCMPGEDP, "xvcmpgedp" },
+	{ PPC_INS_XVCMPGESP, "xvcmpgesp" },
+	{ PPC_INS_XVCMPGTDP, "xvcmpgtdp" },
+	{ PPC_INS_XVCMPGTSP, "xvcmpgtsp" },
+	{ PPC_INS_XVCPSGNDP, "xvcpsgndp" },
+	{ PPC_INS_XVCPSGNSP, "xvcpsgnsp" },
+	{ PPC_INS_XVCVDPSP, "xvcvdpsp" },
+	{ PPC_INS_XVCVDPSXDS, "xvcvdpsxds" },
+	{ PPC_INS_XVCVDPSXWS, "xvcvdpsxws" },
+	{ PPC_INS_XVCVDPUXDS, "xvcvdpuxds" },
+	{ PPC_INS_XVCVDPUXWS, "xvcvdpuxws" },
+	{ PPC_INS_XVCVSPDP, "xvcvspdp" },
+	{ PPC_INS_XVCVSPSXDS, "xvcvspsxds" },
+	{ PPC_INS_XVCVSPSXWS, "xvcvspsxws" },
+	{ PPC_INS_XVCVSPUXDS, "xvcvspuxds" },
+	{ PPC_INS_XVCVSPUXWS, "xvcvspuxws" },
+	{ PPC_INS_XVCVSXDDP, "xvcvsxddp" },
+	{ PPC_INS_XVCVSXDSP, "xvcvsxdsp" },
+	{ PPC_INS_XVCVSXWDP, "xvcvsxwdp" },
+	{ PPC_INS_XVCVSXWSP, "xvcvsxwsp" },
+	{ PPC_INS_XVCVUXDDP, "xvcvuxddp" },
+	{ PPC_INS_XVCVUXDSP, "xvcvuxdsp" },
+	{ PPC_INS_XVCVUXWDP, "xvcvuxwdp" },
+	{ PPC_INS_XVCVUXWSP, "xvcvuxwsp" },
+	{ PPC_INS_XVDIVDP, "xvdivdp" },
+	{ PPC_INS_XVDIVSP, "xvdivsp" },
+	{ PPC_INS_XVMADDADP, "xvmaddadp" },
+	{ PPC_INS_XVMADDASP, "xvmaddasp" },
+	{ PPC_INS_XVMADDMDP, "xvmaddmdp" },
+	{ PPC_INS_XVMADDMSP, "xvmaddmsp" },
+	{ PPC_INS_XVMAXDP, "xvmaxdp" },
+	{ PPC_INS_XVMAXSP, "xvmaxsp" },
+	{ PPC_INS_XVMINDP, "xvmindp" },
+	{ PPC_INS_XVMINSP, "xvminsp" },
+	{ PPC_INS_XVMSUBADP, "xvmsubadp" },
+	{ PPC_INS_XVMSUBASP, "xvmsubasp" },
+	{ PPC_INS_XVMSUBMDP, "xvmsubmdp" },
+	{ PPC_INS_XVMSUBMSP, "xvmsubmsp" },
+	{ PPC_INS_XVMULDP, "xvmuldp" },
+	{ PPC_INS_XVMULSP, "xvmulsp" },
+	{ PPC_INS_XVNABSDP, "xvnabsdp" },
+	{ PPC_INS_XVNABSSP, "xvnabssp" },
+	{ PPC_INS_XVNEGDP, "xvnegdp" },
+	{ PPC_INS_XVNEGSP, "xvnegsp" },
+	{ PPC_INS_XVNMADDADP, "xvnmaddadp" },
+	{ PPC_INS_XVNMADDASP, "xvnmaddasp" },
+	{ PPC_INS_XVNMADDMDP, "xvnmaddmdp" },
+	{ PPC_INS_XVNMADDMSP, "xvnmaddmsp" },
+	{ PPC_INS_XVNMSUBADP, "xvnmsubadp" },
+	{ PPC_INS_XVNMSUBASP, "xvnmsubasp" },
+	{ PPC_INS_XVNMSUBMDP, "xvnmsubmdp" },
+	{ PPC_INS_XVNMSUBMSP, "xvnmsubmsp" },
+	{ PPC_INS_XVRDPI, "xvrdpi" },
+	{ PPC_INS_XVRDPIC, "xvrdpic" },
+	{ PPC_INS_XVRDPIM, "xvrdpim" },
+	{ PPC_INS_XVRDPIP, "xvrdpip" },
+	{ PPC_INS_XVRDPIZ, "xvrdpiz" },
+	{ PPC_INS_XVREDP, "xvredp" },
+	{ PPC_INS_XVRESP, "xvresp" },
+	{ PPC_INS_XVRSPI, "xvrspi" },
+	{ PPC_INS_XVRSPIC, "xvrspic" },
+	{ PPC_INS_XVRSPIM, "xvrspim" },
+	{ PPC_INS_XVRSPIP, "xvrspip" },
+	{ PPC_INS_XVRSPIZ, "xvrspiz" },
+	{ PPC_INS_XVRSQRTEDP, "xvrsqrtedp" },
+	{ PPC_INS_XVRSQRTESP, "xvrsqrtesp" },
+	{ PPC_INS_XVSQRTDP, "xvsqrtdp" },
+	{ PPC_INS_XVSQRTSP, "xvsqrtsp" },
+	{ PPC_INS_XVSUBDP, "xvsubdp" },
+	{ PPC_INS_XVSUBSP, "xvsubsp" },
+	{ PPC_INS_XVTDIVDP, "xvtdivdp" },
+	{ PPC_INS_XVTDIVSP, "xvtdivsp" },
+	{ PPC_INS_XVTSQRTDP, "xvtsqrtdp" },
+	{ PPC_INS_XVTSQRTSP, "xvtsqrtsp" },
+	{ PPC_INS_XXLAND, "xxland" },
+	{ PPC_INS_XXLANDC, "xxlandc" },
+	{ PPC_INS_XXLNOR, "xxlnor" },
+	{ PPC_INS_XXLOR, "xxlor" },
+	{ PPC_INS_XXLXOR, "xxlxor" },
+	{ PPC_INS_XXMRGHW, "xxmrghw" },
+	{ PPC_INS_XXMRGLW, "xxmrglw" },
+	{ PPC_INS_XXPERMDI, "xxpermdi" },
+	{ PPC_INS_XXSEL, "xxsel" },
+	{ PPC_INS_XXSLDWI, "xxsldwi" },
+	{ PPC_INS_XXSPLTW, "xxspltw" },
 	{ PPC_INS_BCA, "bca" },
-	{ PPC_INS_BCCTR, "bcctr" },
-	{ PPC_INS_BCCTRL, "bcctrl" },
 	{ PPC_INS_BCLA, "bcla" },
-	{ PPC_INS_BCLR, "bclr" },
-	{ PPC_INS_BCLRL, "bclrl" },
+
+	// extra & alias instructions
+	{ PPC_INS_SLWI, "slwi" },
+	{ PPC_INS_SRWI, "srwi" },
+	{ PPC_INS_SLDI, "sldi" },
+	{ PPC_INS_BTA, "bta" },
+	{ PPC_INS_CRSET, "crset" },
+	{ PPC_INS_CRNOT, "crnot" },
+	{ PPC_INS_CRMOVE, "crmove" },
+	{ PPC_INS_CRCLR, "crclr" },
+	{ PPC_INS_MFBR0, "mfbr0" },
+	{ PPC_INS_MFBR1, "mfbr1" },
+	{ PPC_INS_MFBR2, "mfbr2" },
+	{ PPC_INS_MFBR3, "mfbr3" },
+	{ PPC_INS_MFBR4, "mfbr4" },
+	{ PPC_INS_MFBR5, "mfbr5" },
+	{ PPC_INS_MFBR6, "mfbr6" },
+	{ PPC_INS_MFBR7, "mfbr7" },
+	{ PPC_INS_MFXER, "mfxer" },
+	{ PPC_INS_MFRTCU, "mfrtcu" },
+	{ PPC_INS_MFRTCL, "mfrtcl" },
+	{ PPC_INS_MFDSCR, "mfdscr" },
+	{ PPC_INS_MFDSISR, "mfdsisr" },
+	{ PPC_INS_MFDAR, "mfdar" },
+	{ PPC_INS_MFSRR2, "mfsrr2" },
+	{ PPC_INS_MFSRR3, "mfsrr3" },
+	{ PPC_INS_MFCFAR, "mfcfar" },
+	{ PPC_INS_MFAMR, "mfamr" },
+	{ PPC_INS_MFPID, "mfpid" },
+	{ PPC_INS_MFTBLO, "mftblo" },
+	{ PPC_INS_MFTBHI, "mftbhi" },
+	{ PPC_INS_MFDBATU, "mfdbatu" },
+	{ PPC_INS_MFDBATL, "mfdbatl" },
+	{ PPC_INS_MFIBATU, "mfibatu" },
+	{ PPC_INS_MFIBATL, "mfibatl" },
+	{ PPC_INS_MFDCCR, "mfdccr" },
+	{ PPC_INS_MFICCR, "mficcr" },
+	{ PPC_INS_MFDEAR, "mfdear" },
+	{ PPC_INS_MFESR, "mfesr" },
+	{ PPC_INS_MFSPEFSCR, "mfspefscr" },
+	{ PPC_INS_MFTCR, "mftcr" },
+	{ PPC_INS_MFASR, "mfasr" },
+	{ PPC_INS_MFPVR, "mfpvr" },
+	{ PPC_INS_MFTBU, "mftbu" },
+	{ PPC_INS_MTCR, "mtcr" },
+	{ PPC_INS_MTBR0, "mtbr0" },
+	{ PPC_INS_MTBR1, "mtbr1" },
+	{ PPC_INS_MTBR2, "mtbr2" },
+	{ PPC_INS_MTBR3, "mtbr3" },
+	{ PPC_INS_MTBR4, "mtbr4" },
+	{ PPC_INS_MTBR5, "mtbr5" },
+	{ PPC_INS_MTBR6, "mtbr6" },
+	{ PPC_INS_MTBR7, "mtbr7" },
+	{ PPC_INS_MTXER, "mtxer" },
+	{ PPC_INS_MTDSCR, "mtdscr" },
+	{ PPC_INS_MTDSISR, "mtdsisr" },
+	{ PPC_INS_MTDAR, "mtdar" },
+	{ PPC_INS_MTSRR2, "mtsrr2" },
+	{ PPC_INS_MTSRR3, "mtsrr3" },
+	{ PPC_INS_MTCFAR, "mtcfar" },
+	{ PPC_INS_MTAMR, "mtamr" },
+	{ PPC_INS_MTPID, "mtpid" },
+	{ PPC_INS_MTTBL, "mttbl" },
+	{ PPC_INS_MTTBU, "mttbu" },
+	{ PPC_INS_MTTBLO, "mttblo" },
+	{ PPC_INS_MTTBHI, "mttbhi" },
+	{ PPC_INS_MTDBATU, "mtdbatu" },
+	{ PPC_INS_MTDBATL, "mtdbatl" },
+	{ PPC_INS_MTIBATU, "mtibatu" },
+	{ PPC_INS_MTIBATL, "mtibatl" },
+	{ PPC_INS_MTDCCR, "mtdccr" },
+	{ PPC_INS_MTICCR, "mticcr" },
+	{ PPC_INS_MTDEAR, "mtdear" },
+	{ PPC_INS_MTESR, "mtesr" },
+	{ PPC_INS_MTSPEFSCR, "mtspefscr" },
+	{ PPC_INS_MTTCR, "mttcr" },
+	{ PPC_INS_NOT, "not" },
+	{ PPC_INS_MR, "mr" },
+	{ PPC_INS_ROTLD, "rotld" },
+	{ PPC_INS_ROTLDI, "rotldi" },
+	{ PPC_INS_CLRLDI, "clrldi" },
+	{ PPC_INS_ROTLWI, "rotlwi" },
+	{ PPC_INS_CLRLWI, "clrlwi" },
+	{ PPC_INS_ROTLW, "rotlw" },
+	{ PPC_INS_SUB, "sub" },
+	{ PPC_INS_SUBC, "subc" },
+	{ PPC_INS_LWSYNC, "lwsync" },
+	{ PPC_INS_PTESYNC, "ptesync" },
+	{ PPC_INS_TDLT, "tdlt" },
+	{ PPC_INS_TDEQ, "tdeq" },
+	{ PPC_INS_TDGT, "tdgt" },
+	{ PPC_INS_TDNE, "tdne" },
+	{ PPC_INS_TDLLT, "tdllt" },
+	{ PPC_INS_TDLGT, "tdlgt" },
+	{ PPC_INS_TDU, "tdu" },
+	{ PPC_INS_TDLTI, "tdlti" },
+	{ PPC_INS_TDEQI, "tdeqi" },
+	{ PPC_INS_TDGTI, "tdgti" },
+	{ PPC_INS_TDNEI, "tdnei" },
+	{ PPC_INS_TDLLTI, "tdllti" },
+	{ PPC_INS_TDLGTI, "tdlgti" },
+	{ PPC_INS_TDUI, "tdui" },
+	{ PPC_INS_TLBREHI, "tlbrehi" },
+	{ PPC_INS_TLBRELO, "tlbrelo" },
+	{ PPC_INS_TLBWEHI, "tlbwehi" },
+	{ PPC_INS_TLBWELO, "tlbwelo" },
+	{ PPC_INS_TWLT, "twlt" },
+	{ PPC_INS_TWEQ, "tweq" },
+	{ PPC_INS_TWGT, "twgt" },
+	{ PPC_INS_TWNE, "twne" },
+	{ PPC_INS_TWLLT, "twllt" },
+	{ PPC_INS_TWLGT, "twlgt" },
+	{ PPC_INS_TWU, "twu" },
+	{ PPC_INS_TWLTI, "twlti" },
+	{ PPC_INS_TWEQI, "tweqi" },
+	{ PPC_INS_TWGTI, "twgti" },
+	{ PPC_INS_TWNEI, "twnei" },
+	{ PPC_INS_TWLLTI, "twllti" },
+	{ PPC_INS_TWLGTI, "twlgti" },
+	{ PPC_INS_TWUI, "twui" },
+	{ PPC_INS_WAITRSV, "waitrsv" },
+	{ PPC_INS_WAITIMPL, "waitimpl" },
+	{ PPC_INS_XNOP, "xnop" },
+	{ PPC_INS_XVMOVDP, "xvmovdp" },
+	{ PPC_INS_XVMOVSP, "xvmovsp" },
+	{ PPC_INS_XXSPLTD, "xxspltd" },
+	{ PPC_INS_XXMRGHD, "xxmrghd" },
+	{ PPC_INS_XXMRGLD, "xxmrgld" },
+	{ PPC_INS_XXSWAPD, "xxswapd" },
+	{ PPC_INS_BT, "bt" },
+	{ PPC_INS_BF, "bf" },
+	{ PPC_INS_BDNZT, "bdnzt" },
+	{ PPC_INS_BDNZF, "bdnzf" },
+	{ PPC_INS_BDZF, "bdzf" },
+	{ PPC_INS_BDZT, "bdzt" },
+	{ PPC_INS_BFA, "bfa" },
+	{ PPC_INS_BDNZTA, "bdnzta" },
+	{ PPC_INS_BDNZFA, "bdnzfa" },
+	{ PPC_INS_BDZTA, "bdzta" },
+	{ PPC_INS_BDZFA, "bdzfa" },
+	{ PPC_INS_BTCTR, "btctr" },
+	{ PPC_INS_BFCTR, "bfctr" },
+	{ PPC_INS_BTCTRL, "btctrl" },
+	{ PPC_INS_BFCTRL, "bfctrl" },
+	{ PPC_INS_BTL, "btl" },
+	{ PPC_INS_BFL, "bfl" },
+	{ PPC_INS_BDNZTL, "bdnztl" },
+	{ PPC_INS_BDNZFL, "bdnzfl" },
+	{ PPC_INS_BDZTL, "bdztl" },
+	{ PPC_INS_BDZFL, "bdzfl" },
+	{ PPC_INS_BTLA, "btla" },
+	{ PPC_INS_BFLA, "bfla" },
+	{ PPC_INS_BDNZTLA, "bdnztla" },
+	{ PPC_INS_BDNZFLA, "bdnzfla" },
+	{ PPC_INS_BDZTLA, "bdztla" },
+	{ PPC_INS_BDZFLA, "bdzfla" },
+	{ PPC_INS_BTLR, "btlr" },
+	{ PPC_INS_BFLR, "bflr" },
+	{ PPC_INS_BDNZTLR, "bdnztlr" },
+	{ PPC_INS_BDZTLR, "bdztlr" },
+	{ PPC_INS_BDZFLR, "bdzflr" },
+	{ PPC_INS_BTLRL, "btlrl" },
+	{ PPC_INS_BFLRL, "bflrl" },
+	{ PPC_INS_BDNZTLRL, "bdnztlrl" },
+	{ PPC_INS_BDNZFLRL, "bdnzflrl" },
+	{ PPC_INS_BDZTLRL, "bdztlrl" },
+	{ PPC_INS_BDZFLRL, "bdzflrl" },
+
+	// BccX
+	{ PPC_INS_B_CC, "b_cc" },
+	{ PPC_INS_BL_CC, "bl_cc" },
+	{ PPC_INS_BLA_CC, "bla_cc" },
+	{ PPC_INS_BLR_CC, "blr_cc" },
+	{ PPC_INS_BLRL_CC, "blrl_cc" },
+	{ PPC_INS_BA_CC, "ba_cc" },
+	{ PPC_INS_BCTR_CC, "bctr_cc" },
+	{ PPC_INS_BCTRL_CC, "bctrl_cc" },
+
+	// alias instructions
+	{ PPC_INS_BNE, "bne" },
 };
 
 // special alias insn
@@ -5177,47 +7903,96 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ PPC_GRP_INVALID, NULL },
+	{ PPC_GRP_ALTIVEC, "altivec" },
+	{ PPC_GRP_MODE32, "mode32" },
+	{ PPC_GRP_MODE64, "mode64" },
+	{ PPC_GRP_BOOKE, "booke" },
+	{ PPC_GRP_NOTBOOKE, "notbooke" },
+	{ PPC_GRP_SPE, "spe" },
+	{ PPC_GRP_VSX, "vsx" },
+	{ PPC_GRP_E500, "e500" },
+	{ PPC_GRP_PPC4XX, "ppc4xx" },
+	{ PPC_GRP_PPC6XX, "ppc6xx" },
+
+	{ PPC_GRP_JUMP,	"jump" },
+};
+#endif
+
+const char *PPC_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= PPC_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 // map internal raw register to 'public' register
 ppc_reg PPC_map_register(unsigned int r)
 {
-	static unsigned int map[] = {
-		0, 0, PPC_REG_CARRY, PPC_REG_CTR, 0, PPC_REG_LR,
-		0, PPC_REG_VRSAVE, PPC_REG_CR0, 0, PPC_REG_CR0,
-		PPC_REG_CR1, PPC_REG_CR2, PPC_REG_CR3, PPC_REG_CR4, PPC_REG_CR5,
-		PPC_REG_CR6, PPC_REG_CR7, PPC_REG_CTR, PPC_REG_F0, PPC_REG_F1,
-		PPC_REG_F2, PPC_REG_F3, PPC_REG_F4, PPC_REG_F5, PPC_REG_F6,
-		PPC_REG_F7, PPC_REG_F8, PPC_REG_F9, PPC_REG_F10, PPC_REG_F11,
-		PPC_REG_F12, PPC_REG_F13, PPC_REG_F14, PPC_REG_F15, PPC_REG_F16,
-		PPC_REG_F17, PPC_REG_F18, PPC_REG_F19, PPC_REG_F20, PPC_REG_F21,
-		PPC_REG_F22, PPC_REG_F23, PPC_REG_F24, PPC_REG_F25, PPC_REG_F26,
-		PPC_REG_F27, PPC_REG_F28, PPC_REG_F29, PPC_REG_F30, PPC_REG_F31,
-		0, PPC_REG_LR, PPC_REG_R0, PPC_REG_R1, PPC_REG_R2,
-		PPC_REG_R3, PPC_REG_R4, PPC_REG_R5, PPC_REG_R6, PPC_REG_R7,
-		PPC_REG_R8, PPC_REG_R9, PPC_REG_R10, PPC_REG_R11, PPC_REG_R12,
-		PPC_REG_R13, PPC_REG_R14, PPC_REG_R15, PPC_REG_R16, PPC_REG_R17,
-		PPC_REG_R18, PPC_REG_R19, PPC_REG_R20, PPC_REG_R21, PPC_REG_R22,
-		PPC_REG_R23, PPC_REG_R24, PPC_REG_R25, PPC_REG_R26, PPC_REG_R27,
-		PPC_REG_R28, PPC_REG_R29, PPC_REG_R30, PPC_REG_R31, PPC_REG_V0,
-		PPC_REG_V1, PPC_REG_V2, PPC_REG_V3, PPC_REG_V4, PPC_REG_V5,
-		PPC_REG_V6, PPC_REG_V7, PPC_REG_V8, PPC_REG_V9, PPC_REG_V10,
-		PPC_REG_V11, PPC_REG_V12, PPC_REG_V13, PPC_REG_V14, PPC_REG_V15,
-		PPC_REG_V16, PPC_REG_V17, PPC_REG_V18, PPC_REG_V19, PPC_REG_V20,
-		PPC_REG_V21, PPC_REG_V22, PPC_REG_V23, PPC_REG_V24, PPC_REG_V25,
-		PPC_REG_V26, PPC_REG_V27, PPC_REG_V28, PPC_REG_V29, PPC_REG_V30,
-		PPC_REG_V31, PPC_REG_R0, PPC_REG_R1, PPC_REG_R2, PPC_REG_R3,
-		PPC_REG_R4, PPC_REG_R5, PPC_REG_R6, PPC_REG_R7, PPC_REG_R8,
-		PPC_REG_R9, PPC_REG_R10, PPC_REG_R11, PPC_REG_R12, PPC_REG_R13,
-		PPC_REG_R14, PPC_REG_R15, PPC_REG_R16, PPC_REG_R17, PPC_REG_R18,
-		PPC_REG_R19, PPC_REG_R20, PPC_REG_R21, PPC_REG_R22, PPC_REG_R23,
-		PPC_REG_R24, PPC_REG_R25, PPC_REG_R26, PPC_REG_R27, PPC_REG_R28,
-		PPC_REG_R29, PPC_REG_R30, PPC_REG_R31, PPC_REG_CR0, PPC_REG_CR2,
-		PPC_REG_CR6, PPC_REG_CR10, PPC_REG_CR14, PPC_REG_CR18, PPC_REG_CR22,
-		PPC_REG_CR26, PPC_REG_CR30, PPC_REG_CR1, PPC_REG_CR5, PPC_REG_CR9,
-		PPC_REG_CR13, PPC_REG_CR17, PPC_REG_CR21, PPC_REG_CR25, PPC_REG_CR29,
-		PPC_REG_CR0, PPC_REG_CR4, PPC_REG_CR8, PPC_REG_CR12, PPC_REG_CR16,
-		PPC_REG_CR20, PPC_REG_CR24, PPC_REG_CR28, PPC_REG_CR3, PPC_REG_CR7,
-		PPC_REG_CR11, PPC_REG_CR15, PPC_REG_CR19, PPC_REG_CR23, PPC_REG_CR27,
-		PPC_REG_CR31, };
+	static unsigned int map[] = { 0,
+		0, PPC_REG_CARRY, PPC_REG_CC, PPC_REG_CTR, 0,
+		PPC_REG_LR, 0, PPC_REG_VRSAVE, PPC_REG_R0, 0,
+		PPC_REG_CR0, PPC_REG_CR1, PPC_REG_CR2, PPC_REG_CR3, PPC_REG_CR4,
+		PPC_REG_CR5, PPC_REG_CR6, PPC_REG_CR7, PPC_REG_CTR, PPC_REG_F0,
+		PPC_REG_F1, PPC_REG_F2, PPC_REG_F3, PPC_REG_F4, PPC_REG_F5,
+		PPC_REG_F6, PPC_REG_F7, PPC_REG_F8, PPC_REG_F9, PPC_REG_F10,
+		PPC_REG_F11, PPC_REG_F12, PPC_REG_F13, PPC_REG_F14, PPC_REG_F15,
+		PPC_REG_F16, PPC_REG_F17, PPC_REG_F18, PPC_REG_F19, PPC_REG_F20,
+		PPC_REG_F21, PPC_REG_F22, PPC_REG_F23, PPC_REG_F24, PPC_REG_F25,
+		PPC_REG_F26, PPC_REG_F27, PPC_REG_F28, PPC_REG_F29, PPC_REG_F30,
+		PPC_REG_F31, 0, PPC_REG_LR, PPC_REG_R0, PPC_REG_R1,
+		PPC_REG_R2, PPC_REG_R3, PPC_REG_R4, PPC_REG_R5, PPC_REG_R6,
+		PPC_REG_R7, PPC_REG_R8, PPC_REG_R9, PPC_REG_R10, PPC_REG_R11,
+		PPC_REG_R12, PPC_REG_R13, PPC_REG_R14, PPC_REG_R15, PPC_REG_R16,
+		PPC_REG_R17, PPC_REG_R18, PPC_REG_R19, PPC_REG_R20, PPC_REG_R21,
+		PPC_REG_R22, PPC_REG_R23, PPC_REG_R24, PPC_REG_R25, PPC_REG_R26,
+		PPC_REG_R27, PPC_REG_R28, PPC_REG_R29, PPC_REG_R30, PPC_REG_R31,
+		PPC_REG_V0, PPC_REG_V1, PPC_REG_V2, PPC_REG_V3, PPC_REG_V4,
+		PPC_REG_V5, PPC_REG_V6, PPC_REG_V7, PPC_REG_V8, PPC_REG_V9,
+		PPC_REG_V10, PPC_REG_V11, PPC_REG_V12, PPC_REG_V13, PPC_REG_V14,
+		PPC_REG_V15, PPC_REG_V16, PPC_REG_V17, PPC_REG_V18, PPC_REG_V19,
+		PPC_REG_V20, PPC_REG_V21, PPC_REG_V22, PPC_REG_V23, PPC_REG_V24,
+		PPC_REG_V25, PPC_REG_V26, PPC_REG_V27, PPC_REG_V28, PPC_REG_V29,
+		PPC_REG_V30, PPC_REG_V31, PPC_REG_VS32, PPC_REG_VS33, PPC_REG_VS34,
+		PPC_REG_VS35, PPC_REG_VS36, PPC_REG_VS37, PPC_REG_VS38, PPC_REG_VS39,
+		PPC_REG_VS40, PPC_REG_VS41, PPC_REG_VS42, PPC_REG_VS43, PPC_REG_VS44,
+		PPC_REG_VS45, PPC_REG_VS46, PPC_REG_VS47, PPC_REG_VS48, PPC_REG_VS49,
+		PPC_REG_VS50, PPC_REG_VS51, PPC_REG_VS52, PPC_REG_VS53, PPC_REG_VS54,
+		PPC_REG_VS55, PPC_REG_VS56, PPC_REG_VS57, PPC_REG_VS58, PPC_REG_VS59,
+		PPC_REG_VS60, PPC_REG_VS61, PPC_REG_VS62, PPC_REG_VS63, PPC_REG_VS32,
+		PPC_REG_VS33, PPC_REG_VS34, PPC_REG_VS35, PPC_REG_VS36, PPC_REG_VS37,
+		PPC_REG_VS38, PPC_REG_VS39, PPC_REG_VS40, PPC_REG_VS41, PPC_REG_VS42,
+		PPC_REG_VS43, PPC_REG_VS44, PPC_REG_VS45, PPC_REG_VS46, PPC_REG_VS47,
+		PPC_REG_VS48, PPC_REG_VS49, PPC_REG_VS50, PPC_REG_VS51, PPC_REG_VS52,
+		PPC_REG_VS53, PPC_REG_VS54, PPC_REG_VS55, PPC_REG_VS56, PPC_REG_VS57,
+		PPC_REG_VS58, PPC_REG_VS59, PPC_REG_VS60, PPC_REG_VS61, PPC_REG_VS62,
+		PPC_REG_VS63, PPC_REG_VS0, PPC_REG_VS1, PPC_REG_VS2, PPC_REG_VS3,
+		PPC_REG_VS4, PPC_REG_VS5, PPC_REG_VS6, PPC_REG_VS7, PPC_REG_VS8,
+		PPC_REG_VS9, PPC_REG_VS10, PPC_REG_VS11, PPC_REG_VS12, PPC_REG_VS13,
+		PPC_REG_VS14, PPC_REG_VS15, PPC_REG_VS16, PPC_REG_VS17, PPC_REG_VS18,
+		PPC_REG_VS19, PPC_REG_VS20, PPC_REG_VS21, PPC_REG_VS22, PPC_REG_VS23,
+		PPC_REG_VS24, PPC_REG_VS25, PPC_REG_VS26, PPC_REG_VS27, PPC_REG_VS28,
+		PPC_REG_VS29, PPC_REG_VS30, PPC_REG_VS31, PPC_REG_R0, PPC_REG_R1,
+		PPC_REG_R2, PPC_REG_R3, PPC_REG_R4, PPC_REG_R5, PPC_REG_R6,
+		PPC_REG_R7, PPC_REG_R8, PPC_REG_R9, PPC_REG_R10, PPC_REG_R11,
+		PPC_REG_R12, PPC_REG_R13, PPC_REG_R14, PPC_REG_R15, PPC_REG_R16,
+		PPC_REG_R17, PPC_REG_R18, PPC_REG_R19, PPC_REG_R20, PPC_REG_R21,
+		PPC_REG_R22, PPC_REG_R23, PPC_REG_R24, PPC_REG_R25, PPC_REG_R26,
+		PPC_REG_R27, PPC_REG_R28, PPC_REG_R29, PPC_REG_R30, PPC_REG_R31,
+		PPC_REG_R0, PPC_REG_R2, PPC_REG_R6, PPC_REG_R10, PPC_REG_R14,
+		PPC_REG_R18, PPC_REG_R22, PPC_REG_R26, PPC_REG_R30, PPC_REG_R1,
+		PPC_REG_R5, PPC_REG_R9, PPC_REG_R13, PPC_REG_R17, PPC_REG_R21,
+		PPC_REG_R25, PPC_REG_R29, PPC_REG_R0, PPC_REG_R4, PPC_REG_R8,
+		PPC_REG_R12, PPC_REG_R16, PPC_REG_R20, PPC_REG_R24, PPC_REG_R28,
+		PPC_REG_R3, PPC_REG_R7, PPC_REG_R11, PPC_REG_R15, PPC_REG_R19,
+		PPC_REG_R23, PPC_REG_R27, PPC_REG_R31, };
 
 	if (r < ARR_SIZE(map))
 		return map[r];
@@ -5226,4 +8001,58 @@
 	return 0;
 }
 
+static name_map alias_insn_name_maps[] = {
+	{ PPC_INS_BTA, "bta" },
+	{ PPC_INS_B, "blt" },
+	{ PPC_INS_B, "bgt" },
+	{ PPC_INS_B, "beq" },
+	{ PPC_INS_B, "bne" },
+
+	{ PPC_INS_BA_CC, "blta" },
+	{ PPC_INS_BA_CC, "bgta" },
+	{ PPC_INS_BA_CC, "beqa" },
+	{ PPC_INS_BA_CC, "bnea" },
+
+	{ PPC_INS_BCTR_CC, "bltctr" },
+	{ PPC_INS_BCTR_CC, "bgtctr" },
+	{ PPC_INS_BCTR_CC, "beqctr" },
+	{ PPC_INS_BCTR_CC, "bnectr" },
+
+	{ PPC_INS_BCTRL_CC, "bltctrl" },
+	{ PPC_INS_BCTRL_CC, "bgtctrl" },
+	{ PPC_INS_BCTRL_CC, "beqctrl" },
+	{ PPC_INS_BCTRL_CC, "bnectrl" },
+
+	{ PPC_INS_BL_CC, "bltl" },
+	{ PPC_INS_BL_CC, "bgtl" },
+	{ PPC_INS_BL_CC, "beql" },
+	{ PPC_INS_BL_CC, "bnel" },
+
+	{ PPC_INS_BLA_CC, "bltla" },
+	{ PPC_INS_BLA_CC, "bgtla" },
+	{ PPC_INS_BLA_CC, "beqla" },
+	{ PPC_INS_BLA_CC, "bnela" },
+
+	{ PPC_INS_BLR_CC, "bltlr" },
+	{ PPC_INS_BLR_CC, "bgtlr" },
+	{ PPC_INS_BLR_CC, "beqlr" },
+	{ PPC_INS_BLR_CC, "bnelr" },
+
+	{ PPC_INS_BLRL_CC, "bltlrl" },
+	{ PPC_INS_BLRL_CC, "bgtlrl" },
+	{ PPC_INS_BLRL_CC, "beqlrl" },
+	{ PPC_INS_BLRL_CC, "bnelrl" },
+};
+// map instruction name to public instruction ID
+ppc_reg PPC_map_insn(const char *name)
+{
+	// NOTE: skip first NULL name in insn_name_maps
+	int i = name2id(&insn_name_maps[1], ARR_SIZE(insn_name_maps) - 1, name);
+
+	if (i == -1)
+		// try again with 'special' insn that is not available in insn_name_maps
+		i = name2id(alias_insn_name_maps, ARR_SIZE(alias_insn_name_maps), name);
+
+	return (i != -1)? i : PPC_REG_INVALID;
+}
 #endif
diff --git a/arch/PowerPC/PPCMapping.h b/arch/PowerPC/PPCMapping.h
index c821628..2056f28 100644
--- a/arch/PowerPC/PPCMapping.h
+++ b/arch/PowerPC/PPCMapping.h
@@ -13,9 +13,12 @@
 void PPC_get_insn_id(cs_struct *h, cs_insn *insn, unsigned int id);
 
 const char *PPC_insn_name(csh handle, unsigned int id);
+const char *PPC_group_name(csh handle, unsigned int id);
 
 // map internal raw register to 'public' register
 ppc_reg PPC_map_register(unsigned int r);
 
+ppc_reg PPC_map_insn(const char *name);
+
 #endif
 
diff --git a/arch/PowerPC/PPCModule.c b/arch/PowerPC/PPCModule.c
index 88a7fe8..8adbda1 100644
--- a/arch/PowerPC/PPCModule.c
+++ b/arch/PowerPC/PPCModule.c
@@ -18,7 +18,7 @@
 				CS_MODE_BIG_ENDIAN))
 		return CS_ERR_MODE;
 
-	mri = cs_mem_malloc(sizeof(*mri));
+	mri = (MCRegisterInfo *) cs_mem_malloc(sizeof(*mri));
 
 	PPC_init(mri);
 	ud->printer = PPC_printInst;
@@ -30,6 +30,7 @@
 	ud->reg_name = PPC_reg_name;
 	ud->insn_id = PPC_get_insn_id;
 	ud->insn_name = PPC_insn_name;
+	ud->group_name = PPC_group_name;
 
 	return CS_ERR_OK;
 }
@@ -37,7 +38,7 @@
 static cs_err option(cs_struct *handle, cs_opt_type type, size_t value)
 {
 	if (type == CS_OPT_SYNTAX)
-		handle->syntax = value;
+		handle->syntax = (int) value;
 
 	return CS_ERR_OK;
 }
diff --git a/arch/PowerPC/PPCPredicates.h b/arch/PowerPC/PPCPredicates.h
index ff603ef..9e47283 100644
--- a/arch/PowerPC/PPCPredicates.h
+++ b/arch/PowerPC/PPCPredicates.h
@@ -44,7 +44,12 @@
   PPC_PRED_GT_PLUS  = (1 << 5) | 15,
   PPC_PRED_NE_PLUS  = (2 << 5) |  7,
   PPC_PRED_UN_PLUS  = (3 << 5) | 15,
-  PPC_PRED_NU_PLUS  = (3 << 5) |  7
+  PPC_PRED_NU_PLUS  = (3 << 5) |  7,
+
+  // When dealing with individual condition-register bits, we have simple set
+  // and unset predicates.
+  PPC_PRED_BIT_SET =   1024,
+  PPC_PRED_BIT_UNSET = 1025
 } ppc_predicate;
 
 /// Invert the specified predicate.  != -> ==, < -> >=.
diff --git a/arch/Sparc/SparcDisassembler.c b/arch/Sparc/SparcDisassembler.c
index ce64cd7..5fe241f 100644
--- a/arch/Sparc/SparcDisassembler.c
+++ b/arch/Sparc/SparcDisassembler.c
@@ -232,7 +232,7 @@
 		return MCDisassembler_Fail;
 
 	if (MI->flat_insn->detail) {
-		memset(&MI->flat_insn->detail->sparc, 0, sizeof(cs_sparc));
+		memset(MI->flat_insn->detail, 0, sizeof(cs_detail));
 	}
 
 	Result = decodeInstruction_4(DecoderTableSparc32, MI, Insn, address,
diff --git a/arch/Sparc/SparcGenAsmWriter.inc b/arch/Sparc/SparcGenAsmWriter.inc
index 4c3bcac..2969705 100644
--- a/arch/Sparc/SparcGenAsmWriter.inc
+++ b/arch/Sparc/SparcGenAsmWriter.inc
@@ -37,6 +37,7 @@
     2432U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     4688U,	// ADDCCri
     4688U,	// ADDCCrr
     5925U,	// ADDCri
@@ -920,11 +921,13 @@
     break;
   case 3:
     // BCONDA, BPFCCA, FBCONDA
-    SStream_concat0(O, ",a "); 
+    SStream_concat0(O, ",a ");
+	Sparc_add_hint(MI, SPARC_HINT_A);
     break;
   case 4:
     // BPFCCANT
-    SStream_concat0(O, ",a,pn "); 
+    SStream_concat0(O, ",a,pn ");
+	Sparc_add_hint(MI, SPARC_HINT_A + SPARC_HINT_PN);
     printOperand(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -932,7 +935,8 @@
     break;
   case 5:
     // BPFCCNT
-    SStream_concat0(O, ",pn "); 
+    SStream_concat0(O, ",pn ");
+	Sparc_add_hint(MI, SPARC_HINT_PN);
     printOperand(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -940,45 +944,59 @@
     break;
   case 6:
     // BPICC, FMOVD_ICC, FMOVQ_ICC, FMOVS_ICC, MOVICCri, MOVICCrr, TICCri, TI...
-    SStream_concat0(O, " %icc, "); 
+    SStream_concat0(O, " %icc, ");
+	Sparc_add_reg(MI, SPARC_REG_ICC);
     break;
   case 7:
     // BPICCA
-    SStream_concat0(O, ",a %icc, "); 
+    SStream_concat0(O, ",a %icc, ");
+	Sparc_add_hint(MI, SPARC_HINT_A);
+	Sparc_add_reg(MI, SPARC_REG_ICC);
     printOperand(MI, 0, O); 
     return;
     break;
   case 8:
     // BPICCANT
-    SStream_concat0(O, ",a,pn %icc, "); 
+    SStream_concat0(O, ",a,pn %icc, ");
+	Sparc_add_hint(MI, SPARC_HINT_A + SPARC_HINT_PN);
+	Sparc_add_reg(MI, SPARC_REG_ICC);
     printOperand(MI, 0, O); 
     return;
     break;
   case 9:
     // BPICCNT
-    SStream_concat0(O, ",pn %icc, "); 
+    SStream_concat0(O, ",pn %icc, ");
+	Sparc_add_hint(MI, SPARC_HINT_PN);
+	Sparc_add_reg(MI, SPARC_REG_ICC);
     printOperand(MI, 0, O); 
     return;
     break;
   case 10:
     // BPXCC, FMOVD_XCC, FMOVQ_XCC, FMOVS_XCC, MOVXCCri, MOVXCCrr, TXCCri, TX...
-    SStream_concat0(O, " %xcc, "); 
+    SStream_concat0(O, " %xcc, ");
+	Sparc_add_reg(MI, SPARC_REG_XCC);
     break;
   case 11:
     // BPXCCA
-    SStream_concat0(O, ",a %xcc, "); 
+    SStream_concat0(O, ",a %xcc, ");
+	Sparc_add_hint(MI, SPARC_HINT_A);
+	Sparc_add_reg(MI, SPARC_REG_XCC);
     printOperand(MI, 0, O); 
     return;
     break;
   case 12:
     // BPXCCANT
-    SStream_concat0(O, ",a,pn %xcc, "); 
+    SStream_concat0(O, ",a,pn %xcc, ");
+	Sparc_add_hint(MI, SPARC_HINT_A + SPARC_HINT_PN);
+	Sparc_add_reg(MI, SPARC_REG_XCC);
     printOperand(MI, 0, O); 
     return;
     break;
   case 13:
     // BPXCCNT
-    SStream_concat0(O, ",pn %xcc, "); 
+    SStream_concat0(O, ",pn %xcc, ");
+	Sparc_add_hint(MI, SPARC_HINT_PN);
+	Sparc_add_reg(MI, SPARC_REG_XCC);
     printOperand(MI, 0, O); 
     return;
     break;
@@ -988,7 +1006,8 @@
     break;
   case 15:
     // FMOVD_FCC, FMOVQ_FCC, FMOVS_FCC, MOVFCCri, MOVFCCrr
-    SStream_concat0(O, " %fcc0, "); 
+    SStream_concat0(O, " %fcc0, ");
+	Sparc_add_reg(MI, SPARC_REG_FCC0);
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -1032,13 +1051,14 @@
     break;
   case 2:
     // TICCri, TICCrr, TXCCri, TXCCrr
-    SStream_concat0(O, " + "); 
+    SStream_concat0(O, " + "); 	// qq
     printOperand(MI, 1, O); 
     return;
     break;
   case 3:
     // WRYri, WRYrr
-    SStream_concat0(O, ", %y"); 
+    SStream_concat0(O, ", %y");
+	Sparc_add_reg(MI, SPARC_REG_Y);
     return;
     break;
   }
@@ -1189,13 +1209,2734 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
-#define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
+  #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
   default: return NULL;
+  case SP_BCOND:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8) {
+      // (BCOND brtarget:$imm, 8)
+      AsmString = "ba $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BCOND brtarget:$imm, 0)
+      AsmString = "bn $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9) {
+      // (BCOND brtarget:$imm, 9)
+      AsmString = "bne $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (BCOND brtarget:$imm, 1)
+      AsmString = "be $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10) {
+      // (BCOND brtarget:$imm, 10)
+      AsmString = "bg $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2) {
+      // (BCOND brtarget:$imm, 2)
+      AsmString = "ble $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11) {
+      // (BCOND brtarget:$imm, 11)
+      AsmString = "bge $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3) {
+      // (BCOND brtarget:$imm, 3)
+      AsmString = "bl $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12) {
+      // (BCOND brtarget:$imm, 12)
+      AsmString = "bgu $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (BCOND brtarget:$imm, 4)
+      AsmString = "bleu $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13) {
+      // (BCOND brtarget:$imm, 13)
+      AsmString = "bcc $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (BCOND brtarget:$imm, 5)
+      AsmString = "bcs $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14) {
+      // (BCOND brtarget:$imm, 14)
+      AsmString = "bpos $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6) {
+      // (BCOND brtarget:$imm, 6)
+      AsmString = "bneg $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15) {
+      // (BCOND brtarget:$imm, 15)
+      AsmString = "bvc $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7) {
+      // (BCOND brtarget:$imm, 7)
+      AsmString = "bvs $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BCONDA:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8) {
+      // (BCONDA brtarget:$imm, 8)
+      AsmString = "ba,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BCONDA brtarget:$imm, 0)
+      AsmString = "bn,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9) {
+      // (BCONDA brtarget:$imm, 9)
+      AsmString = "bne,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (BCONDA brtarget:$imm, 1)
+      AsmString = "be,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10) {
+      // (BCONDA brtarget:$imm, 10)
+      AsmString = "bg,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2) {
+      // (BCONDA brtarget:$imm, 2)
+      AsmString = "ble,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11) {
+      // (BCONDA brtarget:$imm, 11)
+      AsmString = "bge,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3) {
+      // (BCONDA brtarget:$imm, 3)
+      AsmString = "bl,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12) {
+      // (BCONDA brtarget:$imm, 12)
+      AsmString = "bgu,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (BCONDA brtarget:$imm, 4)
+      AsmString = "bleu,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13) {
+      // (BCONDA brtarget:$imm, 13)
+      AsmString = "bcc,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (BCONDA brtarget:$imm, 5)
+      AsmString = "bcs,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14) {
+      // (BCONDA brtarget:$imm, 14)
+      AsmString = "bpos,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6) {
+      // (BCONDA brtarget:$imm, 6)
+      AsmString = "bneg,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15) {
+      // (BCONDA brtarget:$imm, 15)
+      AsmString = "bvc,a $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7) {
+      // (BCONDA brtarget:$imm, 7)
+      AsmString = "bvs,a $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BPFCCANT:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 0, FCCRegs:$cc)
+      AsmString = "fba,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 8, FCCRegs:$cc)
+      AsmString = "fbn,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 7, FCCRegs:$cc)
+      AsmString = "fbu,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 6, FCCRegs:$cc)
+      AsmString = "fbg,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 5, FCCRegs:$cc)
+      AsmString = "fbug,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 4, FCCRegs:$cc)
+      AsmString = "fbl,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 3, FCCRegs:$cc)
+      AsmString = "fbul,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 2, FCCRegs:$cc)
+      AsmString = "fblg,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 1, FCCRegs:$cc)
+      AsmString = "fbne,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 9, FCCRegs:$cc)
+      AsmString = "fbe,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 10, FCCRegs:$cc)
+      AsmString = "fbue,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 11, FCCRegs:$cc)
+      AsmString = "fbge,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 12, FCCRegs:$cc)
+      AsmString = "fbuge,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 13, FCCRegs:$cc)
+      AsmString = "fble,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 14, FCCRegs:$cc)
+      AsmString = "fbule,a,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCANT brtarget:$imm, 15, FCCRegs:$cc)
+      AsmString = "fbo,a,pn $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BPFCCNT:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 0, FCCRegs:$cc)
+      AsmString = "fba,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 8, FCCRegs:$cc)
+      AsmString = "fbn,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 7, FCCRegs:$cc)
+      AsmString = "fbu,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 6, FCCRegs:$cc)
+      AsmString = "fbg,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 5, FCCRegs:$cc)
+      AsmString = "fbug,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 4, FCCRegs:$cc)
+      AsmString = "fbl,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 3, FCCRegs:$cc)
+      AsmString = "fbul,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 2, FCCRegs:$cc)
+      AsmString = "fblg,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 1, FCCRegs:$cc)
+      AsmString = "fbne,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 9, FCCRegs:$cc)
+      AsmString = "fbe,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 10, FCCRegs:$cc)
+      AsmString = "fbue,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 11, FCCRegs:$cc)
+      AsmString = "fbge,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 12, FCCRegs:$cc)
+      AsmString = "fbuge,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 13, FCCRegs:$cc)
+      AsmString = "fble,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 14, FCCRegs:$cc)
+      AsmString = "fbule,pn $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 2)) {
+      // (BPFCCNT brtarget:$imm, 15, FCCRegs:$cc)
+      AsmString = "fbo,pn $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BPICCANT:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8) {
+      // (BPICCANT brtarget:$imm, 8)
+      AsmString = "ba,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BPICCANT brtarget:$imm, 0)
+      AsmString = "bn,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9) {
+      // (BPICCANT brtarget:$imm, 9)
+      AsmString = "bne,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (BPICCANT brtarget:$imm, 1)
+      AsmString = "be,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10) {
+      // (BPICCANT brtarget:$imm, 10)
+      AsmString = "bg,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2) {
+      // (BPICCANT brtarget:$imm, 2)
+      AsmString = "ble,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11) {
+      // (BPICCANT brtarget:$imm, 11)
+      AsmString = "bge,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3) {
+      // (BPICCANT brtarget:$imm, 3)
+      AsmString = "bl,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12) {
+      // (BPICCANT brtarget:$imm, 12)
+      AsmString = "bgu,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (BPICCANT brtarget:$imm, 4)
+      AsmString = "bleu,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13) {
+      // (BPICCANT brtarget:$imm, 13)
+      AsmString = "bcc,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (BPICCANT brtarget:$imm, 5)
+      AsmString = "bcs,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14) {
+      // (BPICCANT brtarget:$imm, 14)
+      AsmString = "bpos,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6) {
+      // (BPICCANT brtarget:$imm, 6)
+      AsmString = "bneg,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15) {
+      // (BPICCANT brtarget:$imm, 15)
+      AsmString = "bvc,a,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7) {
+      // (BPICCANT brtarget:$imm, 7)
+      AsmString = "bvs,a,pn %icc, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BPICCNT:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8) {
+      // (BPICCNT brtarget:$imm, 8)
+      AsmString = "ba,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BPICCNT brtarget:$imm, 0)
+      AsmString = "bn,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9) {
+      // (BPICCNT brtarget:$imm, 9)
+      AsmString = "bne,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (BPICCNT brtarget:$imm, 1)
+      AsmString = "be,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10) {
+      // (BPICCNT brtarget:$imm, 10)
+      AsmString = "bg,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2) {
+      // (BPICCNT brtarget:$imm, 2)
+      AsmString = "ble,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11) {
+      // (BPICCNT brtarget:$imm, 11)
+      AsmString = "bge,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3) {
+      // (BPICCNT brtarget:$imm, 3)
+      AsmString = "bl,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12) {
+      // (BPICCNT brtarget:$imm, 12)
+      AsmString = "bgu,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (BPICCNT brtarget:$imm, 4)
+      AsmString = "bleu,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13) {
+      // (BPICCNT brtarget:$imm, 13)
+      AsmString = "bcc,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (BPICCNT brtarget:$imm, 5)
+      AsmString = "bcs,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14) {
+      // (BPICCNT brtarget:$imm, 14)
+      AsmString = "bpos,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6) {
+      // (BPICCNT brtarget:$imm, 6)
+      AsmString = "bneg,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15) {
+      // (BPICCNT brtarget:$imm, 15)
+      AsmString = "bvc,pn %icc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7) {
+      // (BPICCNT brtarget:$imm, 7)
+      AsmString = "bvs,pn %icc, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BPXCCANT:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8) {
+      // (BPXCCANT brtarget:$imm, 8)
+      AsmString = "ba,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BPXCCANT brtarget:$imm, 0)
+      AsmString = "bn,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9) {
+      // (BPXCCANT brtarget:$imm, 9)
+      AsmString = "bne,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (BPXCCANT brtarget:$imm, 1)
+      AsmString = "be,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10) {
+      // (BPXCCANT brtarget:$imm, 10)
+      AsmString = "bg,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2) {
+      // (BPXCCANT brtarget:$imm, 2)
+      AsmString = "ble,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11) {
+      // (BPXCCANT brtarget:$imm, 11)
+      AsmString = "bge,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3) {
+      // (BPXCCANT brtarget:$imm, 3)
+      AsmString = "bl,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12) {
+      // (BPXCCANT brtarget:$imm, 12)
+      AsmString = "bgu,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (BPXCCANT brtarget:$imm, 4)
+      AsmString = "bleu,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13) {
+      // (BPXCCANT brtarget:$imm, 13)
+      AsmString = "bcc,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (BPXCCANT brtarget:$imm, 5)
+      AsmString = "bcs,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14) {
+      // (BPXCCANT brtarget:$imm, 14)
+      AsmString = "bpos,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6) {
+      // (BPXCCANT brtarget:$imm, 6)
+      AsmString = "bneg,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15) {
+      // (BPXCCANT brtarget:$imm, 15)
+      AsmString = "bvc,a,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7) {
+      // (BPXCCANT brtarget:$imm, 7)
+      AsmString = "bvs,a,pn %xcc, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_BPXCCNT:
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 8) {
+      // (BPXCCNT brtarget:$imm, 8)
+      AsmString = "ba,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 0) {
+      // (BPXCCNT brtarget:$imm, 0)
+      AsmString = "bn,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 9) {
+      // (BPXCCNT brtarget:$imm, 9)
+      AsmString = "bne,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 1) {
+      // (BPXCCNT brtarget:$imm, 1)
+      AsmString = "be,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 10) {
+      // (BPXCCNT brtarget:$imm, 10)
+      AsmString = "bg,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 2) {
+      // (BPXCCNT brtarget:$imm, 2)
+      AsmString = "ble,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 11) {
+      // (BPXCCNT brtarget:$imm, 11)
+      AsmString = "bge,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 3) {
+      // (BPXCCNT brtarget:$imm, 3)
+      AsmString = "bl,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 12) {
+      // (BPXCCNT brtarget:$imm, 12)
+      AsmString = "bgu,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 4) {
+      // (BPXCCNT brtarget:$imm, 4)
+      AsmString = "bleu,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 13) {
+      // (BPXCCNT brtarget:$imm, 13)
+      AsmString = "bcc,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 5) {
+      // (BPXCCNT brtarget:$imm, 5)
+      AsmString = "bcs,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 14) {
+      // (BPXCCNT brtarget:$imm, 14)
+      AsmString = "bpos,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 6) {
+      // (BPXCCNT brtarget:$imm, 6)
+      AsmString = "bneg,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 15) {
+      // (BPXCCNT brtarget:$imm, 15)
+      AsmString = "bvc,pn %xcc, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 2 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 1)) == 7) {
+      // (BPXCCNT brtarget:$imm, 7)
+      AsmString = "bvs,pn %xcc, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_FMOVD_ICC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 8)
+      AsmString = "fmovda %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 0)
+      AsmString = "fmovdn %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 9)
+      AsmString = "fmovdne %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 1)
+      AsmString = "fmovde %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 10)
+      AsmString = "fmovdg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 2)
+      AsmString = "fmovdle %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 11)
+      AsmString = "fmovdge %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 3)
+      AsmString = "fmovdl %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 12)
+      AsmString = "fmovdgu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 4)
+      AsmString = "fmovdleu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 13)
+      AsmString = "fmovdcc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 5)
+      AsmString = "fmovdcs %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 14)
+      AsmString = "fmovdpos %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 6)
+      AsmString = "fmovdneg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 15)
+      AsmString = "fmovdvc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (FMOVD_ICC DFPRegs:$rd, DFPRegs:$rs2, 7)
+      AsmString = "fmovdvs %icc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_FMOVD_XCC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 8)
+      AsmString = "fmovda %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 0)
+      AsmString = "fmovdn %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 9)
+      AsmString = "fmovdne %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 1)
+      AsmString = "fmovde %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 10)
+      AsmString = "fmovdg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 2)
+      AsmString = "fmovdle %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 11)
+      AsmString = "fmovdge %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 3)
+      AsmString = "fmovdl %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 12)
+      AsmString = "fmovdgu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 4)
+      AsmString = "fmovdleu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 13)
+      AsmString = "fmovdcc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 5)
+      AsmString = "fmovdcs %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 14)
+      AsmString = "fmovdpos %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 6)
+      AsmString = "fmovdneg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 15)
+      AsmString = "fmovdvc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (FMOVD_XCC DFPRegs:$rd, DFPRegs:$rs2, 7)
+      AsmString = "fmovdvs %xcc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_FMOVQ_ICC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 8)
+      AsmString = "fmovqa %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 0)
+      AsmString = "fmovqn %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 9)
+      AsmString = "fmovqne %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 1)
+      AsmString = "fmovqe %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 10)
+      AsmString = "fmovqg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 2)
+      AsmString = "fmovqle %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 11)
+      AsmString = "fmovqge %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 3)
+      AsmString = "fmovql %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 12)
+      AsmString = "fmovqgu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 4)
+      AsmString = "fmovqleu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 13)
+      AsmString = "fmovqcc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 5)
+      AsmString = "fmovqcs %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 14)
+      AsmString = "fmovqpos %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 6)
+      AsmString = "fmovqneg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 15)
+      AsmString = "fmovqvc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (FMOVQ_ICC QFPRegs:$rd, QFPRegs:$rs2, 7)
+      AsmString = "fmovqvs %icc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_FMOVQ_XCC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 8)
+      AsmString = "fmovqa %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 0)
+      AsmString = "fmovqn %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 9)
+      AsmString = "fmovqne %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 1)
+      AsmString = "fmovqe %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 10)
+      AsmString = "fmovqg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 2)
+      AsmString = "fmovqle %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 11)
+      AsmString = "fmovqge %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 3)
+      AsmString = "fmovql %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 12)
+      AsmString = "fmovqgu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 4)
+      AsmString = "fmovqleu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 13)
+      AsmString = "fmovqcc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 5)
+      AsmString = "fmovqcs %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 14)
+      AsmString = "fmovqpos %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 6)
+      AsmString = "fmovqneg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 15)
+      AsmString = "fmovqvc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (FMOVQ_XCC QFPRegs:$rd, QFPRegs:$rs2, 7)
+      AsmString = "fmovqvs %xcc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_FMOVS_ICC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 8)
+      AsmString = "fmovsa %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 0)
+      AsmString = "fmovsn %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 9)
+      AsmString = "fmovsne %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 1)
+      AsmString = "fmovse %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 10)
+      AsmString = "fmovsg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 2)
+      AsmString = "fmovsle %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 11)
+      AsmString = "fmovsge %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 3)
+      AsmString = "fmovsl %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 12)
+      AsmString = "fmovsgu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 4)
+      AsmString = "fmovsleu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 13)
+      AsmString = "fmovscc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 5)
+      AsmString = "fmovscs %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 14)
+      AsmString = "fmovspos %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 6)
+      AsmString = "fmovsneg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 15)
+      AsmString = "fmovsvc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (FMOVS_ICC FPRegs:$rd, FPRegs:$rs2, 7)
+      AsmString = "fmovsvs %icc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_FMOVS_XCC:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 8)
+      AsmString = "fmovsa %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 0)
+      AsmString = "fmovsn %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 9)
+      AsmString = "fmovsne %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 1)
+      AsmString = "fmovse %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 10)
+      AsmString = "fmovsg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 2)
+      AsmString = "fmovsle %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 11)
+      AsmString = "fmovsge %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 3)
+      AsmString = "fmovsl %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 12)
+      AsmString = "fmovsgu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 4)
+      AsmString = "fmovsleu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 13)
+      AsmString = "fmovscc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 5)
+      AsmString = "fmovscs %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 14)
+      AsmString = "fmovspos %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 6)
+      AsmString = "fmovsneg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 15)
+      AsmString = "fmovsvc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (FMOVS_XCC FPRegs:$rd, FPRegs:$rs2, 7)
+      AsmString = "fmovsvs %xcc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_MOVICCri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 8)
+      AsmString = "mova %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 0)
+      AsmString = "movn %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 9)
+      AsmString = "movne %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 1)
+      AsmString = "move %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 10)
+      AsmString = "movg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 2)
+      AsmString = "movle %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 11)
+      AsmString = "movge %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 3)
+      AsmString = "movl %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 12)
+      AsmString = "movgu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 4)
+      AsmString = "movleu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 13)
+      AsmString = "movcc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 5)
+      AsmString = "movcs %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 14)
+      AsmString = "movpos %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 6)
+      AsmString = "movneg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 15)
+      AsmString = "movvc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (MOVICCri IntRegs:$rd, i32imm:$simm11, 7)
+      AsmString = "movvs %icc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_MOVICCrr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 8)
+      AsmString = "mova %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 0)
+      AsmString = "movn %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 9)
+      AsmString = "movne %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 1)
+      AsmString = "move %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 10)
+      AsmString = "movg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 2)
+      AsmString = "movle %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 11)
+      AsmString = "movge %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 3)
+      AsmString = "movl %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 12)
+      AsmString = "movgu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 4)
+      AsmString = "movleu %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 13)
+      AsmString = "movcc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 5)
+      AsmString = "movcs %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 14)
+      AsmString = "movpos %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 6)
+      AsmString = "movneg %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 15)
+      AsmString = "movvc %icc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (MOVICCrr IntRegs:$rd, IntRegs:$rs2, 7)
+      AsmString = "movvs %icc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_MOVXCCri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 8)
+      AsmString = "mova %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 0)
+      AsmString = "movn %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 9)
+      AsmString = "movne %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 1)
+      AsmString = "move %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 10)
+      AsmString = "movg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 2)
+      AsmString = "movle %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 11)
+      AsmString = "movge %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 3)
+      AsmString = "movl %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 12)
+      AsmString = "movgu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 4)
+      AsmString = "movleu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 13)
+      AsmString = "movcc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 5)
+      AsmString = "movcs %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 14)
+      AsmString = "movpos %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 6)
+      AsmString = "movneg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 15)
+      AsmString = "movvc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (MOVXCCri IntRegs:$rd, i32imm:$simm11, 7)
+      AsmString = "movvs %xcc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_MOVXCCrr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 8)
+      AsmString = "mova %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 0)
+      AsmString = "movn %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 9)
+      AsmString = "movne %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 1)
+      AsmString = "move %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 10)
+      AsmString = "movg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 2)
+      AsmString = "movle %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 11)
+      AsmString = "movge %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 3)
+      AsmString = "movl %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 12)
+      AsmString = "movgu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 4)
+      AsmString = "movleu %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 13)
+      AsmString = "movcc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 5)
+      AsmString = "movcs %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 14)
+      AsmString = "movpos %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 6)
+      AsmString = "movneg %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 15)
+      AsmString = "movvc %xcc, $\x02, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (MOVXCCrr IntRegs:$rd, IntRegs:$rs2, 7)
+      AsmString = "movvs %xcc, $\x02, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_ORri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == SP_G0) {
+      // (ORri IntRegs:$rd, G0, i32imm:$simm13)
+      AsmString = "mov $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_ORrr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2)) {
+      // (ORrr IntRegs:$rd, G0, IntRegs:$rs2)
+      AsmString = "mov $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case SP_RESTORErr:
     if (MCInst_getNumOperands(MI) == 3 &&
         MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
@@ -1224,22 +3965,1733 @@
       break;
     }
     return NULL;
+  case SP_TXCCri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 8)
+      AsmString = "ta %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (TXCCri G0, i32imm:$imm, 8)
+      AsmString = "ta %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 0)
+      AsmString = "tn %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (TXCCri G0, i32imm:$imm, 0)
+      AsmString = "tn %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 9)
+      AsmString = "tne %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (TXCCri G0, i32imm:$imm, 9)
+      AsmString = "tne %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 1)
+      AsmString = "te %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (TXCCri G0, i32imm:$imm, 1)
+      AsmString = "te %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 10)
+      AsmString = "tg %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (TXCCri G0, i32imm:$imm, 10)
+      AsmString = "tg %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 2)
+      AsmString = "tle %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (TXCCri G0, i32imm:$imm, 2)
+      AsmString = "tle %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 11)
+      AsmString = "tge %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (TXCCri G0, i32imm:$imm, 11)
+      AsmString = "tge %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 3)
+      AsmString = "tl %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (TXCCri G0, i32imm:$imm, 3)
+      AsmString = "tl %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 12)
+      AsmString = "tgu %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (TXCCri G0, i32imm:$imm, 12)
+      AsmString = "tgu %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 4)
+      AsmString = "tleu %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (TXCCri G0, i32imm:$imm, 4)
+      AsmString = "tleu %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 13)
+      AsmString = "tcc %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (TXCCri G0, i32imm:$imm, 13)
+      AsmString = "tcc %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 5)
+      AsmString = "tcs %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (TXCCri G0, i32imm:$imm, 5)
+      AsmString = "tcs %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 14)
+      AsmString = "tpos %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (TXCCri G0, i32imm:$imm, 14)
+      AsmString = "tpos %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 6)
+      AsmString = "tneg %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (TXCCri G0, i32imm:$imm, 6)
+      AsmString = "tneg %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 15)
+      AsmString = "tvc %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (TXCCri G0, i32imm:$imm, 15)
+      AsmString = "tvc %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (TXCCri IntRegs:$rs1, i32imm:$imm, 7)
+      AsmString = "tvs %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (TXCCri G0, i32imm:$imm, 7)
+      AsmString = "tvs %xcc, $\x02";
+      break;
+    }
+    return NULL;
+  case SP_TXCCrr:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 8)
+      AsmString = "ta %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
+      // (TXCCrr G0, IntRegs:$rs2, 8)
+      AsmString = "ta %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 0)
+      AsmString = "tn %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 0) {
+      // (TXCCrr G0, IntRegs:$rs2, 0)
+      AsmString = "tn %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 9)
+      AsmString = "tne %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 9) {
+      // (TXCCrr G0, IntRegs:$rs2, 9)
+      AsmString = "tne %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 1)
+      AsmString = "te %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 1) {
+      // (TXCCrr G0, IntRegs:$rs2, 1)
+      AsmString = "te %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 10)
+      AsmString = "tg %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 10) {
+      // (TXCCrr G0, IntRegs:$rs2, 10)
+      AsmString = "tg %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 2)
+      AsmString = "tle %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 2) {
+      // (TXCCrr G0, IntRegs:$rs2, 2)
+      AsmString = "tle %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 11)
+      AsmString = "tge %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 11) {
+      // (TXCCrr G0, IntRegs:$rs2, 11)
+      AsmString = "tge %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 3)
+      AsmString = "tl %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 3) {
+      // (TXCCrr G0, IntRegs:$rs2, 3)
+      AsmString = "tl %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 12)
+      AsmString = "tgu %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 12) {
+      // (TXCCrr G0, IntRegs:$rs2, 12)
+      AsmString = "tgu %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 4)
+      AsmString = "tleu %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 4) {
+      // (TXCCrr G0, IntRegs:$rs2, 4)
+      AsmString = "tleu %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 13)
+      AsmString = "tcc %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 13) {
+      // (TXCCrr G0, IntRegs:$rs2, 13)
+      AsmString = "tcc %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 5)
+      AsmString = "tcs %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 5) {
+      // (TXCCrr G0, IntRegs:$rs2, 5)
+      AsmString = "tcs %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 14)
+      AsmString = "tpos %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 14) {
+      // (TXCCrr G0, IntRegs:$rs2, 14)
+      AsmString = "tpos %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 6)
+      AsmString = "tneg %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 6) {
+      // (TXCCrr G0, IntRegs:$rs2, 6)
+      AsmString = "tneg %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 15)
+      AsmString = "tvc %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 15) {
+      // (TXCCrr G0, IntRegs:$rs2, 15)
+      AsmString = "tvc %xcc, $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (TXCCrr IntRegs:$rs1, IntRegs:$rs2, 7)
+      AsmString = "tvs %xcc, $\x01 + $\x02";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_G0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 2)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 2)) == 7) {
+      // (TXCCrr G0, IntRegs:$rs2, 7)
+      AsmString = "tvs %xcc, $\x02";
+      break;
+    }
+    return NULL;
+  case SP_V9FCMPD:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_FCC0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2)) {
+      // (V9FCMPD FCC0, DFPRegs:$rs1, DFPRegs:$rs2)
+      AsmString = "fcmpd $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case SP_V9FCMPED:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_FCC0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2)) {
+      // (V9FCMPED FCC0, DFPRegs:$rs1, DFPRegs:$rs2)
+      AsmString = "fcmped $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case SP_V9FCMPEQ:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_FCC0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2)) {
+      // (V9FCMPEQ FCC0, QFPRegs:$rs1, QFPRegs:$rs2)
+      AsmString = "fcmpeq $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case SP_V9FCMPES:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_FCC0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2)) {
+      // (V9FCMPES FCC0, FPRegs:$rs1, FPRegs:$rs2)
+      AsmString = "fcmpes $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case SP_V9FCMPQ:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_FCC0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2)) {
+      // (V9FCMPQ FCC0, QFPRegs:$rs1, QFPRegs:$rs2)
+      AsmString = "fcmpq $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case SP_V9FCMPS:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_getReg(MCInst_getOperand(MI, 0)) == SP_FCC0 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2)) {
+      // (V9FCMPS FCC0, FPRegs:$rs1, FPRegs:$rs2)
+      AsmString = "fcmps $\x02, $\x03";
+      break;
+    }
+    return NULL;
+  case SP_V9FMOVD_FCC:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 0)
+      AsmString = "fmovda $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 8) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 8)
+      AsmString = "fmovdn $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 7)
+      AsmString = "fmovdu $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 6) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 6)
+      AsmString = "fmovdg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 5) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 5)
+      AsmString = "fmovdug $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 4) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 4)
+      AsmString = "fmovdl $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 3)
+      AsmString = "fmovdul $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 2)
+      AsmString = "fmovdlg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 1) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 1)
+      AsmString = "fmovdne $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 9) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 9)
+      AsmString = "fmovde $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 10) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 10)
+      AsmString = "fmovdue $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 11) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 11)
+      AsmString = "fmovdge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 12) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 12)
+      AsmString = "fmovduge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 13) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 13)
+      AsmString = "fmovdle $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 14) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 14)
+      AsmString = "fmovdule $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_DFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (V9FMOVD_FCC DFPRegs:$rd, FCCRegs:$cc, DFPRegs:$rs2, 15)
+      AsmString = "fmovdo $\x02, $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_V9FMOVQ_FCC:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 0)
+      AsmString = "fmovqa $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 8) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 8)
+      AsmString = "fmovqn $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 7)
+      AsmString = "fmovqu $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 6) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 6)
+      AsmString = "fmovqg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 5) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 5)
+      AsmString = "fmovqug $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 4) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 4)
+      AsmString = "fmovql $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 3)
+      AsmString = "fmovqul $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 2)
+      AsmString = "fmovqlg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 1) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 1)
+      AsmString = "fmovqne $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 9) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 9)
+      AsmString = "fmovqe $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 10) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 10)
+      AsmString = "fmovque $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 11) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 11)
+      AsmString = "fmovqge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 12) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 12)
+      AsmString = "fmovquge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 13) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 13)
+      AsmString = "fmovqle $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 14) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 14)
+      AsmString = "fmovqule $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_QFPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (V9FMOVQ_FCC QFPRegs:$rd, FCCRegs:$cc, QFPRegs:$rs2, 15)
+      AsmString = "fmovqo $\x02, $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_V9FMOVS_FCC:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 0)
+      AsmString = "fmovsa $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 8) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 8)
+      AsmString = "fmovsn $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 7)
+      AsmString = "fmovsu $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 6) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 6)
+      AsmString = "fmovsg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 5) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 5)
+      AsmString = "fmovsug $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 4) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 4)
+      AsmString = "fmovsl $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 3)
+      AsmString = "fmovsul $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 2)
+      AsmString = "fmovslg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 1) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 1)
+      AsmString = "fmovsne $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 9) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 9)
+      AsmString = "fmovse $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 10) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 10)
+      AsmString = "fmovsue $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 11) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 11)
+      AsmString = "fmovsge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 12) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 12)
+      AsmString = "fmovsuge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 13) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 13)
+      AsmString = "fmovsle $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 14) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 14)
+      AsmString = "fmovsule $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_FPRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (V9FMOVS_FCC FPRegs:$rd, FCCRegs:$cc, FPRegs:$rs2, 15)
+      AsmString = "fmovso $\x02, $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_V9MOVFCCri:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 0)
+      AsmString = "mova $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 8) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 8)
+      AsmString = "movn $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 7)
+      AsmString = "movu $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 6) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 6)
+      AsmString = "movg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 5) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 5)
+      AsmString = "movug $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 4) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 4)
+      AsmString = "movl $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 3)
+      AsmString = "movul $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 2)
+      AsmString = "movlg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 1) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 1)
+      AsmString = "movne $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 9) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 9)
+      AsmString = "move $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 10) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 10)
+      AsmString = "movue $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 11) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 11)
+      AsmString = "movge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 12) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 12)
+      AsmString = "movuge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 13) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 13)
+      AsmString = "movle $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 14) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 14)
+      AsmString = "movule $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (V9MOVFCCri IntRegs:$rd, FCCRegs:$cc, i32imm:$simm11, 15)
+      AsmString = "movo $\x02, $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case SP_V9MOVFCCrr:
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 0) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 0)
+      AsmString = "mova $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 8) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 8)
+      AsmString = "movn $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 7) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 7)
+      AsmString = "movu $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 6) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 6)
+      AsmString = "movg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 5) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 5)
+      AsmString = "movug $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 4) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 4)
+      AsmString = "movl $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 3) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 3)
+      AsmString = "movul $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 2) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 2)
+      AsmString = "movlg $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 1) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 1)
+      AsmString = "movne $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 9) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 9)
+      AsmString = "move $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 10) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 10)
+      AsmString = "movue $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 11) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 11)
+      AsmString = "movge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 12) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 12)
+      AsmString = "movuge $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 13) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 13)
+      AsmString = "movle $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 14) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 14)
+      AsmString = "movule $\x02, $\x03, $\x01";
+      break;
+    }
+    if (MCInst_getNumOperands(MI) == 4 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        GETREGCLASS_CONTAIN(SP_FCCRegsRegClassID, 1) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 2)) &&
+        GETREGCLASS_CONTAIN(SP_IntRegsRegClassID, 2) &&
+        MCOperand_isImm(MCInst_getOperand(MI, 3)) &&
+        MCOperand_getImm(MCInst_getOperand(MI, 3)) == 15) {
+      // (V9MOVFCCrr IntRegs:$rd, FCCRegs:$cc, IntRegs:$rs2, 15)
+      AsmString = "movo $\x02, $\x03, $\x01";
+      break;
+    }
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/Sparc/SparcGenDisassemblerTables.inc b/arch/Sparc/SparcGenDisassemblerTables.inc
index 28f25c3..039238d 100644
--- a/arch/Sparc/SparcGenDisassemblerTables.inc
+++ b/arch/Sparc/SparcGenDisassemblerTables.inc
@@ -29,453 +29,453 @@
 /* 3 */       MCD_OPC_FilterValue, 0, 13, 2, // Skip to: 532
 /* 7 */       MCD_OPC_ExtractField, 22, 3,  // Inst{24-22} ...
 /* 10 */      MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 24
-/* 14 */      MCD_OPC_CheckField, 25, 5, 0, 160, 22, // Skip to: 5812
-/* 20 */      MCD_OPC_Decode, 208, 3, 0, // Opcode: UNIMP
+/* 14 */      MCD_OPC_CheckField, 25, 5, 0, 161, 22, // Skip to: 5813
+/* 20 */      MCD_OPC_Decode, 209, 3, 0, // Opcode: UNIMP
 /* 24 */      MCD_OPC_FilterValue, 1, 103, 0, // Skip to: 131
 /* 28 */      MCD_OPC_ExtractField, 19, 3,  // Inst{21-19} ...
 /* 31 */      MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 60
 /* 35 */      MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 38 */      MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 49
-/* 42 */      MCD_OPC_CheckPredicate, 0, 134, 22, // Skip to: 5812
-/* 46 */      MCD_OPC_Decode, 91, 1, // Opcode: BPICCNT
-/* 49 */      MCD_OPC_FilterValue, 1, 127, 22, // Skip to: 5812
-/* 53 */      MCD_OPC_CheckPredicate, 0, 123, 22, // Skip to: 5812
-/* 57 */      MCD_OPC_Decode, 90, 1, // Opcode: BPICCANT
+/* 42 */      MCD_OPC_CheckPredicate, 0, 135, 22, // Skip to: 5813
+/* 46 */      MCD_OPC_Decode, 92, 1, // Opcode: BPICCNT
+/* 49 */      MCD_OPC_FilterValue, 1, 128, 22, // Skip to: 5813
+/* 53 */      MCD_OPC_CheckPredicate, 0, 124, 22, // Skip to: 5813
+/* 57 */      MCD_OPC_Decode, 91, 1, // Opcode: BPICCANT
 /* 60 */      MCD_OPC_FilterValue, 1, 25, 0, // Skip to: 89
 /* 64 */      MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 67 */      MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 78
-/* 71 */      MCD_OPC_CheckPredicate, 0, 105, 22, // Skip to: 5812
-/* 75 */      MCD_OPC_Decode, 88, 1, // Opcode: BPICC
-/* 78 */      MCD_OPC_FilterValue, 1, 98, 22, // Skip to: 5812
-/* 82 */      MCD_OPC_CheckPredicate, 0, 94, 22, // Skip to: 5812
-/* 86 */      MCD_OPC_Decode, 89, 1, // Opcode: BPICCA
+/* 71 */      MCD_OPC_CheckPredicate, 0, 106, 22, // Skip to: 5813
+/* 75 */      MCD_OPC_Decode, 89, 1, // Opcode: BPICC
+/* 78 */      MCD_OPC_FilterValue, 1, 99, 22, // Skip to: 5813
+/* 82 */      MCD_OPC_CheckPredicate, 0, 95, 22, // Skip to: 5813
+/* 86 */      MCD_OPC_Decode, 90, 1, // Opcode: BPICCA
 /* 89 */      MCD_OPC_FilterValue, 4, 17, 0, // Skip to: 110
 /* 93 */      MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 96 */      MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 103
-/* 100 */     MCD_OPC_Decode, 107, 1, // Opcode: BPXCCNT
-/* 103 */     MCD_OPC_FilterValue, 1, 73, 22, // Skip to: 5812
-/* 107 */     MCD_OPC_Decode, 106, 1, // Opcode: BPXCCANT
-/* 110 */     MCD_OPC_FilterValue, 5, 66, 22, // Skip to: 5812
+/* 100 */     MCD_OPC_Decode, 108, 1, // Opcode: BPXCCNT
+/* 103 */     MCD_OPC_FilterValue, 1, 74, 22, // Skip to: 5813
+/* 107 */     MCD_OPC_Decode, 107, 1, // Opcode: BPXCCANT
+/* 110 */     MCD_OPC_FilterValue, 5, 67, 22, // Skip to: 5813
 /* 114 */     MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 117 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 124
-/* 121 */     MCD_OPC_Decode, 104, 1, // Opcode: BPXCC
-/* 124 */     MCD_OPC_FilterValue, 1, 52, 22, // Skip to: 5812
-/* 128 */     MCD_OPC_Decode, 105, 1, // Opcode: BPXCCA
+/* 121 */     MCD_OPC_Decode, 105, 1, // Opcode: BPXCC
+/* 124 */     MCD_OPC_FilterValue, 1, 53, 22, // Skip to: 5813
+/* 128 */     MCD_OPC_Decode, 106, 1, // Opcode: BPXCCA
 /* 131 */     MCD_OPC_FilterValue, 2, 26, 0, // Skip to: 161
 /* 135 */     MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 138 */     MCD_OPC_FilterValue, 0, 12, 0, // Skip to: 154
 /* 142 */     MCD_OPC_CheckField, 25, 4, 8, 3, 0, // Skip to: 151
-/* 148 */     MCD_OPC_Decode, 70, 0, // Opcode: BA
-/* 151 */     MCD_OPC_Decode, 71, 2, // Opcode: BCOND
-/* 154 */     MCD_OPC_FilterValue, 1, 22, 22, // Skip to: 5812
-/* 158 */     MCD_OPC_Decode, 72, 2, // Opcode: BCONDA
+/* 148 */     MCD_OPC_Decode, 71, 0, // Opcode: BA
+/* 151 */     MCD_OPC_Decode, 72, 2, // Opcode: BCOND
+/* 154 */     MCD_OPC_FilterValue, 1, 23, 22, // Skip to: 5813
+/* 158 */     MCD_OPC_Decode, 73, 2, // Opcode: BCONDA
 /* 161 */     MCD_OPC_FilterValue, 3, 255, 0, // Skip to: 420
 /* 165 */     MCD_OPC_ExtractField, 25, 5,  // Inst{29-25} ...
 /* 168 */     MCD_OPC_FilterValue, 1, 17, 0, // Skip to: 189
 /* 172 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 175 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 182
-/* 179 */     MCD_OPC_Decode, 110, 3, // Opcode: BPZnapn
-/* 182 */     MCD_OPC_FilterValue, 1, 250, 21, // Skip to: 5812
-/* 186 */     MCD_OPC_Decode, 111, 3, // Opcode: BPZnapt
+/* 179 */     MCD_OPC_Decode, 111, 3, // Opcode: BPZnapn
+/* 182 */     MCD_OPC_FilterValue, 1, 251, 21, // Skip to: 5813
+/* 186 */     MCD_OPC_Decode, 112, 3, // Opcode: BPZnapt
 /* 189 */     MCD_OPC_FilterValue, 2, 17, 0, // Skip to: 210
 /* 193 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 196 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 203
-/* 200 */     MCD_OPC_Decode, 94, 3, // Opcode: BPLEZnapn
-/* 203 */     MCD_OPC_FilterValue, 1, 229, 21, // Skip to: 5812
-/* 207 */     MCD_OPC_Decode, 95, 3, // Opcode: BPLEZnapt
+/* 200 */     MCD_OPC_Decode, 95, 3, // Opcode: BPLEZnapn
+/* 203 */     MCD_OPC_FilterValue, 1, 230, 21, // Skip to: 5813
+/* 207 */     MCD_OPC_Decode, 96, 3, // Opcode: BPLEZnapt
 /* 210 */     MCD_OPC_FilterValue, 3, 17, 0, // Skip to: 231
 /* 214 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 217 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 224
-/* 221 */     MCD_OPC_Decode, 98, 3, // Opcode: BPLZnapn
-/* 224 */     MCD_OPC_FilterValue, 1, 208, 21, // Skip to: 5812
-/* 228 */     MCD_OPC_Decode, 99, 3, // Opcode: BPLZnapt
+/* 221 */     MCD_OPC_Decode, 99, 3, // Opcode: BPLZnapn
+/* 224 */     MCD_OPC_FilterValue, 1, 209, 21, // Skip to: 5813
+/* 228 */     MCD_OPC_Decode, 100, 3, // Opcode: BPLZnapt
 /* 231 */     MCD_OPC_FilterValue, 5, 17, 0, // Skip to: 252
 /* 235 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 238 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 245
-/* 242 */     MCD_OPC_Decode, 102, 3, // Opcode: BPNZnapn
-/* 245 */     MCD_OPC_FilterValue, 1, 187, 21, // Skip to: 5812
-/* 249 */     MCD_OPC_Decode, 103, 3, // Opcode: BPNZnapt
+/* 242 */     MCD_OPC_Decode, 103, 3, // Opcode: BPNZnapn
+/* 245 */     MCD_OPC_FilterValue, 1, 188, 21, // Skip to: 5813
+/* 249 */     MCD_OPC_Decode, 104, 3, // Opcode: BPNZnapt
 /* 252 */     MCD_OPC_FilterValue, 6, 17, 0, // Skip to: 273
 /* 256 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 259 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 266
-/* 263 */     MCD_OPC_Decode, 86, 3, // Opcode: BPGZnapn
-/* 266 */     MCD_OPC_FilterValue, 1, 166, 21, // Skip to: 5812
-/* 270 */     MCD_OPC_Decode, 87, 3, // Opcode: BPGZnapt
+/* 263 */     MCD_OPC_Decode, 87, 3, // Opcode: BPGZnapn
+/* 266 */     MCD_OPC_FilterValue, 1, 167, 21, // Skip to: 5813
+/* 270 */     MCD_OPC_Decode, 88, 3, // Opcode: BPGZnapt
 /* 273 */     MCD_OPC_FilterValue, 7, 17, 0, // Skip to: 294
 /* 277 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 280 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 287
-/* 284 */     MCD_OPC_Decode, 82, 3, // Opcode: BPGEZnapn
-/* 287 */     MCD_OPC_FilterValue, 1, 145, 21, // Skip to: 5812
-/* 291 */     MCD_OPC_Decode, 83, 3, // Opcode: BPGEZnapt
+/* 284 */     MCD_OPC_Decode, 83, 3, // Opcode: BPGEZnapn
+/* 287 */     MCD_OPC_FilterValue, 1, 146, 21, // Skip to: 5813
+/* 291 */     MCD_OPC_Decode, 84, 3, // Opcode: BPGEZnapt
 /* 294 */     MCD_OPC_FilterValue, 17, 17, 0, // Skip to: 315
 /* 298 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 301 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 308
-/* 305 */     MCD_OPC_Decode, 108, 3, // Opcode: BPZapn
-/* 308 */     MCD_OPC_FilterValue, 1, 124, 21, // Skip to: 5812
-/* 312 */     MCD_OPC_Decode, 109, 3, // Opcode: BPZapt
+/* 305 */     MCD_OPC_Decode, 109, 3, // Opcode: BPZapn
+/* 308 */     MCD_OPC_FilterValue, 1, 125, 21, // Skip to: 5813
+/* 312 */     MCD_OPC_Decode, 110, 3, // Opcode: BPZapt
 /* 315 */     MCD_OPC_FilterValue, 18, 17, 0, // Skip to: 336
 /* 319 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 322 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 329
-/* 326 */     MCD_OPC_Decode, 92, 3, // Opcode: BPLEZapn
-/* 329 */     MCD_OPC_FilterValue, 1, 103, 21, // Skip to: 5812
-/* 333 */     MCD_OPC_Decode, 93, 3, // Opcode: BPLEZapt
+/* 326 */     MCD_OPC_Decode, 93, 3, // Opcode: BPLEZapn
+/* 329 */     MCD_OPC_FilterValue, 1, 104, 21, // Skip to: 5813
+/* 333 */     MCD_OPC_Decode, 94, 3, // Opcode: BPLEZapt
 /* 336 */     MCD_OPC_FilterValue, 19, 17, 0, // Skip to: 357
 /* 340 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 343 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 350
-/* 347 */     MCD_OPC_Decode, 96, 3, // Opcode: BPLZapn
-/* 350 */     MCD_OPC_FilterValue, 1, 82, 21, // Skip to: 5812
-/* 354 */     MCD_OPC_Decode, 97, 3, // Opcode: BPLZapt
+/* 347 */     MCD_OPC_Decode, 97, 3, // Opcode: BPLZapn
+/* 350 */     MCD_OPC_FilterValue, 1, 83, 21, // Skip to: 5813
+/* 354 */     MCD_OPC_Decode, 98, 3, // Opcode: BPLZapt
 /* 357 */     MCD_OPC_FilterValue, 21, 17, 0, // Skip to: 378
 /* 361 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 364 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 371
-/* 368 */     MCD_OPC_Decode, 100, 3, // Opcode: BPNZapn
-/* 371 */     MCD_OPC_FilterValue, 1, 61, 21, // Skip to: 5812
-/* 375 */     MCD_OPC_Decode, 101, 3, // Opcode: BPNZapt
+/* 368 */     MCD_OPC_Decode, 101, 3, // Opcode: BPNZapn
+/* 371 */     MCD_OPC_FilterValue, 1, 62, 21, // Skip to: 5813
+/* 375 */     MCD_OPC_Decode, 102, 3, // Opcode: BPNZapt
 /* 378 */     MCD_OPC_FilterValue, 22, 17, 0, // Skip to: 399
 /* 382 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 385 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 392
-/* 389 */     MCD_OPC_Decode, 84, 3, // Opcode: BPGZapn
-/* 392 */     MCD_OPC_FilterValue, 1, 40, 21, // Skip to: 5812
-/* 396 */     MCD_OPC_Decode, 85, 3, // Opcode: BPGZapt
-/* 399 */     MCD_OPC_FilterValue, 23, 33, 21, // Skip to: 5812
+/* 389 */     MCD_OPC_Decode, 85, 3, // Opcode: BPGZapn
+/* 392 */     MCD_OPC_FilterValue, 1, 41, 21, // Skip to: 5813
+/* 396 */     MCD_OPC_Decode, 86, 3, // Opcode: BPGZapt
+/* 399 */     MCD_OPC_FilterValue, 23, 34, 21, // Skip to: 5813
 /* 403 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 406 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 413
-/* 410 */     MCD_OPC_Decode, 80, 3, // Opcode: BPGEZapn
-/* 413 */     MCD_OPC_FilterValue, 1, 19, 21, // Skip to: 5812
-/* 417 */     MCD_OPC_Decode, 81, 3, // Opcode: BPGEZapt
+/* 410 */     MCD_OPC_Decode, 81, 3, // Opcode: BPGEZapn
+/* 413 */     MCD_OPC_FilterValue, 1, 20, 21, // Skip to: 5813
+/* 417 */     MCD_OPC_Decode, 82, 3, // Opcode: BPGEZapt
 /* 420 */     MCD_OPC_FilterValue, 4, 20, 0, // Skip to: 444
 /* 424 */     MCD_OPC_CheckField, 25, 5, 0, 10, 0, // Skip to: 440
 /* 430 */     MCD_OPC_CheckField, 0, 22, 0, 4, 0, // Skip to: 440
-/* 436 */     MCD_OPC_Decode, 221, 2, 4, // Opcode: NOP
-/* 440 */     MCD_OPC_Decode, 132, 3, 5, // Opcode: SETHIi
+/* 436 */     MCD_OPC_Decode, 222, 2, 4, // Opcode: NOP
+/* 440 */     MCD_OPC_Decode, 133, 3, 5, // Opcode: SETHIi
 /* 444 */     MCD_OPC_FilterValue, 5, 61, 0, // Skip to: 509
 /* 448 */     MCD_OPC_ExtractField, 19, 1,  // Inst{19} ...
 /* 451 */     MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 480
 /* 455 */     MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 458 */     MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 469
-/* 462 */     MCD_OPC_CheckPredicate, 0, 226, 20, // Skip to: 5812
-/* 466 */     MCD_OPC_Decode, 79, 6, // Opcode: BPFCCNT
-/* 469 */     MCD_OPC_FilterValue, 1, 219, 20, // Skip to: 5812
-/* 473 */     MCD_OPC_CheckPredicate, 0, 215, 20, // Skip to: 5812
-/* 477 */     MCD_OPC_Decode, 78, 6, // Opcode: BPFCCANT
-/* 480 */     MCD_OPC_FilterValue, 1, 208, 20, // Skip to: 5812
+/* 462 */     MCD_OPC_CheckPredicate, 0, 227, 20, // Skip to: 5813
+/* 466 */     MCD_OPC_Decode, 80, 6, // Opcode: BPFCCNT
+/* 469 */     MCD_OPC_FilterValue, 1, 220, 20, // Skip to: 5813
+/* 473 */     MCD_OPC_CheckPredicate, 0, 216, 20, // Skip to: 5813
+/* 477 */     MCD_OPC_Decode, 79, 6, // Opcode: BPFCCANT
+/* 480 */     MCD_OPC_FilterValue, 1, 209, 20, // Skip to: 5813
 /* 484 */     MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 487 */     MCD_OPC_FilterValue, 0, 7, 0, // Skip to: 498
-/* 491 */     MCD_OPC_CheckPredicate, 0, 197, 20, // Skip to: 5812
-/* 495 */     MCD_OPC_Decode, 76, 6, // Opcode: BPFCC
-/* 498 */     MCD_OPC_FilterValue, 1, 190, 20, // Skip to: 5812
-/* 502 */     MCD_OPC_CheckPredicate, 0, 186, 20, // Skip to: 5812
-/* 506 */     MCD_OPC_Decode, 77, 6, // Opcode: BPFCCA
-/* 509 */     MCD_OPC_FilterValue, 6, 179, 20, // Skip to: 5812
+/* 491 */     MCD_OPC_CheckPredicate, 0, 198, 20, // Skip to: 5813
+/* 495 */     MCD_OPC_Decode, 77, 6, // Opcode: BPFCC
+/* 498 */     MCD_OPC_FilterValue, 1, 191, 20, // Skip to: 5813
+/* 502 */     MCD_OPC_CheckPredicate, 0, 187, 20, // Skip to: 5813
+/* 506 */     MCD_OPC_Decode, 78, 6, // Opcode: BPFCCA
+/* 509 */     MCD_OPC_FilterValue, 6, 180, 20, // Skip to: 5813
 /* 513 */     MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
 /* 516 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 524
-/* 520 */     MCD_OPC_Decode, 148, 1, 2, // Opcode: FBCOND
-/* 524 */     MCD_OPC_FilterValue, 1, 164, 20, // Skip to: 5812
-/* 528 */     MCD_OPC_Decode, 149, 1, 2, // Opcode: FBCONDA
+/* 520 */     MCD_OPC_Decode, 149, 1, 2, // Opcode: FBCOND
+/* 524 */     MCD_OPC_FilterValue, 1, 165, 20, // Skip to: 5813
+/* 528 */     MCD_OPC_Decode, 150, 1, 2, // Opcode: FBCONDA
 /* 532 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 539
-/* 536 */     MCD_OPC_Decode, 113, 7, // Opcode: CALL
-/* 539 */     MCD_OPC_FilterValue, 2, 84, 18, // Skip to: 5235
+/* 536 */     MCD_OPC_Decode, 114, 7, // Opcode: CALL
+/* 539 */     MCD_OPC_FilterValue, 2, 85, 18, // Skip to: 5236
 /* 543 */     MCD_OPC_ExtractField, 19, 6,  // Inst{24-19} ...
 /* 546 */     MCD_OPC_FilterValue, 0, 23, 0, // Skip to: 573
 /* 550 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 553 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 566
-/* 557 */     MCD_OPC_CheckField, 5, 8, 0, 129, 20, // Skip to: 5812
-/* 563 */     MCD_OPC_Decode, 30, 8, // Opcode: ADDrr
-/* 566 */     MCD_OPC_FilterValue, 1, 122, 20, // Skip to: 5812
-/* 570 */     MCD_OPC_Decode, 29, 9, // Opcode: ADDri
+/* 557 */     MCD_OPC_CheckField, 5, 8, 0, 130, 20, // Skip to: 5813
+/* 563 */     MCD_OPC_Decode, 31, 8, // Opcode: ADDrr
+/* 566 */     MCD_OPC_FilterValue, 1, 123, 20, // Skip to: 5813
+/* 570 */     MCD_OPC_Decode, 30, 9, // Opcode: ADDri
 /* 573 */     MCD_OPC_FilterValue, 1, 23, 0, // Skip to: 600
 /* 577 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 580 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 593
-/* 584 */     MCD_OPC_CheckField, 5, 8, 0, 102, 20, // Skip to: 5812
-/* 590 */     MCD_OPC_Decode, 45, 8, // Opcode: ANDrr
-/* 593 */     MCD_OPC_FilterValue, 1, 95, 20, // Skip to: 5812
-/* 597 */     MCD_OPC_Decode, 44, 9, // Opcode: ANDri
+/* 584 */     MCD_OPC_CheckField, 5, 8, 0, 103, 20, // Skip to: 5813
+/* 590 */     MCD_OPC_Decode, 46, 8, // Opcode: ANDrr
+/* 593 */     MCD_OPC_FilterValue, 1, 96, 20, // Skip to: 5813
+/* 597 */     MCD_OPC_Decode, 45, 9, // Opcode: ANDri
 /* 600 */     MCD_OPC_FilterValue, 2, 25, 0, // Skip to: 629
 /* 604 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 607 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 621
-/* 611 */     MCD_OPC_CheckField, 5, 8, 0, 75, 20, // Skip to: 5812
-/* 617 */     MCD_OPC_Decode, 232, 2, 8, // Opcode: ORrr
-/* 621 */     MCD_OPC_FilterValue, 1, 67, 20, // Skip to: 5812
-/* 625 */     MCD_OPC_Decode, 231, 2, 9, // Opcode: ORri
+/* 611 */     MCD_OPC_CheckField, 5, 8, 0, 76, 20, // Skip to: 5813
+/* 617 */     MCD_OPC_Decode, 233, 2, 8, // Opcode: ORrr
+/* 621 */     MCD_OPC_FilterValue, 1, 68, 20, // Skip to: 5813
+/* 625 */     MCD_OPC_Decode, 232, 2, 9, // Opcode: ORri
 /* 629 */     MCD_OPC_FilterValue, 3, 25, 0, // Skip to: 658
 /* 633 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 636 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 650
-/* 640 */     MCD_OPC_CheckField, 5, 8, 0, 46, 20, // Skip to: 5812
-/* 646 */     MCD_OPC_Decode, 234, 3, 8, // Opcode: XORrr
-/* 650 */     MCD_OPC_FilterValue, 1, 38, 20, // Skip to: 5812
-/* 654 */     MCD_OPC_Decode, 233, 3, 9, // Opcode: XORri
+/* 640 */     MCD_OPC_CheckField, 5, 8, 0, 47, 20, // Skip to: 5813
+/* 646 */     MCD_OPC_Decode, 235, 3, 8, // Opcode: XORrr
+/* 650 */     MCD_OPC_FilterValue, 1, 39, 20, // Skip to: 5813
+/* 654 */     MCD_OPC_Decode, 234, 3, 9, // Opcode: XORri
 /* 658 */     MCD_OPC_FilterValue, 4, 25, 0, // Skip to: 687
 /* 662 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 665 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 679
-/* 669 */     MCD_OPC_CheckField, 5, 8, 0, 17, 20, // Skip to: 5812
-/* 675 */     MCD_OPC_Decode, 175, 3, 8, // Opcode: SUBrr
-/* 679 */     MCD_OPC_FilterValue, 1, 9, 20, // Skip to: 5812
-/* 683 */     MCD_OPC_Decode, 174, 3, 9, // Opcode: SUBri
+/* 669 */     MCD_OPC_CheckField, 5, 8, 0, 18, 20, // Skip to: 5813
+/* 675 */     MCD_OPC_Decode, 176, 3, 8, // Opcode: SUBrr
+/* 679 */     MCD_OPC_FilterValue, 1, 10, 20, // Skip to: 5813
+/* 683 */     MCD_OPC_Decode, 175, 3, 9, // Opcode: SUBri
 /* 687 */     MCD_OPC_FilterValue, 5, 23, 0, // Skip to: 714
 /* 691 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 694 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 707
-/* 698 */     MCD_OPC_CheckField, 5, 8, 0, 244, 19, // Skip to: 5812
-/* 704 */     MCD_OPC_Decode, 40, 8, // Opcode: ANDNrr
-/* 707 */     MCD_OPC_FilterValue, 1, 237, 19, // Skip to: 5812
-/* 711 */     MCD_OPC_Decode, 39, 9, // Opcode: ANDNri
+/* 698 */     MCD_OPC_CheckField, 5, 8, 0, 245, 19, // Skip to: 5813
+/* 704 */     MCD_OPC_Decode, 41, 8, // Opcode: ANDNrr
+/* 707 */     MCD_OPC_FilterValue, 1, 238, 19, // Skip to: 5813
+/* 711 */     MCD_OPC_Decode, 40, 9, // Opcode: ANDNri
 /* 714 */     MCD_OPC_FilterValue, 6, 25, 0, // Skip to: 743
 /* 718 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 721 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 735
-/* 725 */     MCD_OPC_CheckField, 5, 8, 0, 217, 19, // Skip to: 5812
-/* 731 */     MCD_OPC_Decode, 227, 2, 8, // Opcode: ORNrr
-/* 735 */     MCD_OPC_FilterValue, 1, 209, 19, // Skip to: 5812
-/* 739 */     MCD_OPC_Decode, 226, 2, 9, // Opcode: ORNri
+/* 725 */     MCD_OPC_CheckField, 5, 8, 0, 218, 19, // Skip to: 5813
+/* 731 */     MCD_OPC_Decode, 228, 2, 8, // Opcode: ORNrr
+/* 735 */     MCD_OPC_FilterValue, 1, 210, 19, // Skip to: 5813
+/* 739 */     MCD_OPC_Decode, 227, 2, 9, // Opcode: ORNri
 /* 743 */     MCD_OPC_FilterValue, 7, 25, 0, // Skip to: 772
 /* 747 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 750 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 764
-/* 754 */     MCD_OPC_CheckField, 5, 8, 0, 188, 19, // Skip to: 5812
-/* 760 */     MCD_OPC_Decode, 228, 3, 8, // Opcode: XNORrr
-/* 764 */     MCD_OPC_FilterValue, 1, 180, 19, // Skip to: 5812
-/* 768 */     MCD_OPC_Decode, 227, 3, 9, // Opcode: XNORri
+/* 754 */     MCD_OPC_CheckField, 5, 8, 0, 189, 19, // Skip to: 5813
+/* 760 */     MCD_OPC_Decode, 229, 3, 8, // Opcode: XNORrr
+/* 764 */     MCD_OPC_FilterValue, 1, 181, 19, // Skip to: 5813
+/* 768 */     MCD_OPC_Decode, 228, 3, 9, // Opcode: XNORri
 /* 772 */     MCD_OPC_FilterValue, 8, 23, 0, // Skip to: 799
 /* 776 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 779 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 792
-/* 783 */     MCD_OPC_CheckField, 5, 8, 0, 159, 19, // Skip to: 5812
-/* 789 */     MCD_OPC_Decode, 22, 8, // Opcode: ADDCrr
-/* 792 */     MCD_OPC_FilterValue, 1, 152, 19, // Skip to: 5812
-/* 796 */     MCD_OPC_Decode, 21, 9, // Opcode: ADDCri
+/* 783 */     MCD_OPC_CheckField, 5, 8, 0, 160, 19, // Skip to: 5813
+/* 789 */     MCD_OPC_Decode, 23, 8, // Opcode: ADDCrr
+/* 792 */     MCD_OPC_FilterValue, 1, 153, 19, // Skip to: 5813
+/* 796 */     MCD_OPC_Decode, 22, 9, // Opcode: ADDCri
 /* 799 */     MCD_OPC_FilterValue, 9, 25, 0, // Skip to: 828
 /* 803 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 806 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 820
-/* 810 */     MCD_OPC_CheckField, 5, 8, 0, 132, 19, // Skip to: 5812
-/* 816 */     MCD_OPC_Decode, 220, 2, 10, // Opcode: MULXrr
-/* 820 */     MCD_OPC_FilterValue, 1, 124, 19, // Skip to: 5812
-/* 824 */     MCD_OPC_Decode, 219, 2, 11, // Opcode: MULXri
+/* 810 */     MCD_OPC_CheckField, 5, 8, 0, 133, 19, // Skip to: 5813
+/* 816 */     MCD_OPC_Decode, 221, 2, 10, // Opcode: MULXrr
+/* 820 */     MCD_OPC_FilterValue, 1, 125, 19, // Skip to: 5813
+/* 824 */     MCD_OPC_Decode, 220, 2, 11, // Opcode: MULXri
 /* 828 */     MCD_OPC_FilterValue, 10, 25, 0, // Skip to: 857
 /* 832 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 835 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 849
-/* 839 */     MCD_OPC_CheckField, 5, 8, 0, 103, 19, // Skip to: 5812
-/* 845 */     MCD_OPC_Decode, 207, 3, 8, // Opcode: UMULrr
-/* 849 */     MCD_OPC_FilterValue, 1, 95, 19, // Skip to: 5812
-/* 853 */     MCD_OPC_Decode, 206, 3, 9, // Opcode: UMULri
+/* 839 */     MCD_OPC_CheckField, 5, 8, 0, 104, 19, // Skip to: 5813
+/* 845 */     MCD_OPC_Decode, 208, 3, 8, // Opcode: UMULrr
+/* 849 */     MCD_OPC_FilterValue, 1, 96, 19, // Skip to: 5813
+/* 853 */     MCD_OPC_Decode, 207, 3, 9, // Opcode: UMULri
 /* 857 */     MCD_OPC_FilterValue, 11, 25, 0, // Skip to: 886
 /* 861 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 864 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 878
-/* 868 */     MCD_OPC_CheckField, 5, 8, 0, 74, 19, // Skip to: 5812
-/* 874 */     MCD_OPC_Decode, 142, 3, 8, // Opcode: SMULrr
-/* 878 */     MCD_OPC_FilterValue, 1, 66, 19, // Skip to: 5812
-/* 882 */     MCD_OPC_Decode, 141, 3, 9, // Opcode: SMULri
+/* 868 */     MCD_OPC_CheckField, 5, 8, 0, 75, 19, // Skip to: 5813
+/* 874 */     MCD_OPC_Decode, 143, 3, 8, // Opcode: SMULrr
+/* 878 */     MCD_OPC_FilterValue, 1, 67, 19, // Skip to: 5813
+/* 882 */     MCD_OPC_Decode, 142, 3, 9, // Opcode: SMULri
 /* 886 */     MCD_OPC_FilterValue, 12, 25, 0, // Skip to: 915
 /* 890 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 893 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 907
-/* 897 */     MCD_OPC_CheckField, 5, 8, 0, 45, 19, // Skip to: 5812
-/* 903 */     MCD_OPC_Decode, 169, 3, 8, // Opcode: SUBCrr
-/* 907 */     MCD_OPC_FilterValue, 1, 37, 19, // Skip to: 5812
-/* 911 */     MCD_OPC_Decode, 168, 3, 9, // Opcode: SUBCri
+/* 897 */     MCD_OPC_CheckField, 5, 8, 0, 46, 19, // Skip to: 5813
+/* 903 */     MCD_OPC_Decode, 170, 3, 8, // Opcode: SUBCrr
+/* 907 */     MCD_OPC_FilterValue, 1, 38, 19, // Skip to: 5813
+/* 911 */     MCD_OPC_Decode, 169, 3, 9, // Opcode: SUBCri
 /* 915 */     MCD_OPC_FilterValue, 13, 25, 0, // Skip to: 944
 /* 919 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 922 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 936
-/* 926 */     MCD_OPC_CheckField, 5, 8, 0, 16, 19, // Skip to: 5812
-/* 932 */     MCD_OPC_Decode, 200, 3, 10, // Opcode: UDIVXrr
-/* 936 */     MCD_OPC_FilterValue, 1, 8, 19, // Skip to: 5812
-/* 940 */     MCD_OPC_Decode, 199, 3, 11, // Opcode: UDIVXri
+/* 926 */     MCD_OPC_CheckField, 5, 8, 0, 17, 19, // Skip to: 5813
+/* 932 */     MCD_OPC_Decode, 201, 3, 10, // Opcode: UDIVXrr
+/* 936 */     MCD_OPC_FilterValue, 1, 9, 19, // Skip to: 5813
+/* 940 */     MCD_OPC_Decode, 200, 3, 11, // Opcode: UDIVXri
 /* 944 */     MCD_OPC_FilterValue, 14, 25, 0, // Skip to: 973
 /* 948 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 951 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 965
-/* 955 */     MCD_OPC_CheckField, 5, 8, 0, 243, 18, // Skip to: 5812
-/* 961 */     MCD_OPC_Decode, 202, 3, 8, // Opcode: UDIVrr
-/* 965 */     MCD_OPC_FilterValue, 1, 235, 18, // Skip to: 5812
-/* 969 */     MCD_OPC_Decode, 201, 3, 9, // Opcode: UDIVri
+/* 955 */     MCD_OPC_CheckField, 5, 8, 0, 244, 18, // Skip to: 5813
+/* 961 */     MCD_OPC_Decode, 203, 3, 8, // Opcode: UDIVrr
+/* 965 */     MCD_OPC_FilterValue, 1, 236, 18, // Skip to: 5813
+/* 969 */     MCD_OPC_Decode, 202, 3, 9, // Opcode: UDIVri
 /* 973 */     MCD_OPC_FilterValue, 15, 25, 0, // Skip to: 1002
 /* 977 */     MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 980 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 994
-/* 984 */     MCD_OPC_CheckField, 5, 8, 0, 214, 18, // Skip to: 5812
-/* 990 */     MCD_OPC_Decode, 250, 2, 8, // Opcode: SDIVrr
-/* 994 */     MCD_OPC_FilterValue, 1, 206, 18, // Skip to: 5812
-/* 998 */     MCD_OPC_Decode, 249, 2, 9, // Opcode: SDIVri
+/* 984 */     MCD_OPC_CheckField, 5, 8, 0, 215, 18, // Skip to: 5813
+/* 990 */     MCD_OPC_Decode, 251, 2, 8, // Opcode: SDIVrr
+/* 994 */     MCD_OPC_FilterValue, 1, 207, 18, // Skip to: 5813
+/* 998 */     MCD_OPC_Decode, 250, 2, 9, // Opcode: SDIVri
 /* 1002 */    MCD_OPC_FilterValue, 16, 23, 0, // Skip to: 1029
 /* 1006 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1009 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1022
-/* 1013 */    MCD_OPC_CheckField, 5, 8, 0, 185, 18, // Skip to: 5812
-/* 1019 */    MCD_OPC_Decode, 20, 8, // Opcode: ADDCCrr
-/* 1022 */    MCD_OPC_FilterValue, 1, 178, 18, // Skip to: 5812
-/* 1026 */    MCD_OPC_Decode, 19, 9, // Opcode: ADDCCri
+/* 1013 */    MCD_OPC_CheckField, 5, 8, 0, 186, 18, // Skip to: 5813
+/* 1019 */    MCD_OPC_Decode, 21, 8, // Opcode: ADDCCrr
+/* 1022 */    MCD_OPC_FilterValue, 1, 179, 18, // Skip to: 5813
+/* 1026 */    MCD_OPC_Decode, 20, 9, // Opcode: ADDCCri
 /* 1029 */    MCD_OPC_FilterValue, 17, 23, 0, // Skip to: 1056
 /* 1033 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1036 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1049
-/* 1040 */    MCD_OPC_CheckField, 5, 8, 0, 158, 18, // Skip to: 5812
-/* 1046 */    MCD_OPC_Decode, 36, 8, // Opcode: ANDCCrr
-/* 1049 */    MCD_OPC_FilterValue, 1, 151, 18, // Skip to: 5812
-/* 1053 */    MCD_OPC_Decode, 35, 9, // Opcode: ANDCCri
+/* 1040 */    MCD_OPC_CheckField, 5, 8, 0, 159, 18, // Skip to: 5813
+/* 1046 */    MCD_OPC_Decode, 37, 8, // Opcode: ANDCCrr
+/* 1049 */    MCD_OPC_FilterValue, 1, 152, 18, // Skip to: 5813
+/* 1053 */    MCD_OPC_Decode, 36, 9, // Opcode: ANDCCri
 /* 1056 */    MCD_OPC_FilterValue, 18, 25, 0, // Skip to: 1085
 /* 1060 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1063 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1077
-/* 1067 */    MCD_OPC_CheckField, 5, 8, 0, 131, 18, // Skip to: 5812
-/* 1073 */    MCD_OPC_Decode, 223, 2, 8, // Opcode: ORCCrr
-/* 1077 */    MCD_OPC_FilterValue, 1, 123, 18, // Skip to: 5812
-/* 1081 */    MCD_OPC_Decode, 222, 2, 9, // Opcode: ORCCri
+/* 1067 */    MCD_OPC_CheckField, 5, 8, 0, 132, 18, // Skip to: 5813
+/* 1073 */    MCD_OPC_Decode, 224, 2, 8, // Opcode: ORCCrr
+/* 1077 */    MCD_OPC_FilterValue, 1, 124, 18, // Skip to: 5813
+/* 1081 */    MCD_OPC_Decode, 223, 2, 9, // Opcode: ORCCri
 /* 1085 */    MCD_OPC_FilterValue, 19, 25, 0, // Skip to: 1114
 /* 1089 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1092 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1106
-/* 1096 */    MCD_OPC_CheckField, 5, 8, 0, 102, 18, // Skip to: 5812
-/* 1102 */    MCD_OPC_Decode, 230, 3, 8, // Opcode: XORCCrr
-/* 1106 */    MCD_OPC_FilterValue, 1, 94, 18, // Skip to: 5812
-/* 1110 */    MCD_OPC_Decode, 229, 3, 9, // Opcode: XORCCri
+/* 1096 */    MCD_OPC_CheckField, 5, 8, 0, 103, 18, // Skip to: 5813
+/* 1102 */    MCD_OPC_Decode, 231, 3, 8, // Opcode: XORCCrr
+/* 1106 */    MCD_OPC_FilterValue, 1, 95, 18, // Skip to: 5813
+/* 1110 */    MCD_OPC_Decode, 230, 3, 9, // Opcode: XORCCri
 /* 1114 */    MCD_OPC_FilterValue, 20, 44, 0, // Skip to: 1162
 /* 1118 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1121 */    MCD_OPC_FilterValue, 0, 20, 0, // Skip to: 1145
 /* 1125 */    MCD_OPC_ExtractField, 5, 8,  // Inst{12-5} ...
-/* 1128 */    MCD_OPC_FilterValue, 0, 72, 18, // Skip to: 5812
+/* 1128 */    MCD_OPC_FilterValue, 0, 73, 18, // Skip to: 5813
 /* 1132 */    MCD_OPC_CheckField, 25, 5, 0, 3, 0, // Skip to: 1141
-/* 1138 */    MCD_OPC_Decode, 122, 12, // Opcode: CMPrr
-/* 1141 */    MCD_OPC_Decode, 167, 3, 8, // Opcode: SUBCCrr
-/* 1145 */    MCD_OPC_FilterValue, 1, 55, 18, // Skip to: 5812
+/* 1138 */    MCD_OPC_Decode, 123, 12, // Opcode: CMPrr
+/* 1141 */    MCD_OPC_Decode, 168, 3, 8, // Opcode: SUBCCrr
+/* 1145 */    MCD_OPC_FilterValue, 1, 56, 18, // Skip to: 5813
 /* 1149 */    MCD_OPC_CheckField, 25, 5, 0, 3, 0, // Skip to: 1158
-/* 1155 */    MCD_OPC_Decode, 121, 13, // Opcode: CMPri
-/* 1158 */    MCD_OPC_Decode, 166, 3, 9, // Opcode: SUBCCri
+/* 1155 */    MCD_OPC_Decode, 122, 13, // Opcode: CMPri
+/* 1158 */    MCD_OPC_Decode, 167, 3, 9, // Opcode: SUBCCri
 /* 1162 */    MCD_OPC_FilterValue, 21, 23, 0, // Skip to: 1189
 /* 1166 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1169 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1182
-/* 1173 */    MCD_OPC_CheckField, 5, 8, 0, 25, 18, // Skip to: 5812
-/* 1179 */    MCD_OPC_Decode, 38, 8, // Opcode: ANDNCCrr
-/* 1182 */    MCD_OPC_FilterValue, 1, 18, 18, // Skip to: 5812
-/* 1186 */    MCD_OPC_Decode, 37, 9, // Opcode: ANDNCCri
+/* 1173 */    MCD_OPC_CheckField, 5, 8, 0, 26, 18, // Skip to: 5813
+/* 1179 */    MCD_OPC_Decode, 39, 8, // Opcode: ANDNCCrr
+/* 1182 */    MCD_OPC_FilterValue, 1, 19, 18, // Skip to: 5813
+/* 1186 */    MCD_OPC_Decode, 38, 9, // Opcode: ANDNCCri
 /* 1189 */    MCD_OPC_FilterValue, 22, 25, 0, // Skip to: 1218
 /* 1193 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1196 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1210
-/* 1200 */    MCD_OPC_CheckField, 5, 8, 0, 254, 17, // Skip to: 5812
-/* 1206 */    MCD_OPC_Decode, 225, 2, 8, // Opcode: ORNCCrr
-/* 1210 */    MCD_OPC_FilterValue, 1, 246, 17, // Skip to: 5812
-/* 1214 */    MCD_OPC_Decode, 224, 2, 9, // Opcode: ORNCCri
+/* 1200 */    MCD_OPC_CheckField, 5, 8, 0, 255, 17, // Skip to: 5813
+/* 1206 */    MCD_OPC_Decode, 226, 2, 8, // Opcode: ORNCCrr
+/* 1210 */    MCD_OPC_FilterValue, 1, 247, 17, // Skip to: 5813
+/* 1214 */    MCD_OPC_Decode, 225, 2, 9, // Opcode: ORNCCri
 /* 1218 */    MCD_OPC_FilterValue, 23, 25, 0, // Skip to: 1247
 /* 1222 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1225 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1239
-/* 1229 */    MCD_OPC_CheckField, 5, 8, 0, 225, 17, // Skip to: 5812
-/* 1235 */    MCD_OPC_Decode, 225, 3, 8, // Opcode: XNORCCrr
-/* 1239 */    MCD_OPC_FilterValue, 1, 217, 17, // Skip to: 5812
-/* 1243 */    MCD_OPC_Decode, 224, 3, 9, // Opcode: XNORCCri
+/* 1229 */    MCD_OPC_CheckField, 5, 8, 0, 226, 17, // Skip to: 5813
+/* 1235 */    MCD_OPC_Decode, 226, 3, 8, // Opcode: XNORCCrr
+/* 1239 */    MCD_OPC_FilterValue, 1, 218, 17, // Skip to: 5813
+/* 1243 */    MCD_OPC_Decode, 225, 3, 9, // Opcode: XNORCCri
 /* 1247 */    MCD_OPC_FilterValue, 24, 23, 0, // Skip to: 1274
 /* 1251 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1254 */    MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 1267
-/* 1258 */    MCD_OPC_CheckField, 5, 8, 0, 196, 17, // Skip to: 5812
-/* 1264 */    MCD_OPC_Decode, 24, 8, // Opcode: ADDErr
-/* 1267 */    MCD_OPC_FilterValue, 1, 189, 17, // Skip to: 5812
-/* 1271 */    MCD_OPC_Decode, 23, 9, // Opcode: ADDEri
+/* 1258 */    MCD_OPC_CheckField, 5, 8, 0, 197, 17, // Skip to: 5813
+/* 1264 */    MCD_OPC_Decode, 25, 8, // Opcode: ADDErr
+/* 1267 */    MCD_OPC_FilterValue, 1, 190, 17, // Skip to: 5813
+/* 1271 */    MCD_OPC_Decode, 24, 9, // Opcode: ADDEri
 /* 1274 */    MCD_OPC_FilterValue, 26, 25, 0, // Skip to: 1303
 /* 1278 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1281 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1295
-/* 1285 */    MCD_OPC_CheckField, 5, 8, 0, 169, 17, // Skip to: 5812
-/* 1291 */    MCD_OPC_Decode, 204, 3, 8, // Opcode: UMULCCrr
-/* 1295 */    MCD_OPC_FilterValue, 1, 161, 17, // Skip to: 5812
-/* 1299 */    MCD_OPC_Decode, 203, 3, 9, // Opcode: UMULCCri
+/* 1285 */    MCD_OPC_CheckField, 5, 8, 0, 170, 17, // Skip to: 5813
+/* 1291 */    MCD_OPC_Decode, 205, 3, 8, // Opcode: UMULCCrr
+/* 1295 */    MCD_OPC_FilterValue, 1, 162, 17, // Skip to: 5813
+/* 1299 */    MCD_OPC_Decode, 204, 3, 9, // Opcode: UMULCCri
 /* 1303 */    MCD_OPC_FilterValue, 27, 25, 0, // Skip to: 1332
 /* 1307 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1310 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1324
-/* 1314 */    MCD_OPC_CheckField, 5, 8, 0, 140, 17, // Skip to: 5812
-/* 1320 */    MCD_OPC_Decode, 140, 3, 8, // Opcode: SMULCCrr
-/* 1324 */    MCD_OPC_FilterValue, 1, 132, 17, // Skip to: 5812
-/* 1328 */    MCD_OPC_Decode, 139, 3, 9, // Opcode: SMULCCri
+/* 1314 */    MCD_OPC_CheckField, 5, 8, 0, 141, 17, // Skip to: 5813
+/* 1320 */    MCD_OPC_Decode, 141, 3, 8, // Opcode: SMULCCrr
+/* 1324 */    MCD_OPC_FilterValue, 1, 133, 17, // Skip to: 5813
+/* 1328 */    MCD_OPC_Decode, 140, 3, 9, // Opcode: SMULCCri
 /* 1332 */    MCD_OPC_FilterValue, 28, 25, 0, // Skip to: 1361
 /* 1336 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1339 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1353
-/* 1343 */    MCD_OPC_CheckField, 5, 8, 0, 111, 17, // Skip to: 5812
-/* 1349 */    MCD_OPC_Decode, 171, 3, 8, // Opcode: SUBErr
-/* 1353 */    MCD_OPC_FilterValue, 1, 103, 17, // Skip to: 5812
-/* 1357 */    MCD_OPC_Decode, 170, 3, 9, // Opcode: SUBEri
+/* 1343 */    MCD_OPC_CheckField, 5, 8, 0, 112, 17, // Skip to: 5813
+/* 1349 */    MCD_OPC_Decode, 172, 3, 8, // Opcode: SUBErr
+/* 1353 */    MCD_OPC_FilterValue, 1, 104, 17, // Skip to: 5813
+/* 1357 */    MCD_OPC_Decode, 171, 3, 9, // Opcode: SUBEri
 /* 1361 */    MCD_OPC_FilterValue, 30, 25, 0, // Skip to: 1390
 /* 1365 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1368 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1382
-/* 1372 */    MCD_OPC_CheckField, 5, 8, 0, 82, 17, // Skip to: 5812
-/* 1378 */    MCD_OPC_Decode, 198, 3, 8, // Opcode: UDIVCCrr
-/* 1382 */    MCD_OPC_FilterValue, 1, 74, 17, // Skip to: 5812
-/* 1386 */    MCD_OPC_Decode, 197, 3, 9, // Opcode: UDIVCCri
+/* 1372 */    MCD_OPC_CheckField, 5, 8, 0, 83, 17, // Skip to: 5813
+/* 1378 */    MCD_OPC_Decode, 199, 3, 8, // Opcode: UDIVCCrr
+/* 1382 */    MCD_OPC_FilterValue, 1, 75, 17, // Skip to: 5813
+/* 1386 */    MCD_OPC_Decode, 198, 3, 9, // Opcode: UDIVCCri
 /* 1390 */    MCD_OPC_FilterValue, 31, 25, 0, // Skip to: 1419
 /* 1394 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1397 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1411
-/* 1401 */    MCD_OPC_CheckField, 5, 8, 0, 53, 17, // Skip to: 5812
-/* 1407 */    MCD_OPC_Decode, 246, 2, 8, // Opcode: SDIVCCrr
-/* 1411 */    MCD_OPC_FilterValue, 1, 45, 17, // Skip to: 5812
-/* 1415 */    MCD_OPC_Decode, 245, 2, 9, // Opcode: SDIVCCri
+/* 1401 */    MCD_OPC_CheckField, 5, 8, 0, 54, 17, // Skip to: 5813
+/* 1407 */    MCD_OPC_Decode, 247, 2, 8, // Opcode: SDIVCCrr
+/* 1411 */    MCD_OPC_FilterValue, 1, 46, 17, // Skip to: 5813
+/* 1415 */    MCD_OPC_Decode, 246, 2, 9, // Opcode: SDIVCCri
 /* 1419 */    MCD_OPC_FilterValue, 32, 25, 0, // Skip to: 1448
 /* 1423 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1426 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1440
-/* 1430 */    MCD_OPC_CheckField, 5, 8, 0, 24, 17, // Skip to: 5812
-/* 1436 */    MCD_OPC_Decode, 183, 3, 8, // Opcode: TADDCCrr
-/* 1440 */    MCD_OPC_FilterValue, 1, 16, 17, // Skip to: 5812
-/* 1444 */    MCD_OPC_Decode, 182, 3, 9, // Opcode: TADDCCri
+/* 1430 */    MCD_OPC_CheckField, 5, 8, 0, 25, 17, // Skip to: 5813
+/* 1436 */    MCD_OPC_Decode, 184, 3, 8, // Opcode: TADDCCrr
+/* 1440 */    MCD_OPC_FilterValue, 1, 17, 17, // Skip to: 5813
+/* 1444 */    MCD_OPC_Decode, 183, 3, 9, // Opcode: TADDCCri
 /* 1448 */    MCD_OPC_FilterValue, 33, 25, 0, // Skip to: 1477
 /* 1452 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1455 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1469
-/* 1459 */    MCD_OPC_CheckField, 5, 8, 0, 251, 16, // Skip to: 5812
-/* 1465 */    MCD_OPC_Decode, 194, 3, 8, // Opcode: TSUBCCrr
-/* 1469 */    MCD_OPC_FilterValue, 1, 243, 16, // Skip to: 5812
-/* 1473 */    MCD_OPC_Decode, 193, 3, 9, // Opcode: TSUBCCri
+/* 1459 */    MCD_OPC_CheckField, 5, 8, 0, 252, 16, // Skip to: 5813
+/* 1465 */    MCD_OPC_Decode, 195, 3, 8, // Opcode: TSUBCCrr
+/* 1469 */    MCD_OPC_FilterValue, 1, 244, 16, // Skip to: 5813
+/* 1473 */    MCD_OPC_Decode, 194, 3, 9, // Opcode: TSUBCCri
 /* 1477 */    MCD_OPC_FilterValue, 34, 25, 0, // Skip to: 1506
 /* 1481 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1484 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1498
-/* 1488 */    MCD_OPC_CheckField, 5, 8, 0, 222, 16, // Skip to: 5812
-/* 1494 */    MCD_OPC_Decode, 181, 3, 8, // Opcode: TADDCCTVrr
-/* 1498 */    MCD_OPC_FilterValue, 1, 214, 16, // Skip to: 5812
-/* 1502 */    MCD_OPC_Decode, 180, 3, 9, // Opcode: TADDCCTVri
+/* 1488 */    MCD_OPC_CheckField, 5, 8, 0, 223, 16, // Skip to: 5813
+/* 1494 */    MCD_OPC_Decode, 182, 3, 8, // Opcode: TADDCCTVrr
+/* 1498 */    MCD_OPC_FilterValue, 1, 215, 16, // Skip to: 5813
+/* 1502 */    MCD_OPC_Decode, 181, 3, 9, // Opcode: TADDCCTVri
 /* 1506 */    MCD_OPC_FilterValue, 35, 25, 0, // Skip to: 1535
 /* 1510 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1513 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1527
-/* 1517 */    MCD_OPC_CheckField, 5, 8, 0, 193, 16, // Skip to: 5812
-/* 1523 */    MCD_OPC_Decode, 192, 3, 8, // Opcode: TSUBCCTVrr
-/* 1527 */    MCD_OPC_FilterValue, 1, 185, 16, // Skip to: 5812
-/* 1531 */    MCD_OPC_Decode, 191, 3, 9, // Opcode: TSUBCCTVri
+/* 1517 */    MCD_OPC_CheckField, 5, 8, 0, 194, 16, // Skip to: 5813
+/* 1523 */    MCD_OPC_Decode, 193, 3, 8, // Opcode: TSUBCCTVrr
+/* 1527 */    MCD_OPC_FilterValue, 1, 186, 16, // Skip to: 5813
+/* 1531 */    MCD_OPC_Decode, 192, 3, 9, // Opcode: TSUBCCTVri
 /* 1535 */    MCD_OPC_FilterValue, 37, 50, 0, // Skip to: 1589
 /* 1539 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1542 */    MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 1571
 /* 1546 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
 /* 1549 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1563
-/* 1553 */    MCD_OPC_CheckField, 5, 7, 0, 157, 16, // Skip to: 5812
-/* 1559 */    MCD_OPC_Decode, 138, 3, 8, // Opcode: SLLrr
-/* 1563 */    MCD_OPC_FilterValue, 1, 149, 16, // Skip to: 5812
-/* 1567 */    MCD_OPC_Decode, 136, 3, 14, // Opcode: SLLXrr
-/* 1571 */    MCD_OPC_FilterValue, 1, 141, 16, // Skip to: 5812
+/* 1553 */    MCD_OPC_CheckField, 5, 7, 0, 158, 16, // Skip to: 5813
+/* 1559 */    MCD_OPC_Decode, 139, 3, 8, // Opcode: SLLrr
+/* 1563 */    MCD_OPC_FilterValue, 1, 150, 16, // Skip to: 5813
+/* 1567 */    MCD_OPC_Decode, 137, 3, 14, // Opcode: SLLXrr
+/* 1571 */    MCD_OPC_FilterValue, 1, 142, 16, // Skip to: 5813
 /* 1575 */    MCD_OPC_CheckField, 12, 1, 1, 4, 0, // Skip to: 1585
-/* 1581 */    MCD_OPC_Decode, 135, 3, 15, // Opcode: SLLXri
-/* 1585 */    MCD_OPC_Decode, 137, 3, 9, // Opcode: SLLri
+/* 1581 */    MCD_OPC_Decode, 136, 3, 15, // Opcode: SLLXri
+/* 1585 */    MCD_OPC_Decode, 138, 3, 9, // Opcode: SLLri
 /* 1589 */    MCD_OPC_FilterValue, 38, 50, 0, // Skip to: 1643
 /* 1593 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1596 */    MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 1625
 /* 1600 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
 /* 1603 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1617
-/* 1607 */    MCD_OPC_CheckField, 5, 7, 0, 103, 16, // Skip to: 5812
-/* 1613 */    MCD_OPC_Decode, 150, 3, 8, // Opcode: SRLrr
-/* 1617 */    MCD_OPC_FilterValue, 1, 95, 16, // Skip to: 5812
-/* 1621 */    MCD_OPC_Decode, 148, 3, 14, // Opcode: SRLXrr
-/* 1625 */    MCD_OPC_FilterValue, 1, 87, 16, // Skip to: 5812
+/* 1607 */    MCD_OPC_CheckField, 5, 7, 0, 104, 16, // Skip to: 5813
+/* 1613 */    MCD_OPC_Decode, 151, 3, 8, // Opcode: SRLrr
+/* 1617 */    MCD_OPC_FilterValue, 1, 96, 16, // Skip to: 5813
+/* 1621 */    MCD_OPC_Decode, 149, 3, 14, // Opcode: SRLXrr
+/* 1625 */    MCD_OPC_FilterValue, 1, 88, 16, // Skip to: 5813
 /* 1629 */    MCD_OPC_CheckField, 12, 1, 1, 4, 0, // Skip to: 1639
-/* 1635 */    MCD_OPC_Decode, 147, 3, 15, // Opcode: SRLXri
-/* 1639 */    MCD_OPC_Decode, 149, 3, 9, // Opcode: SRLri
+/* 1635 */    MCD_OPC_Decode, 148, 3, 15, // Opcode: SRLXri
+/* 1639 */    MCD_OPC_Decode, 150, 3, 9, // Opcode: SRLri
 /* 1643 */    MCD_OPC_FilterValue, 39, 50, 0, // Skip to: 1697
 /* 1647 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1650 */    MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 1679
 /* 1654 */    MCD_OPC_ExtractField, 12, 1,  // Inst{12} ...
 /* 1657 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1671
-/* 1661 */    MCD_OPC_CheckField, 5, 7, 0, 49, 16, // Skip to: 5812
-/* 1667 */    MCD_OPC_Decode, 146, 3, 8, // Opcode: SRArr
-/* 1671 */    MCD_OPC_FilterValue, 1, 41, 16, // Skip to: 5812
-/* 1675 */    MCD_OPC_Decode, 144, 3, 14, // Opcode: SRAXrr
-/* 1679 */    MCD_OPC_FilterValue, 1, 33, 16, // Skip to: 5812
+/* 1661 */    MCD_OPC_CheckField, 5, 7, 0, 50, 16, // Skip to: 5813
+/* 1667 */    MCD_OPC_Decode, 147, 3, 8, // Opcode: SRArr
+/* 1671 */    MCD_OPC_FilterValue, 1, 42, 16, // Skip to: 5813
+/* 1675 */    MCD_OPC_Decode, 145, 3, 14, // Opcode: SRAXrr
+/* 1679 */    MCD_OPC_FilterValue, 1, 34, 16, // Skip to: 5813
 /* 1683 */    MCD_OPC_CheckField, 12, 1, 1, 4, 0, // Skip to: 1693
-/* 1689 */    MCD_OPC_Decode, 143, 3, 15, // Opcode: SRAXri
-/* 1693 */    MCD_OPC_Decode, 145, 3, 9, // Opcode: SRAri
+/* 1689 */    MCD_OPC_Decode, 144, 3, 15, // Opcode: SRAXri
+/* 1693 */    MCD_OPC_Decode, 146, 3, 9, // Opcode: SRAri
 /* 1697 */    MCD_OPC_FilterValue, 40, 55, 0, // Skip to: 1756
 /* 1701 */    MCD_OPC_ExtractField, 13, 6,  // Inst{18-13} ...
 /* 1704 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1718
-/* 1708 */    MCD_OPC_CheckField, 0, 13, 0, 2, 16, // Skip to: 5812
-/* 1714 */    MCD_OPC_Decode, 236, 2, 4, // Opcode: RDY
+/* 1708 */    MCD_OPC_CheckField, 0, 13, 0, 3, 16, // Skip to: 5813
+/* 1714 */    MCD_OPC_Decode, 237, 2, 4, // Opcode: RDY
 /* 1718 */    MCD_OPC_FilterValue, 30, 16, 0, // Skip to: 1738
-/* 1722 */    MCD_OPC_CheckField, 25, 5, 0, 244, 15, // Skip to: 5812
-/* 1728 */    MCD_OPC_CheckField, 0, 13, 0, 238, 15, // Skip to: 5812
-/* 1734 */    MCD_OPC_Decode, 151, 3, 4, // Opcode: STBAR
-/* 1738 */    MCD_OPC_FilterValue, 31, 230, 15, // Skip to: 5812
-/* 1742 */    MCD_OPC_CheckPredicate, 0, 226, 15, // Skip to: 5812
-/* 1746 */    MCD_OPC_CheckField, 25, 5, 0, 220, 15, // Skip to: 5812
-/* 1752 */    MCD_OPC_Decode, 195, 2, 16, // Opcode: MEMBARi
+/* 1722 */    MCD_OPC_CheckField, 25, 5, 0, 245, 15, // Skip to: 5813
+/* 1728 */    MCD_OPC_CheckField, 0, 13, 0, 239, 15, // Skip to: 5813
+/* 1734 */    MCD_OPC_Decode, 152, 3, 4, // Opcode: STBAR
+/* 1738 */    MCD_OPC_FilterValue, 31, 231, 15, // Skip to: 5813
+/* 1742 */    MCD_OPC_CheckPredicate, 0, 227, 15, // Skip to: 5813
+/* 1746 */    MCD_OPC_CheckField, 25, 5, 0, 221, 15, // Skip to: 5813
+/* 1752 */    MCD_OPC_Decode, 196, 2, 16, // Opcode: MEMBARi
 /* 1756 */    MCD_OPC_FilterValue, 43, 20, 0, // Skip to: 1780
-/* 1760 */    MCD_OPC_CheckPredicate, 0, 208, 15, // Skip to: 5812
-/* 1764 */    MCD_OPC_CheckField, 25, 5, 0, 202, 15, // Skip to: 5812
-/* 1770 */    MCD_OPC_CheckField, 0, 19, 0, 196, 15, // Skip to: 5812
-/* 1776 */    MCD_OPC_Decode, 180, 1, 4, // Opcode: FLUSHW
+/* 1760 */    MCD_OPC_CheckPredicate, 0, 209, 15, // Skip to: 5813
+/* 1764 */    MCD_OPC_CheckField, 25, 5, 0, 203, 15, // Skip to: 5813
+/* 1770 */    MCD_OPC_CheckField, 0, 19, 0, 197, 15, // Skip to: 5813
+/* 1776 */    MCD_OPC_Decode, 181, 1, 4, // Opcode: FLUSHW
 /* 1780 */    MCD_OPC_FilterValue, 44, 123, 0, // Skip to: 1907
 /* 1784 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1787 */    MCD_OPC_FilterValue, 0, 56, 0, // Skip to: 1847
@@ -483,953 +483,953 @@
 /* 1794 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 1820
 /* 1798 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1812
 /* 1802 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 1812
-/* 1808 */    MCD_OPC_Decode, 198, 2, 17, // Opcode: MOVFCCrr
-/* 1812 */    MCD_OPC_CheckPredicate, 0, 156, 15, // Skip to: 5812
-/* 1816 */    MCD_OPC_Decode, 219, 3, 18, // Opcode: V9MOVFCCrr
-/* 1820 */    MCD_OPC_FilterValue, 1, 148, 15, // Skip to: 5812
+/* 1808 */    MCD_OPC_Decode, 199, 2, 17, // Opcode: MOVFCCrr
+/* 1812 */    MCD_OPC_CheckPredicate, 0, 157, 15, // Skip to: 5813
+/* 1816 */    MCD_OPC_Decode, 220, 3, 18, // Opcode: V9MOVFCCrr
+/* 1820 */    MCD_OPC_FilterValue, 1, 149, 15, // Skip to: 5813
 /* 1824 */    MCD_OPC_ExtractField, 11, 2,  // Inst{12-11} ...
 /* 1827 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1839
-/* 1831 */    MCD_OPC_CheckPredicate, 0, 137, 15, // Skip to: 5812
-/* 1835 */    MCD_OPC_Decode, 200, 2, 17, // Opcode: MOVICCrr
-/* 1839 */    MCD_OPC_FilterValue, 2, 129, 15, // Skip to: 5812
-/* 1843 */    MCD_OPC_Decode, 217, 2, 17, // Opcode: MOVXCCrr
-/* 1847 */    MCD_OPC_FilterValue, 1, 121, 15, // Skip to: 5812
+/* 1831 */    MCD_OPC_CheckPredicate, 0, 138, 15, // Skip to: 5813
+/* 1835 */    MCD_OPC_Decode, 201, 2, 17, // Opcode: MOVICCrr
+/* 1839 */    MCD_OPC_FilterValue, 2, 130, 15, // Skip to: 5813
+/* 1843 */    MCD_OPC_Decode, 218, 2, 17, // Opcode: MOVXCCrr
+/* 1847 */    MCD_OPC_FilterValue, 1, 122, 15, // Skip to: 5813
 /* 1851 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
 /* 1854 */    MCD_OPC_FilterValue, 0, 22, 0, // Skip to: 1880
 /* 1858 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 1872
 /* 1862 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 1872
-/* 1868 */    MCD_OPC_Decode, 197, 2, 19, // Opcode: MOVFCCri
-/* 1872 */    MCD_OPC_CheckPredicate, 0, 96, 15, // Skip to: 5812
-/* 1876 */    MCD_OPC_Decode, 218, 3, 20, // Opcode: V9MOVFCCri
-/* 1880 */    MCD_OPC_FilterValue, 1, 88, 15, // Skip to: 5812
+/* 1868 */    MCD_OPC_Decode, 198, 2, 19, // Opcode: MOVFCCri
+/* 1872 */    MCD_OPC_CheckPredicate, 0, 97, 15, // Skip to: 5813
+/* 1876 */    MCD_OPC_Decode, 219, 3, 20, // Opcode: V9MOVFCCri
+/* 1880 */    MCD_OPC_FilterValue, 1, 89, 15, // Skip to: 5813
 /* 1884 */    MCD_OPC_ExtractField, 11, 2,  // Inst{12-11} ...
 /* 1887 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 1899
-/* 1891 */    MCD_OPC_CheckPredicate, 0, 77, 15, // Skip to: 5812
-/* 1895 */    MCD_OPC_Decode, 199, 2, 19, // Opcode: MOVICCri
-/* 1899 */    MCD_OPC_FilterValue, 2, 69, 15, // Skip to: 5812
-/* 1903 */    MCD_OPC_Decode, 216, 2, 19, // Opcode: MOVXCCri
+/* 1891 */    MCD_OPC_CheckPredicate, 0, 78, 15, // Skip to: 5813
+/* 1895 */    MCD_OPC_Decode, 200, 2, 19, // Opcode: MOVICCri
+/* 1899 */    MCD_OPC_FilterValue, 2, 70, 15, // Skip to: 5813
+/* 1903 */    MCD_OPC_Decode, 217, 2, 19, // Opcode: MOVXCCri
 /* 1907 */    MCD_OPC_FilterValue, 45, 25, 0, // Skip to: 1936
 /* 1911 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 1914 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1928
-/* 1918 */    MCD_OPC_CheckField, 5, 8, 0, 48, 15, // Skip to: 5812
-/* 1924 */    MCD_OPC_Decode, 248, 2, 10, // Opcode: SDIVXrr
-/* 1928 */    MCD_OPC_FilterValue, 1, 40, 15, // Skip to: 5812
-/* 1932 */    MCD_OPC_Decode, 247, 2, 11, // Opcode: SDIVXri
+/* 1918 */    MCD_OPC_CheckField, 5, 8, 0, 49, 15, // Skip to: 5813
+/* 1924 */    MCD_OPC_Decode, 249, 2, 10, // Opcode: SDIVXrr
+/* 1928 */    MCD_OPC_FilterValue, 1, 41, 15, // Skip to: 5813
+/* 1932 */    MCD_OPC_Decode, 248, 2, 11, // Opcode: SDIVXri
 /* 1936 */    MCD_OPC_FilterValue, 46, 14, 0, // Skip to: 1954
-/* 1940 */    MCD_OPC_CheckPredicate, 0, 28, 15, // Skip to: 5812
-/* 1944 */    MCD_OPC_CheckField, 5, 14, 0, 22, 15, // Skip to: 5812
-/* 1950 */    MCD_OPC_Decode, 235, 2, 4, // Opcode: POPCrr
+/* 1940 */    MCD_OPC_CheckPredicate, 0, 29, 15, // Skip to: 5813
+/* 1944 */    MCD_OPC_CheckField, 5, 14, 0, 23, 15, // Skip to: 5813
+/* 1950 */    MCD_OPC_Decode, 236, 2, 4, // Opcode: POPCrr
 /* 1954 */    MCD_OPC_FilterValue, 47, 135, 0, // Skip to: 2093
 /* 1958 */    MCD_OPC_ExtractField, 10, 4,  // Inst{13-10} ...
 /* 1961 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 1975
-/* 1965 */    MCD_OPC_CheckField, 5, 5, 0, 1, 15, // Skip to: 5812
-/* 1971 */    MCD_OPC_Decode, 212, 2, 14, // Opcode: MOVRRZrr
+/* 1965 */    MCD_OPC_CheckField, 5, 5, 0, 2, 15, // Skip to: 5813
+/* 1971 */    MCD_OPC_Decode, 213, 2, 14, // Opcode: MOVRRZrr
 /* 1975 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 1989
-/* 1979 */    MCD_OPC_CheckField, 5, 5, 0, 243, 14, // Skip to: 5812
-/* 1985 */    MCD_OPC_Decode, 206, 2, 14, // Opcode: MOVRLEZrr
+/* 1979 */    MCD_OPC_CheckField, 5, 5, 0, 244, 14, // Skip to: 5813
+/* 1985 */    MCD_OPC_Decode, 207, 2, 14, // Opcode: MOVRLEZrr
 /* 1989 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 2003
-/* 1993 */    MCD_OPC_CheckField, 5, 5, 0, 229, 14, // Skip to: 5812
-/* 1999 */    MCD_OPC_Decode, 208, 2, 14, // Opcode: MOVRLZrr
+/* 1993 */    MCD_OPC_CheckField, 5, 5, 0, 230, 14, // Skip to: 5813
+/* 1999 */    MCD_OPC_Decode, 209, 2, 14, // Opcode: MOVRLZrr
 /* 2003 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 2017
-/* 2007 */    MCD_OPC_CheckField, 5, 5, 0, 215, 14, // Skip to: 5812
-/* 2013 */    MCD_OPC_Decode, 210, 2, 14, // Opcode: MOVRNZrr
+/* 2007 */    MCD_OPC_CheckField, 5, 5, 0, 216, 14, // Skip to: 5813
+/* 2013 */    MCD_OPC_Decode, 211, 2, 14, // Opcode: MOVRNZrr
 /* 2017 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 2031
-/* 2021 */    MCD_OPC_CheckField, 5, 5, 0, 201, 14, // Skip to: 5812
-/* 2027 */    MCD_OPC_Decode, 204, 2, 14, // Opcode: MOVRGZrr
+/* 2021 */    MCD_OPC_CheckField, 5, 5, 0, 202, 14, // Skip to: 5813
+/* 2027 */    MCD_OPC_Decode, 205, 2, 14, // Opcode: MOVRGZrr
 /* 2031 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 2045
-/* 2035 */    MCD_OPC_CheckField, 5, 5, 0, 187, 14, // Skip to: 5812
-/* 2041 */    MCD_OPC_Decode, 202, 2, 14, // Opcode: MOVRGEZrr
+/* 2035 */    MCD_OPC_CheckField, 5, 5, 0, 188, 14, // Skip to: 5813
+/* 2041 */    MCD_OPC_Decode, 203, 2, 14, // Opcode: MOVRGEZrr
 /* 2045 */    MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 2053
-/* 2049 */    MCD_OPC_Decode, 211, 2, 21, // Opcode: MOVRRZri
+/* 2049 */    MCD_OPC_Decode, 212, 2, 21, // Opcode: MOVRRZri
 /* 2053 */    MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 2061
-/* 2057 */    MCD_OPC_Decode, 205, 2, 21, // Opcode: MOVRLEZri
+/* 2057 */    MCD_OPC_Decode, 206, 2, 21, // Opcode: MOVRLEZri
 /* 2061 */    MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 2069
-/* 2065 */    MCD_OPC_Decode, 207, 2, 21, // Opcode: MOVRLZri
+/* 2065 */    MCD_OPC_Decode, 208, 2, 21, // Opcode: MOVRLZri
 /* 2069 */    MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 2077
-/* 2073 */    MCD_OPC_Decode, 209, 2, 21, // Opcode: MOVRNZri
+/* 2073 */    MCD_OPC_Decode, 210, 2, 21, // Opcode: MOVRNZri
 /* 2077 */    MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 2085
-/* 2081 */    MCD_OPC_Decode, 203, 2, 21, // Opcode: MOVRGZri
-/* 2085 */    MCD_OPC_FilterValue, 15, 139, 14, // Skip to: 5812
-/* 2089 */    MCD_OPC_Decode, 201, 2, 21, // Opcode: MOVRGEZri
+/* 2081 */    MCD_OPC_Decode, 204, 2, 21, // Opcode: MOVRGZri
+/* 2085 */    MCD_OPC_FilterValue, 15, 140, 14, // Skip to: 5813
+/* 2089 */    MCD_OPC_Decode, 202, 2, 21, // Opcode: MOVRGEZri
 /* 2093 */    MCD_OPC_FilterValue, 48, 37, 0, // Skip to: 2134
 /* 2097 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 2100 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 2120
-/* 2104 */    MCD_OPC_CheckField, 25, 5, 0, 118, 14, // Skip to: 5812
-/* 2110 */    MCD_OPC_CheckField, 5, 8, 0, 112, 14, // Skip to: 5812
-/* 2116 */    MCD_OPC_Decode, 221, 3, 12, // Opcode: WRYrr
-/* 2120 */    MCD_OPC_FilterValue, 1, 104, 14, // Skip to: 5812
-/* 2124 */    MCD_OPC_CheckField, 25, 5, 0, 98, 14, // Skip to: 5812
-/* 2130 */    MCD_OPC_Decode, 220, 3, 13, // Opcode: WRYri
+/* 2104 */    MCD_OPC_CheckField, 25, 5, 0, 119, 14, // Skip to: 5813
+/* 2110 */    MCD_OPC_CheckField, 5, 8, 0, 113, 14, // Skip to: 5813
+/* 2116 */    MCD_OPC_Decode, 222, 3, 12, // Opcode: WRYrr
+/* 2120 */    MCD_OPC_FilterValue, 1, 105, 14, // Skip to: 5813
+/* 2124 */    MCD_OPC_CheckField, 25, 5, 0, 99, 14, // Skip to: 5813
+/* 2130 */    MCD_OPC_Decode, 221, 3, 13, // Opcode: WRYri
 /* 2134 */    MCD_OPC_FilterValue, 52, 197, 2, // Skip to: 2847
 /* 2138 */    MCD_OPC_ExtractField, 5, 9,  // Inst{13-5} ...
 /* 2141 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 2155
-/* 2145 */    MCD_OPC_CheckField, 14, 5, 0, 77, 14, // Skip to: 5812
-/* 2151 */    MCD_OPC_Decode, 208, 1, 22, // Opcode: FMOVS
+/* 2145 */    MCD_OPC_CheckField, 14, 5, 0, 78, 14, // Skip to: 5813
+/* 2151 */    MCD_OPC_Decode, 209, 1, 22, // Opcode: FMOVS
 /* 2155 */    MCD_OPC_FilterValue, 2, 14, 0, // Skip to: 2173
-/* 2159 */    MCD_OPC_CheckPredicate, 0, 65, 14, // Skip to: 5812
-/* 2163 */    MCD_OPC_CheckField, 14, 5, 0, 59, 14, // Skip to: 5812
-/* 2169 */    MCD_OPC_Decode, 182, 1, 23, // Opcode: FMOVD
+/* 2159 */    MCD_OPC_CheckPredicate, 0, 66, 14, // Skip to: 5813
+/* 2163 */    MCD_OPC_CheckField, 14, 5, 0, 60, 14, // Skip to: 5813
+/* 2169 */    MCD_OPC_Decode, 183, 1, 23, // Opcode: FMOVD
 /* 2173 */    MCD_OPC_FilterValue, 3, 14, 0, // Skip to: 2191
-/* 2177 */    MCD_OPC_CheckPredicate, 0, 47, 14, // Skip to: 5812
-/* 2181 */    MCD_OPC_CheckField, 14, 5, 0, 41, 14, // Skip to: 5812
-/* 2187 */    MCD_OPC_Decode, 186, 1, 24, // Opcode: FMOVQ
+/* 2177 */    MCD_OPC_CheckPredicate, 0, 48, 14, // Skip to: 5813
+/* 2181 */    MCD_OPC_CheckField, 14, 5, 0, 42, 14, // Skip to: 5813
+/* 2187 */    MCD_OPC_Decode, 187, 1, 24, // Opcode: FMOVQ
 /* 2191 */    MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 2205
-/* 2195 */    MCD_OPC_CheckField, 14, 5, 0, 27, 14, // Skip to: 5812
-/* 2201 */    MCD_OPC_Decode, 228, 1, 22, // Opcode: FNEGS
+/* 2195 */    MCD_OPC_CheckField, 14, 5, 0, 28, 14, // Skip to: 5813
+/* 2201 */    MCD_OPC_Decode, 229, 1, 22, // Opcode: FNEGS
 /* 2205 */    MCD_OPC_FilterValue, 6, 14, 0, // Skip to: 2223
-/* 2209 */    MCD_OPC_CheckPredicate, 0, 15, 14, // Skip to: 5812
-/* 2213 */    MCD_OPC_CheckField, 14, 5, 0, 9, 14, // Skip to: 5812
-/* 2219 */    MCD_OPC_Decode, 226, 1, 23, // Opcode: FNEGD
+/* 2209 */    MCD_OPC_CheckPredicate, 0, 16, 14, // Skip to: 5813
+/* 2213 */    MCD_OPC_CheckField, 14, 5, 0, 10, 14, // Skip to: 5813
+/* 2219 */    MCD_OPC_Decode, 227, 1, 23, // Opcode: FNEGD
 /* 2223 */    MCD_OPC_FilterValue, 7, 14, 0, // Skip to: 2241
-/* 2227 */    MCD_OPC_CheckPredicate, 0, 253, 13, // Skip to: 5812
-/* 2231 */    MCD_OPC_CheckField, 14, 5, 0, 247, 13, // Skip to: 5812
-/* 2237 */    MCD_OPC_Decode, 227, 1, 24, // Opcode: FNEGQ
+/* 2227 */    MCD_OPC_CheckPredicate, 0, 254, 13, // Skip to: 5813
+/* 2231 */    MCD_OPC_CheckField, 14, 5, 0, 248, 13, // Skip to: 5813
+/* 2237 */    MCD_OPC_Decode, 228, 1, 24, // Opcode: FNEGQ
 /* 2241 */    MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 2255
-/* 2245 */    MCD_OPC_CheckField, 14, 5, 0, 233, 13, // Skip to: 5812
-/* 2251 */    MCD_OPC_Decode, 137, 1, 22, // Opcode: FABSS
+/* 2245 */    MCD_OPC_CheckField, 14, 5, 0, 234, 13, // Skip to: 5813
+/* 2251 */    MCD_OPC_Decode, 138, 1, 22, // Opcode: FABSS
 /* 2255 */    MCD_OPC_FilterValue, 10, 14, 0, // Skip to: 2273
-/* 2259 */    MCD_OPC_CheckPredicate, 0, 221, 13, // Skip to: 5812
-/* 2263 */    MCD_OPC_CheckField, 14, 5, 0, 215, 13, // Skip to: 5812
-/* 2269 */    MCD_OPC_Decode, 135, 1, 23, // Opcode: FABSD
+/* 2259 */    MCD_OPC_CheckPredicate, 0, 222, 13, // Skip to: 5813
+/* 2263 */    MCD_OPC_CheckField, 14, 5, 0, 216, 13, // Skip to: 5813
+/* 2269 */    MCD_OPC_Decode, 136, 1, 23, // Opcode: FABSD
 /* 2273 */    MCD_OPC_FilterValue, 11, 14, 0, // Skip to: 2291
-/* 2277 */    MCD_OPC_CheckPredicate, 0, 203, 13, // Skip to: 5812
-/* 2281 */    MCD_OPC_CheckField, 14, 5, 0, 197, 13, // Skip to: 5812
-/* 2287 */    MCD_OPC_Decode, 136, 1, 24, // Opcode: FABSQ
+/* 2277 */    MCD_OPC_CheckPredicate, 0, 204, 13, // Skip to: 5813
+/* 2281 */    MCD_OPC_CheckField, 14, 5, 0, 198, 13, // Skip to: 5813
+/* 2287 */    MCD_OPC_Decode, 137, 1, 24, // Opcode: FABSQ
 /* 2291 */    MCD_OPC_FilterValue, 41, 10, 0, // Skip to: 2305
-/* 2295 */    MCD_OPC_CheckField, 14, 5, 0, 183, 13, // Skip to: 5812
-/* 2301 */    MCD_OPC_Decode, 144, 2, 22, // Opcode: FSQRTS
+/* 2295 */    MCD_OPC_CheckField, 14, 5, 0, 184, 13, // Skip to: 5813
+/* 2301 */    MCD_OPC_Decode, 145, 2, 22, // Opcode: FSQRTS
 /* 2305 */    MCD_OPC_FilterValue, 42, 10, 0, // Skip to: 2319
-/* 2309 */    MCD_OPC_CheckField, 14, 5, 0, 169, 13, // Skip to: 5812
-/* 2315 */    MCD_OPC_Decode, 142, 2, 23, // Opcode: FSQRTD
+/* 2309 */    MCD_OPC_CheckField, 14, 5, 0, 170, 13, // Skip to: 5813
+/* 2315 */    MCD_OPC_Decode, 143, 2, 23, // Opcode: FSQRTD
 /* 2319 */    MCD_OPC_FilterValue, 43, 10, 0, // Skip to: 2333
-/* 2323 */    MCD_OPC_CheckField, 14, 5, 0, 155, 13, // Skip to: 5812
-/* 2329 */    MCD_OPC_Decode, 143, 2, 24, // Opcode: FSQRTQ
+/* 2323 */    MCD_OPC_CheckField, 14, 5, 0, 156, 13, // Skip to: 5813
+/* 2329 */    MCD_OPC_Decode, 144, 2, 24, // Opcode: FSQRTQ
 /* 2333 */    MCD_OPC_FilterValue, 65, 4, 0, // Skip to: 2341
-/* 2337 */    MCD_OPC_Decode, 140, 1, 25, // Opcode: FADDS
+/* 2337 */    MCD_OPC_Decode, 141, 1, 25, // Opcode: FADDS
 /* 2341 */    MCD_OPC_FilterValue, 66, 4, 0, // Skip to: 2349
-/* 2345 */    MCD_OPC_Decode, 138, 1, 26, // Opcode: FADDD
+/* 2345 */    MCD_OPC_Decode, 139, 1, 26, // Opcode: FADDD
 /* 2349 */    MCD_OPC_FilterValue, 67, 4, 0, // Skip to: 2357
-/* 2353 */    MCD_OPC_Decode, 139, 1, 27, // Opcode: FADDQ
+/* 2353 */    MCD_OPC_Decode, 140, 1, 27, // Opcode: FADDQ
 /* 2357 */    MCD_OPC_FilterValue, 69, 4, 0, // Skip to: 2365
-/* 2361 */    MCD_OPC_Decode, 159, 2, 25, // Opcode: FSUBS
+/* 2361 */    MCD_OPC_Decode, 160, 2, 25, // Opcode: FSUBS
 /* 2365 */    MCD_OPC_FilterValue, 70, 4, 0, // Skip to: 2373
-/* 2369 */    MCD_OPC_Decode, 157, 2, 26, // Opcode: FSUBD
+/* 2369 */    MCD_OPC_Decode, 158, 2, 26, // Opcode: FSUBD
 /* 2373 */    MCD_OPC_FilterValue, 71, 4, 0, // Skip to: 2381
-/* 2377 */    MCD_OPC_Decode, 158, 2, 27, // Opcode: FSUBQ
+/* 2377 */    MCD_OPC_Decode, 159, 2, 27, // Opcode: FSUBQ
 /* 2381 */    MCD_OPC_FilterValue, 73, 4, 0, // Skip to: 2389
-/* 2385 */    MCD_OPC_Decode, 221, 1, 25, // Opcode: FMULS
+/* 2385 */    MCD_OPC_Decode, 222, 1, 25, // Opcode: FMULS
 /* 2389 */    MCD_OPC_FilterValue, 74, 4, 0, // Skip to: 2397
-/* 2393 */    MCD_OPC_Decode, 217, 1, 26, // Opcode: FMULD
+/* 2393 */    MCD_OPC_Decode, 218, 1, 26, // Opcode: FMULD
 /* 2397 */    MCD_OPC_FilterValue, 75, 4, 0, // Skip to: 2405
-/* 2401 */    MCD_OPC_Decode, 220, 1, 27, // Opcode: FMULQ
+/* 2401 */    MCD_OPC_Decode, 221, 1, 27, // Opcode: FMULQ
 /* 2405 */    MCD_OPC_FilterValue, 77, 4, 0, // Skip to: 2413
-/* 2409 */    MCD_OPC_Decode, 164, 1, 25, // Opcode: FDIVS
+/* 2409 */    MCD_OPC_Decode, 165, 1, 25, // Opcode: FDIVS
 /* 2413 */    MCD_OPC_FilterValue, 78, 4, 0, // Skip to: 2421
-/* 2417 */    MCD_OPC_Decode, 162, 1, 26, // Opcode: FDIVD
+/* 2417 */    MCD_OPC_Decode, 163, 1, 26, // Opcode: FDIVD
 /* 2421 */    MCD_OPC_FilterValue, 79, 4, 0, // Skip to: 2429
-/* 2425 */    MCD_OPC_Decode, 163, 1, 27, // Opcode: FDIVQ
+/* 2425 */    MCD_OPC_Decode, 164, 1, 27, // Opcode: FDIVQ
 /* 2429 */    MCD_OPC_FilterValue, 81, 8, 0, // Skip to: 2441
-/* 2433 */    MCD_OPC_CheckPredicate, 1, 47, 13, // Skip to: 5812
-/* 2437 */    MCD_OPC_Decode, 223, 1, 26, // Opcode: FNADDS
+/* 2433 */    MCD_OPC_CheckPredicate, 1, 48, 13, // Skip to: 5813
+/* 2437 */    MCD_OPC_Decode, 224, 1, 26, // Opcode: FNADDS
 /* 2441 */    MCD_OPC_FilterValue, 82, 8, 0, // Skip to: 2453
-/* 2445 */    MCD_OPC_CheckPredicate, 1, 35, 13, // Skip to: 5812
-/* 2449 */    MCD_OPC_Decode, 222, 1, 26, // Opcode: FNADDD
+/* 2445 */    MCD_OPC_CheckPredicate, 1, 36, 13, // Skip to: 5813
+/* 2449 */    MCD_OPC_Decode, 223, 1, 26, // Opcode: FNADDD
 /* 2453 */    MCD_OPC_FilterValue, 89, 8, 0, // Skip to: 2465
-/* 2457 */    MCD_OPC_CheckPredicate, 1, 23, 13, // Skip to: 5812
-/* 2461 */    MCD_OPC_Decode, 232, 1, 26, // Opcode: FNMULS
+/* 2457 */    MCD_OPC_CheckPredicate, 1, 24, 13, // Skip to: 5813
+/* 2461 */    MCD_OPC_Decode, 233, 1, 26, // Opcode: FNMULS
 /* 2465 */    MCD_OPC_FilterValue, 90, 8, 0, // Skip to: 2477
-/* 2469 */    MCD_OPC_CheckPredicate, 1, 11, 13, // Skip to: 5812
-/* 2473 */    MCD_OPC_Decode, 231, 1, 26, // Opcode: FNMULD
+/* 2469 */    MCD_OPC_CheckPredicate, 1, 12, 13, // Skip to: 5813
+/* 2473 */    MCD_OPC_Decode, 232, 1, 26, // Opcode: FNMULD
 /* 2477 */    MCD_OPC_FilterValue, 97, 8, 0, // Skip to: 2489
-/* 2481 */    MCD_OPC_CheckPredicate, 1, 255, 12, // Skip to: 5812
-/* 2485 */    MCD_OPC_Decode, 172, 1, 26, // Opcode: FHADDS
+/* 2481 */    MCD_OPC_CheckPredicate, 1, 0, 13, // Skip to: 5813
+/* 2485 */    MCD_OPC_Decode, 173, 1, 26, // Opcode: FHADDS
 /* 2489 */    MCD_OPC_FilterValue, 98, 8, 0, // Skip to: 2501
-/* 2493 */    MCD_OPC_CheckPredicate, 1, 243, 12, // Skip to: 5812
-/* 2497 */    MCD_OPC_Decode, 171, 1, 26, // Opcode: FHADDD
+/* 2493 */    MCD_OPC_CheckPredicate, 1, 244, 12, // Skip to: 5813
+/* 2497 */    MCD_OPC_Decode, 172, 1, 26, // Opcode: FHADDD
 /* 2501 */    MCD_OPC_FilterValue, 101, 8, 0, // Skip to: 2513
-/* 2505 */    MCD_OPC_CheckPredicate, 1, 231, 12, // Skip to: 5812
-/* 2509 */    MCD_OPC_Decode, 174, 1, 26, // Opcode: FHSUBS
+/* 2505 */    MCD_OPC_CheckPredicate, 1, 232, 12, // Skip to: 5813
+/* 2509 */    MCD_OPC_Decode, 175, 1, 26, // Opcode: FHSUBS
 /* 2513 */    MCD_OPC_FilterValue, 102, 8, 0, // Skip to: 2525
-/* 2517 */    MCD_OPC_CheckPredicate, 1, 219, 12, // Skip to: 5812
-/* 2521 */    MCD_OPC_Decode, 173, 1, 26, // Opcode: FHSUBD
+/* 2517 */    MCD_OPC_CheckPredicate, 1, 220, 12, // Skip to: 5813
+/* 2521 */    MCD_OPC_Decode, 174, 1, 26, // Opcode: FHSUBD
 /* 2525 */    MCD_OPC_FilterValue, 105, 4, 0, // Skip to: 2533
-/* 2529 */    MCD_OPC_Decode, 141, 2, 28, // Opcode: FSMULD
+/* 2529 */    MCD_OPC_Decode, 142, 2, 28, // Opcode: FSMULD
 /* 2533 */    MCD_OPC_FilterValue, 110, 4, 0, // Skip to: 2541
-/* 2537 */    MCD_OPC_Decode, 165, 1, 29, // Opcode: FDMULQ
+/* 2537 */    MCD_OPC_Decode, 166, 1, 29, // Opcode: FDMULQ
 /* 2541 */    MCD_OPC_FilterValue, 113, 8, 0, // Skip to: 2553
-/* 2545 */    MCD_OPC_CheckPredicate, 1, 191, 12, // Skip to: 5812
-/* 2549 */    MCD_OPC_Decode, 230, 1, 26, // Opcode: FNHADDS
+/* 2545 */    MCD_OPC_CheckPredicate, 1, 192, 12, // Skip to: 5813
+/* 2549 */    MCD_OPC_Decode, 231, 1, 26, // Opcode: FNHADDS
 /* 2553 */    MCD_OPC_FilterValue, 114, 8, 0, // Skip to: 2565
-/* 2557 */    MCD_OPC_CheckPredicate, 1, 179, 12, // Skip to: 5812
-/* 2561 */    MCD_OPC_Decode, 229, 1, 26, // Opcode: FNHADDD
+/* 2557 */    MCD_OPC_CheckPredicate, 1, 180, 12, // Skip to: 5813
+/* 2561 */    MCD_OPC_Decode, 230, 1, 26, // Opcode: FNHADDD
 /* 2565 */    MCD_OPC_FilterValue, 121, 8, 0, // Skip to: 2577
-/* 2569 */    MCD_OPC_CheckPredicate, 1, 167, 12, // Skip to: 5812
-/* 2573 */    MCD_OPC_Decode, 239, 1, 26, // Opcode: FNSMULD
+/* 2569 */    MCD_OPC_CheckPredicate, 1, 168, 12, // Skip to: 5813
+/* 2573 */    MCD_OPC_Decode, 240, 1, 26, // Opcode: FNSMULD
 /* 2577 */    MCD_OPC_FilterValue, 129, 1, 10, 0, // Skip to: 2592
-/* 2582 */    MCD_OPC_CheckField, 14, 5, 0, 152, 12, // Skip to: 5812
-/* 2588 */    MCD_OPC_Decode, 156, 2, 30, // Opcode: FSTOX
+/* 2582 */    MCD_OPC_CheckField, 14, 5, 0, 153, 12, // Skip to: 5813
+/* 2588 */    MCD_OPC_Decode, 157, 2, 30, // Opcode: FSTOX
 /* 2592 */    MCD_OPC_FilterValue, 130, 1, 10, 0, // Skip to: 2607
-/* 2597 */    MCD_OPC_CheckField, 14, 5, 0, 137, 12, // Skip to: 5812
-/* 2603 */    MCD_OPC_Decode, 169, 1, 23, // Opcode: FDTOX
+/* 2597 */    MCD_OPC_CheckField, 14, 5, 0, 138, 12, // Skip to: 5813
+/* 2603 */    MCD_OPC_Decode, 170, 1, 23, // Opcode: FDTOX
 /* 2607 */    MCD_OPC_FilterValue, 131, 1, 10, 0, // Skip to: 2622
-/* 2612 */    MCD_OPC_CheckField, 14, 5, 0, 122, 12, // Skip to: 5812
-/* 2618 */    MCD_OPC_Decode, 136, 2, 31, // Opcode: FQTOX
+/* 2612 */    MCD_OPC_CheckField, 14, 5, 0, 123, 12, // Skip to: 5813
+/* 2618 */    MCD_OPC_Decode, 137, 2, 31, // Opcode: FQTOX
 /* 2622 */    MCD_OPC_FilterValue, 132, 1, 10, 0, // Skip to: 2637
-/* 2627 */    MCD_OPC_CheckField, 14, 5, 0, 107, 12, // Skip to: 5812
-/* 2633 */    MCD_OPC_Decode, 166, 2, 32, // Opcode: FXTOS
+/* 2627 */    MCD_OPC_CheckField, 14, 5, 0, 108, 12, // Skip to: 5813
+/* 2633 */    MCD_OPC_Decode, 167, 2, 32, // Opcode: FXTOS
 /* 2637 */    MCD_OPC_FilterValue, 136, 1, 10, 0, // Skip to: 2652
-/* 2642 */    MCD_OPC_CheckField, 14, 5, 0, 92, 12, // Skip to: 5812
-/* 2648 */    MCD_OPC_Decode, 164, 2, 23, // Opcode: FXTOD
+/* 2642 */    MCD_OPC_CheckField, 14, 5, 0, 93, 12, // Skip to: 5813
+/* 2648 */    MCD_OPC_Decode, 165, 2, 23, // Opcode: FXTOD
 /* 2652 */    MCD_OPC_FilterValue, 140, 1, 10, 0, // Skip to: 2667
-/* 2657 */    MCD_OPC_CheckField, 14, 5, 0, 77, 12, // Skip to: 5812
-/* 2663 */    MCD_OPC_Decode, 165, 2, 33, // Opcode: FXTOQ
+/* 2657 */    MCD_OPC_CheckField, 14, 5, 0, 78, 12, // Skip to: 5813
+/* 2663 */    MCD_OPC_Decode, 166, 2, 33, // Opcode: FXTOQ
 /* 2667 */    MCD_OPC_FilterValue, 196, 1, 10, 0, // Skip to: 2682
-/* 2672 */    MCD_OPC_CheckField, 14, 5, 0, 62, 12, // Skip to: 5812
-/* 2678 */    MCD_OPC_Decode, 177, 1, 22, // Opcode: FITOS
+/* 2672 */    MCD_OPC_CheckField, 14, 5, 0, 63, 12, // Skip to: 5813
+/* 2678 */    MCD_OPC_Decode, 178, 1, 22, // Opcode: FITOS
 /* 2682 */    MCD_OPC_FilterValue, 198, 1, 10, 0, // Skip to: 2697
-/* 2687 */    MCD_OPC_CheckField, 14, 5, 0, 47, 12, // Skip to: 5812
-/* 2693 */    MCD_OPC_Decode, 168, 1, 32, // Opcode: FDTOS
+/* 2687 */    MCD_OPC_CheckField, 14, 5, 0, 48, 12, // Skip to: 5813
+/* 2693 */    MCD_OPC_Decode, 169, 1, 32, // Opcode: FDTOS
 /* 2697 */    MCD_OPC_FilterValue, 199, 1, 10, 0, // Skip to: 2712
-/* 2702 */    MCD_OPC_CheckField, 14, 5, 0, 32, 12, // Skip to: 5812
-/* 2708 */    MCD_OPC_Decode, 135, 2, 34, // Opcode: FQTOS
+/* 2702 */    MCD_OPC_CheckField, 14, 5, 0, 33, 12, // Skip to: 5813
+/* 2708 */    MCD_OPC_Decode, 136, 2, 34, // Opcode: FQTOS
 /* 2712 */    MCD_OPC_FilterValue, 200, 1, 10, 0, // Skip to: 2727
-/* 2717 */    MCD_OPC_CheckField, 14, 5, 0, 17, 12, // Skip to: 5812
-/* 2723 */    MCD_OPC_Decode, 175, 1, 30, // Opcode: FITOD
+/* 2717 */    MCD_OPC_CheckField, 14, 5, 0, 18, 12, // Skip to: 5813
+/* 2723 */    MCD_OPC_Decode, 176, 1, 30, // Opcode: FITOD
 /* 2727 */    MCD_OPC_FilterValue, 201, 1, 10, 0, // Skip to: 2742
-/* 2732 */    MCD_OPC_CheckField, 14, 5, 0, 2, 12, // Skip to: 5812
-/* 2738 */    MCD_OPC_Decode, 153, 2, 30, // Opcode: FSTOD
+/* 2732 */    MCD_OPC_CheckField, 14, 5, 0, 3, 12, // Skip to: 5813
+/* 2738 */    MCD_OPC_Decode, 154, 2, 30, // Opcode: FSTOD
 /* 2742 */    MCD_OPC_FilterValue, 203, 1, 10, 0, // Skip to: 2757
-/* 2747 */    MCD_OPC_CheckField, 14, 5, 0, 243, 11, // Skip to: 5812
-/* 2753 */    MCD_OPC_Decode, 133, 2, 31, // Opcode: FQTOD
+/* 2747 */    MCD_OPC_CheckField, 14, 5, 0, 244, 11, // Skip to: 5813
+/* 2753 */    MCD_OPC_Decode, 134, 2, 31, // Opcode: FQTOD
 /* 2757 */    MCD_OPC_FilterValue, 204, 1, 10, 0, // Skip to: 2772
-/* 2762 */    MCD_OPC_CheckField, 14, 5, 0, 228, 11, // Skip to: 5812
-/* 2768 */    MCD_OPC_Decode, 176, 1, 35, // Opcode: FITOQ
+/* 2762 */    MCD_OPC_CheckField, 14, 5, 0, 229, 11, // Skip to: 5813
+/* 2768 */    MCD_OPC_Decode, 177, 1, 35, // Opcode: FITOQ
 /* 2772 */    MCD_OPC_FilterValue, 205, 1, 10, 0, // Skip to: 2787
-/* 2777 */    MCD_OPC_CheckField, 14, 5, 0, 213, 11, // Skip to: 5812
-/* 2783 */    MCD_OPC_Decode, 155, 2, 35, // Opcode: FSTOQ
+/* 2777 */    MCD_OPC_CheckField, 14, 5, 0, 214, 11, // Skip to: 5813
+/* 2783 */    MCD_OPC_Decode, 156, 2, 35, // Opcode: FSTOQ
 /* 2787 */    MCD_OPC_FilterValue, 206, 1, 10, 0, // Skip to: 2802
-/* 2792 */    MCD_OPC_CheckField, 14, 5, 0, 198, 11, // Skip to: 5812
-/* 2798 */    MCD_OPC_Decode, 167, 1, 33, // Opcode: FDTOQ
+/* 2792 */    MCD_OPC_CheckField, 14, 5, 0, 199, 11, // Skip to: 5813
+/* 2798 */    MCD_OPC_Decode, 168, 1, 33, // Opcode: FDTOQ
 /* 2802 */    MCD_OPC_FilterValue, 209, 1, 10, 0, // Skip to: 2817
-/* 2807 */    MCD_OPC_CheckField, 14, 5, 0, 183, 11, // Skip to: 5812
-/* 2813 */    MCD_OPC_Decode, 154, 2, 22, // Opcode: FSTOI
+/* 2807 */    MCD_OPC_CheckField, 14, 5, 0, 184, 11, // Skip to: 5813
+/* 2813 */    MCD_OPC_Decode, 155, 2, 22, // Opcode: FSTOI
 /* 2817 */    MCD_OPC_FilterValue, 210, 1, 10, 0, // Skip to: 2832
-/* 2822 */    MCD_OPC_CheckField, 14, 5, 0, 168, 11, // Skip to: 5812
-/* 2828 */    MCD_OPC_Decode, 166, 1, 32, // Opcode: FDTOI
-/* 2832 */    MCD_OPC_FilterValue, 211, 1, 159, 11, // Skip to: 5812
-/* 2837 */    MCD_OPC_CheckField, 14, 5, 0, 153, 11, // Skip to: 5812
-/* 2843 */    MCD_OPC_Decode, 134, 2, 34, // Opcode: FQTOI
+/* 2822 */    MCD_OPC_CheckField, 14, 5, 0, 169, 11, // Skip to: 5813
+/* 2828 */    MCD_OPC_Decode, 167, 1, 32, // Opcode: FDTOI
+/* 2832 */    MCD_OPC_FilterValue, 211, 1, 160, 11, // Skip to: 5813
+/* 2837 */    MCD_OPC_CheckField, 14, 5, 0, 154, 11, // Skip to: 5813
+/* 2843 */    MCD_OPC_Decode, 135, 2, 34, // Opcode: FQTOI
 /* 2847 */    MCD_OPC_FilterValue, 53, 70, 2, // Skip to: 3433
 /* 2851 */    MCD_OPC_ExtractField, 5, 6,  // Inst{10-5} ...
 /* 2854 */    MCD_OPC_FilterValue, 1, 75, 0, // Skip to: 2933
 /* 2858 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 2861 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 2894
 /* 2865 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 2868 */    MCD_OPC_FilterValue, 0, 124, 11, // Skip to: 5812
+/* 2868 */    MCD_OPC_FilterValue, 0, 125, 11, // Skip to: 5813
 /* 2872 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 2886
 /* 2876 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2886
-/* 2882 */    MCD_OPC_Decode, 209, 1, 36, // Opcode: FMOVS_FCC
-/* 2886 */    MCD_OPC_CheckPredicate, 0, 106, 11, // Skip to: 5812
-/* 2890 */    MCD_OPC_Decode, 217, 3, 37, // Opcode: V9FMOVS_FCC
-/* 2894 */    MCD_OPC_FilterValue, 1, 98, 11, // Skip to: 5812
+/* 2882 */    MCD_OPC_Decode, 210, 1, 36, // Opcode: FMOVS_FCC
+/* 2886 */    MCD_OPC_CheckPredicate, 0, 107, 11, // Skip to: 5813
+/* 2890 */    MCD_OPC_Decode, 218, 3, 37, // Opcode: V9FMOVS_FCC
+/* 2894 */    MCD_OPC_FilterValue, 1, 99, 11, // Skip to: 5813
 /* 2898 */    MCD_OPC_ExtractField, 11, 2,  // Inst{12-11} ...
 /* 2901 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2919
-/* 2905 */    MCD_OPC_CheckPredicate, 0, 87, 11, // Skip to: 5812
-/* 2909 */    MCD_OPC_CheckField, 18, 1, 0, 81, 11, // Skip to: 5812
-/* 2915 */    MCD_OPC_Decode, 210, 1, 36, // Opcode: FMOVS_ICC
-/* 2919 */    MCD_OPC_FilterValue, 2, 73, 11, // Skip to: 5812
-/* 2923 */    MCD_OPC_CheckField, 18, 1, 0, 67, 11, // Skip to: 5812
-/* 2929 */    MCD_OPC_Decode, 211, 1, 36, // Opcode: FMOVS_XCC
+/* 2905 */    MCD_OPC_CheckPredicate, 0, 88, 11, // Skip to: 5813
+/* 2909 */    MCD_OPC_CheckField, 18, 1, 0, 82, 11, // Skip to: 5813
+/* 2915 */    MCD_OPC_Decode, 211, 1, 36, // Opcode: FMOVS_ICC
+/* 2919 */    MCD_OPC_FilterValue, 2, 74, 11, // Skip to: 5813
+/* 2923 */    MCD_OPC_CheckField, 18, 1, 0, 68, 11, // Skip to: 5813
+/* 2929 */    MCD_OPC_Decode, 212, 1, 36, // Opcode: FMOVS_XCC
 /* 2933 */    MCD_OPC_FilterValue, 2, 75, 0, // Skip to: 3012
 /* 2937 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 2940 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 2973
 /* 2944 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 2947 */    MCD_OPC_FilterValue, 0, 45, 11, // Skip to: 5812
+/* 2947 */    MCD_OPC_FilterValue, 0, 46, 11, // Skip to: 5813
 /* 2951 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 2965
 /* 2955 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 2965
-/* 2961 */    MCD_OPC_Decode, 183, 1, 38, // Opcode: FMOVD_FCC
-/* 2965 */    MCD_OPC_CheckPredicate, 0, 27, 11, // Skip to: 5812
-/* 2969 */    MCD_OPC_Decode, 215, 3, 39, // Opcode: V9FMOVD_FCC
-/* 2973 */    MCD_OPC_FilterValue, 1, 19, 11, // Skip to: 5812
+/* 2961 */    MCD_OPC_Decode, 184, 1, 38, // Opcode: FMOVD_FCC
+/* 2965 */    MCD_OPC_CheckPredicate, 0, 28, 11, // Skip to: 5813
+/* 2969 */    MCD_OPC_Decode, 216, 3, 39, // Opcode: V9FMOVD_FCC
+/* 2973 */    MCD_OPC_FilterValue, 1, 20, 11, // Skip to: 5813
 /* 2977 */    MCD_OPC_ExtractField, 11, 2,  // Inst{12-11} ...
 /* 2980 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 2998
-/* 2984 */    MCD_OPC_CheckPredicate, 0, 8, 11, // Skip to: 5812
-/* 2988 */    MCD_OPC_CheckField, 18, 1, 0, 2, 11, // Skip to: 5812
-/* 2994 */    MCD_OPC_Decode, 184, 1, 38, // Opcode: FMOVD_ICC
-/* 2998 */    MCD_OPC_FilterValue, 2, 250, 10, // Skip to: 5812
-/* 3002 */    MCD_OPC_CheckField, 18, 1, 0, 244, 10, // Skip to: 5812
-/* 3008 */    MCD_OPC_Decode, 185, 1, 38, // Opcode: FMOVD_XCC
+/* 2984 */    MCD_OPC_CheckPredicate, 0, 9, 11, // Skip to: 5813
+/* 2988 */    MCD_OPC_CheckField, 18, 1, 0, 3, 11, // Skip to: 5813
+/* 2994 */    MCD_OPC_Decode, 185, 1, 38, // Opcode: FMOVD_ICC
+/* 2998 */    MCD_OPC_FilterValue, 2, 251, 10, // Skip to: 5813
+/* 3002 */    MCD_OPC_CheckField, 18, 1, 0, 245, 10, // Skip to: 5813
+/* 3008 */    MCD_OPC_Decode, 186, 1, 38, // Opcode: FMOVD_XCC
 /* 3012 */    MCD_OPC_FilterValue, 3, 75, 0, // Skip to: 3091
 /* 3016 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
 /* 3019 */    MCD_OPC_FilterValue, 0, 29, 0, // Skip to: 3052
 /* 3023 */    MCD_OPC_ExtractField, 18, 1,  // Inst{18} ...
-/* 3026 */    MCD_OPC_FilterValue, 0, 222, 10, // Skip to: 5812
+/* 3026 */    MCD_OPC_FilterValue, 0, 223, 10, // Skip to: 5813
 /* 3030 */    MCD_OPC_CheckPredicate, 0, 10, 0, // Skip to: 3044
 /* 3034 */    MCD_OPC_CheckField, 11, 2, 0, 4, 0, // Skip to: 3044
-/* 3040 */    MCD_OPC_Decode, 187, 1, 40, // Opcode: FMOVQ_FCC
-/* 3044 */    MCD_OPC_CheckPredicate, 0, 204, 10, // Skip to: 5812
-/* 3048 */    MCD_OPC_Decode, 216, 3, 41, // Opcode: V9FMOVQ_FCC
-/* 3052 */    MCD_OPC_FilterValue, 1, 196, 10, // Skip to: 5812
+/* 3040 */    MCD_OPC_Decode, 188, 1, 40, // Opcode: FMOVQ_FCC
+/* 3044 */    MCD_OPC_CheckPredicate, 0, 205, 10, // Skip to: 5813
+/* 3048 */    MCD_OPC_Decode, 217, 3, 41, // Opcode: V9FMOVQ_FCC
+/* 3052 */    MCD_OPC_FilterValue, 1, 197, 10, // Skip to: 5813
 /* 3056 */    MCD_OPC_ExtractField, 11, 2,  // Inst{12-11} ...
 /* 3059 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 3077
-/* 3063 */    MCD_OPC_CheckPredicate, 0, 185, 10, // Skip to: 5812
-/* 3067 */    MCD_OPC_CheckField, 18, 1, 0, 179, 10, // Skip to: 5812
-/* 3073 */    MCD_OPC_Decode, 188, 1, 40, // Opcode: FMOVQ_ICC
-/* 3077 */    MCD_OPC_FilterValue, 2, 171, 10, // Skip to: 5812
-/* 3081 */    MCD_OPC_CheckField, 18, 1, 0, 165, 10, // Skip to: 5812
-/* 3087 */    MCD_OPC_Decode, 189, 1, 40, // Opcode: FMOVQ_XCC
+/* 3063 */    MCD_OPC_CheckPredicate, 0, 186, 10, // Skip to: 5813
+/* 3067 */    MCD_OPC_CheckField, 18, 1, 0, 180, 10, // Skip to: 5813
+/* 3073 */    MCD_OPC_Decode, 189, 1, 40, // Opcode: FMOVQ_ICC
+/* 3077 */    MCD_OPC_FilterValue, 2, 172, 10, // Skip to: 5813
+/* 3081 */    MCD_OPC_CheckField, 18, 1, 0, 166, 10, // Skip to: 5813
+/* 3087 */    MCD_OPC_Decode, 190, 1, 40, // Opcode: FMOVQ_XCC
 /* 3091 */    MCD_OPC_FilterValue, 5, 27, 0, // Skip to: 3122
 /* 3095 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
 /* 3098 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3110
-/* 3102 */    MCD_OPC_CheckPredicate, 0, 146, 10, // Skip to: 5812
-/* 3106 */    MCD_OPC_Decode, 198, 1, 42, // Opcode: FMOVRLEZS
-/* 3110 */    MCD_OPC_FilterValue, 3, 138, 10, // Skip to: 5812
-/* 3114 */    MCD_OPC_CheckPredicate, 0, 134, 10, // Skip to: 5812
-/* 3118 */    MCD_OPC_Decode, 195, 1, 42, // Opcode: FMOVRGZS
+/* 3102 */    MCD_OPC_CheckPredicate, 0, 147, 10, // Skip to: 5813
+/* 3106 */    MCD_OPC_Decode, 199, 1, 42, // Opcode: FMOVRLEZS
+/* 3110 */    MCD_OPC_FilterValue, 3, 139, 10, // Skip to: 5813
+/* 3114 */    MCD_OPC_CheckPredicate, 0, 135, 10, // Skip to: 5813
+/* 3118 */    MCD_OPC_Decode, 196, 1, 42, // Opcode: FMOVRGZS
 /* 3122 */    MCD_OPC_FilterValue, 6, 27, 0, // Skip to: 3153
 /* 3126 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
 /* 3129 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3141
-/* 3133 */    MCD_OPC_CheckPredicate, 0, 115, 10, // Skip to: 5812
-/* 3137 */    MCD_OPC_Decode, 196, 1, 42, // Opcode: FMOVRLEZD
-/* 3141 */    MCD_OPC_FilterValue, 3, 107, 10, // Skip to: 5812
-/* 3145 */    MCD_OPC_CheckPredicate, 0, 103, 10, // Skip to: 5812
-/* 3149 */    MCD_OPC_Decode, 193, 1, 42, // Opcode: FMOVRGZD
+/* 3133 */    MCD_OPC_CheckPredicate, 0, 116, 10, // Skip to: 5813
+/* 3137 */    MCD_OPC_Decode, 197, 1, 42, // Opcode: FMOVRLEZD
+/* 3141 */    MCD_OPC_FilterValue, 3, 108, 10, // Skip to: 5813
+/* 3145 */    MCD_OPC_CheckPredicate, 0, 104, 10, // Skip to: 5813
+/* 3149 */    MCD_OPC_Decode, 194, 1, 42, // Opcode: FMOVRGZD
 /* 3153 */    MCD_OPC_FilterValue, 7, 27, 0, // Skip to: 3184
 /* 3157 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
 /* 3160 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3172
-/* 3164 */    MCD_OPC_CheckPredicate, 0, 84, 10, // Skip to: 5812
-/* 3168 */    MCD_OPC_Decode, 197, 1, 42, // Opcode: FMOVRLEZQ
-/* 3172 */    MCD_OPC_FilterValue, 3, 76, 10, // Skip to: 5812
-/* 3176 */    MCD_OPC_CheckPredicate, 0, 72, 10, // Skip to: 5812
-/* 3180 */    MCD_OPC_Decode, 194, 1, 42, // Opcode: FMOVRGZQ
+/* 3164 */    MCD_OPC_CheckPredicate, 0, 85, 10, // Skip to: 5813
+/* 3168 */    MCD_OPC_Decode, 198, 1, 42, // Opcode: FMOVRLEZQ
+/* 3172 */    MCD_OPC_FilterValue, 3, 77, 10, // Skip to: 5813
+/* 3176 */    MCD_OPC_CheckPredicate, 0, 73, 10, // Skip to: 5813
+/* 3180 */    MCD_OPC_Decode, 195, 1, 42, // Opcode: FMOVRGZQ
 /* 3184 */    MCD_OPC_FilterValue, 17, 10, 0, // Skip to: 3198
-/* 3188 */    MCD_OPC_CheckField, 11, 3, 1, 58, 10, // Skip to: 5812
-/* 3194 */    MCD_OPC_Decode, 214, 3, 43, // Opcode: V9FCMPS
+/* 3188 */    MCD_OPC_CheckField, 11, 3, 1, 59, 10, // Skip to: 5813
+/* 3194 */    MCD_OPC_Decode, 215, 3, 43, // Opcode: V9FCMPS
 /* 3198 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 3212
-/* 3202 */    MCD_OPC_CheckField, 11, 3, 1, 44, 10, // Skip to: 5812
-/* 3208 */    MCD_OPC_Decode, 209, 3, 44, // Opcode: V9FCMPD
+/* 3202 */    MCD_OPC_CheckField, 11, 3, 1, 45, 10, // Skip to: 5813
+/* 3208 */    MCD_OPC_Decode, 210, 3, 44, // Opcode: V9FCMPD
 /* 3212 */    MCD_OPC_FilterValue, 19, 10, 0, // Skip to: 3226
-/* 3216 */    MCD_OPC_CheckField, 11, 3, 1, 30, 10, // Skip to: 5812
-/* 3222 */    MCD_OPC_Decode, 213, 3, 45, // Opcode: V9FCMPQ
+/* 3216 */    MCD_OPC_CheckField, 11, 3, 1, 31, 10, // Skip to: 5813
+/* 3222 */    MCD_OPC_Decode, 214, 3, 45, // Opcode: V9FCMPQ
 /* 3226 */    MCD_OPC_FilterValue, 21, 10, 0, // Skip to: 3240
-/* 3230 */    MCD_OPC_CheckField, 11, 3, 1, 16, 10, // Skip to: 5812
-/* 3236 */    MCD_OPC_Decode, 212, 3, 43, // Opcode: V9FCMPES
+/* 3230 */    MCD_OPC_CheckField, 11, 3, 1, 17, 10, // Skip to: 5813
+/* 3236 */    MCD_OPC_Decode, 213, 3, 43, // Opcode: V9FCMPES
 /* 3240 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 3254
-/* 3244 */    MCD_OPC_CheckField, 11, 3, 1, 2, 10, // Skip to: 5812
-/* 3250 */    MCD_OPC_Decode, 210, 3, 44, // Opcode: V9FCMPED
+/* 3244 */    MCD_OPC_CheckField, 11, 3, 1, 3, 10, // Skip to: 5813
+/* 3250 */    MCD_OPC_Decode, 211, 3, 44, // Opcode: V9FCMPED
 /* 3254 */    MCD_OPC_FilterValue, 23, 10, 0, // Skip to: 3268
-/* 3258 */    MCD_OPC_CheckField, 11, 3, 1, 244, 9, // Skip to: 5812
-/* 3264 */    MCD_OPC_Decode, 211, 3, 45, // Opcode: V9FCMPEQ
+/* 3258 */    MCD_OPC_CheckField, 11, 3, 1, 245, 9, // Skip to: 5813
+/* 3264 */    MCD_OPC_Decode, 212, 3, 45, // Opcode: V9FCMPEQ
 /* 3268 */    MCD_OPC_FilterValue, 37, 51, 0, // Skip to: 3323
 /* 3272 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
 /* 3275 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3287
-/* 3279 */    MCD_OPC_CheckPredicate, 0, 225, 9, // Skip to: 5812
-/* 3283 */    MCD_OPC_Decode, 207, 1, 42, // Opcode: FMOVRZS
+/* 3279 */    MCD_OPC_CheckPredicate, 0, 226, 9, // Skip to: 5813
+/* 3283 */    MCD_OPC_Decode, 208, 1, 42, // Opcode: FMOVRZS
 /* 3287 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3299
-/* 3291 */    MCD_OPC_CheckPredicate, 0, 213, 9, // Skip to: 5812
-/* 3295 */    MCD_OPC_Decode, 201, 1, 42, // Opcode: FMOVRLZS
+/* 3291 */    MCD_OPC_CheckPredicate, 0, 214, 9, // Skip to: 5813
+/* 3295 */    MCD_OPC_Decode, 202, 1, 42, // Opcode: FMOVRLZS
 /* 3299 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3311
-/* 3303 */    MCD_OPC_CheckPredicate, 0, 201, 9, // Skip to: 5812
-/* 3307 */    MCD_OPC_Decode, 204, 1, 42, // Opcode: FMOVRNZS
-/* 3311 */    MCD_OPC_FilterValue, 3, 193, 9, // Skip to: 5812
-/* 3315 */    MCD_OPC_CheckPredicate, 0, 189, 9, // Skip to: 5812
-/* 3319 */    MCD_OPC_Decode, 192, 1, 42, // Opcode: FMOVRGEZS
+/* 3303 */    MCD_OPC_CheckPredicate, 0, 202, 9, // Skip to: 5813
+/* 3307 */    MCD_OPC_Decode, 205, 1, 42, // Opcode: FMOVRNZS
+/* 3311 */    MCD_OPC_FilterValue, 3, 194, 9, // Skip to: 5813
+/* 3315 */    MCD_OPC_CheckPredicate, 0, 190, 9, // Skip to: 5813
+/* 3319 */    MCD_OPC_Decode, 193, 1, 42, // Opcode: FMOVRGEZS
 /* 3323 */    MCD_OPC_FilterValue, 38, 51, 0, // Skip to: 3378
 /* 3327 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
 /* 3330 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3342
-/* 3334 */    MCD_OPC_CheckPredicate, 0, 170, 9, // Skip to: 5812
-/* 3338 */    MCD_OPC_Decode, 205, 1, 42, // Opcode: FMOVRZD
+/* 3334 */    MCD_OPC_CheckPredicate, 0, 171, 9, // Skip to: 5813
+/* 3338 */    MCD_OPC_Decode, 206, 1, 42, // Opcode: FMOVRZD
 /* 3342 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3354
-/* 3346 */    MCD_OPC_CheckPredicate, 0, 158, 9, // Skip to: 5812
-/* 3350 */    MCD_OPC_Decode, 199, 1, 42, // Opcode: FMOVRLZD
+/* 3346 */    MCD_OPC_CheckPredicate, 0, 159, 9, // Skip to: 5813
+/* 3350 */    MCD_OPC_Decode, 200, 1, 42, // Opcode: FMOVRLZD
 /* 3354 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3366
-/* 3358 */    MCD_OPC_CheckPredicate, 0, 146, 9, // Skip to: 5812
-/* 3362 */    MCD_OPC_Decode, 202, 1, 42, // Opcode: FMOVRNZD
-/* 3366 */    MCD_OPC_FilterValue, 3, 138, 9, // Skip to: 5812
-/* 3370 */    MCD_OPC_CheckPredicate, 0, 134, 9, // Skip to: 5812
-/* 3374 */    MCD_OPC_Decode, 190, 1, 42, // Opcode: FMOVRGEZD
-/* 3378 */    MCD_OPC_FilterValue, 39, 126, 9, // Skip to: 5812
+/* 3358 */    MCD_OPC_CheckPredicate, 0, 147, 9, // Skip to: 5813
+/* 3362 */    MCD_OPC_Decode, 203, 1, 42, // Opcode: FMOVRNZD
+/* 3366 */    MCD_OPC_FilterValue, 3, 139, 9, // Skip to: 5813
+/* 3370 */    MCD_OPC_CheckPredicate, 0, 135, 9, // Skip to: 5813
+/* 3374 */    MCD_OPC_Decode, 191, 1, 42, // Opcode: FMOVRGEZD
+/* 3378 */    MCD_OPC_FilterValue, 39, 127, 9, // Skip to: 5813
 /* 3382 */    MCD_OPC_ExtractField, 11, 3,  // Inst{13-11} ...
 /* 3385 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3397
-/* 3389 */    MCD_OPC_CheckPredicate, 0, 115, 9, // Skip to: 5812
-/* 3393 */    MCD_OPC_Decode, 206, 1, 42, // Opcode: FMOVRZQ
+/* 3389 */    MCD_OPC_CheckPredicate, 0, 116, 9, // Skip to: 5813
+/* 3393 */    MCD_OPC_Decode, 207, 1, 42, // Opcode: FMOVRZQ
 /* 3397 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3409
-/* 3401 */    MCD_OPC_CheckPredicate, 0, 103, 9, // Skip to: 5812
-/* 3405 */    MCD_OPC_Decode, 200, 1, 42, // Opcode: FMOVRLZQ
+/* 3401 */    MCD_OPC_CheckPredicate, 0, 104, 9, // Skip to: 5813
+/* 3405 */    MCD_OPC_Decode, 201, 1, 42, // Opcode: FMOVRLZQ
 /* 3409 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3421
-/* 3413 */    MCD_OPC_CheckPredicate, 0, 91, 9, // Skip to: 5812
-/* 3417 */    MCD_OPC_Decode, 203, 1, 42, // Opcode: FMOVRNZQ
-/* 3421 */    MCD_OPC_FilterValue, 3, 83, 9, // Skip to: 5812
-/* 3425 */    MCD_OPC_CheckPredicate, 0, 79, 9, // Skip to: 5812
-/* 3429 */    MCD_OPC_Decode, 191, 1, 42, // Opcode: FMOVRGEZQ
-/* 3433 */    MCD_OPC_FilterValue, 54, 15, 6, // Skip to: 4988
+/* 3413 */    MCD_OPC_CheckPredicate, 0, 92, 9, // Skip to: 5813
+/* 3417 */    MCD_OPC_Decode, 204, 1, 42, // Opcode: FMOVRNZQ
+/* 3421 */    MCD_OPC_FilterValue, 3, 84, 9, // Skip to: 5813
+/* 3425 */    MCD_OPC_CheckPredicate, 0, 80, 9, // Skip to: 5813
+/* 3429 */    MCD_OPC_Decode, 192, 1, 42, // Opcode: FMOVRGEZQ
+/* 3433 */    MCD_OPC_FilterValue, 54, 16, 6, // Skip to: 4989
 /* 3437 */    MCD_OPC_ExtractField, 5, 9,  // Inst{13-5} ...
 /* 3440 */    MCD_OPC_FilterValue, 0, 8, 0, // Skip to: 3452
-/* 3444 */    MCD_OPC_CheckPredicate, 2, 60, 9, // Skip to: 5812
-/* 3448 */    MCD_OPC_Decode, 131, 1, 10, // Opcode: EDGE8
+/* 3444 */    MCD_OPC_CheckPredicate, 2, 61, 9, // Skip to: 5813
+/* 3448 */    MCD_OPC_Decode, 132, 1, 10, // Opcode: EDGE8
 /* 3452 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 3464
-/* 3456 */    MCD_OPC_CheckPredicate, 3, 48, 9, // Skip to: 5812
-/* 3460 */    MCD_OPC_Decode, 134, 1, 10, // Opcode: EDGE8N
+/* 3456 */    MCD_OPC_CheckPredicate, 3, 49, 9, // Skip to: 5813
+/* 3460 */    MCD_OPC_Decode, 135, 1, 10, // Opcode: EDGE8N
 /* 3464 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 3476
-/* 3468 */    MCD_OPC_CheckPredicate, 2, 36, 9, // Skip to: 5812
-/* 3472 */    MCD_OPC_Decode, 132, 1, 10, // Opcode: EDGE8L
+/* 3468 */    MCD_OPC_CheckPredicate, 2, 37, 9, // Skip to: 5813
+/* 3472 */    MCD_OPC_Decode, 133, 1, 10, // Opcode: EDGE8L
 /* 3476 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 3488
-/* 3480 */    MCD_OPC_CheckPredicate, 3, 24, 9, // Skip to: 5812
-/* 3484 */    MCD_OPC_Decode, 133, 1, 10, // Opcode: EDGE8LN
+/* 3480 */    MCD_OPC_CheckPredicate, 3, 25, 9, // Skip to: 5813
+/* 3484 */    MCD_OPC_Decode, 134, 1, 10, // Opcode: EDGE8LN
 /* 3488 */    MCD_OPC_FilterValue, 4, 7, 0, // Skip to: 3499
-/* 3492 */    MCD_OPC_CheckPredicate, 2, 12, 9, // Skip to: 5812
-/* 3496 */    MCD_OPC_Decode, 123, 10, // Opcode: EDGE16
+/* 3492 */    MCD_OPC_CheckPredicate, 2, 13, 9, // Skip to: 5813
+/* 3496 */    MCD_OPC_Decode, 124, 10, // Opcode: EDGE16
 /* 3499 */    MCD_OPC_FilterValue, 5, 7, 0, // Skip to: 3510
-/* 3503 */    MCD_OPC_CheckPredicate, 3, 1, 9, // Skip to: 5812
-/* 3507 */    MCD_OPC_Decode, 126, 10, // Opcode: EDGE16N
+/* 3503 */    MCD_OPC_CheckPredicate, 3, 2, 9, // Skip to: 5813
+/* 3507 */    MCD_OPC_Decode, 127, 10, // Opcode: EDGE16N
 /* 3510 */    MCD_OPC_FilterValue, 6, 7, 0, // Skip to: 3521
-/* 3514 */    MCD_OPC_CheckPredicate, 2, 246, 8, // Skip to: 5812
-/* 3518 */    MCD_OPC_Decode, 124, 10, // Opcode: EDGE16L
+/* 3514 */    MCD_OPC_CheckPredicate, 2, 247, 8, // Skip to: 5813
+/* 3518 */    MCD_OPC_Decode, 125, 10, // Opcode: EDGE16L
 /* 3521 */    MCD_OPC_FilterValue, 7, 7, 0, // Skip to: 3532
-/* 3525 */    MCD_OPC_CheckPredicate, 3, 235, 8, // Skip to: 5812
-/* 3529 */    MCD_OPC_Decode, 125, 10, // Opcode: EDGE16LN
-/* 3532 */    MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 3543
-/* 3536 */    MCD_OPC_CheckPredicate, 2, 224, 8, // Skip to: 5812
-/* 3540 */    MCD_OPC_Decode, 127, 10, // Opcode: EDGE32
-/* 3543 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3555
-/* 3547 */    MCD_OPC_CheckPredicate, 3, 213, 8, // Skip to: 5812
-/* 3551 */    MCD_OPC_Decode, 130, 1, 10, // Opcode: EDGE32N
-/* 3555 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3567
-/* 3559 */    MCD_OPC_CheckPredicate, 2, 201, 8, // Skip to: 5812
-/* 3563 */    MCD_OPC_Decode, 128, 1, 10, // Opcode: EDGE32L
-/* 3567 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3579
-/* 3571 */    MCD_OPC_CheckPredicate, 3, 189, 8, // Skip to: 5812
-/* 3575 */    MCD_OPC_Decode, 129, 1, 10, // Opcode: EDGE32LN
-/* 3579 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 3590
-/* 3583 */    MCD_OPC_CheckPredicate, 2, 177, 8, // Skip to: 5812
-/* 3587 */    MCD_OPC_Decode, 48, 10, // Opcode: ARRAY8
-/* 3590 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 3601
-/* 3594 */    MCD_OPC_CheckPredicate, 1, 166, 8, // Skip to: 5812
-/* 3598 */    MCD_OPC_Decode, 25, 10, // Opcode: ADDXC
-/* 3601 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 3612
-/* 3605 */    MCD_OPC_CheckPredicate, 2, 155, 8, // Skip to: 5812
-/* 3609 */    MCD_OPC_Decode, 46, 10, // Opcode: ARRAY16
-/* 3612 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 3623
-/* 3616 */    MCD_OPC_CheckPredicate, 1, 144, 8, // Skip to: 5812
-/* 3620 */    MCD_OPC_Decode, 26, 10, // Opcode: ADDXCCC
-/* 3623 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 3634
-/* 3627 */    MCD_OPC_CheckPredicate, 2, 133, 8, // Skip to: 5812
-/* 3631 */    MCD_OPC_Decode, 47, 10, // Opcode: ARRAY32
-/* 3634 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3646
-/* 3638 */    MCD_OPC_CheckPredicate, 1, 122, 8, // Skip to: 5812
-/* 3642 */    MCD_OPC_Decode, 205, 3, 10, // Opcode: UMULXHI
-/* 3646 */    MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 3664
-/* 3650 */    MCD_OPC_CheckPredicate, 1, 110, 8, // Skip to: 5812
-/* 3654 */    MCD_OPC_CheckField, 14, 5, 0, 104, 8, // Skip to: 5812
-/* 3660 */    MCD_OPC_Decode, 194, 2, 46, // Opcode: LZCNT
-/* 3664 */    MCD_OPC_FilterValue, 24, 7, 0, // Skip to: 3675
-/* 3668 */    MCD_OPC_CheckPredicate, 2, 92, 8, // Skip to: 5812
-/* 3672 */    MCD_OPC_Decode, 33, 10, // Opcode: ALIGNADDR
-/* 3675 */    MCD_OPC_FilterValue, 25, 7, 0, // Skip to: 3686
-/* 3679 */    MCD_OPC_CheckPredicate, 3, 81, 8, // Skip to: 5812
-/* 3683 */    MCD_OPC_Decode, 75, 10, // Opcode: BMASK
-/* 3686 */    MCD_OPC_FilterValue, 26, 7, 0, // Skip to: 3697
-/* 3690 */    MCD_OPC_CheckPredicate, 2, 70, 8, // Skip to: 5812
-/* 3694 */    MCD_OPC_Decode, 34, 10, // Opcode: ALIGNADDRL
-/* 3697 */    MCD_OPC_FilterValue, 27, 19, 0, // Skip to: 3720
-/* 3701 */    MCD_OPC_CheckPredicate, 1, 59, 8, // Skip to: 5812
-/* 3705 */    MCD_OPC_CheckField, 25, 5, 0, 53, 8, // Skip to: 5812
-/* 3711 */    MCD_OPC_CheckField, 14, 5, 0, 47, 8, // Skip to: 5812
-/* 3717 */    MCD_OPC_Decode, 120, 47, // Opcode: CMASK8
-/* 3720 */    MCD_OPC_FilterValue, 28, 7, 0, // Skip to: 3731
-/* 3724 */    MCD_OPC_CheckPredicate, 3, 36, 8, // Skip to: 5812
-/* 3728 */    MCD_OPC_Decode, 112, 26, // Opcode: BSHUFFLE
-/* 3731 */    MCD_OPC_FilterValue, 29, 19, 0, // Skip to: 3754
-/* 3735 */    MCD_OPC_CheckPredicate, 1, 25, 8, // Skip to: 5812
-/* 3739 */    MCD_OPC_CheckField, 25, 5, 0, 19, 8, // Skip to: 5812
-/* 3745 */    MCD_OPC_CheckField, 14, 5, 0, 13, 8, // Skip to: 5812
-/* 3751 */    MCD_OPC_Decode, 118, 47, // Opcode: CMASK16
-/* 3754 */    MCD_OPC_FilterValue, 31, 19, 0, // Skip to: 3777
-/* 3758 */    MCD_OPC_CheckPredicate, 1, 2, 8, // Skip to: 5812
-/* 3762 */    MCD_OPC_CheckField, 25, 5, 0, 252, 7, // Skip to: 5812
-/* 3768 */    MCD_OPC_CheckField, 14, 5, 0, 246, 7, // Skip to: 5812
-/* 3774 */    MCD_OPC_Decode, 119, 47, // Opcode: CMASK32
-/* 3777 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3789
-/* 3781 */    MCD_OPC_CheckPredicate, 2, 235, 7, // Skip to: 5812
-/* 3785 */    MCD_OPC_Decode, 156, 1, 48, // Opcode: FCMPLE16
-/* 3789 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3801
-/* 3793 */    MCD_OPC_CheckPredicate, 1, 223, 7, // Skip to: 5812
-/* 3797 */    MCD_OPC_Decode, 139, 2, 26, // Opcode: FSLL16
-/* 3801 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 3813
-/* 3805 */    MCD_OPC_CheckPredicate, 2, 211, 7, // Skip to: 5812
-/* 3809 */    MCD_OPC_Decode, 158, 1, 48, // Opcode: FCMPNE16
-/* 3813 */    MCD_OPC_FilterValue, 35, 8, 0, // Skip to: 3825
-/* 3817 */    MCD_OPC_CheckPredicate, 1, 199, 7, // Skip to: 5812
-/* 3821 */    MCD_OPC_Decode, 151, 2, 26, // Opcode: FSRL16
-/* 3825 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 3837
-/* 3829 */    MCD_OPC_CheckPredicate, 2, 187, 7, // Skip to: 5812
-/* 3833 */    MCD_OPC_Decode, 157, 1, 48, // Opcode: FCMPLE32
-/* 3837 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 3849
-/* 3841 */    MCD_OPC_CheckPredicate, 1, 175, 7, // Skip to: 5812
-/* 3845 */    MCD_OPC_Decode, 140, 2, 26, // Opcode: FSLL32
-/* 3849 */    MCD_OPC_FilterValue, 38, 8, 0, // Skip to: 3861
-/* 3853 */    MCD_OPC_CheckPredicate, 2, 163, 7, // Skip to: 5812
-/* 3857 */    MCD_OPC_Decode, 159, 1, 48, // Opcode: FCMPNE32
-/* 3861 */    MCD_OPC_FilterValue, 39, 8, 0, // Skip to: 3873
-/* 3865 */    MCD_OPC_CheckPredicate, 1, 151, 7, // Skip to: 5812
-/* 3869 */    MCD_OPC_Decode, 152, 2, 26, // Opcode: FSRL32
-/* 3873 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3885
-/* 3877 */    MCD_OPC_CheckPredicate, 2, 139, 7, // Skip to: 5812
-/* 3881 */    MCD_OPC_Decode, 154, 1, 48, // Opcode: FCMPGT16
-/* 3885 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3897
-/* 3889 */    MCD_OPC_CheckPredicate, 1, 127, 7, // Skip to: 5812
-/* 3893 */    MCD_OPC_Decode, 137, 2, 26, // Opcode: FSLAS16
-/* 3897 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 3909
-/* 3901 */    MCD_OPC_CheckPredicate, 2, 115, 7, // Skip to: 5812
-/* 3905 */    MCD_OPC_Decode, 152, 1, 48, // Opcode: FCMPEQ16
-/* 3909 */    MCD_OPC_FilterValue, 43, 8, 0, // Skip to: 3921
-/* 3913 */    MCD_OPC_CheckPredicate, 1, 103, 7, // Skip to: 5812
-/* 3917 */    MCD_OPC_Decode, 145, 2, 26, // Opcode: FSRA16
-/* 3921 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 3933
-/* 3925 */    MCD_OPC_CheckPredicate, 2, 91, 7, // Skip to: 5812
-/* 3929 */    MCD_OPC_Decode, 155, 1, 48, // Opcode: FCMPGT32
-/* 3933 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 3945
-/* 3937 */    MCD_OPC_CheckPredicate, 1, 79, 7, // Skip to: 5812
-/* 3941 */    MCD_OPC_Decode, 138, 2, 26, // Opcode: FSLAS32
-/* 3945 */    MCD_OPC_FilterValue, 46, 8, 0, // Skip to: 3957
-/* 3949 */    MCD_OPC_CheckPredicate, 2, 67, 7, // Skip to: 5812
-/* 3953 */    MCD_OPC_Decode, 153, 1, 48, // Opcode: FCMPEQ32
-/* 3957 */    MCD_OPC_FilterValue, 47, 8, 0, // Skip to: 3969
-/* 3961 */    MCD_OPC_CheckPredicate, 1, 55, 7, // Skip to: 5812
-/* 3965 */    MCD_OPC_Decode, 146, 2, 26, // Opcode: FSRA32
-/* 3969 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3981
-/* 3973 */    MCD_OPC_CheckPredicate, 2, 43, 7, // Skip to: 5812
-/* 3977 */    MCD_OPC_Decode, 214, 1, 26, // Opcode: FMUL8X16
-/* 3981 */    MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 3993
-/* 3985 */    MCD_OPC_CheckPredicate, 2, 31, 7, // Skip to: 5812
-/* 3989 */    MCD_OPC_Decode, 216, 1, 26, // Opcode: FMUL8X16AU
-/* 3993 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 4005
-/* 3997 */    MCD_OPC_CheckPredicate, 2, 19, 7, // Skip to: 5812
-/* 4001 */    MCD_OPC_Decode, 215, 1, 26, // Opcode: FMUL8X16AL
-/* 4005 */    MCD_OPC_FilterValue, 54, 8, 0, // Skip to: 4017
-/* 4009 */    MCD_OPC_CheckPredicate, 2, 7, 7, // Skip to: 5812
-/* 4013 */    MCD_OPC_Decode, 212, 1, 26, // Opcode: FMUL8SUX16
-/* 4017 */    MCD_OPC_FilterValue, 55, 8, 0, // Skip to: 4029
-/* 4021 */    MCD_OPC_CheckPredicate, 2, 251, 6, // Skip to: 5812
-/* 4025 */    MCD_OPC_Decode, 213, 1, 26, // Opcode: FMUL8ULX16
-/* 4029 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 4041
-/* 4033 */    MCD_OPC_CheckPredicate, 2, 239, 6, // Skip to: 5812
-/* 4037 */    MCD_OPC_Decode, 218, 1, 26, // Opcode: FMULD8SUX16
-/* 4041 */    MCD_OPC_FilterValue, 57, 8, 0, // Skip to: 4053
-/* 4045 */    MCD_OPC_CheckPredicate, 2, 227, 6, // Skip to: 5812
-/* 4049 */    MCD_OPC_Decode, 219, 1, 26, // Opcode: FMULD8ULX16
-/* 4053 */    MCD_OPC_FilterValue, 58, 8, 0, // Skip to: 4065
-/* 4057 */    MCD_OPC_CheckPredicate, 2, 215, 6, // Skip to: 5812
-/* 4061 */    MCD_OPC_Decode, 249, 1, 26, // Opcode: FPACK32
-/* 4065 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 4083
-/* 4069 */    MCD_OPC_CheckPredicate, 2, 203, 6, // Skip to: 5812
-/* 4073 */    MCD_OPC_CheckField, 14, 5, 0, 197, 6, // Skip to: 5812
-/* 4079 */    MCD_OPC_Decode, 248, 1, 23, // Opcode: FPACK16
-/* 4083 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 4101
-/* 4087 */    MCD_OPC_CheckPredicate, 2, 185, 6, // Skip to: 5812
-/* 4091 */    MCD_OPC_CheckField, 14, 5, 0, 179, 6, // Skip to: 5812
-/* 4097 */    MCD_OPC_Decode, 250, 1, 23, // Opcode: FPACKFIX
-/* 4101 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 4113
-/* 4105 */    MCD_OPC_CheckPredicate, 2, 167, 6, // Skip to: 5812
-/* 4109 */    MCD_OPC_Decode, 233, 2, 26, // Opcode: PDIST
-/* 4113 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 4125
-/* 4117 */    MCD_OPC_CheckPredicate, 1, 155, 6, // Skip to: 5812
-/* 4121 */    MCD_OPC_Decode, 234, 2, 26, // Opcode: PDISTN
-/* 4125 */    MCD_OPC_FilterValue, 64, 8, 0, // Skip to: 4137
-/* 4129 */    MCD_OPC_CheckPredicate, 1, 143, 6, // Skip to: 5812
-/* 4133 */    MCD_OPC_Decode, 181, 1, 26, // Opcode: FMEAN16
-/* 4137 */    MCD_OPC_FilterValue, 66, 8, 0, // Skip to: 4149
-/* 4141 */    MCD_OPC_CheckPredicate, 1, 131, 6, // Skip to: 5812
-/* 4145 */    MCD_OPC_Decode, 255, 1, 26, // Opcode: FPADD64
-/* 4149 */    MCD_OPC_FilterValue, 68, 8, 0, // Skip to: 4161
-/* 4153 */    MCD_OPC_CheckPredicate, 1, 119, 6, // Skip to: 5812
-/* 4157 */    MCD_OPC_Decode, 150, 1, 26, // Opcode: FCHKSM16
-/* 4161 */    MCD_OPC_FilterValue, 72, 8, 0, // Skip to: 4173
-/* 4165 */    MCD_OPC_CheckPredicate, 2, 107, 6, // Skip to: 5812
-/* 4169 */    MCD_OPC_Decode, 141, 1, 26, // Opcode: FALIGNADATA
-/* 4173 */    MCD_OPC_FilterValue, 75, 8, 0, // Skip to: 4185
-/* 4177 */    MCD_OPC_CheckPredicate, 2, 95, 6, // Skip to: 5812
-/* 4181 */    MCD_OPC_Decode, 128, 2, 26, // Opcode: FPMERGE
-/* 4185 */    MCD_OPC_FilterValue, 77, 14, 0, // Skip to: 4203
-/* 4189 */    MCD_OPC_CheckPredicate, 2, 83, 6, // Skip to: 5812
-/* 4193 */    MCD_OPC_CheckField, 14, 5, 0, 77, 6, // Skip to: 5812
-/* 4199 */    MCD_OPC_Decode, 170, 1, 23, // Opcode: FEXPAND
-/* 4203 */    MCD_OPC_FilterValue, 80, 8, 0, // Skip to: 4215
-/* 4207 */    MCD_OPC_CheckPredicate, 2, 65, 6, // Skip to: 5812
-/* 4211 */    MCD_OPC_Decode, 251, 1, 26, // Opcode: FPADD16
-/* 4215 */    MCD_OPC_FilterValue, 81, 8, 0, // Skip to: 4227
-/* 4219 */    MCD_OPC_CheckPredicate, 2, 53, 6, // Skip to: 5812
-/* 4223 */    MCD_OPC_Decode, 252, 1, 26, // Opcode: FPADD16S
-/* 4227 */    MCD_OPC_FilterValue, 82, 8, 0, // Skip to: 4239
-/* 4231 */    MCD_OPC_CheckPredicate, 2, 41, 6, // Skip to: 5812
-/* 4235 */    MCD_OPC_Decode, 253, 1, 26, // Opcode: FPADD32
-/* 4239 */    MCD_OPC_FilterValue, 83, 8, 0, // Skip to: 4251
-/* 4243 */    MCD_OPC_CheckPredicate, 2, 29, 6, // Skip to: 5812
-/* 4247 */    MCD_OPC_Decode, 254, 1, 26, // Opcode: FPADD32S
-/* 4251 */    MCD_OPC_FilterValue, 84, 8, 0, // Skip to: 4263
-/* 4255 */    MCD_OPC_CheckPredicate, 2, 17, 6, // Skip to: 5812
-/* 4259 */    MCD_OPC_Decode, 129, 2, 26, // Opcode: FPSUB16
-/* 4263 */    MCD_OPC_FilterValue, 85, 8, 0, // Skip to: 4275
-/* 4267 */    MCD_OPC_CheckPredicate, 2, 5, 6, // Skip to: 5812
-/* 4271 */    MCD_OPC_Decode, 130, 2, 26, // Opcode: FPSUB16S
-/* 4275 */    MCD_OPC_FilterValue, 86, 8, 0, // Skip to: 4287
-/* 4279 */    MCD_OPC_CheckPredicate, 2, 249, 5, // Skip to: 5812
-/* 4283 */    MCD_OPC_Decode, 131, 2, 26, // Opcode: FPSUB32
-/* 4287 */    MCD_OPC_FilterValue, 87, 8, 0, // Skip to: 4299
-/* 4291 */    MCD_OPC_CheckPredicate, 2, 237, 5, // Skip to: 5812
-/* 4295 */    MCD_OPC_Decode, 132, 2, 26, // Opcode: FPSUB32S
-/* 4299 */    MCD_OPC_FilterValue, 96, 20, 0, // Skip to: 4323
-/* 4303 */    MCD_OPC_CheckPredicate, 2, 225, 5, // Skip to: 5812
-/* 4307 */    MCD_OPC_CheckField, 14, 5, 0, 219, 5, // Skip to: 5812
-/* 4313 */    MCD_OPC_CheckField, 0, 5, 0, 213, 5, // Skip to: 5812
-/* 4319 */    MCD_OPC_Decode, 167, 2, 49, // Opcode: FZERO
-/* 4323 */    MCD_OPC_FilterValue, 97, 20, 0, // Skip to: 4347
-/* 4327 */    MCD_OPC_CheckPredicate, 2, 201, 5, // Skip to: 5812
-/* 4331 */    MCD_OPC_CheckField, 14, 5, 0, 195, 5, // Skip to: 5812
-/* 4337 */    MCD_OPC_CheckField, 0, 5, 0, 189, 5, // Skip to: 5812
-/* 4343 */    MCD_OPC_Decode, 168, 2, 50, // Opcode: FZEROS
-/* 4347 */    MCD_OPC_FilterValue, 98, 8, 0, // Skip to: 4359
-/* 4351 */    MCD_OPC_CheckPredicate, 2, 177, 5, // Skip to: 5812
-/* 4355 */    MCD_OPC_Decode, 233, 1, 26, // Opcode: FNOR
-/* 4359 */    MCD_OPC_FilterValue, 99, 8, 0, // Skip to: 4371
-/* 4363 */    MCD_OPC_CheckPredicate, 2, 165, 5, // Skip to: 5812
-/* 4367 */    MCD_OPC_Decode, 234, 1, 25, // Opcode: FNORS
-/* 4371 */    MCD_OPC_FilterValue, 100, 8, 0, // Skip to: 4383
-/* 4375 */    MCD_OPC_CheckPredicate, 2, 153, 5, // Skip to: 5812
-/* 4379 */    MCD_OPC_Decode, 145, 1, 26, // Opcode: FANDNOT2
-/* 4383 */    MCD_OPC_FilterValue, 101, 8, 0, // Skip to: 4395
-/* 4387 */    MCD_OPC_CheckPredicate, 2, 141, 5, // Skip to: 5812
-/* 4391 */    MCD_OPC_Decode, 146, 1, 25, // Opcode: FANDNOT2S
-/* 4395 */    MCD_OPC_FilterValue, 102, 14, 0, // Skip to: 4413
-/* 4399 */    MCD_OPC_CheckPredicate, 2, 129, 5, // Skip to: 5812
-/* 4403 */    MCD_OPC_CheckField, 14, 5, 0, 123, 5, // Skip to: 5812
-/* 4409 */    MCD_OPC_Decode, 237, 1, 23, // Opcode: FNOT2
-/* 4413 */    MCD_OPC_FilterValue, 103, 14, 0, // Skip to: 4431
-/* 4417 */    MCD_OPC_CheckPredicate, 2, 111, 5, // Skip to: 5812
-/* 4421 */    MCD_OPC_CheckField, 14, 5, 0, 105, 5, // Skip to: 5812
-/* 4427 */    MCD_OPC_Decode, 238, 1, 22, // Opcode: FNOT2S
-/* 4431 */    MCD_OPC_FilterValue, 104, 8, 0, // Skip to: 4443
-/* 4435 */    MCD_OPC_CheckPredicate, 2, 93, 5, // Skip to: 5812
-/* 4439 */    MCD_OPC_Decode, 143, 1, 26, // Opcode: FANDNOT1
-/* 4443 */    MCD_OPC_FilterValue, 105, 8, 0, // Skip to: 4455
-/* 4447 */    MCD_OPC_CheckPredicate, 2, 81, 5, // Skip to: 5812
-/* 4451 */    MCD_OPC_Decode, 144, 1, 25, // Opcode: FANDNOT1S
-/* 4455 */    MCD_OPC_FilterValue, 106, 14, 0, // Skip to: 4473
-/* 4459 */    MCD_OPC_CheckPredicate, 2, 69, 5, // Skip to: 5812
-/* 4463 */    MCD_OPC_CheckField, 0, 5, 0, 63, 5, // Skip to: 5812
-/* 4469 */    MCD_OPC_Decode, 235, 1, 51, // Opcode: FNOT1
-/* 4473 */    MCD_OPC_FilterValue, 107, 14, 0, // Skip to: 4491
-/* 4477 */    MCD_OPC_CheckPredicate, 2, 51, 5, // Skip to: 5812
-/* 4481 */    MCD_OPC_CheckField, 0, 5, 0, 45, 5, // Skip to: 5812
-/* 4487 */    MCD_OPC_Decode, 236, 1, 52, // Opcode: FNOT1S
-/* 4491 */    MCD_OPC_FilterValue, 108, 8, 0, // Skip to: 4503
-/* 4495 */    MCD_OPC_CheckPredicate, 2, 33, 5, // Skip to: 5812
-/* 4499 */    MCD_OPC_Decode, 162, 2, 26, // Opcode: FXOR
-/* 4503 */    MCD_OPC_FilterValue, 109, 8, 0, // Skip to: 4515
-/* 4507 */    MCD_OPC_CheckPredicate, 2, 21, 5, // Skip to: 5812
-/* 4511 */    MCD_OPC_Decode, 163, 2, 25, // Opcode: FXORS
-/* 4515 */    MCD_OPC_FilterValue, 110, 8, 0, // Skip to: 4527
-/* 4519 */    MCD_OPC_CheckPredicate, 2, 9, 5, // Skip to: 5812
-/* 4523 */    MCD_OPC_Decode, 224, 1, 26, // Opcode: FNAND
-/* 4527 */    MCD_OPC_FilterValue, 111, 8, 0, // Skip to: 4539
-/* 4531 */    MCD_OPC_CheckPredicate, 2, 253, 4, // Skip to: 5812
-/* 4535 */    MCD_OPC_Decode, 225, 1, 25, // Opcode: FNANDS
-/* 4539 */    MCD_OPC_FilterValue, 112, 8, 0, // Skip to: 4551
-/* 4543 */    MCD_OPC_CheckPredicate, 2, 241, 4, // Skip to: 5812
-/* 4547 */    MCD_OPC_Decode, 142, 1, 26, // Opcode: FAND
-/* 4551 */    MCD_OPC_FilterValue, 113, 8, 0, // Skip to: 4563
-/* 4555 */    MCD_OPC_CheckPredicate, 2, 229, 4, // Skip to: 5812
-/* 4559 */    MCD_OPC_Decode, 147, 1, 25, // Opcode: FANDS
-/* 4563 */    MCD_OPC_FilterValue, 114, 8, 0, // Skip to: 4575
-/* 4567 */    MCD_OPC_CheckPredicate, 2, 217, 4, // Skip to: 5812
-/* 4571 */    MCD_OPC_Decode, 160, 2, 26, // Opcode: FXNOR
-/* 4575 */    MCD_OPC_FilterValue, 115, 8, 0, // Skip to: 4587
-/* 4579 */    MCD_OPC_CheckPredicate, 2, 205, 4, // Skip to: 5812
-/* 4583 */    MCD_OPC_Decode, 161, 2, 25, // Opcode: FXNORS
-/* 4587 */    MCD_OPC_FilterValue, 116, 14, 0, // Skip to: 4605
-/* 4591 */    MCD_OPC_CheckPredicate, 2, 193, 4, // Skip to: 5812
-/* 4595 */    MCD_OPC_CheckField, 0, 5, 0, 187, 4, // Skip to: 5812
-/* 4601 */    MCD_OPC_Decode, 147, 2, 51, // Opcode: FSRC1
-/* 4605 */    MCD_OPC_FilterValue, 117, 14, 0, // Skip to: 4623
-/* 4609 */    MCD_OPC_CheckPredicate, 2, 175, 4, // Skip to: 5812
-/* 4613 */    MCD_OPC_CheckField, 0, 5, 0, 169, 4, // Skip to: 5812
-/* 4619 */    MCD_OPC_Decode, 148, 2, 52, // Opcode: FSRC1S
-/* 4623 */    MCD_OPC_FilterValue, 118, 8, 0, // Skip to: 4635
-/* 4627 */    MCD_OPC_CheckPredicate, 2, 157, 4, // Skip to: 5812
-/* 4631 */    MCD_OPC_Decode, 245, 1, 26, // Opcode: FORNOT2
-/* 4635 */    MCD_OPC_FilterValue, 119, 8, 0, // Skip to: 4647
-/* 4639 */    MCD_OPC_CheckPredicate, 2, 145, 4, // Skip to: 5812
-/* 4643 */    MCD_OPC_Decode, 246, 1, 25, // Opcode: FORNOT2S
-/* 4647 */    MCD_OPC_FilterValue, 120, 14, 0, // Skip to: 4665
-/* 4651 */    MCD_OPC_CheckPredicate, 2, 133, 4, // Skip to: 5812
-/* 4655 */    MCD_OPC_CheckField, 14, 5, 0, 127, 4, // Skip to: 5812
-/* 4661 */    MCD_OPC_Decode, 149, 2, 23, // Opcode: FSRC2
-/* 4665 */    MCD_OPC_FilterValue, 121, 14, 0, // Skip to: 4683
-/* 4669 */    MCD_OPC_CheckPredicate, 2, 115, 4, // Skip to: 5812
-/* 4673 */    MCD_OPC_CheckField, 14, 5, 0, 109, 4, // Skip to: 5812
-/* 4679 */    MCD_OPC_Decode, 150, 2, 22, // Opcode: FSRC2S
-/* 4683 */    MCD_OPC_FilterValue, 122, 8, 0, // Skip to: 4695
-/* 4687 */    MCD_OPC_CheckPredicate, 2, 97, 4, // Skip to: 5812
-/* 4691 */    MCD_OPC_Decode, 243, 1, 26, // Opcode: FORNOT1
-/* 4695 */    MCD_OPC_FilterValue, 123, 8, 0, // Skip to: 4707
-/* 4699 */    MCD_OPC_CheckPredicate, 2, 85, 4, // Skip to: 5812
-/* 4703 */    MCD_OPC_Decode, 244, 1, 25, // Opcode: FORNOT1S
-/* 4707 */    MCD_OPC_FilterValue, 124, 8, 0, // Skip to: 4719
-/* 4711 */    MCD_OPC_CheckPredicate, 2, 73, 4, // Skip to: 5812
-/* 4715 */    MCD_OPC_Decode, 242, 1, 26, // Opcode: FOR
-/* 4719 */    MCD_OPC_FilterValue, 125, 8, 0, // Skip to: 4731
-/* 4723 */    MCD_OPC_CheckPredicate, 2, 61, 4, // Skip to: 5812
-/* 4727 */    MCD_OPC_Decode, 247, 1, 25, // Opcode: FORS
-/* 4731 */    MCD_OPC_FilterValue, 126, 20, 0, // Skip to: 4755
-/* 4735 */    MCD_OPC_CheckPredicate, 2, 49, 4, // Skip to: 5812
-/* 4739 */    MCD_OPC_CheckField, 14, 5, 0, 43, 4, // Skip to: 5812
-/* 4745 */    MCD_OPC_CheckField, 0, 5, 0, 37, 4, // Skip to: 5812
-/* 4751 */    MCD_OPC_Decode, 240, 1, 49, // Opcode: FONE
-/* 4755 */    MCD_OPC_FilterValue, 127, 20, 0, // Skip to: 4779
-/* 4759 */    MCD_OPC_CheckPredicate, 2, 25, 4, // Skip to: 5812
-/* 4763 */    MCD_OPC_CheckField, 14, 5, 0, 19, 4, // Skip to: 5812
-/* 4769 */    MCD_OPC_CheckField, 0, 5, 0, 13, 4, // Skip to: 5812
-/* 4775 */    MCD_OPC_Decode, 241, 1, 50, // Opcode: FONES
-/* 4779 */    MCD_OPC_FilterValue, 128, 1, 26, 0, // Skip to: 4810
-/* 4784 */    MCD_OPC_CheckPredicate, 2, 0, 4, // Skip to: 5812
-/* 4788 */    MCD_OPC_CheckField, 25, 5, 0, 250, 3, // Skip to: 5812
-/* 4794 */    MCD_OPC_CheckField, 14, 5, 0, 244, 3, // Skip to: 5812
-/* 4800 */    MCD_OPC_CheckField, 0, 5, 0, 238, 3, // Skip to: 5812
-/* 4806 */    MCD_OPC_Decode, 133, 3, 4, // Opcode: SHUTDOWN
-/* 4810 */    MCD_OPC_FilterValue, 129, 1, 26, 0, // Skip to: 4841
-/* 4815 */    MCD_OPC_CheckPredicate, 3, 225, 3, // Skip to: 5812
-/* 4819 */    MCD_OPC_CheckField, 25, 5, 0, 219, 3, // Skip to: 5812
-/* 4825 */    MCD_OPC_CheckField, 14, 5, 0, 213, 3, // Skip to: 5812
-/* 4831 */    MCD_OPC_CheckField, 0, 5, 0, 207, 3, // Skip to: 5812
-/* 4837 */    MCD_OPC_Decode, 134, 3, 4, // Opcode: SIAM
-/* 4841 */    MCD_OPC_FilterValue, 144, 2, 14, 0, // Skip to: 4860
-/* 4846 */    MCD_OPC_CheckPredicate, 1, 194, 3, // Skip to: 5812
-/* 4850 */    MCD_OPC_CheckField, 14, 5, 0, 188, 3, // Skip to: 5812
-/* 4856 */    MCD_OPC_Decode, 196, 2, 53, // Opcode: MOVDTOX
-/* 4860 */    MCD_OPC_FilterValue, 145, 2, 14, 0, // Skip to: 4879
-/* 4865 */    MCD_OPC_CheckPredicate, 1, 175, 3, // Skip to: 5812
-/* 4869 */    MCD_OPC_CheckField, 14, 5, 0, 169, 3, // Skip to: 5812
-/* 4875 */    MCD_OPC_Decode, 214, 2, 53, // Opcode: MOVSTOUW
-/* 4879 */    MCD_OPC_FilterValue, 147, 2, 14, 0, // Skip to: 4898
-/* 4884 */    MCD_OPC_CheckPredicate, 1, 156, 3, // Skip to: 5812
-/* 4888 */    MCD_OPC_CheckField, 14, 5, 0, 150, 3, // Skip to: 5812
-/* 4894 */    MCD_OPC_Decode, 213, 2, 53, // Opcode: MOVSTOSW
-/* 4898 */    MCD_OPC_FilterValue, 149, 2, 8, 0, // Skip to: 4911
-/* 4903 */    MCD_OPC_CheckPredicate, 1, 137, 3, // Skip to: 5812
-/* 4907 */    MCD_OPC_Decode, 222, 3, 10, // Opcode: XMULX
-/* 4911 */    MCD_OPC_FilterValue, 151, 2, 8, 0, // Skip to: 4924
-/* 4916 */    MCD_OPC_CheckPredicate, 1, 124, 3, // Skip to: 5812
-/* 4920 */    MCD_OPC_Decode, 223, 3, 10, // Opcode: XMULXHI
-/* 4924 */    MCD_OPC_FilterValue, 152, 2, 14, 0, // Skip to: 4943
-/* 4929 */    MCD_OPC_CheckPredicate, 1, 111, 3, // Skip to: 5812
-/* 4933 */    MCD_OPC_CheckField, 14, 5, 0, 105, 3, // Skip to: 5812
-/* 4939 */    MCD_OPC_Decode, 218, 2, 54, // Opcode: MOVXTOD
-/* 4943 */    MCD_OPC_FilterValue, 153, 2, 14, 0, // Skip to: 4962
-/* 4948 */    MCD_OPC_CheckPredicate, 1, 92, 3, // Skip to: 5812
-/* 4952 */    MCD_OPC_CheckField, 14, 5, 0, 86, 3, // Skip to: 5812
-/* 4958 */    MCD_OPC_Decode, 215, 2, 54, // Opcode: MOVWTOS
-/* 4962 */    MCD_OPC_FilterValue, 209, 2, 8, 0, // Skip to: 4975
-/* 4967 */    MCD_OPC_CheckPredicate, 1, 73, 3, // Skip to: 5812
-/* 4971 */    MCD_OPC_Decode, 179, 1, 44, // Opcode: FLCMPS
-/* 4975 */    MCD_OPC_FilterValue, 210, 2, 64, 3, // Skip to: 5812
-/* 4980 */    MCD_OPC_CheckPredicate, 1, 60, 3, // Skip to: 5812
-/* 4984 */    MCD_OPC_Decode, 178, 1, 44, // Opcode: FLCMPD
-/* 4988 */    MCD_OPC_FilterValue, 56, 25, 0, // Skip to: 5017
-/* 4992 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 4995 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5009
-/* 4999 */    MCD_OPC_CheckField, 5, 8, 0, 39, 3, // Skip to: 5812
-/* 5005 */    MCD_OPC_Decode, 171, 2, 55, // Opcode: JMPLrr
-/* 5009 */    MCD_OPC_FilterValue, 1, 31, 3, // Skip to: 5812
-/* 5013 */    MCD_OPC_Decode, 170, 2, 55, // Opcode: JMPLri
-/* 5017 */    MCD_OPC_FilterValue, 57, 37, 0, // Skip to: 5058
-/* 5021 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5024 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 5044
-/* 5028 */    MCD_OPC_CheckField, 25, 5, 0, 10, 3, // Skip to: 5812
-/* 5034 */    MCD_OPC_CheckField, 5, 8, 0, 4, 3, // Skip to: 5812
-/* 5040 */    MCD_OPC_Decode, 242, 2, 56, // Opcode: RETTrr
-/* 5044 */    MCD_OPC_FilterValue, 1, 252, 2, // Skip to: 5812
-/* 5048 */    MCD_OPC_CheckField, 25, 5, 0, 246, 2, // Skip to: 5812
-/* 5054 */    MCD_OPC_Decode, 241, 2, 56, // Opcode: RETTri
-/* 5058 */    MCD_OPC_FilterValue, 58, 115, 0, // Skip to: 5177
-/* 5062 */    MCD_OPC_ExtractField, 8, 6,  // Inst{13-8} ...
-/* 5065 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 5085
-/* 5069 */    MCD_OPC_CheckField, 29, 1, 0, 225, 2, // Skip to: 5812
-/* 5075 */    MCD_OPC_CheckField, 5, 3, 0, 219, 2, // Skip to: 5812
-/* 5081 */    MCD_OPC_Decode, 185, 3, 57, // Opcode: TICCrr
-/* 5085 */    MCD_OPC_FilterValue, 16, 16, 0, // Skip to: 5105
-/* 5089 */    MCD_OPC_CheckField, 29, 1, 0, 205, 2, // Skip to: 5812
-/* 5095 */    MCD_OPC_CheckField, 5, 3, 0, 199, 2, // Skip to: 5812
-/* 5101 */    MCD_OPC_Decode, 196, 3, 57, // Opcode: TXCCrr
-/* 5105 */    MCD_OPC_FilterValue, 32, 54, 0, // Skip to: 5163
-/* 5109 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
-/* 5112 */    MCD_OPC_FilterValue, 0, 184, 2, // Skip to: 5812
-/* 5116 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
-/* 5119 */    MCD_OPC_FilterValue, 3, 16, 0, // Skip to: 5139
-/* 5123 */    MCD_OPC_CheckField, 25, 4, 0, 30, 0, // Skip to: 5159
-/* 5129 */    MCD_OPC_CheckField, 14, 5, 1, 24, 0, // Skip to: 5159
-/* 5135 */    MCD_OPC_Decode, 178, 3, 4, // Opcode: TA3
-/* 5139 */    MCD_OPC_FilterValue, 5, 16, 0, // Skip to: 5159
-/* 5143 */    MCD_OPC_CheckField, 25, 4, 8, 10, 0, // Skip to: 5159
-/* 5149 */    MCD_OPC_CheckField, 14, 5, 0, 4, 0, // Skip to: 5159
-/* 5155 */    MCD_OPC_Decode, 179, 3, 4, // Opcode: TA5
-/* 5159 */    MCD_OPC_Decode, 184, 3, 58, // Opcode: TICCri
-/* 5163 */    MCD_OPC_FilterValue, 48, 133, 2, // Skip to: 5812
-/* 5167 */    MCD_OPC_CheckField, 29, 1, 0, 127, 2, // Skip to: 5812
-/* 5173 */    MCD_OPC_Decode, 195, 3, 58, // Opcode: TXCCri
-/* 5177 */    MCD_OPC_FilterValue, 60, 25, 0, // Skip to: 5206
-/* 5181 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5184 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5198
-/* 5188 */    MCD_OPC_CheckField, 5, 8, 0, 106, 2, // Skip to: 5812
-/* 5194 */    MCD_OPC_Decode, 244, 2, 8, // Opcode: SAVErr
-/* 5198 */    MCD_OPC_FilterValue, 1, 98, 2, // Skip to: 5812
-/* 5202 */    MCD_OPC_Decode, 243, 2, 9, // Opcode: SAVEri
-/* 5206 */    MCD_OPC_FilterValue, 61, 90, 2, // Skip to: 5812
-/* 5210 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5213 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5227
-/* 5217 */    MCD_OPC_CheckField, 5, 8, 0, 77, 2, // Skip to: 5812
-/* 5223 */    MCD_OPC_Decode, 238, 2, 8, // Opcode: RESTORErr
-/* 5227 */    MCD_OPC_FilterValue, 1, 69, 2, // Skip to: 5812
-/* 5231 */    MCD_OPC_Decode, 237, 2, 9, // Opcode: RESTOREri
-/* 5235 */    MCD_OPC_FilterValue, 3, 61, 2, // Skip to: 5812
-/* 5239 */    MCD_OPC_ExtractField, 19, 6,  // Inst{24-19} ...
-/* 5242 */    MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 5271
-/* 5246 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5249 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5263
-/* 5253 */    MCD_OPC_CheckField, 5, 8, 0, 41, 2, // Skip to: 5812
-/* 5259 */    MCD_OPC_Decode, 191, 2, 59, // Opcode: LDrr
-/* 5263 */    MCD_OPC_FilterValue, 1, 33, 2, // Skip to: 5812
-/* 5267 */    MCD_OPC_Decode, 190, 2, 59, // Opcode: LDri
-/* 5271 */    MCD_OPC_FilterValue, 1, 25, 0, // Skip to: 5300
-/* 5275 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5278 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5292
-/* 5282 */    MCD_OPC_CheckField, 5, 8, 0, 12, 2, // Skip to: 5812
-/* 5288 */    MCD_OPC_Decode, 185, 2, 59, // Opcode: LDUBrr
-/* 5292 */    MCD_OPC_FilterValue, 1, 4, 2, // Skip to: 5812
-/* 5296 */    MCD_OPC_Decode, 184, 2, 59, // Opcode: LDUBri
-/* 5300 */    MCD_OPC_FilterValue, 2, 25, 0, // Skip to: 5329
-/* 5304 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5307 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5321
-/* 5311 */    MCD_OPC_CheckField, 5, 8, 0, 239, 1, // Skip to: 5812
-/* 5317 */    MCD_OPC_Decode, 187, 2, 59, // Opcode: LDUHrr
-/* 5321 */    MCD_OPC_FilterValue, 1, 231, 1, // Skip to: 5812
-/* 5325 */    MCD_OPC_Decode, 186, 2, 59, // Opcode: LDUHri
-/* 5329 */    MCD_OPC_FilterValue, 4, 25, 0, // Skip to: 5358
-/* 5333 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5336 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5350
-/* 5340 */    MCD_OPC_CheckField, 5, 8, 0, 210, 1, // Skip to: 5812
-/* 5346 */    MCD_OPC_Decode, 165, 3, 60, // Opcode: STrr
-/* 5350 */    MCD_OPC_FilterValue, 1, 202, 1, // Skip to: 5812
-/* 5354 */    MCD_OPC_Decode, 164, 3, 60, // Opcode: STri
-/* 5358 */    MCD_OPC_FilterValue, 5, 25, 0, // Skip to: 5387
-/* 5362 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5365 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5379
-/* 5369 */    MCD_OPC_CheckField, 5, 8, 0, 181, 1, // Skip to: 5812
-/* 5375 */    MCD_OPC_Decode, 153, 3, 60, // Opcode: STBrr
-/* 5379 */    MCD_OPC_FilterValue, 1, 173, 1, // Skip to: 5812
-/* 5383 */    MCD_OPC_Decode, 152, 3, 60, // Opcode: STBri
-/* 5387 */    MCD_OPC_FilterValue, 6, 25, 0, // Skip to: 5416
-/* 5391 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5394 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5408
-/* 5398 */    MCD_OPC_CheckField, 5, 8, 0, 152, 1, // Skip to: 5812
-/* 5404 */    MCD_OPC_Decode, 159, 3, 60, // Opcode: STHrr
-/* 5408 */    MCD_OPC_FilterValue, 1, 144, 1, // Skip to: 5812
-/* 5412 */    MCD_OPC_Decode, 158, 3, 60, // Opcode: STHri
-/* 5416 */    MCD_OPC_FilterValue, 8, 25, 0, // Skip to: 5445
-/* 5420 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5423 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5437
-/* 5427 */    MCD_OPC_CheckField, 5, 8, 0, 123, 1, // Skip to: 5812
-/* 5433 */    MCD_OPC_Decode, 183, 2, 59, // Opcode: LDSWrr
-/* 5437 */    MCD_OPC_FilterValue, 1, 115, 1, // Skip to: 5812
-/* 5441 */    MCD_OPC_Decode, 182, 2, 59, // Opcode: LDSWri
-/* 5445 */    MCD_OPC_FilterValue, 9, 25, 0, // Skip to: 5474
-/* 5449 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5452 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5466
-/* 5456 */    MCD_OPC_CheckField, 5, 8, 0, 94, 1, // Skip to: 5812
-/* 5462 */    MCD_OPC_Decode, 179, 2, 59, // Opcode: LDSBrr
-/* 5466 */    MCD_OPC_FilterValue, 1, 86, 1, // Skip to: 5812
-/* 5470 */    MCD_OPC_Decode, 178, 2, 59, // Opcode: LDSBri
-/* 5474 */    MCD_OPC_FilterValue, 10, 25, 0, // Skip to: 5503
-/* 5478 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5481 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5495
-/* 5485 */    MCD_OPC_CheckField, 5, 8, 0, 65, 1, // Skip to: 5812
-/* 5491 */    MCD_OPC_Decode, 181, 2, 59, // Opcode: LDSHrr
-/* 5495 */    MCD_OPC_FilterValue, 1, 57, 1, // Skip to: 5812
-/* 5499 */    MCD_OPC_Decode, 180, 2, 59, // Opcode: LDSHri
-/* 5503 */    MCD_OPC_FilterValue, 11, 25, 0, // Skip to: 5532
-/* 5507 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5510 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5524
-/* 5514 */    MCD_OPC_CheckField, 5, 8, 0, 36, 1, // Skip to: 5812
-/* 5520 */    MCD_OPC_Decode, 189, 2, 59, // Opcode: LDXrr
-/* 5524 */    MCD_OPC_FilterValue, 1, 28, 1, // Skip to: 5812
-/* 5528 */    MCD_OPC_Decode, 188, 2, 59, // Opcode: LDXri
-/* 5532 */    MCD_OPC_FilterValue, 14, 25, 0, // Skip to: 5561
-/* 5536 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5539 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5553
-/* 5543 */    MCD_OPC_CheckField, 5, 8, 0, 7, 1, // Skip to: 5812
-/* 5549 */    MCD_OPC_Decode, 163, 3, 60, // Opcode: STXrr
-/* 5553 */    MCD_OPC_FilterValue, 1, 255, 0, // Skip to: 5812
-/* 5557 */    MCD_OPC_Decode, 162, 3, 60, // Opcode: STXri
-/* 5561 */    MCD_OPC_FilterValue, 15, 25, 0, // Skip to: 5590
-/* 5565 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5568 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5582
-/* 5572 */    MCD_OPC_CheckField, 5, 8, 0, 234, 0, // Skip to: 5812
-/* 5578 */    MCD_OPC_Decode, 177, 3, 61, // Opcode: SWAPrr
-/* 5582 */    MCD_OPC_FilterValue, 1, 226, 0, // Skip to: 5812
-/* 5586 */    MCD_OPC_Decode, 176, 3, 61, // Opcode: SWAPri
-/* 5590 */    MCD_OPC_FilterValue, 32, 25, 0, // Skip to: 5619
-/* 5594 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5597 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5611
-/* 5601 */    MCD_OPC_CheckField, 5, 8, 0, 205, 0, // Skip to: 5812
-/* 5607 */    MCD_OPC_Decode, 175, 2, 62, // Opcode: LDFrr
-/* 5611 */    MCD_OPC_FilterValue, 1, 197, 0, // Skip to: 5812
-/* 5615 */    MCD_OPC_Decode, 174, 2, 62, // Opcode: LDFri
-/* 5619 */    MCD_OPC_FilterValue, 34, 33, 0, // Skip to: 5656
-/* 5623 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5626 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5644
-/* 5630 */    MCD_OPC_CheckPredicate, 0, 178, 0, // Skip to: 5812
-/* 5634 */    MCD_OPC_CheckField, 5, 8, 0, 172, 0, // Skip to: 5812
-/* 5640 */    MCD_OPC_Decode, 177, 2, 63, // Opcode: LDQFrr
-/* 5644 */    MCD_OPC_FilterValue, 1, 164, 0, // Skip to: 5812
-/* 5648 */    MCD_OPC_CheckPredicate, 0, 160, 0, // Skip to: 5812
-/* 5652 */    MCD_OPC_Decode, 176, 2, 63, // Opcode: LDQFri
-/* 5656 */    MCD_OPC_FilterValue, 35, 25, 0, // Skip to: 5685
-/* 5660 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5663 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5677
-/* 5667 */    MCD_OPC_CheckField, 5, 8, 0, 139, 0, // Skip to: 5812
-/* 5673 */    MCD_OPC_Decode, 173, 2, 64, // Opcode: LDDFrr
-/* 5677 */    MCD_OPC_FilterValue, 1, 131, 0, // Skip to: 5812
-/* 5681 */    MCD_OPC_Decode, 172, 2, 64, // Opcode: LDDFri
-/* 5685 */    MCD_OPC_FilterValue, 36, 25, 0, // Skip to: 5714
-/* 5689 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5692 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5706
-/* 5696 */    MCD_OPC_CheckField, 5, 8, 0, 110, 0, // Skip to: 5812
-/* 5702 */    MCD_OPC_Decode, 157, 3, 65, // Opcode: STFrr
-/* 5706 */    MCD_OPC_FilterValue, 1, 102, 0, // Skip to: 5812
-/* 5710 */    MCD_OPC_Decode, 156, 3, 65, // Opcode: STFri
-/* 5714 */    MCD_OPC_FilterValue, 38, 33, 0, // Skip to: 5751
-/* 5718 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5721 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5739
-/* 5725 */    MCD_OPC_CheckPredicate, 0, 83, 0, // Skip to: 5812
-/* 5729 */    MCD_OPC_CheckField, 5, 8, 0, 77, 0, // Skip to: 5812
-/* 5735 */    MCD_OPC_Decode, 161, 3, 66, // Opcode: STQFrr
-/* 5739 */    MCD_OPC_FilterValue, 1, 69, 0, // Skip to: 5812
-/* 5743 */    MCD_OPC_CheckPredicate, 0, 65, 0, // Skip to: 5812
-/* 5747 */    MCD_OPC_Decode, 160, 3, 66, // Opcode: STQFri
-/* 5751 */    MCD_OPC_FilterValue, 39, 25, 0, // Skip to: 5780
-/* 5755 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
-/* 5758 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5772
-/* 5762 */    MCD_OPC_CheckField, 5, 8, 0, 44, 0, // Skip to: 5812
-/* 5768 */    MCD_OPC_Decode, 155, 3, 67, // Opcode: STDFrr
-/* 5772 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 5812
-/* 5776 */    MCD_OPC_Decode, 154, 3, 67, // Opcode: STDFri
-/* 5780 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 5798
-/* 5784 */    MCD_OPC_CheckPredicate, 0, 24, 0, // Skip to: 5812
-/* 5788 */    MCD_OPC_CheckField, 5, 9, 128, 1, 17, 0, // Skip to: 5812
-/* 5795 */    MCD_OPC_Decode, 117, 68, // Opcode: CASrr
-/* 5798 */    MCD_OPC_FilterValue, 62, 10, 0, // Skip to: 5812
-/* 5802 */    MCD_OPC_CheckField, 5, 9, 128, 1, 3, 0, // Skip to: 5812
-/* 5809 */    MCD_OPC_Decode, 116, 69, // Opcode: CASXrr
-/* 5812 */    MCD_OPC_Fail,
+/* 3525 */    MCD_OPC_CheckPredicate, 3, 236, 8, // Skip to: 5813
+/* 3529 */    MCD_OPC_Decode, 126, 10, // Opcode: EDGE16LN
+/* 3532 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3544
+/* 3536 */    MCD_OPC_CheckPredicate, 2, 225, 8, // Skip to: 5813
+/* 3540 */    MCD_OPC_Decode, 128, 1, 10, // Opcode: EDGE32
+/* 3544 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3556
+/* 3548 */    MCD_OPC_CheckPredicate, 3, 213, 8, // Skip to: 5813
+/* 3552 */    MCD_OPC_Decode, 131, 1, 10, // Opcode: EDGE32N
+/* 3556 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3568
+/* 3560 */    MCD_OPC_CheckPredicate, 2, 201, 8, // Skip to: 5813
+/* 3564 */    MCD_OPC_Decode, 129, 1, 10, // Opcode: EDGE32L
+/* 3568 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3580
+/* 3572 */    MCD_OPC_CheckPredicate, 3, 189, 8, // Skip to: 5813
+/* 3576 */    MCD_OPC_Decode, 130, 1, 10, // Opcode: EDGE32LN
+/* 3580 */    MCD_OPC_FilterValue, 16, 7, 0, // Skip to: 3591
+/* 3584 */    MCD_OPC_CheckPredicate, 2, 177, 8, // Skip to: 5813
+/* 3588 */    MCD_OPC_Decode, 49, 10, // Opcode: ARRAY8
+/* 3591 */    MCD_OPC_FilterValue, 17, 7, 0, // Skip to: 3602
+/* 3595 */    MCD_OPC_CheckPredicate, 1, 166, 8, // Skip to: 5813
+/* 3599 */    MCD_OPC_Decode, 26, 10, // Opcode: ADDXC
+/* 3602 */    MCD_OPC_FilterValue, 18, 7, 0, // Skip to: 3613
+/* 3606 */    MCD_OPC_CheckPredicate, 2, 155, 8, // Skip to: 5813
+/* 3610 */    MCD_OPC_Decode, 47, 10, // Opcode: ARRAY16
+/* 3613 */    MCD_OPC_FilterValue, 19, 7, 0, // Skip to: 3624
+/* 3617 */    MCD_OPC_CheckPredicate, 1, 144, 8, // Skip to: 5813
+/* 3621 */    MCD_OPC_Decode, 27, 10, // Opcode: ADDXCCC
+/* 3624 */    MCD_OPC_FilterValue, 20, 7, 0, // Skip to: 3635
+/* 3628 */    MCD_OPC_CheckPredicate, 2, 133, 8, // Skip to: 5813
+/* 3632 */    MCD_OPC_Decode, 48, 10, // Opcode: ARRAY32
+/* 3635 */    MCD_OPC_FilterValue, 22, 8, 0, // Skip to: 3647
+/* 3639 */    MCD_OPC_CheckPredicate, 1, 122, 8, // Skip to: 5813
+/* 3643 */    MCD_OPC_Decode, 206, 3, 10, // Opcode: UMULXHI
+/* 3647 */    MCD_OPC_FilterValue, 23, 14, 0, // Skip to: 3665
+/* 3651 */    MCD_OPC_CheckPredicate, 1, 110, 8, // Skip to: 5813
+/* 3655 */    MCD_OPC_CheckField, 14, 5, 0, 104, 8, // Skip to: 5813
+/* 3661 */    MCD_OPC_Decode, 195, 2, 46, // Opcode: LZCNT
+/* 3665 */    MCD_OPC_FilterValue, 24, 7, 0, // Skip to: 3676
+/* 3669 */    MCD_OPC_CheckPredicate, 2, 92, 8, // Skip to: 5813
+/* 3673 */    MCD_OPC_Decode, 34, 10, // Opcode: ALIGNADDR
+/* 3676 */    MCD_OPC_FilterValue, 25, 7, 0, // Skip to: 3687
+/* 3680 */    MCD_OPC_CheckPredicate, 3, 81, 8, // Skip to: 5813
+/* 3684 */    MCD_OPC_Decode, 76, 10, // Opcode: BMASK
+/* 3687 */    MCD_OPC_FilterValue, 26, 7, 0, // Skip to: 3698
+/* 3691 */    MCD_OPC_CheckPredicate, 2, 70, 8, // Skip to: 5813
+/* 3695 */    MCD_OPC_Decode, 35, 10, // Opcode: ALIGNADDRL
+/* 3698 */    MCD_OPC_FilterValue, 27, 19, 0, // Skip to: 3721
+/* 3702 */    MCD_OPC_CheckPredicate, 1, 59, 8, // Skip to: 5813
+/* 3706 */    MCD_OPC_CheckField, 25, 5, 0, 53, 8, // Skip to: 5813
+/* 3712 */    MCD_OPC_CheckField, 14, 5, 0, 47, 8, // Skip to: 5813
+/* 3718 */    MCD_OPC_Decode, 121, 47, // Opcode: CMASK8
+/* 3721 */    MCD_OPC_FilterValue, 28, 7, 0, // Skip to: 3732
+/* 3725 */    MCD_OPC_CheckPredicate, 3, 36, 8, // Skip to: 5813
+/* 3729 */    MCD_OPC_Decode, 113, 26, // Opcode: BSHUFFLE
+/* 3732 */    MCD_OPC_FilterValue, 29, 19, 0, // Skip to: 3755
+/* 3736 */    MCD_OPC_CheckPredicate, 1, 25, 8, // Skip to: 5813
+/* 3740 */    MCD_OPC_CheckField, 25, 5, 0, 19, 8, // Skip to: 5813
+/* 3746 */    MCD_OPC_CheckField, 14, 5, 0, 13, 8, // Skip to: 5813
+/* 3752 */    MCD_OPC_Decode, 119, 47, // Opcode: CMASK16
+/* 3755 */    MCD_OPC_FilterValue, 31, 19, 0, // Skip to: 3778
+/* 3759 */    MCD_OPC_CheckPredicate, 1, 2, 8, // Skip to: 5813
+/* 3763 */    MCD_OPC_CheckField, 25, 5, 0, 252, 7, // Skip to: 5813
+/* 3769 */    MCD_OPC_CheckField, 14, 5, 0, 246, 7, // Skip to: 5813
+/* 3775 */    MCD_OPC_Decode, 120, 47, // Opcode: CMASK32
+/* 3778 */    MCD_OPC_FilterValue, 32, 8, 0, // Skip to: 3790
+/* 3782 */    MCD_OPC_CheckPredicate, 2, 235, 7, // Skip to: 5813
+/* 3786 */    MCD_OPC_Decode, 157, 1, 48, // Opcode: FCMPLE16
+/* 3790 */    MCD_OPC_FilterValue, 33, 8, 0, // Skip to: 3802
+/* 3794 */    MCD_OPC_CheckPredicate, 1, 223, 7, // Skip to: 5813
+/* 3798 */    MCD_OPC_Decode, 140, 2, 26, // Opcode: FSLL16
+/* 3802 */    MCD_OPC_FilterValue, 34, 8, 0, // Skip to: 3814
+/* 3806 */    MCD_OPC_CheckPredicate, 2, 211, 7, // Skip to: 5813
+/* 3810 */    MCD_OPC_Decode, 159, 1, 48, // Opcode: FCMPNE16
+/* 3814 */    MCD_OPC_FilterValue, 35, 8, 0, // Skip to: 3826
+/* 3818 */    MCD_OPC_CheckPredicate, 1, 199, 7, // Skip to: 5813
+/* 3822 */    MCD_OPC_Decode, 152, 2, 26, // Opcode: FSRL16
+/* 3826 */    MCD_OPC_FilterValue, 36, 8, 0, // Skip to: 3838
+/* 3830 */    MCD_OPC_CheckPredicate, 2, 187, 7, // Skip to: 5813
+/* 3834 */    MCD_OPC_Decode, 158, 1, 48, // Opcode: FCMPLE32
+/* 3838 */    MCD_OPC_FilterValue, 37, 8, 0, // Skip to: 3850
+/* 3842 */    MCD_OPC_CheckPredicate, 1, 175, 7, // Skip to: 5813
+/* 3846 */    MCD_OPC_Decode, 141, 2, 26, // Opcode: FSLL32
+/* 3850 */    MCD_OPC_FilterValue, 38, 8, 0, // Skip to: 3862
+/* 3854 */    MCD_OPC_CheckPredicate, 2, 163, 7, // Skip to: 5813
+/* 3858 */    MCD_OPC_Decode, 160, 1, 48, // Opcode: FCMPNE32
+/* 3862 */    MCD_OPC_FilterValue, 39, 8, 0, // Skip to: 3874
+/* 3866 */    MCD_OPC_CheckPredicate, 1, 151, 7, // Skip to: 5813
+/* 3870 */    MCD_OPC_Decode, 153, 2, 26, // Opcode: FSRL32
+/* 3874 */    MCD_OPC_FilterValue, 40, 8, 0, // Skip to: 3886
+/* 3878 */    MCD_OPC_CheckPredicate, 2, 139, 7, // Skip to: 5813
+/* 3882 */    MCD_OPC_Decode, 155, 1, 48, // Opcode: FCMPGT16
+/* 3886 */    MCD_OPC_FilterValue, 41, 8, 0, // Skip to: 3898
+/* 3890 */    MCD_OPC_CheckPredicate, 1, 127, 7, // Skip to: 5813
+/* 3894 */    MCD_OPC_Decode, 138, 2, 26, // Opcode: FSLAS16
+/* 3898 */    MCD_OPC_FilterValue, 42, 8, 0, // Skip to: 3910
+/* 3902 */    MCD_OPC_CheckPredicate, 2, 115, 7, // Skip to: 5813
+/* 3906 */    MCD_OPC_Decode, 153, 1, 48, // Opcode: FCMPEQ16
+/* 3910 */    MCD_OPC_FilterValue, 43, 8, 0, // Skip to: 3922
+/* 3914 */    MCD_OPC_CheckPredicate, 1, 103, 7, // Skip to: 5813
+/* 3918 */    MCD_OPC_Decode, 146, 2, 26, // Opcode: FSRA16
+/* 3922 */    MCD_OPC_FilterValue, 44, 8, 0, // Skip to: 3934
+/* 3926 */    MCD_OPC_CheckPredicate, 2, 91, 7, // Skip to: 5813
+/* 3930 */    MCD_OPC_Decode, 156, 1, 48, // Opcode: FCMPGT32
+/* 3934 */    MCD_OPC_FilterValue, 45, 8, 0, // Skip to: 3946
+/* 3938 */    MCD_OPC_CheckPredicate, 1, 79, 7, // Skip to: 5813
+/* 3942 */    MCD_OPC_Decode, 139, 2, 26, // Opcode: FSLAS32
+/* 3946 */    MCD_OPC_FilterValue, 46, 8, 0, // Skip to: 3958
+/* 3950 */    MCD_OPC_CheckPredicate, 2, 67, 7, // Skip to: 5813
+/* 3954 */    MCD_OPC_Decode, 154, 1, 48, // Opcode: FCMPEQ32
+/* 3958 */    MCD_OPC_FilterValue, 47, 8, 0, // Skip to: 3970
+/* 3962 */    MCD_OPC_CheckPredicate, 1, 55, 7, // Skip to: 5813
+/* 3966 */    MCD_OPC_Decode, 147, 2, 26, // Opcode: FSRA32
+/* 3970 */    MCD_OPC_FilterValue, 49, 8, 0, // Skip to: 3982
+/* 3974 */    MCD_OPC_CheckPredicate, 2, 43, 7, // Skip to: 5813
+/* 3978 */    MCD_OPC_Decode, 215, 1, 26, // Opcode: FMUL8X16
+/* 3982 */    MCD_OPC_FilterValue, 51, 8, 0, // Skip to: 3994
+/* 3986 */    MCD_OPC_CheckPredicate, 2, 31, 7, // Skip to: 5813
+/* 3990 */    MCD_OPC_Decode, 217, 1, 26, // Opcode: FMUL8X16AU
+/* 3994 */    MCD_OPC_FilterValue, 53, 8, 0, // Skip to: 4006
+/* 3998 */    MCD_OPC_CheckPredicate, 2, 19, 7, // Skip to: 5813
+/* 4002 */    MCD_OPC_Decode, 216, 1, 26, // Opcode: FMUL8X16AL
+/* 4006 */    MCD_OPC_FilterValue, 54, 8, 0, // Skip to: 4018
+/* 4010 */    MCD_OPC_CheckPredicate, 2, 7, 7, // Skip to: 5813
+/* 4014 */    MCD_OPC_Decode, 213, 1, 26, // Opcode: FMUL8SUX16
+/* 4018 */    MCD_OPC_FilterValue, 55, 8, 0, // Skip to: 4030
+/* 4022 */    MCD_OPC_CheckPredicate, 2, 251, 6, // Skip to: 5813
+/* 4026 */    MCD_OPC_Decode, 214, 1, 26, // Opcode: FMUL8ULX16
+/* 4030 */    MCD_OPC_FilterValue, 56, 8, 0, // Skip to: 4042
+/* 4034 */    MCD_OPC_CheckPredicate, 2, 239, 6, // Skip to: 5813
+/* 4038 */    MCD_OPC_Decode, 219, 1, 26, // Opcode: FMULD8SUX16
+/* 4042 */    MCD_OPC_FilterValue, 57, 8, 0, // Skip to: 4054
+/* 4046 */    MCD_OPC_CheckPredicate, 2, 227, 6, // Skip to: 5813
+/* 4050 */    MCD_OPC_Decode, 220, 1, 26, // Opcode: FMULD8ULX16
+/* 4054 */    MCD_OPC_FilterValue, 58, 8, 0, // Skip to: 4066
+/* 4058 */    MCD_OPC_CheckPredicate, 2, 215, 6, // Skip to: 5813
+/* 4062 */    MCD_OPC_Decode, 250, 1, 26, // Opcode: FPACK32
+/* 4066 */    MCD_OPC_FilterValue, 59, 14, 0, // Skip to: 4084
+/* 4070 */    MCD_OPC_CheckPredicate, 2, 203, 6, // Skip to: 5813
+/* 4074 */    MCD_OPC_CheckField, 14, 5, 0, 197, 6, // Skip to: 5813
+/* 4080 */    MCD_OPC_Decode, 249, 1, 23, // Opcode: FPACK16
+/* 4084 */    MCD_OPC_FilterValue, 61, 14, 0, // Skip to: 4102
+/* 4088 */    MCD_OPC_CheckPredicate, 2, 185, 6, // Skip to: 5813
+/* 4092 */    MCD_OPC_CheckField, 14, 5, 0, 179, 6, // Skip to: 5813
+/* 4098 */    MCD_OPC_Decode, 251, 1, 23, // Opcode: FPACKFIX
+/* 4102 */    MCD_OPC_FilterValue, 62, 8, 0, // Skip to: 4114
+/* 4106 */    MCD_OPC_CheckPredicate, 2, 167, 6, // Skip to: 5813
+/* 4110 */    MCD_OPC_Decode, 234, 2, 26, // Opcode: PDIST
+/* 4114 */    MCD_OPC_FilterValue, 63, 8, 0, // Skip to: 4126
+/* 4118 */    MCD_OPC_CheckPredicate, 1, 155, 6, // Skip to: 5813
+/* 4122 */    MCD_OPC_Decode, 235, 2, 26, // Opcode: PDISTN
+/* 4126 */    MCD_OPC_FilterValue, 64, 8, 0, // Skip to: 4138
+/* 4130 */    MCD_OPC_CheckPredicate, 1, 143, 6, // Skip to: 5813
+/* 4134 */    MCD_OPC_Decode, 182, 1, 26, // Opcode: FMEAN16
+/* 4138 */    MCD_OPC_FilterValue, 66, 8, 0, // Skip to: 4150
+/* 4142 */    MCD_OPC_CheckPredicate, 1, 131, 6, // Skip to: 5813
+/* 4146 */    MCD_OPC_Decode, 128, 2, 26, // Opcode: FPADD64
+/* 4150 */    MCD_OPC_FilterValue, 68, 8, 0, // Skip to: 4162
+/* 4154 */    MCD_OPC_CheckPredicate, 1, 119, 6, // Skip to: 5813
+/* 4158 */    MCD_OPC_Decode, 151, 1, 26, // Opcode: FCHKSM16
+/* 4162 */    MCD_OPC_FilterValue, 72, 8, 0, // Skip to: 4174
+/* 4166 */    MCD_OPC_CheckPredicate, 2, 107, 6, // Skip to: 5813
+/* 4170 */    MCD_OPC_Decode, 142, 1, 26, // Opcode: FALIGNADATA
+/* 4174 */    MCD_OPC_FilterValue, 75, 8, 0, // Skip to: 4186
+/* 4178 */    MCD_OPC_CheckPredicate, 2, 95, 6, // Skip to: 5813
+/* 4182 */    MCD_OPC_Decode, 129, 2, 26, // Opcode: FPMERGE
+/* 4186 */    MCD_OPC_FilterValue, 77, 14, 0, // Skip to: 4204
+/* 4190 */    MCD_OPC_CheckPredicate, 2, 83, 6, // Skip to: 5813
+/* 4194 */    MCD_OPC_CheckField, 14, 5, 0, 77, 6, // Skip to: 5813
+/* 4200 */    MCD_OPC_Decode, 171, 1, 23, // Opcode: FEXPAND
+/* 4204 */    MCD_OPC_FilterValue, 80, 8, 0, // Skip to: 4216
+/* 4208 */    MCD_OPC_CheckPredicate, 2, 65, 6, // Skip to: 5813
+/* 4212 */    MCD_OPC_Decode, 252, 1, 26, // Opcode: FPADD16
+/* 4216 */    MCD_OPC_FilterValue, 81, 8, 0, // Skip to: 4228
+/* 4220 */    MCD_OPC_CheckPredicate, 2, 53, 6, // Skip to: 5813
+/* 4224 */    MCD_OPC_Decode, 253, 1, 26, // Opcode: FPADD16S
+/* 4228 */    MCD_OPC_FilterValue, 82, 8, 0, // Skip to: 4240
+/* 4232 */    MCD_OPC_CheckPredicate, 2, 41, 6, // Skip to: 5813
+/* 4236 */    MCD_OPC_Decode, 254, 1, 26, // Opcode: FPADD32
+/* 4240 */    MCD_OPC_FilterValue, 83, 8, 0, // Skip to: 4252
+/* 4244 */    MCD_OPC_CheckPredicate, 2, 29, 6, // Skip to: 5813
+/* 4248 */    MCD_OPC_Decode, 255, 1, 26, // Opcode: FPADD32S
+/* 4252 */    MCD_OPC_FilterValue, 84, 8, 0, // Skip to: 4264
+/* 4256 */    MCD_OPC_CheckPredicate, 2, 17, 6, // Skip to: 5813
+/* 4260 */    MCD_OPC_Decode, 130, 2, 26, // Opcode: FPSUB16
+/* 4264 */    MCD_OPC_FilterValue, 85, 8, 0, // Skip to: 4276
+/* 4268 */    MCD_OPC_CheckPredicate, 2, 5, 6, // Skip to: 5813
+/* 4272 */    MCD_OPC_Decode, 131, 2, 26, // Opcode: FPSUB16S
+/* 4276 */    MCD_OPC_FilterValue, 86, 8, 0, // Skip to: 4288
+/* 4280 */    MCD_OPC_CheckPredicate, 2, 249, 5, // Skip to: 5813
+/* 4284 */    MCD_OPC_Decode, 132, 2, 26, // Opcode: FPSUB32
+/* 4288 */    MCD_OPC_FilterValue, 87, 8, 0, // Skip to: 4300
+/* 4292 */    MCD_OPC_CheckPredicate, 2, 237, 5, // Skip to: 5813
+/* 4296 */    MCD_OPC_Decode, 133, 2, 26, // Opcode: FPSUB32S
+/* 4300 */    MCD_OPC_FilterValue, 96, 20, 0, // Skip to: 4324
+/* 4304 */    MCD_OPC_CheckPredicate, 2, 225, 5, // Skip to: 5813
+/* 4308 */    MCD_OPC_CheckField, 14, 5, 0, 219, 5, // Skip to: 5813
+/* 4314 */    MCD_OPC_CheckField, 0, 5, 0, 213, 5, // Skip to: 5813
+/* 4320 */    MCD_OPC_Decode, 168, 2, 49, // Opcode: FZERO
+/* 4324 */    MCD_OPC_FilterValue, 97, 20, 0, // Skip to: 4348
+/* 4328 */    MCD_OPC_CheckPredicate, 2, 201, 5, // Skip to: 5813
+/* 4332 */    MCD_OPC_CheckField, 14, 5, 0, 195, 5, // Skip to: 5813
+/* 4338 */    MCD_OPC_CheckField, 0, 5, 0, 189, 5, // Skip to: 5813
+/* 4344 */    MCD_OPC_Decode, 169, 2, 50, // Opcode: FZEROS
+/* 4348 */    MCD_OPC_FilterValue, 98, 8, 0, // Skip to: 4360
+/* 4352 */    MCD_OPC_CheckPredicate, 2, 177, 5, // Skip to: 5813
+/* 4356 */    MCD_OPC_Decode, 234, 1, 26, // Opcode: FNOR
+/* 4360 */    MCD_OPC_FilterValue, 99, 8, 0, // Skip to: 4372
+/* 4364 */    MCD_OPC_CheckPredicate, 2, 165, 5, // Skip to: 5813
+/* 4368 */    MCD_OPC_Decode, 235, 1, 25, // Opcode: FNORS
+/* 4372 */    MCD_OPC_FilterValue, 100, 8, 0, // Skip to: 4384
+/* 4376 */    MCD_OPC_CheckPredicate, 2, 153, 5, // Skip to: 5813
+/* 4380 */    MCD_OPC_Decode, 146, 1, 26, // Opcode: FANDNOT2
+/* 4384 */    MCD_OPC_FilterValue, 101, 8, 0, // Skip to: 4396
+/* 4388 */    MCD_OPC_CheckPredicate, 2, 141, 5, // Skip to: 5813
+/* 4392 */    MCD_OPC_Decode, 147, 1, 25, // Opcode: FANDNOT2S
+/* 4396 */    MCD_OPC_FilterValue, 102, 14, 0, // Skip to: 4414
+/* 4400 */    MCD_OPC_CheckPredicate, 2, 129, 5, // Skip to: 5813
+/* 4404 */    MCD_OPC_CheckField, 14, 5, 0, 123, 5, // Skip to: 5813
+/* 4410 */    MCD_OPC_Decode, 238, 1, 23, // Opcode: FNOT2
+/* 4414 */    MCD_OPC_FilterValue, 103, 14, 0, // Skip to: 4432
+/* 4418 */    MCD_OPC_CheckPredicate, 2, 111, 5, // Skip to: 5813
+/* 4422 */    MCD_OPC_CheckField, 14, 5, 0, 105, 5, // Skip to: 5813
+/* 4428 */    MCD_OPC_Decode, 239, 1, 22, // Opcode: FNOT2S
+/* 4432 */    MCD_OPC_FilterValue, 104, 8, 0, // Skip to: 4444
+/* 4436 */    MCD_OPC_CheckPredicate, 2, 93, 5, // Skip to: 5813
+/* 4440 */    MCD_OPC_Decode, 144, 1, 26, // Opcode: FANDNOT1
+/* 4444 */    MCD_OPC_FilterValue, 105, 8, 0, // Skip to: 4456
+/* 4448 */    MCD_OPC_CheckPredicate, 2, 81, 5, // Skip to: 5813
+/* 4452 */    MCD_OPC_Decode, 145, 1, 25, // Opcode: FANDNOT1S
+/* 4456 */    MCD_OPC_FilterValue, 106, 14, 0, // Skip to: 4474
+/* 4460 */    MCD_OPC_CheckPredicate, 2, 69, 5, // Skip to: 5813
+/* 4464 */    MCD_OPC_CheckField, 0, 5, 0, 63, 5, // Skip to: 5813
+/* 4470 */    MCD_OPC_Decode, 236, 1, 51, // Opcode: FNOT1
+/* 4474 */    MCD_OPC_FilterValue, 107, 14, 0, // Skip to: 4492
+/* 4478 */    MCD_OPC_CheckPredicate, 2, 51, 5, // Skip to: 5813
+/* 4482 */    MCD_OPC_CheckField, 0, 5, 0, 45, 5, // Skip to: 5813
+/* 4488 */    MCD_OPC_Decode, 237, 1, 52, // Opcode: FNOT1S
+/* 4492 */    MCD_OPC_FilterValue, 108, 8, 0, // Skip to: 4504
+/* 4496 */    MCD_OPC_CheckPredicate, 2, 33, 5, // Skip to: 5813
+/* 4500 */    MCD_OPC_Decode, 163, 2, 26, // Opcode: FXOR
+/* 4504 */    MCD_OPC_FilterValue, 109, 8, 0, // Skip to: 4516
+/* 4508 */    MCD_OPC_CheckPredicate, 2, 21, 5, // Skip to: 5813
+/* 4512 */    MCD_OPC_Decode, 164, 2, 25, // Opcode: FXORS
+/* 4516 */    MCD_OPC_FilterValue, 110, 8, 0, // Skip to: 4528
+/* 4520 */    MCD_OPC_CheckPredicate, 2, 9, 5, // Skip to: 5813
+/* 4524 */    MCD_OPC_Decode, 225, 1, 26, // Opcode: FNAND
+/* 4528 */    MCD_OPC_FilterValue, 111, 8, 0, // Skip to: 4540
+/* 4532 */    MCD_OPC_CheckPredicate, 2, 253, 4, // Skip to: 5813
+/* 4536 */    MCD_OPC_Decode, 226, 1, 25, // Opcode: FNANDS
+/* 4540 */    MCD_OPC_FilterValue, 112, 8, 0, // Skip to: 4552
+/* 4544 */    MCD_OPC_CheckPredicate, 2, 241, 4, // Skip to: 5813
+/* 4548 */    MCD_OPC_Decode, 143, 1, 26, // Opcode: FAND
+/* 4552 */    MCD_OPC_FilterValue, 113, 8, 0, // Skip to: 4564
+/* 4556 */    MCD_OPC_CheckPredicate, 2, 229, 4, // Skip to: 5813
+/* 4560 */    MCD_OPC_Decode, 148, 1, 25, // Opcode: FANDS
+/* 4564 */    MCD_OPC_FilterValue, 114, 8, 0, // Skip to: 4576
+/* 4568 */    MCD_OPC_CheckPredicate, 2, 217, 4, // Skip to: 5813
+/* 4572 */    MCD_OPC_Decode, 161, 2, 26, // Opcode: FXNOR
+/* 4576 */    MCD_OPC_FilterValue, 115, 8, 0, // Skip to: 4588
+/* 4580 */    MCD_OPC_CheckPredicate, 2, 205, 4, // Skip to: 5813
+/* 4584 */    MCD_OPC_Decode, 162, 2, 25, // Opcode: FXNORS
+/* 4588 */    MCD_OPC_FilterValue, 116, 14, 0, // Skip to: 4606
+/* 4592 */    MCD_OPC_CheckPredicate, 2, 193, 4, // Skip to: 5813
+/* 4596 */    MCD_OPC_CheckField, 0, 5, 0, 187, 4, // Skip to: 5813
+/* 4602 */    MCD_OPC_Decode, 148, 2, 51, // Opcode: FSRC1
+/* 4606 */    MCD_OPC_FilterValue, 117, 14, 0, // Skip to: 4624
+/* 4610 */    MCD_OPC_CheckPredicate, 2, 175, 4, // Skip to: 5813
+/* 4614 */    MCD_OPC_CheckField, 0, 5, 0, 169, 4, // Skip to: 5813
+/* 4620 */    MCD_OPC_Decode, 149, 2, 52, // Opcode: FSRC1S
+/* 4624 */    MCD_OPC_FilterValue, 118, 8, 0, // Skip to: 4636
+/* 4628 */    MCD_OPC_CheckPredicate, 2, 157, 4, // Skip to: 5813
+/* 4632 */    MCD_OPC_Decode, 246, 1, 26, // Opcode: FORNOT2
+/* 4636 */    MCD_OPC_FilterValue, 119, 8, 0, // Skip to: 4648
+/* 4640 */    MCD_OPC_CheckPredicate, 2, 145, 4, // Skip to: 5813
+/* 4644 */    MCD_OPC_Decode, 247, 1, 25, // Opcode: FORNOT2S
+/* 4648 */    MCD_OPC_FilterValue, 120, 14, 0, // Skip to: 4666
+/* 4652 */    MCD_OPC_CheckPredicate, 2, 133, 4, // Skip to: 5813
+/* 4656 */    MCD_OPC_CheckField, 14, 5, 0, 127, 4, // Skip to: 5813
+/* 4662 */    MCD_OPC_Decode, 150, 2, 23, // Opcode: FSRC2
+/* 4666 */    MCD_OPC_FilterValue, 121, 14, 0, // Skip to: 4684
+/* 4670 */    MCD_OPC_CheckPredicate, 2, 115, 4, // Skip to: 5813
+/* 4674 */    MCD_OPC_CheckField, 14, 5, 0, 109, 4, // Skip to: 5813
+/* 4680 */    MCD_OPC_Decode, 151, 2, 22, // Opcode: FSRC2S
+/* 4684 */    MCD_OPC_FilterValue, 122, 8, 0, // Skip to: 4696
+/* 4688 */    MCD_OPC_CheckPredicate, 2, 97, 4, // Skip to: 5813
+/* 4692 */    MCD_OPC_Decode, 244, 1, 26, // Opcode: FORNOT1
+/* 4696 */    MCD_OPC_FilterValue, 123, 8, 0, // Skip to: 4708
+/* 4700 */    MCD_OPC_CheckPredicate, 2, 85, 4, // Skip to: 5813
+/* 4704 */    MCD_OPC_Decode, 245, 1, 25, // Opcode: FORNOT1S
+/* 4708 */    MCD_OPC_FilterValue, 124, 8, 0, // Skip to: 4720
+/* 4712 */    MCD_OPC_CheckPredicate, 2, 73, 4, // Skip to: 5813
+/* 4716 */    MCD_OPC_Decode, 243, 1, 26, // Opcode: FOR
+/* 4720 */    MCD_OPC_FilterValue, 125, 8, 0, // Skip to: 4732
+/* 4724 */    MCD_OPC_CheckPredicate, 2, 61, 4, // Skip to: 5813
+/* 4728 */    MCD_OPC_Decode, 248, 1, 25, // Opcode: FORS
+/* 4732 */    MCD_OPC_FilterValue, 126, 20, 0, // Skip to: 4756
+/* 4736 */    MCD_OPC_CheckPredicate, 2, 49, 4, // Skip to: 5813
+/* 4740 */    MCD_OPC_CheckField, 14, 5, 0, 43, 4, // Skip to: 5813
+/* 4746 */    MCD_OPC_CheckField, 0, 5, 0, 37, 4, // Skip to: 5813
+/* 4752 */    MCD_OPC_Decode, 241, 1, 49, // Opcode: FONE
+/* 4756 */    MCD_OPC_FilterValue, 127, 20, 0, // Skip to: 4780
+/* 4760 */    MCD_OPC_CheckPredicate, 2, 25, 4, // Skip to: 5813
+/* 4764 */    MCD_OPC_CheckField, 14, 5, 0, 19, 4, // Skip to: 5813
+/* 4770 */    MCD_OPC_CheckField, 0, 5, 0, 13, 4, // Skip to: 5813
+/* 4776 */    MCD_OPC_Decode, 242, 1, 50, // Opcode: FONES
+/* 4780 */    MCD_OPC_FilterValue, 128, 1, 26, 0, // Skip to: 4811
+/* 4785 */    MCD_OPC_CheckPredicate, 2, 0, 4, // Skip to: 5813
+/* 4789 */    MCD_OPC_CheckField, 25, 5, 0, 250, 3, // Skip to: 5813
+/* 4795 */    MCD_OPC_CheckField, 14, 5, 0, 244, 3, // Skip to: 5813
+/* 4801 */    MCD_OPC_CheckField, 0, 5, 0, 238, 3, // Skip to: 5813
+/* 4807 */    MCD_OPC_Decode, 134, 3, 4, // Opcode: SHUTDOWN
+/* 4811 */    MCD_OPC_FilterValue, 129, 1, 26, 0, // Skip to: 4842
+/* 4816 */    MCD_OPC_CheckPredicate, 3, 225, 3, // Skip to: 5813
+/* 4820 */    MCD_OPC_CheckField, 25, 5, 0, 219, 3, // Skip to: 5813
+/* 4826 */    MCD_OPC_CheckField, 14, 5, 0, 213, 3, // Skip to: 5813
+/* 4832 */    MCD_OPC_CheckField, 0, 5, 0, 207, 3, // Skip to: 5813
+/* 4838 */    MCD_OPC_Decode, 135, 3, 4, // Opcode: SIAM
+/* 4842 */    MCD_OPC_FilterValue, 144, 2, 14, 0, // Skip to: 4861
+/* 4847 */    MCD_OPC_CheckPredicate, 1, 194, 3, // Skip to: 5813
+/* 4851 */    MCD_OPC_CheckField, 14, 5, 0, 188, 3, // Skip to: 5813
+/* 4857 */    MCD_OPC_Decode, 197, 2, 53, // Opcode: MOVDTOX
+/* 4861 */    MCD_OPC_FilterValue, 145, 2, 14, 0, // Skip to: 4880
+/* 4866 */    MCD_OPC_CheckPredicate, 1, 175, 3, // Skip to: 5813
+/* 4870 */    MCD_OPC_CheckField, 14, 5, 0, 169, 3, // Skip to: 5813
+/* 4876 */    MCD_OPC_Decode, 215, 2, 53, // Opcode: MOVSTOUW
+/* 4880 */    MCD_OPC_FilterValue, 147, 2, 14, 0, // Skip to: 4899
+/* 4885 */    MCD_OPC_CheckPredicate, 1, 156, 3, // Skip to: 5813
+/* 4889 */    MCD_OPC_CheckField, 14, 5, 0, 150, 3, // Skip to: 5813
+/* 4895 */    MCD_OPC_Decode, 214, 2, 53, // Opcode: MOVSTOSW
+/* 4899 */    MCD_OPC_FilterValue, 149, 2, 8, 0, // Skip to: 4912
+/* 4904 */    MCD_OPC_CheckPredicate, 1, 137, 3, // Skip to: 5813
+/* 4908 */    MCD_OPC_Decode, 223, 3, 10, // Opcode: XMULX
+/* 4912 */    MCD_OPC_FilterValue, 151, 2, 8, 0, // Skip to: 4925
+/* 4917 */    MCD_OPC_CheckPredicate, 1, 124, 3, // Skip to: 5813
+/* 4921 */    MCD_OPC_Decode, 224, 3, 10, // Opcode: XMULXHI
+/* 4925 */    MCD_OPC_FilterValue, 152, 2, 14, 0, // Skip to: 4944
+/* 4930 */    MCD_OPC_CheckPredicate, 1, 111, 3, // Skip to: 5813
+/* 4934 */    MCD_OPC_CheckField, 14, 5, 0, 105, 3, // Skip to: 5813
+/* 4940 */    MCD_OPC_Decode, 219, 2, 54, // Opcode: MOVXTOD
+/* 4944 */    MCD_OPC_FilterValue, 153, 2, 14, 0, // Skip to: 4963
+/* 4949 */    MCD_OPC_CheckPredicate, 1, 92, 3, // Skip to: 5813
+/* 4953 */    MCD_OPC_CheckField, 14, 5, 0, 86, 3, // Skip to: 5813
+/* 4959 */    MCD_OPC_Decode, 216, 2, 54, // Opcode: MOVWTOS
+/* 4963 */    MCD_OPC_FilterValue, 209, 2, 8, 0, // Skip to: 4976
+/* 4968 */    MCD_OPC_CheckPredicate, 1, 73, 3, // Skip to: 5813
+/* 4972 */    MCD_OPC_Decode, 180, 1, 44, // Opcode: FLCMPS
+/* 4976 */    MCD_OPC_FilterValue, 210, 2, 64, 3, // Skip to: 5813
+/* 4981 */    MCD_OPC_CheckPredicate, 1, 60, 3, // Skip to: 5813
+/* 4985 */    MCD_OPC_Decode, 179, 1, 44, // Opcode: FLCMPD
+/* 4989 */    MCD_OPC_FilterValue, 56, 25, 0, // Skip to: 5018
+/* 4993 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 4996 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5010
+/* 5000 */    MCD_OPC_CheckField, 5, 8, 0, 39, 3, // Skip to: 5813
+/* 5006 */    MCD_OPC_Decode, 172, 2, 55, // Opcode: JMPLrr
+/* 5010 */    MCD_OPC_FilterValue, 1, 31, 3, // Skip to: 5813
+/* 5014 */    MCD_OPC_Decode, 171, 2, 55, // Opcode: JMPLri
+/* 5018 */    MCD_OPC_FilterValue, 57, 37, 0, // Skip to: 5059
+/* 5022 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5025 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 5045
+/* 5029 */    MCD_OPC_CheckField, 25, 5, 0, 10, 3, // Skip to: 5813
+/* 5035 */    MCD_OPC_CheckField, 5, 8, 0, 4, 3, // Skip to: 5813
+/* 5041 */    MCD_OPC_Decode, 243, 2, 56, // Opcode: RETTrr
+/* 5045 */    MCD_OPC_FilterValue, 1, 252, 2, // Skip to: 5813
+/* 5049 */    MCD_OPC_CheckField, 25, 5, 0, 246, 2, // Skip to: 5813
+/* 5055 */    MCD_OPC_Decode, 242, 2, 56, // Opcode: RETTri
+/* 5059 */    MCD_OPC_FilterValue, 58, 115, 0, // Skip to: 5178
+/* 5063 */    MCD_OPC_ExtractField, 8, 6,  // Inst{13-8} ...
+/* 5066 */    MCD_OPC_FilterValue, 0, 16, 0, // Skip to: 5086
+/* 5070 */    MCD_OPC_CheckField, 29, 1, 0, 225, 2, // Skip to: 5813
+/* 5076 */    MCD_OPC_CheckField, 5, 3, 0, 219, 2, // Skip to: 5813
+/* 5082 */    MCD_OPC_Decode, 186, 3, 57, // Opcode: TICCrr
+/* 5086 */    MCD_OPC_FilterValue, 16, 16, 0, // Skip to: 5106
+/* 5090 */    MCD_OPC_CheckField, 29, 1, 0, 205, 2, // Skip to: 5813
+/* 5096 */    MCD_OPC_CheckField, 5, 3, 0, 199, 2, // Skip to: 5813
+/* 5102 */    MCD_OPC_Decode, 197, 3, 57, // Opcode: TXCCrr
+/* 5106 */    MCD_OPC_FilterValue, 32, 54, 0, // Skip to: 5164
+/* 5110 */    MCD_OPC_ExtractField, 29, 1,  // Inst{29} ...
+/* 5113 */    MCD_OPC_FilterValue, 0, 184, 2, // Skip to: 5813
+/* 5117 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
+/* 5120 */    MCD_OPC_FilterValue, 3, 16, 0, // Skip to: 5140
+/* 5124 */    MCD_OPC_CheckField, 25, 4, 0, 30, 0, // Skip to: 5160
+/* 5130 */    MCD_OPC_CheckField, 14, 5, 1, 24, 0, // Skip to: 5160
+/* 5136 */    MCD_OPC_Decode, 179, 3, 4, // Opcode: TA3
+/* 5140 */    MCD_OPC_FilterValue, 5, 16, 0, // Skip to: 5160
+/* 5144 */    MCD_OPC_CheckField, 25, 4, 8, 10, 0, // Skip to: 5160
+/* 5150 */    MCD_OPC_CheckField, 14, 5, 0, 4, 0, // Skip to: 5160
+/* 5156 */    MCD_OPC_Decode, 180, 3, 4, // Opcode: TA5
+/* 5160 */    MCD_OPC_Decode, 185, 3, 58, // Opcode: TICCri
+/* 5164 */    MCD_OPC_FilterValue, 48, 133, 2, // Skip to: 5813
+/* 5168 */    MCD_OPC_CheckField, 29, 1, 0, 127, 2, // Skip to: 5813
+/* 5174 */    MCD_OPC_Decode, 196, 3, 58, // Opcode: TXCCri
+/* 5178 */    MCD_OPC_FilterValue, 60, 25, 0, // Skip to: 5207
+/* 5182 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5185 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5199
+/* 5189 */    MCD_OPC_CheckField, 5, 8, 0, 106, 2, // Skip to: 5813
+/* 5195 */    MCD_OPC_Decode, 245, 2, 8, // Opcode: SAVErr
+/* 5199 */    MCD_OPC_FilterValue, 1, 98, 2, // Skip to: 5813
+/* 5203 */    MCD_OPC_Decode, 244, 2, 9, // Opcode: SAVEri
+/* 5207 */    MCD_OPC_FilterValue, 61, 90, 2, // Skip to: 5813
+/* 5211 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5214 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5228
+/* 5218 */    MCD_OPC_CheckField, 5, 8, 0, 77, 2, // Skip to: 5813
+/* 5224 */    MCD_OPC_Decode, 239, 2, 8, // Opcode: RESTORErr
+/* 5228 */    MCD_OPC_FilterValue, 1, 69, 2, // Skip to: 5813
+/* 5232 */    MCD_OPC_Decode, 238, 2, 9, // Opcode: RESTOREri
+/* 5236 */    MCD_OPC_FilterValue, 3, 61, 2, // Skip to: 5813
+/* 5240 */    MCD_OPC_ExtractField, 19, 6,  // Inst{24-19} ...
+/* 5243 */    MCD_OPC_FilterValue, 0, 25, 0, // Skip to: 5272
+/* 5247 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5250 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5264
+/* 5254 */    MCD_OPC_CheckField, 5, 8, 0, 41, 2, // Skip to: 5813
+/* 5260 */    MCD_OPC_Decode, 192, 2, 59, // Opcode: LDrr
+/* 5264 */    MCD_OPC_FilterValue, 1, 33, 2, // Skip to: 5813
+/* 5268 */    MCD_OPC_Decode, 191, 2, 59, // Opcode: LDri
+/* 5272 */    MCD_OPC_FilterValue, 1, 25, 0, // Skip to: 5301
+/* 5276 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5279 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5293
+/* 5283 */    MCD_OPC_CheckField, 5, 8, 0, 12, 2, // Skip to: 5813
+/* 5289 */    MCD_OPC_Decode, 186, 2, 59, // Opcode: LDUBrr
+/* 5293 */    MCD_OPC_FilterValue, 1, 4, 2, // Skip to: 5813
+/* 5297 */    MCD_OPC_Decode, 185, 2, 59, // Opcode: LDUBri
+/* 5301 */    MCD_OPC_FilterValue, 2, 25, 0, // Skip to: 5330
+/* 5305 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5308 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5322
+/* 5312 */    MCD_OPC_CheckField, 5, 8, 0, 239, 1, // Skip to: 5813
+/* 5318 */    MCD_OPC_Decode, 188, 2, 59, // Opcode: LDUHrr
+/* 5322 */    MCD_OPC_FilterValue, 1, 231, 1, // Skip to: 5813
+/* 5326 */    MCD_OPC_Decode, 187, 2, 59, // Opcode: LDUHri
+/* 5330 */    MCD_OPC_FilterValue, 4, 25, 0, // Skip to: 5359
+/* 5334 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5337 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5351
+/* 5341 */    MCD_OPC_CheckField, 5, 8, 0, 210, 1, // Skip to: 5813
+/* 5347 */    MCD_OPC_Decode, 166, 3, 60, // Opcode: STrr
+/* 5351 */    MCD_OPC_FilterValue, 1, 202, 1, // Skip to: 5813
+/* 5355 */    MCD_OPC_Decode, 165, 3, 60, // Opcode: STri
+/* 5359 */    MCD_OPC_FilterValue, 5, 25, 0, // Skip to: 5388
+/* 5363 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5366 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5380
+/* 5370 */    MCD_OPC_CheckField, 5, 8, 0, 181, 1, // Skip to: 5813
+/* 5376 */    MCD_OPC_Decode, 154, 3, 60, // Opcode: STBrr
+/* 5380 */    MCD_OPC_FilterValue, 1, 173, 1, // Skip to: 5813
+/* 5384 */    MCD_OPC_Decode, 153, 3, 60, // Opcode: STBri
+/* 5388 */    MCD_OPC_FilterValue, 6, 25, 0, // Skip to: 5417
+/* 5392 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5395 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5409
+/* 5399 */    MCD_OPC_CheckField, 5, 8, 0, 152, 1, // Skip to: 5813
+/* 5405 */    MCD_OPC_Decode, 160, 3, 60, // Opcode: STHrr
+/* 5409 */    MCD_OPC_FilterValue, 1, 144, 1, // Skip to: 5813
+/* 5413 */    MCD_OPC_Decode, 159, 3, 60, // Opcode: STHri
+/* 5417 */    MCD_OPC_FilterValue, 8, 25, 0, // Skip to: 5446
+/* 5421 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5424 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5438
+/* 5428 */    MCD_OPC_CheckField, 5, 8, 0, 123, 1, // Skip to: 5813
+/* 5434 */    MCD_OPC_Decode, 184, 2, 59, // Opcode: LDSWrr
+/* 5438 */    MCD_OPC_FilterValue, 1, 115, 1, // Skip to: 5813
+/* 5442 */    MCD_OPC_Decode, 183, 2, 59, // Opcode: LDSWri
+/* 5446 */    MCD_OPC_FilterValue, 9, 25, 0, // Skip to: 5475
+/* 5450 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5453 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5467
+/* 5457 */    MCD_OPC_CheckField, 5, 8, 0, 94, 1, // Skip to: 5813
+/* 5463 */    MCD_OPC_Decode, 180, 2, 59, // Opcode: LDSBrr
+/* 5467 */    MCD_OPC_FilterValue, 1, 86, 1, // Skip to: 5813
+/* 5471 */    MCD_OPC_Decode, 179, 2, 59, // Opcode: LDSBri
+/* 5475 */    MCD_OPC_FilterValue, 10, 25, 0, // Skip to: 5504
+/* 5479 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5482 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5496
+/* 5486 */    MCD_OPC_CheckField, 5, 8, 0, 65, 1, // Skip to: 5813
+/* 5492 */    MCD_OPC_Decode, 182, 2, 59, // Opcode: LDSHrr
+/* 5496 */    MCD_OPC_FilterValue, 1, 57, 1, // Skip to: 5813
+/* 5500 */    MCD_OPC_Decode, 181, 2, 59, // Opcode: LDSHri
+/* 5504 */    MCD_OPC_FilterValue, 11, 25, 0, // Skip to: 5533
+/* 5508 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5511 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5525
+/* 5515 */    MCD_OPC_CheckField, 5, 8, 0, 36, 1, // Skip to: 5813
+/* 5521 */    MCD_OPC_Decode, 190, 2, 59, // Opcode: LDXrr
+/* 5525 */    MCD_OPC_FilterValue, 1, 28, 1, // Skip to: 5813
+/* 5529 */    MCD_OPC_Decode, 189, 2, 59, // Opcode: LDXri
+/* 5533 */    MCD_OPC_FilterValue, 14, 25, 0, // Skip to: 5562
+/* 5537 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5540 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5554
+/* 5544 */    MCD_OPC_CheckField, 5, 8, 0, 7, 1, // Skip to: 5813
+/* 5550 */    MCD_OPC_Decode, 164, 3, 60, // Opcode: STXrr
+/* 5554 */    MCD_OPC_FilterValue, 1, 255, 0, // Skip to: 5813
+/* 5558 */    MCD_OPC_Decode, 163, 3, 60, // Opcode: STXri
+/* 5562 */    MCD_OPC_FilterValue, 15, 25, 0, // Skip to: 5591
+/* 5566 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5569 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5583
+/* 5573 */    MCD_OPC_CheckField, 5, 8, 0, 234, 0, // Skip to: 5813
+/* 5579 */    MCD_OPC_Decode, 178, 3, 61, // Opcode: SWAPrr
+/* 5583 */    MCD_OPC_FilterValue, 1, 226, 0, // Skip to: 5813
+/* 5587 */    MCD_OPC_Decode, 177, 3, 61, // Opcode: SWAPri
+/* 5591 */    MCD_OPC_FilterValue, 32, 25, 0, // Skip to: 5620
+/* 5595 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5598 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5612
+/* 5602 */    MCD_OPC_CheckField, 5, 8, 0, 205, 0, // Skip to: 5813
+/* 5608 */    MCD_OPC_Decode, 176, 2, 62, // Opcode: LDFrr
+/* 5612 */    MCD_OPC_FilterValue, 1, 197, 0, // Skip to: 5813
+/* 5616 */    MCD_OPC_Decode, 175, 2, 62, // Opcode: LDFri
+/* 5620 */    MCD_OPC_FilterValue, 34, 33, 0, // Skip to: 5657
+/* 5624 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5627 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5645
+/* 5631 */    MCD_OPC_CheckPredicate, 0, 178, 0, // Skip to: 5813
+/* 5635 */    MCD_OPC_CheckField, 5, 8, 0, 172, 0, // Skip to: 5813
+/* 5641 */    MCD_OPC_Decode, 178, 2, 63, // Opcode: LDQFrr
+/* 5645 */    MCD_OPC_FilterValue, 1, 164, 0, // Skip to: 5813
+/* 5649 */    MCD_OPC_CheckPredicate, 0, 160, 0, // Skip to: 5813
+/* 5653 */    MCD_OPC_Decode, 177, 2, 63, // Opcode: LDQFri
+/* 5657 */    MCD_OPC_FilterValue, 35, 25, 0, // Skip to: 5686
+/* 5661 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5664 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5678
+/* 5668 */    MCD_OPC_CheckField, 5, 8, 0, 139, 0, // Skip to: 5813
+/* 5674 */    MCD_OPC_Decode, 174, 2, 64, // Opcode: LDDFrr
+/* 5678 */    MCD_OPC_FilterValue, 1, 131, 0, // Skip to: 5813
+/* 5682 */    MCD_OPC_Decode, 173, 2, 64, // Opcode: LDDFri
+/* 5686 */    MCD_OPC_FilterValue, 36, 25, 0, // Skip to: 5715
+/* 5690 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5693 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5707
+/* 5697 */    MCD_OPC_CheckField, 5, 8, 0, 110, 0, // Skip to: 5813
+/* 5703 */    MCD_OPC_Decode, 158, 3, 65, // Opcode: STFrr
+/* 5707 */    MCD_OPC_FilterValue, 1, 102, 0, // Skip to: 5813
+/* 5711 */    MCD_OPC_Decode, 157, 3, 65, // Opcode: STFri
+/* 5715 */    MCD_OPC_FilterValue, 38, 33, 0, // Skip to: 5752
+/* 5719 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5722 */    MCD_OPC_FilterValue, 0, 14, 0, // Skip to: 5740
+/* 5726 */    MCD_OPC_CheckPredicate, 0, 83, 0, // Skip to: 5813
+/* 5730 */    MCD_OPC_CheckField, 5, 8, 0, 77, 0, // Skip to: 5813
+/* 5736 */    MCD_OPC_Decode, 162, 3, 66, // Opcode: STQFrr
+/* 5740 */    MCD_OPC_FilterValue, 1, 69, 0, // Skip to: 5813
+/* 5744 */    MCD_OPC_CheckPredicate, 0, 65, 0, // Skip to: 5813
+/* 5748 */    MCD_OPC_Decode, 161, 3, 66, // Opcode: STQFri
+/* 5752 */    MCD_OPC_FilterValue, 39, 25, 0, // Skip to: 5781
+/* 5756 */    MCD_OPC_ExtractField, 13, 1,  // Inst{13} ...
+/* 5759 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 5773
+/* 5763 */    MCD_OPC_CheckField, 5, 8, 0, 44, 0, // Skip to: 5813
+/* 5769 */    MCD_OPC_Decode, 156, 3, 67, // Opcode: STDFrr
+/* 5773 */    MCD_OPC_FilterValue, 1, 36, 0, // Skip to: 5813
+/* 5777 */    MCD_OPC_Decode, 155, 3, 67, // Opcode: STDFri
+/* 5781 */    MCD_OPC_FilterValue, 60, 14, 0, // Skip to: 5799
+/* 5785 */    MCD_OPC_CheckPredicate, 0, 24, 0, // Skip to: 5813
+/* 5789 */    MCD_OPC_CheckField, 5, 9, 128, 1, 17, 0, // Skip to: 5813
+/* 5796 */    MCD_OPC_Decode, 118, 68, // Opcode: CASrr
+/* 5799 */    MCD_OPC_FilterValue, 62, 10, 0, // Skip to: 5813
+/* 5803 */    MCD_OPC_CheckField, 5, 9, 128, 1, 3, 0, // Skip to: 5813
+/* 5810 */    MCD_OPC_Decode, 117, 69, // Opcode: CASXrr
+/* 5813 */    MCD_OPC_Fail,
   0
 };
 
diff --git a/arch/Sparc/SparcGenInstrInfo.inc b/arch/Sparc/SparcGenInstrInfo.inc
index 063208f..d338e41 100644
--- a/arch/Sparc/SparcGenInstrInfo.inc
+++ b/arch/Sparc/SparcGenInstrInfo.inc
@@ -33,479 +33,480 @@
     SP_LIFETIME_END	= 16,
     SP_STACKMAP	= 17,
     SP_PATCHPOINT	= 18,
-    SP_ADDCCri	= 19,
-    SP_ADDCCrr	= 20,
-    SP_ADDCri	= 21,
-    SP_ADDCrr	= 22,
-    SP_ADDEri	= 23,
-    SP_ADDErr	= 24,
-    SP_ADDXC	= 25,
-    SP_ADDXCCC	= 26,
-    SP_ADDXri	= 27,
-    SP_ADDXrr	= 28,
-    SP_ADDri	= 29,
-    SP_ADDrr	= 30,
-    SP_ADJCALLSTACKDOWN	= 31,
-    SP_ADJCALLSTACKUP	= 32,
-    SP_ALIGNADDR	= 33,
-    SP_ALIGNADDRL	= 34,
-    SP_ANDCCri	= 35,
-    SP_ANDCCrr	= 36,
-    SP_ANDNCCri	= 37,
-    SP_ANDNCCrr	= 38,
-    SP_ANDNri	= 39,
-    SP_ANDNrr	= 40,
-    SP_ANDXNrr	= 41,
-    SP_ANDXri	= 42,
-    SP_ANDXrr	= 43,
-    SP_ANDri	= 44,
-    SP_ANDrr	= 45,
-    SP_ARRAY16	= 46,
-    SP_ARRAY32	= 47,
-    SP_ARRAY8	= 48,
-    SP_ATOMIC_LOAD_ADD_32	= 49,
-    SP_ATOMIC_LOAD_ADD_64	= 50,
-    SP_ATOMIC_LOAD_AND_32	= 51,
-    SP_ATOMIC_LOAD_AND_64	= 52,
-    SP_ATOMIC_LOAD_MAX_32	= 53,
-    SP_ATOMIC_LOAD_MAX_64	= 54,
-    SP_ATOMIC_LOAD_MIN_32	= 55,
-    SP_ATOMIC_LOAD_MIN_64	= 56,
-    SP_ATOMIC_LOAD_NAND_32	= 57,
-    SP_ATOMIC_LOAD_NAND_64	= 58,
-    SP_ATOMIC_LOAD_OR_32	= 59,
-    SP_ATOMIC_LOAD_OR_64	= 60,
-    SP_ATOMIC_LOAD_SUB_32	= 61,
-    SP_ATOMIC_LOAD_SUB_64	= 62,
-    SP_ATOMIC_LOAD_UMAX_32	= 63,
-    SP_ATOMIC_LOAD_UMAX_64	= 64,
-    SP_ATOMIC_LOAD_UMIN_32	= 65,
-    SP_ATOMIC_LOAD_UMIN_64	= 66,
-    SP_ATOMIC_LOAD_XOR_32	= 67,
-    SP_ATOMIC_LOAD_XOR_64	= 68,
-    SP_ATOMIC_SWAP_64	= 69,
-    SP_BA	= 70,
-    SP_BCOND	= 71,
-    SP_BCONDA	= 72,
-    SP_BINDri	= 73,
-    SP_BINDrr	= 74,
-    SP_BMASK	= 75,
-    SP_BPFCC	= 76,
-    SP_BPFCCA	= 77,
-    SP_BPFCCANT	= 78,
-    SP_BPFCCNT	= 79,
-    SP_BPGEZapn	= 80,
-    SP_BPGEZapt	= 81,
-    SP_BPGEZnapn	= 82,
-    SP_BPGEZnapt	= 83,
-    SP_BPGZapn	= 84,
-    SP_BPGZapt	= 85,
-    SP_BPGZnapn	= 86,
-    SP_BPGZnapt	= 87,
-    SP_BPICC	= 88,
-    SP_BPICCA	= 89,
-    SP_BPICCANT	= 90,
-    SP_BPICCNT	= 91,
-    SP_BPLEZapn	= 92,
-    SP_BPLEZapt	= 93,
-    SP_BPLEZnapn	= 94,
-    SP_BPLEZnapt	= 95,
-    SP_BPLZapn	= 96,
-    SP_BPLZapt	= 97,
-    SP_BPLZnapn	= 98,
-    SP_BPLZnapt	= 99,
-    SP_BPNZapn	= 100,
-    SP_BPNZapt	= 101,
-    SP_BPNZnapn	= 102,
-    SP_BPNZnapt	= 103,
-    SP_BPXCC	= 104,
-    SP_BPXCCA	= 105,
-    SP_BPXCCANT	= 106,
-    SP_BPXCCNT	= 107,
-    SP_BPZapn	= 108,
-    SP_BPZapt	= 109,
-    SP_BPZnapn	= 110,
-    SP_BPZnapt	= 111,
-    SP_BSHUFFLE	= 112,
-    SP_CALL	= 113,
-    SP_CALLri	= 114,
-    SP_CALLrr	= 115,
-    SP_CASXrr	= 116,
-    SP_CASrr	= 117,
-    SP_CMASK16	= 118,
-    SP_CMASK32	= 119,
-    SP_CMASK8	= 120,
-    SP_CMPri	= 121,
-    SP_CMPrr	= 122,
-    SP_EDGE16	= 123,
-    SP_EDGE16L	= 124,
-    SP_EDGE16LN	= 125,
-    SP_EDGE16N	= 126,
-    SP_EDGE32	= 127,
-    SP_EDGE32L	= 128,
-    SP_EDGE32LN	= 129,
-    SP_EDGE32N	= 130,
-    SP_EDGE8	= 131,
-    SP_EDGE8L	= 132,
-    SP_EDGE8LN	= 133,
-    SP_EDGE8N	= 134,
-    SP_FABSD	= 135,
-    SP_FABSQ	= 136,
-    SP_FABSS	= 137,
-    SP_FADDD	= 138,
-    SP_FADDQ	= 139,
-    SP_FADDS	= 140,
-    SP_FALIGNADATA	= 141,
-    SP_FAND	= 142,
-    SP_FANDNOT1	= 143,
-    SP_FANDNOT1S	= 144,
-    SP_FANDNOT2	= 145,
-    SP_FANDNOT2S	= 146,
-    SP_FANDS	= 147,
-    SP_FBCOND	= 148,
-    SP_FBCONDA	= 149,
-    SP_FCHKSM16	= 150,
-    SP_FCMPD	= 151,
-    SP_FCMPEQ16	= 152,
-    SP_FCMPEQ32	= 153,
-    SP_FCMPGT16	= 154,
-    SP_FCMPGT32	= 155,
-    SP_FCMPLE16	= 156,
-    SP_FCMPLE32	= 157,
-    SP_FCMPNE16	= 158,
-    SP_FCMPNE32	= 159,
-    SP_FCMPQ	= 160,
-    SP_FCMPS	= 161,
-    SP_FDIVD	= 162,
-    SP_FDIVQ	= 163,
-    SP_FDIVS	= 164,
-    SP_FDMULQ	= 165,
-    SP_FDTOI	= 166,
-    SP_FDTOQ	= 167,
-    SP_FDTOS	= 168,
-    SP_FDTOX	= 169,
-    SP_FEXPAND	= 170,
-    SP_FHADDD	= 171,
-    SP_FHADDS	= 172,
-    SP_FHSUBD	= 173,
-    SP_FHSUBS	= 174,
-    SP_FITOD	= 175,
-    SP_FITOQ	= 176,
-    SP_FITOS	= 177,
-    SP_FLCMPD	= 178,
-    SP_FLCMPS	= 179,
-    SP_FLUSHW	= 180,
-    SP_FMEAN16	= 181,
-    SP_FMOVD	= 182,
-    SP_FMOVD_FCC	= 183,
-    SP_FMOVD_ICC	= 184,
-    SP_FMOVD_XCC	= 185,
-    SP_FMOVQ	= 186,
-    SP_FMOVQ_FCC	= 187,
-    SP_FMOVQ_ICC	= 188,
-    SP_FMOVQ_XCC	= 189,
-    SP_FMOVRGEZD	= 190,
-    SP_FMOVRGEZQ	= 191,
-    SP_FMOVRGEZS	= 192,
-    SP_FMOVRGZD	= 193,
-    SP_FMOVRGZQ	= 194,
-    SP_FMOVRGZS	= 195,
-    SP_FMOVRLEZD	= 196,
-    SP_FMOVRLEZQ	= 197,
-    SP_FMOVRLEZS	= 198,
-    SP_FMOVRLZD	= 199,
-    SP_FMOVRLZQ	= 200,
-    SP_FMOVRLZS	= 201,
-    SP_FMOVRNZD	= 202,
-    SP_FMOVRNZQ	= 203,
-    SP_FMOVRNZS	= 204,
-    SP_FMOVRZD	= 205,
-    SP_FMOVRZQ	= 206,
-    SP_FMOVRZS	= 207,
-    SP_FMOVS	= 208,
-    SP_FMOVS_FCC	= 209,
-    SP_FMOVS_ICC	= 210,
-    SP_FMOVS_XCC	= 211,
-    SP_FMUL8SUX16	= 212,
-    SP_FMUL8ULX16	= 213,
-    SP_FMUL8X16	= 214,
-    SP_FMUL8X16AL	= 215,
-    SP_FMUL8X16AU	= 216,
-    SP_FMULD	= 217,
-    SP_FMULD8SUX16	= 218,
-    SP_FMULD8ULX16	= 219,
-    SP_FMULQ	= 220,
-    SP_FMULS	= 221,
-    SP_FNADDD	= 222,
-    SP_FNADDS	= 223,
-    SP_FNAND	= 224,
-    SP_FNANDS	= 225,
-    SP_FNEGD	= 226,
-    SP_FNEGQ	= 227,
-    SP_FNEGS	= 228,
-    SP_FNHADDD	= 229,
-    SP_FNHADDS	= 230,
-    SP_FNMULD	= 231,
-    SP_FNMULS	= 232,
-    SP_FNOR	= 233,
-    SP_FNORS	= 234,
-    SP_FNOT1	= 235,
-    SP_FNOT1S	= 236,
-    SP_FNOT2	= 237,
-    SP_FNOT2S	= 238,
-    SP_FNSMULD	= 239,
-    SP_FONE	= 240,
-    SP_FONES	= 241,
-    SP_FOR	= 242,
-    SP_FORNOT1	= 243,
-    SP_FORNOT1S	= 244,
-    SP_FORNOT2	= 245,
-    SP_FORNOT2S	= 246,
-    SP_FORS	= 247,
-    SP_FPACK16	= 248,
-    SP_FPACK32	= 249,
-    SP_FPACKFIX	= 250,
-    SP_FPADD16	= 251,
-    SP_FPADD16S	= 252,
-    SP_FPADD32	= 253,
-    SP_FPADD32S	= 254,
-    SP_FPADD64	= 255,
-    SP_FPMERGE	= 256,
-    SP_FPSUB16	= 257,
-    SP_FPSUB16S	= 258,
-    SP_FPSUB32	= 259,
-    SP_FPSUB32S	= 260,
-    SP_FQTOD	= 261,
-    SP_FQTOI	= 262,
-    SP_FQTOS	= 263,
-    SP_FQTOX	= 264,
-    SP_FSLAS16	= 265,
-    SP_FSLAS32	= 266,
-    SP_FSLL16	= 267,
-    SP_FSLL32	= 268,
-    SP_FSMULD	= 269,
-    SP_FSQRTD	= 270,
-    SP_FSQRTQ	= 271,
-    SP_FSQRTS	= 272,
-    SP_FSRA16	= 273,
-    SP_FSRA32	= 274,
-    SP_FSRC1	= 275,
-    SP_FSRC1S	= 276,
-    SP_FSRC2	= 277,
-    SP_FSRC2S	= 278,
-    SP_FSRL16	= 279,
-    SP_FSRL32	= 280,
-    SP_FSTOD	= 281,
-    SP_FSTOI	= 282,
-    SP_FSTOQ	= 283,
-    SP_FSTOX	= 284,
-    SP_FSUBD	= 285,
-    SP_FSUBQ	= 286,
-    SP_FSUBS	= 287,
-    SP_FXNOR	= 288,
-    SP_FXNORS	= 289,
-    SP_FXOR	= 290,
-    SP_FXORS	= 291,
-    SP_FXTOD	= 292,
-    SP_FXTOQ	= 293,
-    SP_FXTOS	= 294,
-    SP_FZERO	= 295,
-    SP_FZEROS	= 296,
-    SP_GETPCX	= 297,
-    SP_JMPLri	= 298,
-    SP_JMPLrr	= 299,
-    SP_LDDFri	= 300,
-    SP_LDDFrr	= 301,
-    SP_LDFri	= 302,
-    SP_LDFrr	= 303,
-    SP_LDQFri	= 304,
-    SP_LDQFrr	= 305,
-    SP_LDSBri	= 306,
-    SP_LDSBrr	= 307,
-    SP_LDSHri	= 308,
-    SP_LDSHrr	= 309,
-    SP_LDSWri	= 310,
-    SP_LDSWrr	= 311,
-    SP_LDUBri	= 312,
-    SP_LDUBrr	= 313,
-    SP_LDUHri	= 314,
-    SP_LDUHrr	= 315,
-    SP_LDXri	= 316,
-    SP_LDXrr	= 317,
-    SP_LDri	= 318,
-    SP_LDrr	= 319,
-    SP_LEAX_ADDri	= 320,
-    SP_LEA_ADDri	= 321,
-    SP_LZCNT	= 322,
-    SP_MEMBARi	= 323,
-    SP_MOVDTOX	= 324,
-    SP_MOVFCCri	= 325,
-    SP_MOVFCCrr	= 326,
-    SP_MOVICCri	= 327,
-    SP_MOVICCrr	= 328,
-    SP_MOVRGEZri	= 329,
-    SP_MOVRGEZrr	= 330,
-    SP_MOVRGZri	= 331,
-    SP_MOVRGZrr	= 332,
-    SP_MOVRLEZri	= 333,
-    SP_MOVRLEZrr	= 334,
-    SP_MOVRLZri	= 335,
-    SP_MOVRLZrr	= 336,
-    SP_MOVRNZri	= 337,
-    SP_MOVRNZrr	= 338,
-    SP_MOVRRZri	= 339,
-    SP_MOVRRZrr	= 340,
-    SP_MOVSTOSW	= 341,
-    SP_MOVSTOUW	= 342,
-    SP_MOVWTOS	= 343,
-    SP_MOVXCCri	= 344,
-    SP_MOVXCCrr	= 345,
-    SP_MOVXTOD	= 346,
-    SP_MULXri	= 347,
-    SP_MULXrr	= 348,
-    SP_NOP	= 349,
-    SP_ORCCri	= 350,
-    SP_ORCCrr	= 351,
-    SP_ORNCCri	= 352,
-    SP_ORNCCrr	= 353,
-    SP_ORNri	= 354,
-    SP_ORNrr	= 355,
-    SP_ORXNrr	= 356,
-    SP_ORXri	= 357,
-    SP_ORXrr	= 358,
-    SP_ORri	= 359,
-    SP_ORrr	= 360,
-    SP_PDIST	= 361,
-    SP_PDISTN	= 362,
-    SP_POPCrr	= 363,
-    SP_RDY	= 364,
-    SP_RESTOREri	= 365,
-    SP_RESTORErr	= 366,
-    SP_RET	= 367,
-    SP_RETL	= 368,
-    SP_RETTri	= 369,
-    SP_RETTrr	= 370,
-    SP_SAVEri	= 371,
-    SP_SAVErr	= 372,
-    SP_SDIVCCri	= 373,
-    SP_SDIVCCrr	= 374,
-    SP_SDIVXri	= 375,
-    SP_SDIVXrr	= 376,
-    SP_SDIVri	= 377,
-    SP_SDIVrr	= 378,
-    SP_SELECT_CC_DFP_FCC	= 379,
-    SP_SELECT_CC_DFP_ICC	= 380,
-    SP_SELECT_CC_FP_FCC	= 381,
-    SP_SELECT_CC_FP_ICC	= 382,
-    SP_SELECT_CC_Int_FCC	= 383,
-    SP_SELECT_CC_Int_ICC	= 384,
-    SP_SELECT_CC_QFP_FCC	= 385,
-    SP_SELECT_CC_QFP_ICC	= 386,
-    SP_SETHIXi	= 387,
-    SP_SETHIi	= 388,
-    SP_SHUTDOWN	= 389,
-    SP_SIAM	= 390,
-    SP_SLLXri	= 391,
-    SP_SLLXrr	= 392,
-    SP_SLLri	= 393,
-    SP_SLLrr	= 394,
-    SP_SMULCCri	= 395,
-    SP_SMULCCrr	= 396,
-    SP_SMULri	= 397,
-    SP_SMULrr	= 398,
-    SP_SRAXri	= 399,
-    SP_SRAXrr	= 400,
-    SP_SRAri	= 401,
-    SP_SRArr	= 402,
-    SP_SRLXri	= 403,
-    SP_SRLXrr	= 404,
-    SP_SRLri	= 405,
-    SP_SRLrr	= 406,
-    SP_STBAR	= 407,
-    SP_STBri	= 408,
-    SP_STBrr	= 409,
-    SP_STDFri	= 410,
-    SP_STDFrr	= 411,
-    SP_STFri	= 412,
-    SP_STFrr	= 413,
-    SP_STHri	= 414,
-    SP_STHrr	= 415,
-    SP_STQFri	= 416,
-    SP_STQFrr	= 417,
-    SP_STXri	= 418,
-    SP_STXrr	= 419,
-    SP_STri	= 420,
-    SP_STrr	= 421,
-    SP_SUBCCri	= 422,
-    SP_SUBCCrr	= 423,
-    SP_SUBCri	= 424,
-    SP_SUBCrr	= 425,
-    SP_SUBEri	= 426,
-    SP_SUBErr	= 427,
-    SP_SUBXri	= 428,
-    SP_SUBXrr	= 429,
-    SP_SUBri	= 430,
-    SP_SUBrr	= 431,
-    SP_SWAPri	= 432,
-    SP_SWAPrr	= 433,
-    SP_TA3	= 434,
-    SP_TA5	= 435,
-    SP_TADDCCTVri	= 436,
-    SP_TADDCCTVrr	= 437,
-    SP_TADDCCri	= 438,
-    SP_TADDCCrr	= 439,
-    SP_TICCri	= 440,
-    SP_TICCrr	= 441,
-    SP_TLS_ADDXrr	= 442,
-    SP_TLS_ADDrr	= 443,
-    SP_TLS_CALL	= 444,
-    SP_TLS_LDXrr	= 445,
-    SP_TLS_LDrr	= 446,
-    SP_TSUBCCTVri	= 447,
-    SP_TSUBCCTVrr	= 448,
-    SP_TSUBCCri	= 449,
-    SP_TSUBCCrr	= 450,
-    SP_TXCCri	= 451,
-    SP_TXCCrr	= 452,
-    SP_UDIVCCri	= 453,
-    SP_UDIVCCrr	= 454,
-    SP_UDIVXri	= 455,
-    SP_UDIVXrr	= 456,
-    SP_UDIVri	= 457,
-    SP_UDIVrr	= 458,
-    SP_UMULCCri	= 459,
-    SP_UMULCCrr	= 460,
-    SP_UMULXHI	= 461,
-    SP_UMULri	= 462,
-    SP_UMULrr	= 463,
-    SP_UNIMP	= 464,
-    SP_V9FCMPD	= 465,
-    SP_V9FCMPED	= 466,
-    SP_V9FCMPEQ	= 467,
-    SP_V9FCMPES	= 468,
-    SP_V9FCMPQ	= 469,
-    SP_V9FCMPS	= 470,
-    SP_V9FMOVD_FCC	= 471,
-    SP_V9FMOVQ_FCC	= 472,
-    SP_V9FMOVS_FCC	= 473,
-    SP_V9MOVFCCri	= 474,
-    SP_V9MOVFCCrr	= 475,
-    SP_WRYri	= 476,
-    SP_WRYrr	= 477,
-    SP_XMULX	= 478,
-    SP_XMULXHI	= 479,
-    SP_XNORCCri	= 480,
-    SP_XNORCCrr	= 481,
-    SP_XNORXrr	= 482,
-    SP_XNORri	= 483,
-    SP_XNORrr	= 484,
-    SP_XORCCri	= 485,
-    SP_XORCCrr	= 486,
-    SP_XORXri	= 487,
-    SP_XORXrr	= 488,
-    SP_XORri	= 489,
-    SP_XORrr	= 490,
-    SP_INSTRUCTION_LIST_END = 491
+    SP_LOAD_STACK_GUARD	= 19,
+    SP_ADDCCri	= 20,
+    SP_ADDCCrr	= 21,
+    SP_ADDCri	= 22,
+    SP_ADDCrr	= 23,
+    SP_ADDEri	= 24,
+    SP_ADDErr	= 25,
+    SP_ADDXC	= 26,
+    SP_ADDXCCC	= 27,
+    SP_ADDXri	= 28,
+    SP_ADDXrr	= 29,
+    SP_ADDri	= 30,
+    SP_ADDrr	= 31,
+    SP_ADJCALLSTACKDOWN	= 32,
+    SP_ADJCALLSTACKUP	= 33,
+    SP_ALIGNADDR	= 34,
+    SP_ALIGNADDRL	= 35,
+    SP_ANDCCri	= 36,
+    SP_ANDCCrr	= 37,
+    SP_ANDNCCri	= 38,
+    SP_ANDNCCrr	= 39,
+    SP_ANDNri	= 40,
+    SP_ANDNrr	= 41,
+    SP_ANDXNrr	= 42,
+    SP_ANDXri	= 43,
+    SP_ANDXrr	= 44,
+    SP_ANDri	= 45,
+    SP_ANDrr	= 46,
+    SP_ARRAY16	= 47,
+    SP_ARRAY32	= 48,
+    SP_ARRAY8	= 49,
+    SP_ATOMIC_LOAD_ADD_32	= 50,
+    SP_ATOMIC_LOAD_ADD_64	= 51,
+    SP_ATOMIC_LOAD_AND_32	= 52,
+    SP_ATOMIC_LOAD_AND_64	= 53,
+    SP_ATOMIC_LOAD_MAX_32	= 54,
+    SP_ATOMIC_LOAD_MAX_64	= 55,
+    SP_ATOMIC_LOAD_MIN_32	= 56,
+    SP_ATOMIC_LOAD_MIN_64	= 57,
+    SP_ATOMIC_LOAD_NAND_32	= 58,
+    SP_ATOMIC_LOAD_NAND_64	= 59,
+    SP_ATOMIC_LOAD_OR_32	= 60,
+    SP_ATOMIC_LOAD_OR_64	= 61,
+    SP_ATOMIC_LOAD_SUB_32	= 62,
+    SP_ATOMIC_LOAD_SUB_64	= 63,
+    SP_ATOMIC_LOAD_UMAX_32	= 64,
+    SP_ATOMIC_LOAD_UMAX_64	= 65,
+    SP_ATOMIC_LOAD_UMIN_32	= 66,
+    SP_ATOMIC_LOAD_UMIN_64	= 67,
+    SP_ATOMIC_LOAD_XOR_32	= 68,
+    SP_ATOMIC_LOAD_XOR_64	= 69,
+    SP_ATOMIC_SWAP_64	= 70,
+    SP_BA	= 71,
+    SP_BCOND	= 72,
+    SP_BCONDA	= 73,
+    SP_BINDri	= 74,
+    SP_BINDrr	= 75,
+    SP_BMASK	= 76,
+    SP_BPFCC	= 77,
+    SP_BPFCCA	= 78,
+    SP_BPFCCANT	= 79,
+    SP_BPFCCNT	= 80,
+    SP_BPGEZapn	= 81,
+    SP_BPGEZapt	= 82,
+    SP_BPGEZnapn	= 83,
+    SP_BPGEZnapt	= 84,
+    SP_BPGZapn	= 85,
+    SP_BPGZapt	= 86,
+    SP_BPGZnapn	= 87,
+    SP_BPGZnapt	= 88,
+    SP_BPICC	= 89,
+    SP_BPICCA	= 90,
+    SP_BPICCANT	= 91,
+    SP_BPICCNT	= 92,
+    SP_BPLEZapn	= 93,
+    SP_BPLEZapt	= 94,
+    SP_BPLEZnapn	= 95,
+    SP_BPLEZnapt	= 96,
+    SP_BPLZapn	= 97,
+    SP_BPLZapt	= 98,
+    SP_BPLZnapn	= 99,
+    SP_BPLZnapt	= 100,
+    SP_BPNZapn	= 101,
+    SP_BPNZapt	= 102,
+    SP_BPNZnapn	= 103,
+    SP_BPNZnapt	= 104,
+    SP_BPXCC	= 105,
+    SP_BPXCCA	= 106,
+    SP_BPXCCANT	= 107,
+    SP_BPXCCNT	= 108,
+    SP_BPZapn	= 109,
+    SP_BPZapt	= 110,
+    SP_BPZnapn	= 111,
+    SP_BPZnapt	= 112,
+    SP_BSHUFFLE	= 113,
+    SP_CALL	= 114,
+    SP_CALLri	= 115,
+    SP_CALLrr	= 116,
+    SP_CASXrr	= 117,
+    SP_CASrr	= 118,
+    SP_CMASK16	= 119,
+    SP_CMASK32	= 120,
+    SP_CMASK8	= 121,
+    SP_CMPri	= 122,
+    SP_CMPrr	= 123,
+    SP_EDGE16	= 124,
+    SP_EDGE16L	= 125,
+    SP_EDGE16LN	= 126,
+    SP_EDGE16N	= 127,
+    SP_EDGE32	= 128,
+    SP_EDGE32L	= 129,
+    SP_EDGE32LN	= 130,
+    SP_EDGE32N	= 131,
+    SP_EDGE8	= 132,
+    SP_EDGE8L	= 133,
+    SP_EDGE8LN	= 134,
+    SP_EDGE8N	= 135,
+    SP_FABSD	= 136,
+    SP_FABSQ	= 137,
+    SP_FABSS	= 138,
+    SP_FADDD	= 139,
+    SP_FADDQ	= 140,
+    SP_FADDS	= 141,
+    SP_FALIGNADATA	= 142,
+    SP_FAND	= 143,
+    SP_FANDNOT1	= 144,
+    SP_FANDNOT1S	= 145,
+    SP_FANDNOT2	= 146,
+    SP_FANDNOT2S	= 147,
+    SP_FANDS	= 148,
+    SP_FBCOND	= 149,
+    SP_FBCONDA	= 150,
+    SP_FCHKSM16	= 151,
+    SP_FCMPD	= 152,
+    SP_FCMPEQ16	= 153,
+    SP_FCMPEQ32	= 154,
+    SP_FCMPGT16	= 155,
+    SP_FCMPGT32	= 156,
+    SP_FCMPLE16	= 157,
+    SP_FCMPLE32	= 158,
+    SP_FCMPNE16	= 159,
+    SP_FCMPNE32	= 160,
+    SP_FCMPQ	= 161,
+    SP_FCMPS	= 162,
+    SP_FDIVD	= 163,
+    SP_FDIVQ	= 164,
+    SP_FDIVS	= 165,
+    SP_FDMULQ	= 166,
+    SP_FDTOI	= 167,
+    SP_FDTOQ	= 168,
+    SP_FDTOS	= 169,
+    SP_FDTOX	= 170,
+    SP_FEXPAND	= 171,
+    SP_FHADDD	= 172,
+    SP_FHADDS	= 173,
+    SP_FHSUBD	= 174,
+    SP_FHSUBS	= 175,
+    SP_FITOD	= 176,
+    SP_FITOQ	= 177,
+    SP_FITOS	= 178,
+    SP_FLCMPD	= 179,
+    SP_FLCMPS	= 180,
+    SP_FLUSHW	= 181,
+    SP_FMEAN16	= 182,
+    SP_FMOVD	= 183,
+    SP_FMOVD_FCC	= 184,
+    SP_FMOVD_ICC	= 185,
+    SP_FMOVD_XCC	= 186,
+    SP_FMOVQ	= 187,
+    SP_FMOVQ_FCC	= 188,
+    SP_FMOVQ_ICC	= 189,
+    SP_FMOVQ_XCC	= 190,
+    SP_FMOVRGEZD	= 191,
+    SP_FMOVRGEZQ	= 192,
+    SP_FMOVRGEZS	= 193,
+    SP_FMOVRGZD	= 194,
+    SP_FMOVRGZQ	= 195,
+    SP_FMOVRGZS	= 196,
+    SP_FMOVRLEZD	= 197,
+    SP_FMOVRLEZQ	= 198,
+    SP_FMOVRLEZS	= 199,
+    SP_FMOVRLZD	= 200,
+    SP_FMOVRLZQ	= 201,
+    SP_FMOVRLZS	= 202,
+    SP_FMOVRNZD	= 203,
+    SP_FMOVRNZQ	= 204,
+    SP_FMOVRNZS	= 205,
+    SP_FMOVRZD	= 206,
+    SP_FMOVRZQ	= 207,
+    SP_FMOVRZS	= 208,
+    SP_FMOVS	= 209,
+    SP_FMOVS_FCC	= 210,
+    SP_FMOVS_ICC	= 211,
+    SP_FMOVS_XCC	= 212,
+    SP_FMUL8SUX16	= 213,
+    SP_FMUL8ULX16	= 214,
+    SP_FMUL8X16	= 215,
+    SP_FMUL8X16AL	= 216,
+    SP_FMUL8X16AU	= 217,
+    SP_FMULD	= 218,
+    SP_FMULD8SUX16	= 219,
+    SP_FMULD8ULX16	= 220,
+    SP_FMULQ	= 221,
+    SP_FMULS	= 222,
+    SP_FNADDD	= 223,
+    SP_FNADDS	= 224,
+    SP_FNAND	= 225,
+    SP_FNANDS	= 226,
+    SP_FNEGD	= 227,
+    SP_FNEGQ	= 228,
+    SP_FNEGS	= 229,
+    SP_FNHADDD	= 230,
+    SP_FNHADDS	= 231,
+    SP_FNMULD	= 232,
+    SP_FNMULS	= 233,
+    SP_FNOR	= 234,
+    SP_FNORS	= 235,
+    SP_FNOT1	= 236,
+    SP_FNOT1S	= 237,
+    SP_FNOT2	= 238,
+    SP_FNOT2S	= 239,
+    SP_FNSMULD	= 240,
+    SP_FONE	= 241,
+    SP_FONES	= 242,
+    SP_FOR	= 243,
+    SP_FORNOT1	= 244,
+    SP_FORNOT1S	= 245,
+    SP_FORNOT2	= 246,
+    SP_FORNOT2S	= 247,
+    SP_FORS	= 248,
+    SP_FPACK16	= 249,
+    SP_FPACK32	= 250,
+    SP_FPACKFIX	= 251,
+    SP_FPADD16	= 252,
+    SP_FPADD16S	= 253,
+    SP_FPADD32	= 254,
+    SP_FPADD32S	= 255,
+    SP_FPADD64	= 256,
+    SP_FPMERGE	= 257,
+    SP_FPSUB16	= 258,
+    SP_FPSUB16S	= 259,
+    SP_FPSUB32	= 260,
+    SP_FPSUB32S	= 261,
+    SP_FQTOD	= 262,
+    SP_FQTOI	= 263,
+    SP_FQTOS	= 264,
+    SP_FQTOX	= 265,
+    SP_FSLAS16	= 266,
+    SP_FSLAS32	= 267,
+    SP_FSLL16	= 268,
+    SP_FSLL32	= 269,
+    SP_FSMULD	= 270,
+    SP_FSQRTD	= 271,
+    SP_FSQRTQ	= 272,
+    SP_FSQRTS	= 273,
+    SP_FSRA16	= 274,
+    SP_FSRA32	= 275,
+    SP_FSRC1	= 276,
+    SP_FSRC1S	= 277,
+    SP_FSRC2	= 278,
+    SP_FSRC2S	= 279,
+    SP_FSRL16	= 280,
+    SP_FSRL32	= 281,
+    SP_FSTOD	= 282,
+    SP_FSTOI	= 283,
+    SP_FSTOQ	= 284,
+    SP_FSTOX	= 285,
+    SP_FSUBD	= 286,
+    SP_FSUBQ	= 287,
+    SP_FSUBS	= 288,
+    SP_FXNOR	= 289,
+    SP_FXNORS	= 290,
+    SP_FXOR	= 291,
+    SP_FXORS	= 292,
+    SP_FXTOD	= 293,
+    SP_FXTOQ	= 294,
+    SP_FXTOS	= 295,
+    SP_FZERO	= 296,
+    SP_FZEROS	= 297,
+    SP_GETPCX	= 298,
+    SP_JMPLri	= 299,
+    SP_JMPLrr	= 300,
+    SP_LDDFri	= 301,
+    SP_LDDFrr	= 302,
+    SP_LDFri	= 303,
+    SP_LDFrr	= 304,
+    SP_LDQFri	= 305,
+    SP_LDQFrr	= 306,
+    SP_LDSBri	= 307,
+    SP_LDSBrr	= 308,
+    SP_LDSHri	= 309,
+    SP_LDSHrr	= 310,
+    SP_LDSWri	= 311,
+    SP_LDSWrr	= 312,
+    SP_LDUBri	= 313,
+    SP_LDUBrr	= 314,
+    SP_LDUHri	= 315,
+    SP_LDUHrr	= 316,
+    SP_LDXri	= 317,
+    SP_LDXrr	= 318,
+    SP_LDri	= 319,
+    SP_LDrr	= 320,
+    SP_LEAX_ADDri	= 321,
+    SP_LEA_ADDri	= 322,
+    SP_LZCNT	= 323,
+    SP_MEMBARi	= 324,
+    SP_MOVDTOX	= 325,
+    SP_MOVFCCri	= 326,
+    SP_MOVFCCrr	= 327,
+    SP_MOVICCri	= 328,
+    SP_MOVICCrr	= 329,
+    SP_MOVRGEZri	= 330,
+    SP_MOVRGEZrr	= 331,
+    SP_MOVRGZri	= 332,
+    SP_MOVRGZrr	= 333,
+    SP_MOVRLEZri	= 334,
+    SP_MOVRLEZrr	= 335,
+    SP_MOVRLZri	= 336,
+    SP_MOVRLZrr	= 337,
+    SP_MOVRNZri	= 338,
+    SP_MOVRNZrr	= 339,
+    SP_MOVRRZri	= 340,
+    SP_MOVRRZrr	= 341,
+    SP_MOVSTOSW	= 342,
+    SP_MOVSTOUW	= 343,
+    SP_MOVWTOS	= 344,
+    SP_MOVXCCri	= 345,
+    SP_MOVXCCrr	= 346,
+    SP_MOVXTOD	= 347,
+    SP_MULXri	= 348,
+    SP_MULXrr	= 349,
+    SP_NOP	= 350,
+    SP_ORCCri	= 351,
+    SP_ORCCrr	= 352,
+    SP_ORNCCri	= 353,
+    SP_ORNCCrr	= 354,
+    SP_ORNri	= 355,
+    SP_ORNrr	= 356,
+    SP_ORXNrr	= 357,
+    SP_ORXri	= 358,
+    SP_ORXrr	= 359,
+    SP_ORri	= 360,
+    SP_ORrr	= 361,
+    SP_PDIST	= 362,
+    SP_PDISTN	= 363,
+    SP_POPCrr	= 364,
+    SP_RDY	= 365,
+    SP_RESTOREri	= 366,
+    SP_RESTORErr	= 367,
+    SP_RET	= 368,
+    SP_RETL	= 369,
+    SP_RETTri	= 370,
+    SP_RETTrr	= 371,
+    SP_SAVEri	= 372,
+    SP_SAVErr	= 373,
+    SP_SDIVCCri	= 374,
+    SP_SDIVCCrr	= 375,
+    SP_SDIVXri	= 376,
+    SP_SDIVXrr	= 377,
+    SP_SDIVri	= 378,
+    SP_SDIVrr	= 379,
+    SP_SELECT_CC_DFP_FCC	= 380,
+    SP_SELECT_CC_DFP_ICC	= 381,
+    SP_SELECT_CC_FP_FCC	= 382,
+    SP_SELECT_CC_FP_ICC	= 383,
+    SP_SELECT_CC_Int_FCC	= 384,
+    SP_SELECT_CC_Int_ICC	= 385,
+    SP_SELECT_CC_QFP_FCC	= 386,
+    SP_SELECT_CC_QFP_ICC	= 387,
+    SP_SETHIXi	= 388,
+    SP_SETHIi	= 389,
+    SP_SHUTDOWN	= 390,
+    SP_SIAM	= 391,
+    SP_SLLXri	= 392,
+    SP_SLLXrr	= 393,
+    SP_SLLri	= 394,
+    SP_SLLrr	= 395,
+    SP_SMULCCri	= 396,
+    SP_SMULCCrr	= 397,
+    SP_SMULri	= 398,
+    SP_SMULrr	= 399,
+    SP_SRAXri	= 400,
+    SP_SRAXrr	= 401,
+    SP_SRAri	= 402,
+    SP_SRArr	= 403,
+    SP_SRLXri	= 404,
+    SP_SRLXrr	= 405,
+    SP_SRLri	= 406,
+    SP_SRLrr	= 407,
+    SP_STBAR	= 408,
+    SP_STBri	= 409,
+    SP_STBrr	= 410,
+    SP_STDFri	= 411,
+    SP_STDFrr	= 412,
+    SP_STFri	= 413,
+    SP_STFrr	= 414,
+    SP_STHri	= 415,
+    SP_STHrr	= 416,
+    SP_STQFri	= 417,
+    SP_STQFrr	= 418,
+    SP_STXri	= 419,
+    SP_STXrr	= 420,
+    SP_STri	= 421,
+    SP_STrr	= 422,
+    SP_SUBCCri	= 423,
+    SP_SUBCCrr	= 424,
+    SP_SUBCri	= 425,
+    SP_SUBCrr	= 426,
+    SP_SUBEri	= 427,
+    SP_SUBErr	= 428,
+    SP_SUBXri	= 429,
+    SP_SUBXrr	= 430,
+    SP_SUBri	= 431,
+    SP_SUBrr	= 432,
+    SP_SWAPri	= 433,
+    SP_SWAPrr	= 434,
+    SP_TA3	= 435,
+    SP_TA5	= 436,
+    SP_TADDCCTVri	= 437,
+    SP_TADDCCTVrr	= 438,
+    SP_TADDCCri	= 439,
+    SP_TADDCCrr	= 440,
+    SP_TICCri	= 441,
+    SP_TICCrr	= 442,
+    SP_TLS_ADDXrr	= 443,
+    SP_TLS_ADDrr	= 444,
+    SP_TLS_CALL	= 445,
+    SP_TLS_LDXrr	= 446,
+    SP_TLS_LDrr	= 447,
+    SP_TSUBCCTVri	= 448,
+    SP_TSUBCCTVrr	= 449,
+    SP_TSUBCCri	= 450,
+    SP_TSUBCCrr	= 451,
+    SP_TXCCri	= 452,
+    SP_TXCCrr	= 453,
+    SP_UDIVCCri	= 454,
+    SP_UDIVCCrr	= 455,
+    SP_UDIVXri	= 456,
+    SP_UDIVXrr	= 457,
+    SP_UDIVri	= 458,
+    SP_UDIVrr	= 459,
+    SP_UMULCCri	= 460,
+    SP_UMULCCrr	= 461,
+    SP_UMULXHI	= 462,
+    SP_UMULri	= 463,
+    SP_UMULrr	= 464,
+    SP_UNIMP	= 465,
+    SP_V9FCMPD	= 466,
+    SP_V9FCMPED	= 467,
+    SP_V9FCMPEQ	= 468,
+    SP_V9FCMPES	= 469,
+    SP_V9FCMPQ	= 470,
+    SP_V9FCMPS	= 471,
+    SP_V9FMOVD_FCC	= 472,
+    SP_V9FMOVQ_FCC	= 473,
+    SP_V9FMOVS_FCC	= 474,
+    SP_V9MOVFCCri	= 475,
+    SP_V9MOVFCCrr	= 476,
+    SP_WRYri	= 477,
+    SP_WRYrr	= 478,
+    SP_XMULX	= 479,
+    SP_XMULXHI	= 480,
+    SP_XNORCCri	= 481,
+    SP_XNORCCrr	= 482,
+    SP_XNORXrr	= 483,
+    SP_XNORri	= 484,
+    SP_XNORrr	= 485,
+    SP_XORCCri	= 486,
+    SP_XORCCrr	= 487,
+    SP_XORXri	= 488,
+    SP_XORXrr	= 489,
+    SP_XORri	= 490,
+    SP_XORrr	= 491,
+    SP_INSTRUCTION_LIST_END = 492
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/Sparc/SparcGenRegisterInfo.inc b/arch/Sparc/SparcGenRegisterInfo.inc
index 30bf9e0..c8a3cd3 100644
--- a/arch/Sparc/SparcGenRegisterInfo.inc
+++ b/arch/Sparc/SparcGenRegisterInfo.inc
@@ -369,7 +369,7 @@
 };
 
   // FCCRegs Register Class...
-  static uint16_t FCCRegs[] = {
+  static MCPhysReg FCCRegs[] = {
     SP_FCC0, SP_FCC1, SP_FCC2, SP_FCC3, 
   };
 
@@ -379,7 +379,7 @@
   };
 
   // FPRegs Register Class...
-  static uint16_t FPRegs[] = {
+  static MCPhysReg FPRegs[] = {
     SP_F0, SP_F1, SP_F2, SP_F3, SP_F4, SP_F5, SP_F6, SP_F7, SP_F8, SP_F9, SP_F10, SP_F11, SP_F12, SP_F13, SP_F14, SP_F15, SP_F16, SP_F17, SP_F18, SP_F19, SP_F20, SP_F21, SP_F22, SP_F23, SP_F24, SP_F25, SP_F26, SP_F27, SP_F28, SP_F29, SP_F30, SP_F31, 
   };
 
@@ -389,7 +389,7 @@
   };
 
   // IntRegs Register Class...
-  static uint16_t IntRegs[] = {
+  static MCPhysReg IntRegs[] = {
     SP_I0, SP_I1, SP_I2, SP_I3, SP_I4, SP_I5, SP_I6, SP_I7, SP_G0, SP_G1, SP_G2, SP_G3, SP_G4, SP_G5, SP_G6, SP_G7, SP_L0, SP_L1, SP_L2, SP_L3, SP_L4, SP_L5, SP_L6, SP_L7, SP_O0, SP_O1, SP_O2, SP_O3, SP_O4, SP_O5, SP_O6, SP_O7, 
   };
 
@@ -399,7 +399,7 @@
   };
 
   // DFPRegs Register Class...
-  static uint16_t DFPRegs[] = {
+  static MCPhysReg DFPRegs[] = {
     SP_D0, SP_D1, SP_D2, SP_D3, SP_D4, SP_D5, SP_D6, SP_D7, SP_D8, SP_D9, SP_D10, SP_D11, SP_D12, SP_D13, SP_D14, SP_D15, SP_D16, SP_D17, SP_D18, SP_D19, SP_D20, SP_D21, SP_D22, SP_D23, SP_D24, SP_D25, SP_D26, SP_D27, SP_D28, SP_D29, SP_D30, SP_D31, 
   };
 
@@ -409,7 +409,7 @@
   };
 
   // I64Regs Register Class...
-  static uint16_t I64Regs[] = {
+  static MCPhysReg I64Regs[] = {
     SP_I0, SP_I1, SP_I2, SP_I3, SP_I4, SP_I5, SP_I6, SP_I7, SP_G0, SP_G1, SP_G2, SP_G3, SP_G4, SP_G5, SP_G6, SP_G7, SP_L0, SP_L1, SP_L2, SP_L3, SP_L4, SP_L5, SP_L6, SP_L7, SP_O0, SP_O1, SP_O2, SP_O3, SP_O4, SP_O5, SP_O6, SP_O7, 
   };
 
@@ -419,7 +419,7 @@
   };
 
   // DFPRegs_with_sub_even Register Class...
-  static uint16_t DFPRegs_with_sub_even[] = {
+  static MCPhysReg DFPRegs_with_sub_even[] = {
     SP_D0, SP_D1, SP_D2, SP_D3, SP_D4, SP_D5, SP_D6, SP_D7, SP_D8, SP_D9, SP_D10, SP_D11, SP_D12, SP_D13, SP_D14, SP_D15, 
   };
 
@@ -429,7 +429,7 @@
   };
 
   // QFPRegs Register Class...
-  static uint16_t QFPRegs[] = {
+  static MCPhysReg QFPRegs[] = {
     SP_Q0, SP_Q1, SP_Q2, SP_Q3, SP_Q4, SP_Q5, SP_Q6, SP_Q7, SP_Q8, SP_Q9, SP_Q10, SP_Q11, SP_Q12, SP_Q13, SP_Q14, SP_Q15, 
   };
 
@@ -439,7 +439,7 @@
   };
 
   // QFPRegs_with_sub_even Register Class...
-  static uint16_t QFPRegs_with_sub_even[] = {
+  static MCPhysReg QFPRegs_with_sub_even[] = {
     SP_Q0, SP_Q1, SP_Q2, SP_Q3, SP_Q4, SP_Q5, SP_Q6, SP_Q7, 
   };
 
diff --git a/arch/Sparc/SparcInstPrinter.c b/arch/Sparc/SparcInstPrinter.c
index 50ee837..c3b652d 100644
--- a/arch/Sparc/SparcInstPrinter.c
+++ b/arch/Sparc/SparcInstPrinter.c
@@ -16,6 +16,10 @@
 
 #ifdef CAPSTONE_HAS_SPARC
 
+#ifdef _MSC_VER
+#define _CRT_SECURE_NO_WARNINGS
+#endif
+
 #include <stdio.h>
 #include <stdlib.h>
 #include <string.h>
@@ -35,6 +39,22 @@
 static void printMemOperand(MCInst *MI, int opNum, SStream *O, const char *Modifier);
 static void printOperand(MCInst *MI, int opNum, SStream *O);
 
+static void Sparc_add_hint(MCInst *MI, unsigned int hint)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->sparc.hint = hint;
+	}
+}
+
+static void Sparc_add_reg(MCInst *MI, unsigned int reg)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->sparc.operands[MI->flat_insn->detail->sparc.op_count].type = SPARC_OP_REG;
+		MI->flat_insn->detail->sparc.operands[MI->flat_insn->detail->sparc.op_count].reg = reg;
+		MI->flat_insn->detail->sparc.op_count++;
+	}
+}
+
 static void set_mem_access(MCInst *MI, bool status)
 {
 	if (MI->csh->detail != CS_OPT_ON)
@@ -96,16 +116,18 @@
 									MCOperand_getImm(MCInst_getOperand(MI, 2)) == 8) {
 								  switch(MCOperand_getReg(MCInst_getOperand(MI, 1))) {
 									  default: break;
-									  case SP_I7: SStream_concat0(O, "ret"); return true;
-									  case SP_O7: SStream_concat0(O, "retl"); return true;
+									  case SP_I7: SStream_concat0(O, "ret"); MCInst_setOpcodePub(MI, SPARC_INS_RET); return true;
+									  case SP_O7: SStream_concat0(O, "retl"); MCInst_setOpcodePub(MI, SPARC_INS_RETL); return true;
 								  }
 							  }
 
 							  SStream_concat0(O, "jmp\t");
+							  MCInst_setOpcodePub(MI, SPARC_INS_JMP);
 							  printMemOperand(MI, 1, O, NULL);
 							  return true;
 					 case SP_O7: // call $addr
 							  SStream_concat0(O, "call ");
+							  MCInst_setOpcodePub(MI, SPARC_INS_CALL);
 							  printMemOperand(MI, 1, O, NULL);
 							  return true;
 				 }
@@ -122,12 +144,12 @@
 				 // if V8, skip printing %fcc0.
 				 switch(MCInst_getOpcode(MI)) {
 					 default:
-					 case SP_V9FCMPS:  SStream_concat0(O, "fcmps\t"); break;
-					 case SP_V9FCMPD:  SStream_concat0(O, "fcmpd\t"); break;
-					 case SP_V9FCMPQ:  SStream_concat0(O, "fcmpq\t"); break;
-					 case SP_V9FCMPES: SStream_concat0(O, "fcmpes\t"); break;
-					 case SP_V9FCMPED: SStream_concat0(O, "fcmped\t"); break;
-					 case SP_V9FCMPEQ: SStream_concat0(O, "fcmpeq\t"); break;
+					 case SP_V9FCMPS:  SStream_concat0(O, "fcmps\t"); MCInst_setOpcodePub(MI, SPARC_INS_FCMPS); break;
+					 case SP_V9FCMPD:  SStream_concat0(O, "fcmpd\t"); MCInst_setOpcodePub(MI, SPARC_INS_FCMPD); break;
+					 case SP_V9FCMPQ:  SStream_concat0(O, "fcmpq\t"); MCInst_setOpcodePub(MI, SPARC_INS_FCMPQ); break;
+					 case SP_V9FCMPES: SStream_concat0(O, "fcmpes\t"); MCInst_setOpcodePub(MI, SPARC_INS_FCMPES); break;
+					 case SP_V9FCMPED: SStream_concat0(O, "fcmped\t"); MCInst_setOpcodePub(MI, SPARC_INS_FCMPED); break;
+					 case SP_V9FCMPEQ: SStream_concat0(O, "fcmpeq\t"); MCInst_setOpcodePub(MI, SPARC_INS_FCMPEQ); break;
 				 }
 				 printOperand(MI, 1, O);
 				 SStream_concat0(O, ", ");
@@ -218,7 +240,7 @@
 		return;   // don't print "+0"
 	}
 
-	SStream_concat0(O, "+");
+	SStream_concat0(O, "+");	// qq
 
 	printOperand(MI, opNum + 1, O);
 	set_mem_access(MI, false);
@@ -264,12 +286,86 @@
 
 void Sparc_printInst(MCInst *MI, SStream *O, void *Info)
 {
-	char *mnem;
+	char *mnem, *p;
+	char instr[64];	// Sparc has no instruction this long
 
 	mnem = printAliasInstr(MI, O, Info);
-	if (mnem)
+	if (mnem) {
+		// fixup instruction id due to the change in alias instruction
+		strncpy(instr, mnem, strlen(mnem));
+		instr[strlen(mnem)] = '\0';
+		// does this contains hint with a coma?
+		p = strchr(instr, ',');
+		if (p)
+			*p = '\0';	// now instr only has instruction mnemonic
+		MCInst_setOpcodePub(MI, Sparc_map_insn(instr));
+		switch(MCInst_getOpcode(MI)) {
+			case SP_BCOND:
+			case SP_BCONDA:
+			case SP_BPICCANT:
+			case SP_BPICCNT:
+			case SP_BPXCCANT:
+			case SP_BPXCCNT:
+			case SP_TXCCri:
+			case SP_TXCCrr:
+				if (MI->csh->detail) {
+					// skip 'b', 't'
+					MI->flat_insn->detail->sparc.cc = Sparc_map_ICC(instr + 1);
+					MI->flat_insn->detail->sparc.hint = Sparc_map_hint(mnem);
+				}
+				break;
+			case SP_BPFCCANT:
+			case SP_BPFCCNT:
+				if (MI->csh->detail) {
+					// skip 'fb'
+					MI->flat_insn->detail->sparc.cc = Sparc_map_FCC(instr + 2);
+					MI->flat_insn->detail->sparc.hint = Sparc_map_hint(mnem);
+				}
+				break;
+			case SP_FMOVD_ICC:
+			case SP_FMOVD_XCC:
+			case SP_FMOVQ_ICC:
+			case SP_FMOVQ_XCC:
+			case SP_FMOVS_ICC:
+			case SP_FMOVS_XCC:
+				if (MI->csh->detail) {
+					// skip 'fmovd', 'fmovq', 'fmovs'
+					MI->flat_insn->detail->sparc.cc = Sparc_map_ICC(instr + 5);
+					MI->flat_insn->detail->sparc.hint = Sparc_map_hint(mnem);
+				}
+				break;
+			case SP_MOVICCri:
+			case SP_MOVICCrr:
+			case SP_MOVXCCri:
+			case SP_MOVXCCrr:
+				if (MI->csh->detail) {
+					// skip 'mov'
+					MI->flat_insn->detail->sparc.cc = Sparc_map_ICC(instr + 3);
+					MI->flat_insn->detail->sparc.hint = Sparc_map_hint(mnem);
+				}
+				break;
+			case SP_V9FMOVD_FCC:
+			case SP_V9FMOVQ_FCC:
+			case SP_V9FMOVS_FCC:
+				if (MI->csh->detail) {
+					// skip 'fmovd', 'fmovq', 'fmovs'
+					MI->flat_insn->detail->sparc.cc = Sparc_map_FCC(instr + 5);
+					MI->flat_insn->detail->sparc.hint = Sparc_map_hint(mnem);
+				}
+				break;
+			case SP_V9MOVFCCri:
+			case SP_V9MOVFCCrr:
+				if (MI->csh->detail) {
+					// skip 'mov'
+					MI->flat_insn->detail->sparc.cc = Sparc_map_FCC(instr + 3);
+					MI->flat_insn->detail->sparc.hint = Sparc_map_hint(mnem);
+				}
+				break;
+			default:
+				break;
+		}
 		cs_mem_free(mnem);
-	else {
+	} else {
 		if (!printSparcAliasInstr(MI, O))
 			printInstruction(MI, O, NULL);
 	}
diff --git a/arch/Sparc/SparcMapping.c b/arch/Sparc/SparcMapping.c
index fdd9384..653136a 100644
--- a/arch/Sparc/SparcMapping.c
+++ b/arch/Sparc/SparcMapping.c
@@ -103,6 +103,9 @@
 	{ SPARC_REG_O7, "o7"},
 	{ SPARC_REG_SP, "sp"},
 	{ SPARC_REG_Y, "y"},
+
+	// special registers
+	{ SPARC_REG_XCC, "xcc"},
 };
 #endif
 
@@ -296,7 +299,7 @@
 #endif
 	},
 	{
-		SP_BA, SPARC_INS_BA,
+		SP_BA, SPARC_INS_B,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 1, 0
 #endif
@@ -2426,13 +2429,13 @@
 #endif
 	},
 	{
-		SP_TA3, SPARC_INS_TA,
+		SP_TA3, SPARC_INS_T,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		SP_TA5, SPARC_INS_TA,
+		SP_TA5, SPARC_INS_T,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
@@ -2830,7 +2833,6 @@
 	}
 }
 
-#ifndef CAPSTONE_DIET
 static name_map insn_name_maps[] = {
 	{ SPARC_INS_INVALID, NULL },
 
@@ -2849,7 +2851,6 @@
 	{ SPARC_INS_ARRAY16, "array16" },
 	{ SPARC_INS_ARRAY32, "array32" },
 	{ SPARC_INS_ARRAY8, "array8" },
-	{ SPARC_INS_BA, "ba" },
 	{ SPARC_INS_B, "b" },
 	{ SPARC_INS_JMP, "jmp" },
 	{ SPARC_INS_BMASK, "bmask" },
@@ -3089,7 +3090,6 @@
 	{ SPARC_INS_SUBXCC, "subxcc" },
 	{ SPARC_INS_SUB, "sub" },
 	{ SPARC_INS_SWAP, "swap" },
-	{ SPARC_INS_TA, "ta" },
 	{ SPARC_INS_TADDCCTV, "taddcctv" },
 	{ SPARC_INS_TADDCC, "taddcc" },
 	{ SPARC_INS_T, "t" },
@@ -3112,8 +3112,13 @@
 	{ SPARC_INS_XNOR, "xnor" },
 	{ SPARC_INS_XORCC, "xorcc" },
 	{ SPARC_INS_XOR, "xor" },
+
+	// alias instructions
+	{ SPARC_INS_RET, "ret" },
+	{ SPARC_INS_RETL, "retl" },
 };
 
+#ifndef CAPSTONE_DIET
 // special alias insn
 static name_map alias_insn_names[] = {
 	{ 0, NULL }
@@ -3140,6 +3145,33 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ SPARC_GRP_INVALID, NULL },
+	{ SPARC_GRP_HARDQUAD, "hardquad" },
+	{ SPARC_GRP_V9, "v9" },
+	{ SPARC_GRP_VIS, "vis" },
+	{ SPARC_GRP_VIS2, "vis2" },
+	{ SPARC_GRP_VIS3,  "vis3" },
+	{ SPARC_GRP_32BIT, "32bit" },
+	{ SPARC_GRP_64BIT, "64bit" },
+
+	{ SPARC_GRP_JUMP, "jump" },
+};
+#endif
+
+const char *Sparc_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= SPARC_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 // map internal raw register to 'public' register
 sparc_reg Sparc_map_register(unsigned int r)
 {
@@ -3177,4 +3209,97 @@
 	return 0;
 }
 
+// map instruction name to instruction ID (public)
+sparc_reg Sparc_map_insn(const char *name)
+{
+	unsigned int i;
+
+	// NOTE: skip first NULL name in insn_name_maps
+	i = name2id(&insn_name_maps[1], ARR_SIZE(insn_name_maps) - 1, name);
+
+	return (i != -1)? i : SPARC_REG_INVALID;
+}
+
+// NOTE: put strings in the order of string length since
+// we are going to compare with mnemonic to find out CC
+static name_map alias_icc_maps[] = {
+	{ SPARC_CC_ICC_LEU, "leu" },
+	{ SPARC_CC_ICC_POS, "pos" },
+	{ SPARC_CC_ICC_NEG, "neg" },
+	{ SPARC_CC_ICC_NE, "ne" },
+	{ SPARC_CC_ICC_LE, "le" },
+	{ SPARC_CC_ICC_GE, "ge" },
+	{ SPARC_CC_ICC_GU, "gu" },
+	{ SPARC_CC_ICC_CC, "cc" },
+	{ SPARC_CC_ICC_CS, "cs" },
+	{ SPARC_CC_ICC_VC, "vc" },
+	{ SPARC_CC_ICC_VS, "vs" },
+	{ SPARC_CC_ICC_A, "a" },
+	{ SPARC_CC_ICC_N, "n" },
+	{ SPARC_CC_ICC_E, "e" },
+	{ SPARC_CC_ICC_G, "g" },
+	{ SPARC_CC_ICC_L, "l" },
+};
+
+static name_map alias_fcc_maps[] = {
+	{ SPARC_CC_FCC_UGE, "uge" },
+	{ SPARC_CC_FCC_ULE, "ule" },
+	{ SPARC_CC_FCC_UG, "ug" },
+	{ SPARC_CC_FCC_UL, "ul" },
+	{ SPARC_CC_FCC_LG, "lg" },
+	{ SPARC_CC_FCC_NE, "ne" },
+	{ SPARC_CC_FCC_UE, "ue" },
+	{ SPARC_CC_FCC_GE, "ge" },
+	{ SPARC_CC_FCC_LE, "le" },
+	{ SPARC_CC_FCC_A, "a" },
+	{ SPARC_CC_FCC_N, "n" },
+	{ SPARC_CC_FCC_U, "u" },
+	{ SPARC_CC_FCC_G, "g" },
+	{ SPARC_CC_FCC_L, "l" },
+	{ SPARC_CC_FCC_E, "e" },
+	{ SPARC_CC_FCC_O, "o" },
+};
+
+// map CC string to CC id
+sparc_cc Sparc_map_ICC(const char *name)
+{
+	unsigned int i;
+
+	i = name2id(alias_icc_maps, ARR_SIZE(alias_icc_maps), name);
+
+	return (i != -1)? i : SPARC_CC_INVALID;
+}
+
+sparc_cc Sparc_map_FCC(const char *name)
+{
+	unsigned int i;
+
+	i = name2id(alias_fcc_maps, ARR_SIZE(alias_fcc_maps), name);
+
+	return (i != -1)? i : SPARC_CC_INVALID;
+}
+
+static name_map hint_maps[] = {
+	{ SPARC_HINT_A, ",a" },
+	{ SPARC_HINT_A | SPARC_HINT_PN, ",a,pn" },
+	{ SPARC_HINT_PN, ",pn" },
+};
+
+sparc_hint Sparc_map_hint(const char *name)
+{
+	size_t i, l1, l2;
+
+	l1 = strlen(name);
+	for(i = 0; i < ARR_SIZE(hint_maps); i++) {
+		l2 = strlen(hint_maps[i].name);
+		if (l1 > l2) {
+			// compare the last part of @name with this hint string
+			if (!strcmp(hint_maps[i].name, name + (l1 - l2)))
+				return hint_maps[i].id;
+		}
+	}
+
+	return SPARC_HINT_INVALID;
+}
+
 #endif
diff --git a/arch/Sparc/SparcMapping.h b/arch/Sparc/SparcMapping.h
index 9db678a..fa9bcae 100644
--- a/arch/Sparc/SparcMapping.h
+++ b/arch/Sparc/SparcMapping.h
@@ -14,8 +14,21 @@
 
 const char *Sparc_insn_name(csh handle, unsigned int id);
 
+const char *Sparc_group_name(csh handle, unsigned int id);
+
 // map internal raw register to 'public' register
 sparc_reg Sparc_map_register(unsigned int r);
 
+// map instruction name to instruction ID (public)
+// this is for alias instructions only
+sparc_reg Sparc_map_insn(const char *name);
+
+// map CC string to CC id
+sparc_cc Sparc_map_ICC(const char *name);
+
+sparc_cc Sparc_map_FCC(const char *name);
+
+sparc_hint Sparc_map_hint(const char *name);
+
 #endif
 
diff --git a/arch/Sparc/SparcModule.c b/arch/Sparc/SparcModule.c
index a1dc05e..1eb01d5 100644
--- a/arch/Sparc/SparcModule.c
+++ b/arch/Sparc/SparcModule.c
@@ -29,6 +29,7 @@
 	ud->reg_name = Sparc_reg_name;
 	ud->insn_id = Sparc_get_insn_id;
 	ud->insn_name = Sparc_insn_name;
+	ud->group_name = Sparc_group_name;
 
 	return CS_ERR_OK;
 }
@@ -36,7 +37,7 @@
 static cs_err option(cs_struct *handle, cs_opt_type type, size_t value)
 {
 	if (type == CS_OPT_SYNTAX)
-		handle->syntax = value;
+		handle->syntax = (int) value;
 
 	return CS_ERR_OK;
 }
diff --git a/arch/SystemZ/SystemZDisassembler.c b/arch/SystemZ/SystemZDisassembler.c
index e890db6..de45d60 100644
--- a/arch/SystemZ/SystemZDisassembler.c
+++ b/arch/SystemZ/SystemZDisassembler.c
@@ -322,7 +322,7 @@
 		return MCDisassembler_Fail;
 
 	if (MI->flat_insn->detail) {
-		memset(&MI->flat_insn->detail->sysz, 0, sizeof(cs_sysz));
+		memset(MI->flat_insn->detail, 0, sizeof(cs_detail));
 	}
 
 	memcpy(Bytes, code, *size);
diff --git a/arch/SystemZ/SystemZGenAsmWriter.inc b/arch/SystemZ/SystemZGenAsmWriter.inc
index 091363b..8b8962b 100644
--- a/arch/SystemZ/SystemZGenAsmWriter.inc
+++ b/arch/SystemZ/SystemZGenAsmWriter.inc
@@ -29,61 +29,62 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    3922U,	// DBG_VALUE
+    3946U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    3915U,	// BUNDLE
-    3932U,	// LIFETIME_START
-    3902U,	// LIFETIME_END
+    3939U,	// BUNDLE
+    3956U,	// LIFETIME_START
+    3926U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     4099U,	// A
-    4136U,	// ADB
-    1055535U,	// ADBR
+    4160U,	// ADB
+    1055559U,	// ADBR
     0U,	// ADJCALLSTACKDOWN
     0U,	// ADJCALLSTACKUP
     0U,	// ADJDYNALLOC
-    4181U,	// AEB
-    1055654U,	// AEBR
+    4205U,	// AEB
+    1055678U,	// AEBR
     0U,	// AEXT128_64
-    2103147U,	// AFI
+    2103171U,	// AFI
     0U,	// AFIMux
-    5171U,	// AG
-    5044U,	// AGF
-    2103157U,	// AGFI
-    1056064U,	// AGFR
-    3151807U,	// AGHI
-    37755006U,	// AGHIK
-    1056140U,	// AGR
-    171972775U,	// AGRK
-    75783U,	// AGSI
-    5373U,	// AH
-    3151797U,	// AHI
-    37755000U,	// AHIK
+    5195U,	// AG
+    5068U,	// AGF
+    2103181U,	// AGFI
+    1056088U,	// AGFR
+    3151831U,	// AGHI
+    37755030U,	// AGHIK
+    1056164U,	// AGR
+    171972799U,	// AGRK
+    75807U,	// AGSI
+    5397U,	// AH
+    3151821U,	// AHI
+    37755024U,	// AHIK
     0U,	// AHIMux
     0U,	// AHIMuxK
-    7893U,	// AHY
-    2102639U,	// AIH
-    6389U,	// AL
-    4247U,	// ALC
-    5215U,	// ALCG
-    1056152U,	// ALCGR
-    1055979U,	// ALCR
-    5248925U,	// ALFI
-    5248U,	// ALG
-    5054U,	// ALGF
-    5248897U,	// ALGFI
-    1056077U,	// ALGFR
-    37755013U,	// ALGHSIK
-    1056172U,	// ALGR
-    171972781U,	// ALGRK
-    37755022U,	// ALHSIK
-    1056288U,	// ALR
-    171972819U,	// ALRK
-    7951U,	// ALY
-    1055530U,	// AR
-    171972770U,	// ARK
-    75778U,	// ASI
+    7917U,	// AHY
+    2102663U,	// AIH
+    6413U,	// AL
+    4271U,	// ALC
+    5239U,	// ALCG
+    1056176U,	// ALCGR
+    1056003U,	// ALCR
+    5248949U,	// ALFI
+    5272U,	// ALG
+    5078U,	// ALGF
+    5248921U,	// ALGFI
+    1056101U,	// ALGFR
+    37755037U,	// ALGHSIK
+    1056196U,	// ALGR
+    171972805U,	// ALGRK
+    37755046U,	// ALHSIK
+    1056312U,	// ALR
+    171972843U,	// ALRK
+    7975U,	// ALY
+    1055554U,	// AR
+    171972794U,	// ARK
+    75802U,	// ASI
     0U,	// ATOMIC_CMP_SWAPW
     0U,	// ATOMIC_LOADW_AFI
     0U,	// ATOMIC_LOADW_AR
@@ -157,353 +158,353 @@
     0U,	// ATOMIC_SWAPW
     0U,	// ATOMIC_SWAP_32
     0U,	// ATOMIC_SWAP_64
-    1055863U,	// AXBR
-    7856U,	// AY
-    6438111U,	// AsmBCR
-    209077U,	// AsmBRC
-    211206U,	// AsmBRCL
-    74455111U,	// AsmCGIJ
-    306190431U,	// AsmCGRJ
-    74455106U,	// AsmCIJ
-    75503693U,	// AsmCLGIJ
-    306190437U,	// AsmCLGRJ
-    75503700U,	// AsmCLIJ
-    306190444U,	// AsmCLRJ
-    306190426U,	// AsmCRJ
-    269589U,	// AsmEBR
-    16886U,	// AsmEJ
-    16626U,	// AsmEJG
-    9441494U,	// AsmELOC
-    9441507U,	// AsmELOCG
-    1053607U,	// AsmELOCGR
-    1053600U,	// AsmELOCR
-    10490076U,	// AsmESTOC
-    10490090U,	// AsmESTOCG
-    269819U,	// AsmHBR
-    269594U,	// AsmHEBR
-    16671U,	// AsmHEJ
-    16663U,	// AsmHEJG
-    9441527U,	// AsmHELOC
-    9441542U,	// AsmHELOCG
-    1053163U,	// AsmHELOCGR
-    1053155U,	// AsmHELOCR
-    10490110U,	// AsmHESTOC
-    10490126U,	// AsmHESTOCG
-    17793U,	// AsmHJ
-    17721U,	// AsmHJG
-    9442572U,	// AsmHLOC
-    9442602U,	// AsmHLOCG
-    1054554U,	// AsmHLOCGR
-    1054547U,	// AsmHLOCR
-    10491154U,	// AsmHSTOC
-    10491185U,	// AsmHSTOCG
-    108009076U,	// AsmJEAltCGI
-    440407704U,	// AsmJEAltCGR
-    108009068U,	// AsmJEAltCI
-    109057661U,	// AsmJEAltCLGI
-    440407713U,	// AsmJEAltCLGR
-    109057671U,	// AsmJEAltCLI
-    440407723U,	// AsmJEAltCLR
-    440407696U,	// AsmJEAltCR
-    108007930U,	// AsmJECGI
-    440406550U,	// AsmJECGR
-    108007924U,	// AsmJECI
-    109056513U,	// AsmJECLGI
-    440406557U,	// AsmJECLGR
-    109056521U,	// AsmJECLI
-    440406565U,	// AsmJECLR
-    440406544U,	// AsmJECR
-    108008133U,	// AsmJHAltCGI
-    440406761U,	// AsmJHAltCGR
-    108008125U,	// AsmJHAltCI
-    109056718U,	// AsmJHAltCLGI
-    440406770U,	// AsmJHAltCLGR
-    109056728U,	// AsmJHAltCLI
-    440406780U,	// AsmJHAltCLR
-    440406753U,	// AsmJHAltCR
-    108008837U,	// AsmJHCGI
-    440407457U,	// AsmJHCGR
-    108008831U,	// AsmJHCI
-    109057420U,	// AsmJHCLGI
-    440407464U,	// AsmJHCLGR
-    109057428U,	// AsmJHCLI
-    440407472U,	// AsmJHCLR
-    440407451U,	// AsmJHCR
-    108009937U,	// AsmJHEAltCGI
-    440408561U,	// AsmJHEAltCGR
-    108009930U,	// AsmJHEAltCI
-    109058521U,	// AsmJHEAltCLGI
-    440408569U,	// AsmJHEAltCLGR
-    109058530U,	// AsmJHEAltCLI
-    440408578U,	// AsmJHEAltCLR
-    440408554U,	// AsmJHEAltCR
-    108007716U,	// AsmJHECGI
-    440406340U,	// AsmJHECGR
-    108007709U,	// AsmJHECI
-    109056300U,	// AsmJHECLGI
-    440406348U,	// AsmJHECLGR
-    109056309U,	// AsmJHECLI
-    440406357U,	// AsmJHECLR
-    440406333U,	// AsmJHECR
-    108007824U,	// AsmJLAltCGI
-    440406452U,	// AsmJLAltCGR
-    108007816U,	// AsmJLAltCI
-    109056409U,	// AsmJLAltCLGI
-    440406461U,	// AsmJLAltCLGR
-    109056419U,	// AsmJLAltCLI
-    440406471U,	// AsmJLAltCLR
-    440406444U,	// AsmJLAltCR
-    108009801U,	// AsmJLCGI
-    440408421U,	// AsmJLCGR
-    108009795U,	// AsmJLCI
-    109058384U,	// AsmJLCLGI
-    440408428U,	// AsmJLCLGR
-    109058392U,	// AsmJLCLI
-    440408436U,	// AsmJLCLR
-    440408415U,	// AsmJLCR
-    108009225U,	// AsmJLEAltCGI
-    440407849U,	// AsmJLEAltCGR
-    108009218U,	// AsmJLEAltCI
-    109057809U,	// AsmJLEAltCLGI
-    440407857U,	// AsmJLEAltCLGR
-    109057818U,	// AsmJLEAltCLI
-    440407866U,	// AsmJLEAltCLR
-    440407842U,	// AsmJLEAltCR
-    108008025U,	// AsmJLECGI
-    440406649U,	// AsmJLECGR
-    108008018U,	// AsmJLECI
-    109056609U,	// AsmJLECLGI
-    440406657U,	// AsmJLECLGR
-    109056618U,	// AsmJLECLI
-    440406666U,	// AsmJLECLR
-    440406642U,	// AsmJLECR
-    108008278U,	// AsmJLHAltCGI
-    440406902U,	// AsmJLHAltCGR
-    108008271U,	// AsmJLHAltCI
-    109056862U,	// AsmJLHAltCLGI
-    440406910U,	// AsmJLHAltCLGR
-    109056871U,	// AsmJLHAltCLI
-    440406919U,	// AsmJLHAltCLR
-    440406895U,	// AsmJLHAltCR
-    108008957U,	// AsmJLHCGI
-    440407581U,	// AsmJLHCGR
-    108008950U,	// AsmJLHCI
-    109057541U,	// AsmJLHCLGI
-    440407589U,	// AsmJLHCLGR
-    109057550U,	// AsmJLHCLI
-    440407598U,	// AsmJLHCLR
-    440407574U,	// AsmJLHCR
-    269861U,	// AsmLBR
-    269607U,	// AsmLEBR
-    16980U,	// AsmLEJ
-    16972U,	// AsmLEJG
-    9441836U,	// AsmLELOC
-    9441851U,	// AsmLELOCG
-    1053472U,	// AsmLELOCGR
-    1053464U,	// AsmLELOCR
-    10490419U,	// AsmLESTOC
-    10490435U,	// AsmLESTOCG
-    269831U,	// AsmLHBR
-    17912U,	// AsmLHJ
-    17879U,	// AsmLHJG
-    9442743U,	// AsmLHLOC
-    9442758U,	// AsmLHLOCG
-    1054415U,	// AsmLHLOCGR
-    1054407U,	// AsmLHLOCR
-    10491326U,	// AsmLHSTOC
-    10491342U,	// AsmLHSTOCG
-    18757U,	// AsmLJ
-    18719U,	// AsmLJG
-    9443577U,	// AsmLLOC
-    9443600U,	// AsmLLOCG
-    1055302U,	// AsmLLOCGR
-    1055265U,	// AsmLLOCR
-    579866794U,	// AsmLOC
-    579867749U,	// AsmLOCG
-    705699231U,	// AsmLOCGR
-    705699063U,	// AsmLOCR
-    10492159U,	// AsmLSTOC
-    10492183U,	// AsmLSTOCG
-    269620U,	// AsmNEBR
-    17233U,	// AsmNEJ
-    17225U,	// AsmNEJG
-    9442089U,	// AsmNELOC
-    9442104U,	// AsmNELOCG
-    1053591U,	// AsmNELOCGR
-    1053583U,	// AsmNELOCR
-    10490672U,	// AsmNESTOC
-    10490688U,	// AsmNESTOCG
-    269850U,	// AsmNHBR
-    269600U,	// AsmNHEBR
-    16778U,	// AsmNHEJ
-    16769U,	// AsmNHEJG
-    9441629U,	// AsmNHELOC
-    9441646U,	// AsmNHELOCG
-    1053145U,	// AsmNHELOCGR
-    1053136U,	// AsmNHELOCR
-    10490213U,	// AsmNHESTOC
-    10490231U,	// AsmNHESTOCG
-    18180U,	// AsmNHJ
-    18172U,	// AsmNHJG
-    9443036U,	// AsmNHLOC
-    9443051U,	// AsmNHLOCG
-    1054538U,	// AsmNHLOCGR
-    1054530U,	// AsmNHLOCR
-    10491619U,	// AsmNHSTOC
-    10491635U,	// AsmNHSTOCG
-    269876U,	// AsmNLBR
-    269613U,	// AsmNLEBR
-    17087U,	// AsmNLEJ
-    17078U,	// AsmNLEJG
-    9441938U,	// AsmNLELOC
-    9441955U,	// AsmNLELOCG
-    1053454U,	// AsmNLELOCGR
-    1053445U,	// AsmNLELOCR
-    10490522U,	// AsmNLESTOC
-    10490540U,	// AsmNLESTOCG
-    269843U,	// AsmNLHBR
-    18030U,	// AsmNLHJ
-    18021U,	// AsmNLHJG
-    9442881U,	// AsmNLHLOC
-    9442898U,	// AsmNLHLOCG
-    1054397U,	// AsmNLHLOCGR
-    1054388U,	// AsmNLHLOCR
-    10491465U,	// AsmNLHSTOC
-    10491483U,	// AsmNLHSTOCG
-    18892U,	// AsmNLJ
-    18884U,	// AsmNLJG
-    9443748U,	// AsmNLLOC
-    9443763U,	// AsmNLLOCG
-    1055250U,	// AsmNLLOCGR
-    1055242U,	// AsmNLLOCR
-    10492331U,	// AsmNLSTOC
-    10492347U,	// AsmNLSTOCG
-    269897U,	// AsmNOBR
-    19204U,	// AsmNOJ
-    19198U,	// AsmNOJG
-    9444062U,	// AsmNOLOC
-    9444077U,	// AsmNOLOCG
-    1055505U,	// AsmNOLOCGR
-    1055497U,	// AsmNOLOCR
-    10492645U,	// AsmNOSTOC
-    10492661U,	// AsmNOSTOCG
-    269892U,	// AsmOBR
-    19162U,	// AsmOJ
-    19157U,	// AsmOJG
-    9444025U,	// AsmOLOC
-    9444038U,	// AsmOLOCG
-    1055521U,	// AsmOLOCGR
-    1055514U,	// AsmOLOCR
-    10492607U,	// AsmOSTOC
-    10492621U,	// AsmOSTOCG
-    715133103U,	// AsmSTOC
-    715134059U,	// AsmSTOCG
-    4202068U,	// BASR
-    269105U,	// BR
-    11542133U,	// BRAS
-    11541151U,	// BRASL
-    24452U,	// BRC
-    24447U,	// BRCL
-    12590723U,	// BRCT
-    12588249U,	// BRCTG
-    13635728U,	// C
-    13635629U,	// CDB
-    4201270U,	// CDBR
-    4201495U,	// CDFBR
-    4201540U,	// CDGBR
-    719330341U,	// CDLFBR
-    719330386U,	// CDLGBR
-    13635674U,	// CEB
-    4201389U,	// CEBR
-    4201502U,	// CEFBR
-    4201547U,	// CEGBR
-    719330349U,	// CELFBR
-    719330394U,	// CELGBR
-    14687049U,	// CFDBR
-    14687176U,	// CFEBR
-    15734640U,	// CFI
+    1055887U,	// AXBR
+    7880U,	// AY
+    6438135U,	// AsmBCR
+    209101U,	// AsmBRC
+    211230U,	// AsmBRCL
+    74455135U,	// AsmCGIJ
+    306190455U,	// AsmCGRJ
+    74455130U,	// AsmCIJ
+    75503717U,	// AsmCLGIJ
+    306190461U,	// AsmCLGRJ
+    75503724U,	// AsmCLIJ
+    306190468U,	// AsmCLRJ
+    306190450U,	// AsmCRJ
+    269613U,	// AsmEBR
+    16910U,	// AsmEJ
+    16650U,	// AsmEJG
+    9441518U,	// AsmELOC
+    9441531U,	// AsmELOCG
+    1053631U,	// AsmELOCGR
+    1053624U,	// AsmELOCR
+    10490100U,	// AsmESTOC
+    10490114U,	// AsmESTOCG
+    269843U,	// AsmHBR
+    269618U,	// AsmHEBR
+    16695U,	// AsmHEJ
+    16687U,	// AsmHEJG
+    9441551U,	// AsmHELOC
+    9441566U,	// AsmHELOCG
+    1053187U,	// AsmHELOCGR
+    1053179U,	// AsmHELOCR
+    10490134U,	// AsmHESTOC
+    10490150U,	// AsmHESTOCG
+    17817U,	// AsmHJ
+    17745U,	// AsmHJG
+    9442596U,	// AsmHLOC
+    9442626U,	// AsmHLOCG
+    1054578U,	// AsmHLOCGR
+    1054571U,	// AsmHLOCR
+    10491178U,	// AsmHSTOC
+    10491209U,	// AsmHSTOCG
+    108009100U,	// AsmJEAltCGI
+    440407728U,	// AsmJEAltCGR
+    108009092U,	// AsmJEAltCI
+    109057685U,	// AsmJEAltCLGI
+    440407737U,	// AsmJEAltCLGR
+    109057695U,	// AsmJEAltCLI
+    440407747U,	// AsmJEAltCLR
+    440407720U,	// AsmJEAltCR
+    108007954U,	// AsmJECGI
+    440406574U,	// AsmJECGR
+    108007948U,	// AsmJECI
+    109056537U,	// AsmJECLGI
+    440406581U,	// AsmJECLGR
+    109056545U,	// AsmJECLI
+    440406589U,	// AsmJECLR
+    440406568U,	// AsmJECR
+    108008157U,	// AsmJHAltCGI
+    440406785U,	// AsmJHAltCGR
+    108008149U,	// AsmJHAltCI
+    109056742U,	// AsmJHAltCLGI
+    440406794U,	// AsmJHAltCLGR
+    109056752U,	// AsmJHAltCLI
+    440406804U,	// AsmJHAltCLR
+    440406777U,	// AsmJHAltCR
+    108008861U,	// AsmJHCGI
+    440407481U,	// AsmJHCGR
+    108008855U,	// AsmJHCI
+    109057444U,	// AsmJHCLGI
+    440407488U,	// AsmJHCLGR
+    109057452U,	// AsmJHCLI
+    440407496U,	// AsmJHCLR
+    440407475U,	// AsmJHCR
+    108009961U,	// AsmJHEAltCGI
+    440408585U,	// AsmJHEAltCGR
+    108009954U,	// AsmJHEAltCI
+    109058545U,	// AsmJHEAltCLGI
+    440408593U,	// AsmJHEAltCLGR
+    109058554U,	// AsmJHEAltCLI
+    440408602U,	// AsmJHEAltCLR
+    440408578U,	// AsmJHEAltCR
+    108007740U,	// AsmJHECGI
+    440406364U,	// AsmJHECGR
+    108007733U,	// AsmJHECI
+    109056324U,	// AsmJHECLGI
+    440406372U,	// AsmJHECLGR
+    109056333U,	// AsmJHECLI
+    440406381U,	// AsmJHECLR
+    440406357U,	// AsmJHECR
+    108007848U,	// AsmJLAltCGI
+    440406476U,	// AsmJLAltCGR
+    108007840U,	// AsmJLAltCI
+    109056433U,	// AsmJLAltCLGI
+    440406485U,	// AsmJLAltCLGR
+    109056443U,	// AsmJLAltCLI
+    440406495U,	// AsmJLAltCLR
+    440406468U,	// AsmJLAltCR
+    108009825U,	// AsmJLCGI
+    440408445U,	// AsmJLCGR
+    108009819U,	// AsmJLCI
+    109058408U,	// AsmJLCLGI
+    440408452U,	// AsmJLCLGR
+    109058416U,	// AsmJLCLI
+    440408460U,	// AsmJLCLR
+    440408439U,	// AsmJLCR
+    108009249U,	// AsmJLEAltCGI
+    440407873U,	// AsmJLEAltCGR
+    108009242U,	// AsmJLEAltCI
+    109057833U,	// AsmJLEAltCLGI
+    440407881U,	// AsmJLEAltCLGR
+    109057842U,	// AsmJLEAltCLI
+    440407890U,	// AsmJLEAltCLR
+    440407866U,	// AsmJLEAltCR
+    108008049U,	// AsmJLECGI
+    440406673U,	// AsmJLECGR
+    108008042U,	// AsmJLECI
+    109056633U,	// AsmJLECLGI
+    440406681U,	// AsmJLECLGR
+    109056642U,	// AsmJLECLI
+    440406690U,	// AsmJLECLR
+    440406666U,	// AsmJLECR
+    108008302U,	// AsmJLHAltCGI
+    440406926U,	// AsmJLHAltCGR
+    108008295U,	// AsmJLHAltCI
+    109056886U,	// AsmJLHAltCLGI
+    440406934U,	// AsmJLHAltCLGR
+    109056895U,	// AsmJLHAltCLI
+    440406943U,	// AsmJLHAltCLR
+    440406919U,	// AsmJLHAltCR
+    108008981U,	// AsmJLHCGI
+    440407605U,	// AsmJLHCGR
+    108008974U,	// AsmJLHCI
+    109057565U,	// AsmJLHCLGI
+    440407613U,	// AsmJLHCLGR
+    109057574U,	// AsmJLHCLI
+    440407622U,	// AsmJLHCLR
+    440407598U,	// AsmJLHCR
+    269885U,	// AsmLBR
+    269631U,	// AsmLEBR
+    17004U,	// AsmLEJ
+    16996U,	// AsmLEJG
+    9441860U,	// AsmLELOC
+    9441875U,	// AsmLELOCG
+    1053496U,	// AsmLELOCGR
+    1053488U,	// AsmLELOCR
+    10490443U,	// AsmLESTOC
+    10490459U,	// AsmLESTOCG
+    269855U,	// AsmLHBR
+    17936U,	// AsmLHJ
+    17903U,	// AsmLHJG
+    9442767U,	// AsmLHLOC
+    9442782U,	// AsmLHLOCG
+    1054439U,	// AsmLHLOCGR
+    1054431U,	// AsmLHLOCR
+    10491350U,	// AsmLHSTOC
+    10491366U,	// AsmLHSTOCG
+    18781U,	// AsmLJ
+    18743U,	// AsmLJG
+    9443601U,	// AsmLLOC
+    9443624U,	// AsmLLOCG
+    1055326U,	// AsmLLOCGR
+    1055289U,	// AsmLLOCR
+    579866818U,	// AsmLOC
+    579867773U,	// AsmLOCG
+    705699255U,	// AsmLOCGR
+    705699087U,	// AsmLOCR
+    10492183U,	// AsmLSTOC
+    10492207U,	// AsmLSTOCG
+    269644U,	// AsmNEBR
+    17257U,	// AsmNEJ
+    17249U,	// AsmNEJG
+    9442113U,	// AsmNELOC
+    9442128U,	// AsmNELOCG
+    1053615U,	// AsmNELOCGR
+    1053607U,	// AsmNELOCR
+    10490696U,	// AsmNESTOC
+    10490712U,	// AsmNESTOCG
+    269874U,	// AsmNHBR
+    269624U,	// AsmNHEBR
+    16802U,	// AsmNHEJ
+    16793U,	// AsmNHEJG
+    9441653U,	// AsmNHELOC
+    9441670U,	// AsmNHELOCG
+    1053169U,	// AsmNHELOCGR
+    1053160U,	// AsmNHELOCR
+    10490237U,	// AsmNHESTOC
+    10490255U,	// AsmNHESTOCG
+    18204U,	// AsmNHJ
+    18196U,	// AsmNHJG
+    9443060U,	// AsmNHLOC
+    9443075U,	// AsmNHLOCG
+    1054562U,	// AsmNHLOCGR
+    1054554U,	// AsmNHLOCR
+    10491643U,	// AsmNHSTOC
+    10491659U,	// AsmNHSTOCG
+    269900U,	// AsmNLBR
+    269637U,	// AsmNLEBR
+    17111U,	// AsmNLEJ
+    17102U,	// AsmNLEJG
+    9441962U,	// AsmNLELOC
+    9441979U,	// AsmNLELOCG
+    1053478U,	// AsmNLELOCGR
+    1053469U,	// AsmNLELOCR
+    10490546U,	// AsmNLESTOC
+    10490564U,	// AsmNLESTOCG
+    269867U,	// AsmNLHBR
+    18054U,	// AsmNLHJ
+    18045U,	// AsmNLHJG
+    9442905U,	// AsmNLHLOC
+    9442922U,	// AsmNLHLOCG
+    1054421U,	// AsmNLHLOCGR
+    1054412U,	// AsmNLHLOCR
+    10491489U,	// AsmNLHSTOC
+    10491507U,	// AsmNLHSTOCG
+    18916U,	// AsmNLJ
+    18908U,	// AsmNLJG
+    9443772U,	// AsmNLLOC
+    9443787U,	// AsmNLLOCG
+    1055274U,	// AsmNLLOCGR
+    1055266U,	// AsmNLLOCR
+    10492355U,	// AsmNLSTOC
+    10492371U,	// AsmNLSTOCG
+    269921U,	// AsmNOBR
+    19228U,	// AsmNOJ
+    19222U,	// AsmNOJG
+    9444086U,	// AsmNOLOC
+    9444101U,	// AsmNOLOCG
+    1055529U,	// AsmNOLOCGR
+    1055521U,	// AsmNOLOCR
+    10492669U,	// AsmNOSTOC
+    10492685U,	// AsmNOSTOCG
+    269916U,	// AsmOBR
+    19186U,	// AsmOJ
+    19181U,	// AsmOJG
+    9444049U,	// AsmOLOC
+    9444062U,	// AsmOLOCG
+    1055545U,	// AsmOLOCGR
+    1055538U,	// AsmOLOCR
+    10492631U,	// AsmOSTOC
+    10492645U,	// AsmOSTOCG
+    715133127U,	// AsmSTOC
+    715134083U,	// AsmSTOCG
+    4202092U,	// BASR
+    269129U,	// BR
+    11542157U,	// BRAS
+    11541175U,	// BRASL
+    24476U,	// BRC
+    24471U,	// BRCL
+    12590747U,	// BRCT
+    12588273U,	// BRCTG
+    13635752U,	// C
+    13635653U,	// CDB
+    4201294U,	// CDBR
+    4201519U,	// CDFBR
+    4201564U,	// CDGBR
+    719330365U,	// CDLFBR
+    719330410U,	// CDLGBR
+    13635698U,	// CEB
+    4201413U,	// CEBR
+    4201526U,	// CEFBR
+    4201571U,	// CEGBR
+    719330373U,	// CELFBR
+    719330418U,	// CELGBR
+    14687073U,	// CFDBR
+    14687200U,	// CFEBR
+    15734664U,	// CFI
     0U,	// CFIMux
-    14687378U,	// CFXBR
-    13636705U,	// CG
-    14687064U,	// CGDBR
-    14687191U,	// CGEBR
-    13636537U,	// CGF
-    15734651U,	// CGFI
-    4201799U,	// CGFR
-    11541039U,	// CGFRL
-    13636908U,	// CGH
-    16783301U,	// CGHI
-    11541090U,	// CGHRL
-    337947U,	// CGHSI
-    421766U,	// CGIJ
-    4201882U,	// CGR
-    17985434U,	// CGRJ
-    11541064U,	// CGRL
-    14687393U,	// CGXBR
-    13636872U,	// CH
-    13636584U,	// CHF
-    337962U,	// CHHSI
-    16783290U,	// CHI
-    11541084U,	// CHRL
-    337933U,	// CHSI
-    13639386U,	// CHY
-    15734132U,	// CIH
-    421762U,	// CIJ
-    13637883U,	// CL
-    28828U,	// CLC
+    14687402U,	// CFXBR
+    13636729U,	// CG
+    14687088U,	// CGDBR
+    14687215U,	// CGEBR
+    13636561U,	// CGF
+    15734675U,	// CGFI
+    4201823U,	// CGFR
+    11541063U,	// CGFRL
+    13636932U,	// CGH
+    16783325U,	// CGHI
+    11541114U,	// CGHRL
+    337971U,	// CGHSI
+    421790U,	// CGIJ
+    4201906U,	// CGR
+    17985458U,	// CGRJ
+    11541088U,	// CGRL
+    14687417U,	// CGXBR
+    13636896U,	// CH
+    13636608U,	// CHF
+    337986U,	// CHHSI
+    16783314U,	// CHI
+    11541108U,	// CHRL
+    337957U,	// CHSI
+    13639410U,	// CHY
+    15734156U,	// CIH
+    421786U,	// CIJ
+    13637907U,	// CL
+    28852U,	// CLC
     0U,	// CLCLoop
     0U,	// CLCSequence
-    719330128U,	// CLFDBR
-    719330255U,	// CLFEBR
-    469011U,	// CLFHSI
-    18880419U,	// CLFI
+    719330152U,	// CLFDBR
+    719330279U,	// CLFEBR
+    469035U,	// CLFHSI
+    18880443U,	// CLFI
     0U,	// CLFIMux
-    719330457U,	// CLFXBR
-    13636749U,	// CLG
-    719330143U,	// CLGDBR
-    719330270U,	// CLGEBR
-    13636548U,	// CLGF
-    18880392U,	// CLGFI
-    4201812U,	// CLGFR
-    11541046U,	// CLGFRL
-    11541097U,	// CLGHRL
-    469026U,	// CLGHSI
-    552843U,	// CLGIJ
-    4201906U,	// CLGR
-    17985439U,	// CLGRJ
-    11541070U,	// CLGRL
-    719330472U,	// CLGXBR
-    13636620U,	// CLHF
-    469041U,	// CLHHSI
-    11541113U,	// CLHRL
-    600053U,	// CLI
-    18879865U,	// CLIH
-    552849U,	// CLIJ
-    601844U,	// CLIY
+    719330481U,	// CLFXBR
+    13636773U,	// CLG
+    719330167U,	// CLGDBR
+    719330294U,	// CLGEBR
+    13636572U,	// CLGF
+    18880416U,	// CLGFI
+    4201836U,	// CLGFR
+    11541070U,	// CLGFRL
+    11541121U,	// CLGHRL
+    469050U,	// CLGHSI
+    552867U,	// CLGIJ
+    4201930U,	// CLGR
+    17985463U,	// CLGRJ
+    11541094U,	// CLGRL
+    719330496U,	// CLGXBR
+    13636644U,	// CLHF
+    469065U,	// CLHHSI
+    11541137U,	// CLHRL
+    600077U,	// CLI
+    18879889U,	// CLIH
+    552873U,	// CLIJ
+    601868U,	// CLIY
     0U,	// CLMux
-    4202026U,	// CLR
-    17985445U,	// CLRJ
-    11541134U,	// CLRL
-    4202125U,	// CLST
+    4202050U,	// CLR
+    17985469U,	// CLRJ
+    11541158U,	// CLRL
+    4202149U,	// CLST
     0U,	// CLSTLoop
-    13639444U,	// CLY
+    13639468U,	// CLY
     0U,	// CMux
-    171973896U,	// CPSDRdd
-    171973896U,	// CPSDRds
-    171973896U,	// CPSDRsd
-    171973896U,	// CPSDRss
-    4201696U,	// CR
-    17985430U,	// CRJ
-    11541027U,	// CRL
-    839917179U,	// CS
-    839914698U,	// CSG
-    839917355U,	// CSY
-    4201598U,	// CXBR
-    4201533U,	// CXFBR
-    4201578U,	// CXGBR
-    719330357U,	// CXLFBR
-    719330402U,	// CXLGBR
-    13639349U,	// CY
+    171973920U,	// CPSDRdd
+    171973920U,	// CPSDRds
+    171973920U,	// CPSDRsd
+    171973920U,	// CPSDRss
+    4201720U,	// CR
+    17985454U,	// CRJ
+    11541051U,	// CRL
+    839917203U,	// CS
+    839914722U,	// CSG
+    839917379U,	// CSY
+    4201622U,	// CXBR
+    4201557U,	// CXFBR
+    4201602U,	// CXGBR
+    719330381U,	// CXLFBR
+    719330426U,	// CXLGBR
+    13639373U,	// CY
     0U,	// CallBASR
     0U,	// CallBR
     0U,	// CallBRASL
@@ -524,368 +525,371 @@
     0U,	// CondStoreF32Inv
     0U,	// CondStoreF64
     0U,	// CondStoreF64Inv
-    4146U,	// DDB
-    1055548U,	// DDBR
-    4192U,	// DEB
-    1055668U,	// DEBR
-    6412U,	// DL
-    5266U,	// DLG
-    1056184U,	// DLGR
-    1056303U,	// DLR
-    5327U,	// DSG
-    5078U,	// DSGF
-    1056119U,	// DSGFR
-    1056221U,	// DSGR
-    1055877U,	// DXBR
-    19929897U,	// EAR
-    14687079U,	// FIDBR
-    719327242U,	// FIDBRA
-    14687206U,	// FIEBR
-    719327250U,	// FIEBRA
-    14687408U,	// FIXBR
-    719327258U,	// FIXBRA
-    4201936U,	// FLOGR
-    4243U,	// IC
-    4243U,	// IC32
-    7860U,	// IC32Y
-    7860U,	// ICY
+    4170U,	// DDB
+    1055572U,	// DDBR
+    4216U,	// DEB
+    1055692U,	// DEBR
+    6436U,	// DL
+    5290U,	// DLG
+    1056208U,	// DLGR
+    1056327U,	// DLR
+    5351U,	// DSG
+    5102U,	// DSGF
+    1056143U,	// DSGFR
+    1056245U,	// DSGR
+    1055901U,	// DXBR
+    19929921U,	// EAR
+    14687103U,	// FIDBR
+    719327250U,	// FIDBRA
+    14687230U,	// FIEBR
+    719327258U,	// FIEBRA
+    14687432U,	// FIXBR
+    719327282U,	// FIXBRA
+    4201960U,	// FLOGR
+    4267U,	// IC
+    4267U,	// IC32
+    7884U,	// IC32Y
+    7884U,	// ICY
     0U,	// IIFMux
-    18879469U,	// IIHF
+    18879493U,	// IIHF
     0U,	// IIHF64
-    20976964U,	// IIHH
+    20976988U,	// IIHH
     0U,	// IIHH64
-    20977956U,	// IIHL
+    20977980U,	// IIHL
     0U,	// IIHL64
     0U,	// IIHMux
-    18879506U,	// IILF
+    18879530U,	// IILF
     0U,	// IILF64
-    20977117U,	// IILH
+    20977141U,	// IILH
     0U,	// IILH64
-    20978043U,	// IILL
+    20978067U,	// IILL
     0U,	// IILL64
     0U,	// IILMux
-    268966U,	// IPM
-    18500U,	// J
-    17530U,	// JG
-    13637878U,	// L
+    268990U,	// IPM
+    18524U,	// J
+    17554U,	// JG
+    13637902U,	// L
     0U,	// L128
     13635590U,	// LA
     977276929U,	// LAA
-    977278001U,	// LAAG
-    977279219U,	// LAAL
-    977278078U,	// LAALG
-    977279663U,	// LAN
-    977278142U,	// LANG
-    977279668U,	// LAO
-    977278148U,	// LAOG
-    11541019U,	// LARL
-    977280682U,	// LAX
-    977278199U,	// LAXG
-    13639343U,	// LAY
-    13635721U,	// LB
-    13636865U,	// LBH
+    977278025U,	// LAAG
+    977279243U,	// LAAL
+    977278102U,	// LAALG
+    977279687U,	// LAN
+    977278166U,	// LANG
+    977279692U,	// LAO
+    977278172U,	// LAOG
+    11541043U,	// LARL
+    977280706U,	// LAX
+    977278223U,	// LAXG
+    13639367U,	// LAY
+    13635745U,	// LB
+    13636889U,	// LBH
     0U,	// LBMux
-    4201586U,	// LBR
-    4201269U,	// LCDBR
-    4201388U,	// LCEBR
-    4201798U,	// LCGFR
-    4201881U,	// LCGR
-    4201708U,	// LCR
-    4201597U,	// LCXBR
-    13635789U,	// LD
-    13635679U,	// LDEB
-    4201395U,	// LDEBR
-    4201894U,	// LDGR
-    4201731U,	// LDR
-    4201604U,	// LDXBR
-    13639359U,	// LDY
-    13636143U,	// LE
-    4201282U,	// LEDBR
-    4201768U,	// LER
-    4201611U,	// LEXBR
-    13639370U,	// LEY
-    13636895U,	// LFH
-    13636737U,	// LG
-    13635715U,	// LGB
-    4201556U,	// LGBR
-    4201725U,	// LGDR
-    13636543U,	// LGF
-    15734658U,	// LGFI
-    4201806U,	// LGFR
-    11541047U,	// LGFRL
-    13636927U,	// LGH
-    16783307U,	// LGHI
-    4201985U,	// LGHR
-    11541098U,	// LGHRL
-    4201901U,	// LGR
-    11541071U,	// LGRL
-    13637050U,	// LH
-    13636958U,	// LHH
-    16783333U,	// LHI
+    4201610U,	// LBR
+    4201293U,	// LCDBR
+    4201412U,	// LCEBR
+    4201822U,	// LCGFR
+    4201905U,	// LCGR
+    4201732U,	// LCR
+    4201621U,	// LCXBR
+    13635813U,	// LD
+    13635703U,	// LDEB
+    4201419U,	// LDEBR
+    4201918U,	// LDGR
+    4201755U,	// LDR
+    4201628U,	// LDXBR
+    719327266U,	// LDXBRA
+    13639383U,	// LDY
+    13636167U,	// LE
+    4201306U,	// LEDBR
+    719327242U,	// LEDBRA
+    4201792U,	// LER
+    4201635U,	// LEXBR
+    719327274U,	// LEXBRA
+    13639394U,	// LEY
+    13636919U,	// LFH
+    13636761U,	// LG
+    13635739U,	// LGB
+    4201580U,	// LGBR
+    4201749U,	// LGDR
+    13636567U,	// LGF
+    15734682U,	// LGFI
+    4201830U,	// LGFR
+    11541071U,	// LGFRL
+    13636951U,	// LGH
+    16783331U,	// LGHI
+    4202009U,	// LGHR
+    11541122U,	// LGHRL
+    4201925U,	// LGR
+    11541095U,	// LGRL
+    13637074U,	// LH
+    13636982U,	// LHH
+    16783357U,	// LHI
     0U,	// LHIMux
     0U,	// LHMux
-    4201992U,	// LHR
-    11541114U,	// LHRL
-    13639391U,	// LHY
-    13635745U,	// LLC
-    13636870U,	// LLCH
+    4202016U,	// LHR
+    11541138U,	// LHRL
+    13639415U,	// LHY
+    13635769U,	// LLC
+    13636894U,	// LLCH
     0U,	// LLCMux
-    4201713U,	// LLCR
+    4201737U,	// LLCR
     0U,	// LLCRMux
-    13635725U,	// LLGC
-    4201700U,	// LLGCR
-    13636554U,	// LLGF
-    4201819U,	// LLGFR
-    11541054U,	// LLGFRL
-    13636926U,	// LLGH
-    4201984U,	// LLGHR
-    11541105U,	// LLGHRL
-    13637174U,	// LLH
-    13636957U,	// LLHH
+    13635749U,	// LLGC
+    4201724U,	// LLGCR
+    13636578U,	// LLGF
+    4201843U,	// LLGFR
+    11541078U,	// LLGFRL
+    13636950U,	// LLGH
+    4202008U,	// LLGHR
+    11541129U,	// LLGHRL
+    13637198U,	// LLH
+    13636981U,	// LLHH
     0U,	// LLHMux
-    4201997U,	// LLHR
-    11541120U,	// LLHRL
+    4202021U,	// LLHR
+    11541144U,	// LLHRL
     0U,	// LLHRMux
-    18879475U,	// LLIHF
-    22025546U,	// LLIHH
-    22026538U,	// LLIHL
-    18879512U,	// LLILF
-    22025699U,	// LLILH
-    22026625U,	// LLILL
-    977278131U,	// LMG
+    18879499U,	// LLIHF
+    22025570U,	// LLIHH
+    22026562U,	// LLIHL
+    18879536U,	// LLILF
+    22025723U,	// LLILH
+    22026649U,	// LLILL
+    977278155U,	// LMG
     0U,	// LMux
-    4201332U,	// LNDBR
-    4201453U,	// LNEBR
-    4201833U,	// LNGFR
-    4201930U,	// LNGR
-    4202047U,	// LNR
-    4201661U,	// LNXBR
-    36715U,	// LOC
-    36724U,	// LOCG
-    40879U,	// LOCGR
-    40874U,	// LOCR
-    4201339U,	// LPDBR
-    4201460U,	// LPEBR
-    4201840U,	// LPGFR
-    4201943U,	// LPGR
-    4202063U,	// LPR
-    4201668U,	// LPXBR
-    4202017U,	// LR
-    11541135U,	// LRL
+    4201356U,	// LNDBR
+    4201477U,	// LNEBR
+    4201857U,	// LNGFR
+    4201954U,	// LNGR
+    4202071U,	// LNR
+    4201685U,	// LNXBR
+    36739U,	// LOC
+    36748U,	// LOCG
+    40903U,	// LOCGR
+    40898U,	// LOCR
+    4201363U,	// LPDBR
+    4201484U,	// LPEBR
+    4201864U,	// LPGFR
+    4201967U,	// LPGR
+    4202087U,	// LPR
+    4201692U,	// LPXBR
+    4202041U,	// LR
+    11541159U,	// LRL
     0U,	// LRMux
-    13639327U,	// LRV
-    13636842U,	// LRVG
-    4201967U,	// LRVGR
-    4202084U,	// LRVR
-    13639305U,	// LT
-    4201360U,	// LTDBR
-    4201360U,	// LTDBRCompare
-    4201481U,	// LTEBR
-    4201481U,	// LTEBRCompare
-    13636832U,	// LTG
-    13636578U,	// LTGF
-    4201861U,	// LTGFR
-    4201961U,	// LTGR
-    4202079U,	// LTR
-    4201688U,	// LTXBR
-    4201688U,	// LTXBRCompare
+    13639351U,	// LRV
+    13636866U,	// LRVG
+    4201991U,	// LRVGR
+    4202108U,	// LRVR
+    13639329U,	// LT
+    4201384U,	// LTDBR
+    4201384U,	// LTDBRCompare
+    4201505U,	// LTEBR
+    4201505U,	// LTEBRCompare
+    13636856U,	// LTG
+    13636602U,	// LTGF
+    4201885U,	// LTGFR
+    4201985U,	// LTGR
+    4202103U,	// LTR
+    4201712U,	// LTXBR
+    4201712U,	// LTXBRCompare
     0U,	// LX
-    13635656U,	// LXDB
-    4201367U,	// LXDBR
-    13635709U,	// LXEB
-    4201488U,	// LXEBR
-    4202090U,	// LXR
-    13639440U,	// LY
-    269583U,	// LZDR
-    269626U,	// LZER
-    269935U,	// LZXR
-    1108348967U,	// MADB
-    1242569518U,	// MADBR
-    1108349012U,	// MAEB
-    1242569637U,	// MAEBR
-    4151U,	// MDB
-    1055598U,	// MDBR
-    4197U,	// MDEB
-    1055674U,	// MDEBR
-    4203U,	// MEEB
-    1055681U,	// MEEBR
-    3151825U,	// MGHI
-    5848U,	// MH
-    3151850U,	// MHI
-    7908U,	// MHY
-    5283U,	// MLG
-    1056190U,	// MLGR
-    7807U,	// MS
-    1108348994U,	// MSDB
-    1242569609U,	// MSDBR
-    1108349047U,	// MSEB
-    1242569730U,	// MSEBR
-    2103215U,	// MSFI
-    5332U,	// MSG
-    5084U,	// MSGF
-    2103190U,	// MSGFI
-    1056126U,	// MSGFR
-    1056227U,	// MSGR
-    1056346U,	// MSR
-    7984U,	// MSY
-    28863U,	// MVC
+    13635680U,	// LXDB
+    4201391U,	// LXDBR
+    13635733U,	// LXEB
+    4201512U,	// LXEBR
+    4202114U,	// LXR
+    13639464U,	// LY
+    269607U,	// LZDR
+    269650U,	// LZER
+    269959U,	// LZXR
+    1108348991U,	// MADB
+    1242569542U,	// MADBR
+    1108349036U,	// MAEB
+    1242569661U,	// MAEBR
+    4175U,	// MDB
+    1055622U,	// MDBR
+    4221U,	// MDEB
+    1055698U,	// MDEBR
+    4227U,	// MEEB
+    1055705U,	// MEEBR
+    3151849U,	// MGHI
+    5872U,	// MH
+    3151874U,	// MHI
+    7932U,	// MHY
+    5307U,	// MLG
+    1056214U,	// MLGR
+    7831U,	// MS
+    1108349018U,	// MSDB
+    1242569633U,	// MSDBR
+    1108349071U,	// MSEB
+    1242569754U,	// MSEBR
+    2103239U,	// MSFI
+    5356U,	// MSG
+    5108U,	// MSGF
+    2103214U,	// MSGFI
+    1056150U,	// MSGFR
+    1056251U,	// MSGR
+    1056370U,	// MSR
+    8008U,	// MSY
+    28887U,	// MVC
     0U,	// MVCLoop
     0U,	// MVCSequence
-    337879U,	// MVGHI
-    337886U,	// MVHHI
-    337903U,	// MVHI
-    600121U,	// MVI
-    601860U,	// MVIY
-    4202137U,	// MVST
+    337903U,	// MVGHI
+    337910U,	// MVHHI
+    337927U,	// MVHI
+    600145U,	// MVI
+    601884U,	// MVIY
+    4202161U,	// MVST
     0U,	// MVSTLoop
-    1055927U,	// MXBR
-    4174U,	// MXDB
-    1055646U,	// MXDBR
-    6833U,	// N
-    28838U,	// NC
+    1055951U,	// MXBR
+    4198U,	// MXDB
+    1055670U,	// MXDBR
+    6857U,	// N
+    28862U,	// NC
     0U,	// NCLoop
     0U,	// NCSequence
-    5312U,	// NG
-    1056203U,	// NGR
-    171972795U,	// NGRK
-    600058U,	// NI
+    5336U,	// NG
+    1056227U,	// NGR
+    171972819U,	// NGRK
+    600082U,	// NI
     0U,	// NIFMux
-    5247994U,	// NIHF
+    5248018U,	// NIHF
     0U,	// NIHF64
-    20976977U,	// NIHH
+    20977001U,	// NIHH
     0U,	// NIHH64
-    20977969U,	// NIHL
+    20977993U,	// NIHL
     0U,	// NIHL64
     0U,	// NIHMux
-    5248031U,	// NILF
+    5248055U,	// NILF
     0U,	// NILF64
-    20977130U,	// NILH
+    20977154U,	// NILH
     0U,	// NILH64
-    20978056U,	// NILL
+    20978080U,	// NILL
     0U,	// NILL64
     0U,	// NILMux
-    601850U,	// NIY
-    1056320U,	// NR
-    171972831U,	// NRK
-    7971U,	// NY
-    6838U,	// O
-    28843U,	// OC
+    601874U,	// NIY
+    1056344U,	// NR
+    171972855U,	// NRK
+    7995U,	// NY
+    6862U,	// O
+    28867U,	// OC
     0U,	// OCLoop
     0U,	// OCSequence
-    5318U,	// OG
-    1056210U,	// OGR
-    171972801U,	// OGRK
-    600062U,	// OI
+    5342U,	// OG
+    1056234U,	// OGR
+    171972825U,	// OGRK
+    600086U,	// OI
     0U,	// OIFMux
-    5248000U,	// OIHF
+    5248024U,	// OIHF
     0U,	// OIHF64
-    20976983U,	// OIHH
+    20977007U,	// OIHH
     0U,	// OIHH64
-    20977975U,	// OIHL
+    20977999U,	// OIHL
     0U,	// OIHL64
     0U,	// OIHMux
-    5248037U,	// OILF
+    5248061U,	// OILF
     0U,	// OILF64
-    20977136U,	// OILH
+    20977160U,	// OILH
     0U,	// OILH64
-    20978062U,	// OILL
+    20978086U,	// OILL
     0U,	// OILL64
     0U,	// OILMux
-    601855U,	// OIY
-    1056325U,	// OR
-    171972836U,	// ORK
-    7975U,	// OY
-    667848U,	// PFD
-    211496U,	// PFDRL
-    1376785475U,	// RISBG
-    1376785475U,	// RISBG32
-    1376785522U,	// RISBHG
+    601879U,	// OIY
+    1056349U,	// OR
+    171972860U,	// ORK
+    7999U,	// OY
+    667872U,	// PFD
+    211520U,	// PFDRL
+    1376785499U,	// RISBG
+    1376785499U,	// RISBG32
+    1376785546U,	// RISBHG
     0U,	// RISBHH
     0U,	// RISBHL
-    1376785541U,	// RISBLG
+    1376785565U,	// RISBLG
     0U,	// RISBLH
     0U,	// RISBLL
     0U,	// RISBMux
-    977279386U,	// RLL
-    977278103U,	// RLLG
-    1376785482U,	// RNSBG
-    1376785489U,	// ROSBG
-    1376785496U,	// RXSBG
+    977279410U,	// RLL
+    977278127U,	// RLLG
+    1376785506U,	// RNSBG
+    1376785513U,	// ROSBG
+    1376785520U,	// RXSBG
     0U,	// Return
-    7800U,	// S
-    4163U,	// SDB
-    1055626U,	// SDBR
-    4216U,	// SEB
-    1055747U,	// SEBR
-    5323U,	// SG
-    5079U,	// SGF
-    1056120U,	// SGFR
-    1056222U,	// SGR
-    171972807U,	// SGRK
-    5986U,	// SH
-    7913U,	// SHY
-    6818U,	// SL
-    4232U,	// SLB
-    5181U,	// SLBG
-    1055857U,	// SLBR
-    5248937U,	// SLFI
-    5294U,	// SLG
-    1056145U,	// SLGBR
-    5072U,	// SLGF
-    5248911U,	// SLGFI
-    1056098U,	// SLGFR
-    1056196U,	// SLGR
-    171972788U,	// SLGRK
-    9443743U,	// SLL
-    977278109U,	// SLLG
-    977279126U,	// SLLK
-    1056314U,	// SLR
-    171972825U,	// SLRK
-    7961U,	// SLY
-    13635644U,	// SQDB
-    4201346U,	// SQDBR
-    13635697U,	// SQEB
-    4201467U,	// SQEBR
-    4201675U,	// SQXBR
-    1056342U,	// SR
-    9441314U,	// SRA
-    977278007U,	// SRAG
-    977279090U,	// SRAK
-    171972841U,	// SRK
-    9443988U,	// SRL
-    977278120U,	// SRLG
-    977279132U,	// SRLK
-    4202131U,	// SRST
+    7824U,	// S
+    4187U,	// SDB
+    1055650U,	// SDBR
+    4240U,	// SEB
+    1055771U,	// SEBR
+    5347U,	// SG
+    5103U,	// SGF
+    1056144U,	// SGFR
+    1056246U,	// SGR
+    171972831U,	// SGRK
+    6010U,	// SH
+    7937U,	// SHY
+    6842U,	// SL
+    4256U,	// SLB
+    5205U,	// SLBG
+    1055881U,	// SLBR
+    5248961U,	// SLFI
+    5318U,	// SLG
+    1056169U,	// SLGBR
+    5096U,	// SLGF
+    5248935U,	// SLGFI
+    1056122U,	// SLGFR
+    1056220U,	// SLGR
+    171972812U,	// SLGRK
+    9443767U,	// SLL
+    977278133U,	// SLLG
+    977279150U,	// SLLK
+    1056338U,	// SLR
+    171972849U,	// SLRK
+    7985U,	// SLY
+    13635668U,	// SQDB
+    4201370U,	// SQDBR
+    13635721U,	// SQEB
+    4201491U,	// SQEBR
+    4201699U,	// SQXBR
+    1056366U,	// SR
+    9441338U,	// SRA
+    977278031U,	// SRAG
+    977279114U,	// SRAK
+    171972865U,	// SRK
+    9444012U,	// SRL
+    977278144U,	// SRLG
+    977279156U,	// SRLK
+    4202155U,	// SRST
     0U,	// SRSTLoop
-    13639311U,	// ST
+    13639335U,	// ST
     0U,	// ST128
-    13635770U,	// STC
-    13636889U,	// STCH
+    13635794U,	// STC
+    13636913U,	// STCH
     0U,	// STCMux
-    13639353U,	// STCY
-    13635793U,	// STD
-    13639364U,	// STDY
-    13636527U,	// STE
-    13639375U,	// STEY
-    13636900U,	// STFH
-    13636837U,	// STG
-    11541077U,	// STGRL
-    13637478U,	// STH
-    13636969U,	// STHH
+    13639377U,	// STCY
+    13635817U,	// STD
+    13639388U,	// STDY
+    13636551U,	// STE
+    13639399U,	// STEY
+    13636924U,	// STFH
+    13636861U,	// STG
+    11541101U,	// STGRL
+    13637502U,	// STH
+    13636993U,	// STHH
     0U,	// STHMux
-    11541127U,	// STHRL
-    13639406U,	// STHY
-    977278136U,	// STMG
+    11541151U,	// STHRL
+    13639430U,	// STHY
+    977278160U,	// STMG
     0U,	// STMux
-    44911U,	// STOC
-    44921U,	// STOCG
-    11541145U,	// STRL
-    13639332U,	// STRV
-    13636848U,	// STRVG
+    44935U,	// STOC
+    44945U,	// STOCG
+    11541169U,	// STRL
+    13639356U,	// STRV
+    13636872U,	// STRVG
     0U,	// STX
-    13639477U,	// STY
-    1055954U,	// SXBR
-    7980U,	// SY
+    13639501U,	// STY
+    1055978U,	// SXBR
+    8004U,	// SY
     0U,	// Select32
     0U,	// Select32Mux
     0U,	// Select64
@@ -893,35 +897,35 @@
     0U,	// SelectF32
     0U,	// SelectF64
     0U,	// Serialize
-    600747U,	// TM
-    22025571U,	// TMHH
+    600771U,	// TM
+    22025595U,	// TMHH
     0U,	// TMHH64
-    22026557U,	// TMHL
+    22026581U,	// TMHL
     0U,	// TMHL64
     0U,	// TMHMux
-    22025787U,	// TMLH
+    22025811U,	// TMLH
     0U,	// TMLH64
-    22026644U,	// TMLL
+    22026668U,	// TMLL
     0U,	// TMLL64
     0U,	// TMLMux
-    601886U,	// TMY
-    7852U,	// X
-    28868U,	// XC
+    601910U,	// TMY
+    7876U,	// X
+    28892U,	// XC
     0U,	// XCLoop
     0U,	// XCSequence
-    5369U,	// XG
-    1056246U,	// XGR
-    171972813U,	// XGRK
-    600126U,	// XI
+    5393U,	// XG
+    1056270U,	// XGR
+    171972837U,	// XGRK
+    600150U,	// XI
     0U,	// XIFMux
-    5248006U,	// XIHF
+    5248030U,	// XIHF
     0U,	// XIHF64
-    5248043U,	// XILF
+    5248067U,	// XILF
     0U,	// XILF64
-    601866U,	// XIY
-    1056363U,	// XR
-    171972846U,	// XRK
-    7994U,	// XY
+    601890U,	// XIY
+    1056387U,	// XR
+    171972870U,	// XRK
+    8018U,	// XY
     0U,	// ZEXT128_32
     0U,	// ZEXT128_64
     0U
@@ -931,610 +935,613 @@
   static char AsmStrs[] = {
   /* 0 */ 'l', 'a', 'a', 9, 0,
   /* 5 */ 'l', 'a', 9, 0,
-  /* 9 */ 'f', 'i', 'd', 'b', 'r', 'a', 9, 0,
-  /* 17 */ 'f', 'i', 'e', 'b', 'r', 'a', 9, 0,
-  /* 25 */ 'f', 'i', 'x', 'b', 'r', 'a', 9, 0,
-  /* 33 */ 's', 'r', 'a', 9, 0,
-  /* 38 */ 'm', 'a', 'd', 'b', 9, 0,
-  /* 44 */ 'c', 'd', 'b', 9, 0,
-  /* 49 */ 'd', 'd', 'b', 9, 0,
-  /* 54 */ 'm', 'd', 'b', 9, 0,
-  /* 59 */ 's', 'q', 'd', 'b', 9, 0,
-  /* 65 */ 'm', 's', 'd', 'b', 9, 0,
-  /* 71 */ 'l', 'x', 'd', 'b', 9, 0,
-  /* 77 */ 'm', 'x', 'd', 'b', 9, 0,
-  /* 83 */ 'm', 'a', 'e', 'b', 9, 0,
-  /* 89 */ 'c', 'e', 'b', 9, 0,
-  /* 94 */ 'l', 'd', 'e', 'b', 9, 0,
-  /* 100 */ 'm', 'd', 'e', 'b', 9, 0,
-  /* 106 */ 'm', 'e', 'e', 'b', 9, 0,
-  /* 112 */ 's', 'q', 'e', 'b', 9, 0,
-  /* 118 */ 'm', 's', 'e', 'b', 9, 0,
-  /* 124 */ 'l', 'x', 'e', 'b', 9, 0,
-  /* 130 */ 'l', 'g', 'b', 9, 0,
-  /* 135 */ 's', 'l', 'b', 9, 0,
-  /* 140 */ 'l', 'l', 'g', 'c', 9, 0,
-  /* 146 */ 'i', 'c', 9, 0,
-  /* 150 */ 'a', 'l', 'c', 9, 0,
-  /* 155 */ 'c', 'l', 'c', 9, 0,
-  /* 160 */ 'l', 'l', 'c', 9, 0,
-  /* 165 */ 'n', 'c', 9, 0,
-  /* 169 */ 'l', 'o', 'c', 9, 0,
-  /* 174 */ 's', 't', 'o', 'c', 9, 0,
-  /* 180 */ 'b', 'r', 'c', 9, 0,
-  /* 185 */ 's', 't', 'c', 9, 0,
-  /* 190 */ 'm', 'v', 'c', 9, 0,
-  /* 195 */ 'x', 'c', 9, 0,
-  /* 199 */ 'p', 'f', 'd', 9, 0,
-  /* 204 */ 'l', 'd', 9, 0,
-  /* 208 */ 's', 't', 'd', 9, 0,
-  /* 213 */ 'l', 'o', 'c', 'e', 9, 0,
-  /* 219 */ 's', 't', 'o', 'c', 'e', 9, 0,
-  /* 226 */ 'l', 'o', 'c', 'g', 'e', 9, 0,
-  /* 233 */ 's', 't', 'o', 'c', 'g', 'e', 9, 0,
-  /* 241 */ 'j', 'g', 'e', 9, 0,
-  /* 246 */ 'l', 'o', 'c', 'h', 'e', 9, 0,
-  /* 253 */ 's', 't', 'o', 'c', 'h', 'e', 9, 0,
-  /* 261 */ 'l', 'o', 'c', 'g', 'h', 'e', 9, 0,
-  /* 269 */ 's', 't', 'o', 'c', 'g', 'h', 'e', 9, 0,
-  /* 278 */ 'j', 'g', 'h', 'e', 9, 0,
-  /* 284 */ 'c', 'i', 'j', 'h', 'e', 9, 0,
-  /* 291 */ 'c', 'g', 'i', 'j', 'h', 'e', 9, 0,
-  /* 299 */ 'c', 'l', 'g', 'i', 'j', 'h', 'e', 9, 0,
-  /* 308 */ 'c', 'l', 'i', 'j', 'h', 'e', 9, 0,
-  /* 316 */ 'c', 'r', 'j', 'h', 'e', 9, 0,
-  /* 323 */ 'c', 'g', 'r', 'j', 'h', 'e', 9, 0,
-  /* 331 */ 'c', 'l', 'g', 'r', 'j', 'h', 'e', 9, 0,
-  /* 340 */ 'c', 'l', 'r', 'j', 'h', 'e', 9, 0,
-  /* 348 */ 'l', 'o', 'c', 'n', 'h', 'e', 9, 0,
-  /* 356 */ 's', 't', 'o', 'c', 'n', 'h', 'e', 9, 0,
-  /* 365 */ 'l', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
-  /* 374 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
-  /* 384 */ 'j', 'g', 'n', 'h', 'e', 9, 0,
-  /* 391 */ 'c', 'i', 'j', 'n', 'h', 'e', 9, 0,
-  /* 399 */ 'c', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
-  /* 408 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
-  /* 418 */ 'c', 'l', 'i', 'j', 'n', 'h', 'e', 9, 0,
-  /* 427 */ 'c', 'r', 'j', 'n', 'h', 'e', 9, 0,
-  /* 435 */ 'c', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
-  /* 444 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
-  /* 454 */ 'c', 'l', 'r', 'j', 'n', 'h', 'e', 9, 0,
-  /* 463 */ 'l', 'o', 'c', 'r', 'n', 'h', 'e', 9, 0,
-  /* 472 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 'e', 9, 0,
-  /* 482 */ 'l', 'o', 'c', 'r', 'h', 'e', 9, 0,
-  /* 490 */ 'l', 'o', 'c', 'g', 'r', 'h', 'e', 9, 0,
-  /* 499 */ 'c', 'i', 'j', 'e', 9, 0,
-  /* 505 */ 'c', 'g', 'i', 'j', 'e', 9, 0,
-  /* 512 */ 'c', 'l', 'g', 'i', 'j', 'e', 9, 0,
-  /* 520 */ 'c', 'l', 'i', 'j', 'e', 9, 0,
-  /* 527 */ 'c', 'r', 'j', 'e', 9, 0,
-  /* 533 */ 'c', 'g', 'r', 'j', 'e', 9, 0,
-  /* 540 */ 'c', 'l', 'g', 'r', 'j', 'e', 9, 0,
-  /* 548 */ 'c', 'l', 'r', 'j', 'e', 9, 0,
-  /* 555 */ 'l', 'o', 'c', 'l', 'e', 9, 0,
-  /* 562 */ 's', 't', 'o', 'c', 'l', 'e', 9, 0,
-  /* 570 */ 'l', 'o', 'c', 'g', 'l', 'e', 9, 0,
-  /* 578 */ 's', 't', 'o', 'c', 'g', 'l', 'e', 9, 0,
-  /* 587 */ 'j', 'g', 'l', 'e', 9, 0,
-  /* 593 */ 'c', 'i', 'j', 'l', 'e', 9, 0,
-  /* 600 */ 'c', 'g', 'i', 'j', 'l', 'e', 9, 0,
-  /* 608 */ 'c', 'l', 'g', 'i', 'j', 'l', 'e', 9, 0,
-  /* 617 */ 'c', 'l', 'i', 'j', 'l', 'e', 9, 0,
-  /* 625 */ 'c', 'r', 'j', 'l', 'e', 9, 0,
-  /* 632 */ 'c', 'g', 'r', 'j', 'l', 'e', 9, 0,
-  /* 640 */ 'c', 'l', 'g', 'r', 'j', 'l', 'e', 9, 0,
-  /* 649 */ 'c', 'l', 'r', 'j', 'l', 'e', 9, 0,
-  /* 657 */ 'l', 'o', 'c', 'n', 'l', 'e', 9, 0,
-  /* 665 */ 's', 't', 'o', 'c', 'n', 'l', 'e', 9, 0,
-  /* 674 */ 'l', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
-  /* 683 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
-  /* 693 */ 'j', 'g', 'n', 'l', 'e', 9, 0,
-  /* 700 */ 'c', 'i', 'j', 'n', 'l', 'e', 9, 0,
-  /* 708 */ 'c', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
-  /* 717 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
-  /* 727 */ 'c', 'l', 'i', 'j', 'n', 'l', 'e', 9, 0,
-  /* 736 */ 'c', 'r', 'j', 'n', 'l', 'e', 9, 0,
-  /* 744 */ 'c', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
-  /* 753 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
-  /* 763 */ 'c', 'l', 'r', 'j', 'n', 'l', 'e', 9, 0,
-  /* 772 */ 'l', 'o', 'c', 'r', 'n', 'l', 'e', 9, 0,
-  /* 781 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'e', 9, 0,
-  /* 791 */ 'l', 'o', 'c', 'r', 'l', 'e', 9, 0,
-  /* 799 */ 'l', 'o', 'c', 'g', 'r', 'l', 'e', 9, 0,
-  /* 808 */ 'l', 'o', 'c', 'n', 'e', 9, 0,
-  /* 815 */ 's', 't', 'o', 'c', 'n', 'e', 9, 0,
-  /* 823 */ 'l', 'o', 'c', 'g', 'n', 'e', 9, 0,
-  /* 831 */ 's', 't', 'o', 'c', 'g', 'n', 'e', 9, 0,
-  /* 840 */ 'j', 'g', 'n', 'e', 9, 0,
-  /* 846 */ 'c', 'i', 'j', 'n', 'e', 9, 0,
-  /* 853 */ 'c', 'g', 'i', 'j', 'n', 'e', 9, 0,
-  /* 861 */ 'c', 'l', 'g', 'i', 'j', 'n', 'e', 9, 0,
-  /* 870 */ 'c', 'l', 'i', 'j', 'n', 'e', 9, 0,
-  /* 878 */ 'c', 'r', 'j', 'n', 'e', 9, 0,
-  /* 885 */ 'c', 'g', 'r', 'j', 'n', 'e', 9, 0,
-  /* 893 */ 'c', 'l', 'g', 'r', 'j', 'n', 'e', 9, 0,
-  /* 902 */ 'c', 'l', 'r', 'j', 'n', 'e', 9, 0,
-  /* 910 */ 'l', 'o', 'c', 'r', 'n', 'e', 9, 0,
-  /* 918 */ 'l', 'o', 'c', 'g', 'r', 'n', 'e', 9, 0,
-  /* 927 */ 'l', 'o', 'c', 'r', 'e', 9, 0,
-  /* 934 */ 'l', 'o', 'c', 'g', 'r', 'e', 9, 0,
-  /* 942 */ 's', 't', 'e', 9, 0,
-  /* 947 */ 'a', 'g', 'f', 9, 0,
-  /* 952 */ 'c', 'g', 'f', 9, 0,
-  /* 957 */ 'a', 'l', 'g', 'f', 9, 0,
-  /* 963 */ 'c', 'l', 'g', 'f', 9, 0,
-  /* 969 */ 'l', 'l', 'g', 'f', 9, 0,
-  /* 975 */ 's', 'l', 'g', 'f', 9, 0,
-  /* 981 */ 'd', 's', 'g', 'f', 9, 0,
-  /* 987 */ 'm', 's', 'g', 'f', 9, 0,
-  /* 993 */ 'l', 't', 'g', 'f', 9, 0,
-  /* 999 */ 'c', 'h', 'f', 9, 0,
-  /* 1004 */ 'i', 'i', 'h', 'f', 9, 0,
-  /* 1010 */ 'l', 'l', 'i', 'h', 'f', 9, 0,
-  /* 1017 */ 'n', 'i', 'h', 'f', 9, 0,
-  /* 1023 */ 'o', 'i', 'h', 'f', 9, 0,
-  /* 1029 */ 'x', 'i', 'h', 'f', 9, 0,
-  /* 1035 */ 'c', 'l', 'h', 'f', 9, 0,
-  /* 1041 */ 'i', 'i', 'l', 'f', 9, 0,
-  /* 1047 */ 'l', 'l', 'i', 'l', 'f', 9, 0,
-  /* 1054 */ 'n', 'i', 'l', 'f', 9, 0,
-  /* 1060 */ 'o', 'i', 'l', 'f', 9, 0,
-  /* 1066 */ 'x', 'i', 'l', 'f', 9, 0,
-  /* 1072 */ 'l', 'a', 'a', 'g', 9, 0,
-  /* 1078 */ 's', 'r', 'a', 'g', 9, 0,
-  /* 1084 */ 's', 'l', 'b', 'g', 9, 0,
-  /* 1090 */ 'r', 'i', 's', 'b', 'g', 9, 0,
-  /* 1097 */ 'r', 'n', 's', 'b', 'g', 9, 0,
-  /* 1104 */ 'r', 'o', 's', 'b', 'g', 9, 0,
-  /* 1111 */ 'r', 'x', 's', 'b', 'g', 9, 0,
-  /* 1118 */ 'a', 'l', 'c', 'g', 9, 0,
-  /* 1124 */ 'l', 'o', 'c', 'g', 9, 0,
-  /* 1130 */ 's', 't', 'o', 'c', 'g', 9, 0,
-  /* 1137 */ 'r', 'i', 's', 'b', 'h', 'g', 9, 0,
-  /* 1145 */ 'j', 'g', 9, 0,
-  /* 1149 */ 'l', 'a', 'a', 'l', 'g', 9, 0,
-  /* 1156 */ 'r', 'i', 's', 'b', 'l', 'g', 9, 0,
-  /* 1164 */ 'c', 'l', 'g', 9, 0,
-  /* 1169 */ 'd', 'l', 'g', 9, 0,
-  /* 1174 */ 'r', 'l', 'l', 'g', 9, 0,
-  /* 1180 */ 's', 'l', 'l', 'g', 9, 0,
-  /* 1186 */ 'm', 'l', 'g', 9, 0,
-  /* 1191 */ 's', 'r', 'l', 'g', 9, 0,
-  /* 1197 */ 's', 'l', 'g', 9, 0,
-  /* 1202 */ 'l', 'm', 'g', 9, 0,
-  /* 1207 */ 's', 't', 'm', 'g', 9, 0,
-  /* 1213 */ 'l', 'a', 'n', 'g', 9, 0,
-  /* 1219 */ 'l', 'a', 'o', 'g', 9, 0,
-  /* 1225 */ 'c', 's', 'g', 9, 0,
-  /* 1230 */ 'd', 's', 'g', 9, 0,
-  /* 1235 */ 'm', 's', 'g', 9, 0,
-  /* 1240 */ 'b', 'r', 'c', 't', 'g', 9, 0,
-  /* 1247 */ 'l', 't', 'g', 9, 0,
-  /* 1252 */ 's', 't', 'g', 9, 0,
-  /* 1257 */ 'l', 'r', 'v', 'g', 9, 0,
-  /* 1263 */ 's', 't', 'r', 'v', 'g', 9, 0,
-  /* 1270 */ 'l', 'a', 'x', 'g', 9, 0,
-  /* 1276 */ 'a', 'h', 9, 0,
-  /* 1280 */ 'l', 'b', 'h', 9, 0,
-  /* 1285 */ 'l', 'l', 'c', 'h', 9, 0,
-  /* 1291 */ 'l', 'o', 'c', 'h', 9, 0,
-  /* 1297 */ 's', 't', 'o', 'c', 'h', 9, 0,
-  /* 1304 */ 's', 't', 'c', 'h', 9, 0,
-  /* 1310 */ 'l', 'f', 'h', 9, 0,
-  /* 1315 */ 's', 't', 'f', 'h', 9, 0,
-  /* 1321 */ 'l', 'o', 'c', 'g', 'h', 9, 0,
-  /* 1328 */ 's', 't', 'o', 'c', 'g', 'h', 9, 0,
-  /* 1336 */ 'j', 'g', 'h', 9, 0,
-  /* 1341 */ 'l', 'l', 'g', 'h', 9, 0,
-  /* 1347 */ 'i', 'i', 'h', 'h', 9, 0,
-  /* 1353 */ 'l', 'l', 'i', 'h', 'h', 9, 0,
-  /* 1360 */ 'n', 'i', 'h', 'h', 9, 0,
-  /* 1366 */ 'o', 'i', 'h', 'h', 9, 0,
-  /* 1372 */ 'l', 'l', 'h', 'h', 9, 0,
-  /* 1378 */ 't', 'm', 'h', 'h', 9, 0,
-  /* 1384 */ 's', 't', 'h', 'h', 9, 0,
-  /* 1390 */ 'a', 'i', 'h', 9, 0,
-  /* 1395 */ 'c', 'i', 'h', 9, 0,
-  /* 1400 */ 'c', 'l', 'i', 'h', 9, 0,
-  /* 1406 */ 'c', 'i', 'j', 'h', 9, 0,
-  /* 1412 */ 'c', 'g', 'i', 'j', 'h', 9, 0,
-  /* 1419 */ 'c', 'l', 'g', 'i', 'j', 'h', 9, 0,
-  /* 1427 */ 'c', 'l', 'i', 'j', 'h', 9, 0,
-  /* 1434 */ 'c', 'r', 'j', 'h', 9, 0,
-  /* 1440 */ 'c', 'g', 'r', 'j', 'h', 9, 0,
-  /* 1447 */ 'c', 'l', 'g', 'r', 'j', 'h', 9, 0,
-  /* 1455 */ 'c', 'l', 'r', 'j', 'h', 9, 0,
-  /* 1462 */ 'l', 'o', 'c', 'l', 'h', 9, 0,
-  /* 1469 */ 's', 't', 'o', 'c', 'l', 'h', 9, 0,
-  /* 1477 */ 'l', 'o', 'c', 'g', 'l', 'h', 9, 0,
-  /* 1485 */ 's', 't', 'o', 'c', 'g', 'l', 'h', 9, 0,
-  /* 1494 */ 'j', 'g', 'l', 'h', 9, 0,
-  /* 1500 */ 'i', 'i', 'l', 'h', 9, 0,
-  /* 1506 */ 'l', 'l', 'i', 'l', 'h', 9, 0,
-  /* 1513 */ 'n', 'i', 'l', 'h', 9, 0,
-  /* 1519 */ 'o', 'i', 'l', 'h', 9, 0,
-  /* 1525 */ 'c', 'i', 'j', 'l', 'h', 9, 0,
-  /* 1532 */ 'c', 'g', 'i', 'j', 'l', 'h', 9, 0,
-  /* 1540 */ 'c', 'l', 'g', 'i', 'j', 'l', 'h', 9, 0,
-  /* 1549 */ 'c', 'l', 'i', 'j', 'l', 'h', 9, 0,
-  /* 1557 */ 'c', 'r', 'j', 'l', 'h', 9, 0,
-  /* 1564 */ 'c', 'g', 'r', 'j', 'l', 'h', 9, 0,
-  /* 1572 */ 'c', 'l', 'g', 'r', 'j', 'l', 'h', 9, 0,
-  /* 1581 */ 'c', 'l', 'r', 'j', 'l', 'h', 9, 0,
-  /* 1589 */ 'l', 'l', 'h', 9, 0,
-  /* 1594 */ 't', 'm', 'l', 'h', 9, 0,
-  /* 1600 */ 'l', 'o', 'c', 'n', 'l', 'h', 9, 0,
-  /* 1608 */ 's', 't', 'o', 'c', 'n', 'l', 'h', 9, 0,
-  /* 1617 */ 'l', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
-  /* 1626 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
-  /* 1636 */ 'j', 'g', 'n', 'l', 'h', 9, 0,
-  /* 1643 */ 'c', 'i', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1651 */ 'c', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1660 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1670 */ 'c', 'l', 'i', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1679 */ 'c', 'r', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1687 */ 'c', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1696 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1706 */ 'c', 'l', 'r', 'j', 'n', 'l', 'h', 9, 0,
-  /* 1715 */ 'l', 'o', 'c', 'r', 'n', 'l', 'h', 9, 0,
-  /* 1724 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'h', 9, 0,
-  /* 1734 */ 'l', 'o', 'c', 'r', 'l', 'h', 9, 0,
-  /* 1742 */ 'l', 'o', 'c', 'g', 'r', 'l', 'h', 9, 0,
-  /* 1751 */ 'm', 'h', 9, 0,
-  /* 1755 */ 'l', 'o', 'c', 'n', 'h', 9, 0,
-  /* 1762 */ 's', 't', 'o', 'c', 'n', 'h', 9, 0,
-  /* 1770 */ 'l', 'o', 'c', 'g', 'n', 'h', 9, 0,
-  /* 1778 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 9, 0,
-  /* 1787 */ 'j', 'g', 'n', 'h', 9, 0,
-  /* 1793 */ 'c', 'i', 'j', 'n', 'h', 9, 0,
-  /* 1800 */ 'c', 'g', 'i', 'j', 'n', 'h', 9, 0,
-  /* 1808 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 9, 0,
-  /* 1817 */ 'c', 'l', 'i', 'j', 'n', 'h', 9, 0,
-  /* 1825 */ 'c', 'r', 'j', 'n', 'h', 9, 0,
-  /* 1832 */ 'c', 'g', 'r', 'j', 'n', 'h', 9, 0,
-  /* 1840 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 9, 0,
-  /* 1849 */ 'c', 'l', 'r', 'j', 'n', 'h', 9, 0,
-  /* 1857 */ 'l', 'o', 'c', 'r', 'n', 'h', 9, 0,
-  /* 1865 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 9, 0,
-  /* 1874 */ 'l', 'o', 'c', 'r', 'h', 9, 0,
-  /* 1881 */ 'l', 'o', 'c', 'g', 'r', 'h', 9, 0,
-  /* 1889 */ 's', 'h', 9, 0,
-  /* 1893 */ 's', 't', 'h', 9, 0,
-  /* 1898 */ 'a', 'f', 'i', 9, 0,
-  /* 1903 */ 'c', 'f', 'i', 9, 0,
-  /* 1908 */ 'a', 'g', 'f', 'i', 9, 0,
-  /* 1914 */ 'c', 'g', 'f', 'i', 9, 0,
-  /* 1920 */ 'a', 'l', 'g', 'f', 'i', 9, 0,
-  /* 1927 */ 'c', 'l', 'g', 'f', 'i', 9, 0,
-  /* 1934 */ 's', 'l', 'g', 'f', 'i', 9, 0,
-  /* 1941 */ 'm', 's', 'g', 'f', 'i', 9, 0,
-  /* 1948 */ 'a', 'l', 'f', 'i', 9, 0,
-  /* 1954 */ 'c', 'l', 'f', 'i', 9, 0,
-  /* 1960 */ 's', 'l', 'f', 'i', 9, 0,
-  /* 1966 */ 'm', 's', 'f', 'i', 9, 0,
-  /* 1972 */ 'a', 'h', 'i', 9, 0,
-  /* 1977 */ 'c', 'h', 'i', 9, 0,
-  /* 1982 */ 'a', 'g', 'h', 'i', 9, 0,
-  /* 1988 */ 'c', 'g', 'h', 'i', 9, 0,
-  /* 1994 */ 'l', 'g', 'h', 'i', 9, 0,
-  /* 2000 */ 'm', 'g', 'h', 'i', 9, 0,
-  /* 2006 */ 'm', 'v', 'g', 'h', 'i', 9, 0,
-  /* 2013 */ 'm', 'v', 'h', 'h', 'i', 9, 0,
-  /* 2020 */ 'l', 'h', 'i', 9, 0,
-  /* 2025 */ 'm', 'h', 'i', 9, 0,
-  /* 2030 */ 'm', 'v', 'h', 'i', 9, 0,
-  /* 2036 */ 'c', 'l', 'i', 9, 0,
-  /* 2041 */ 'n', 'i', 9, 0,
-  /* 2045 */ 'o', 'i', 9, 0,
-  /* 2049 */ 'a', 's', 'i', 9, 0,
-  /* 2054 */ 'a', 'g', 's', 'i', 9, 0,
-  /* 2060 */ 'c', 'h', 's', 'i', 9, 0,
-  /* 2066 */ 'c', 'l', 'f', 'h', 's', 'i', 9, 0,
-  /* 2074 */ 'c', 'g', 'h', 's', 'i', 9, 0,
-  /* 2081 */ 'c', 'l', 'g', 'h', 's', 'i', 9, 0,
-  /* 2089 */ 'c', 'h', 'h', 's', 'i', 9, 0,
-  /* 2096 */ 'c', 'l', 'h', 'h', 's', 'i', 9, 0,
-  /* 2104 */ 'm', 'v', 'i', 9, 0,
-  /* 2109 */ 'x', 'i', 9, 0,
-  /* 2113 */ 'c', 'i', 'j', 9, 0,
-  /* 2118 */ 'c', 'g', 'i', 'j', 9, 0,
-  /* 2124 */ 'c', 'l', 'g', 'i', 'j', 9, 0,
-  /* 2131 */ 'c', 'l', 'i', 'j', 9, 0,
-  /* 2137 */ 'c', 'r', 'j', 9, 0,
-  /* 2142 */ 'c', 'g', 'r', 'j', 9, 0,
-  /* 2148 */ 'c', 'l', 'g', 'r', 'j', 9, 0,
-  /* 2155 */ 'c', 'l', 'r', 'j', 9, 0,
-  /* 2161 */ 's', 'r', 'a', 'k', 9, 0,
-  /* 2167 */ 'a', 'h', 'i', 'k', 9, 0,
-  /* 2173 */ 'a', 'g', 'h', 'i', 'k', 9, 0,
-  /* 2180 */ 'a', 'l', 'g', 'h', 's', 'i', 'k', 9, 0,
-  /* 2189 */ 'a', 'l', 'h', 's', 'i', 'k', 9, 0,
-  /* 2197 */ 's', 'l', 'l', 'k', 9, 0,
-  /* 2203 */ 's', 'r', 'l', 'k', 9, 0,
-  /* 2209 */ 'a', 'r', 'k', 9, 0,
-  /* 2214 */ 'a', 'g', 'r', 'k', 9, 0,
-  /* 2220 */ 'a', 'l', 'g', 'r', 'k', 9, 0,
-  /* 2227 */ 's', 'l', 'g', 'r', 'k', 9, 0,
-  /* 2234 */ 'n', 'g', 'r', 'k', 9, 0,
-  /* 2240 */ 'o', 'g', 'r', 'k', 9, 0,
-  /* 2246 */ 's', 'g', 'r', 'k', 9, 0,
-  /* 2252 */ 'x', 'g', 'r', 'k', 9, 0,
-  /* 2258 */ 'a', 'l', 'r', 'k', 9, 0,
-  /* 2264 */ 's', 'l', 'r', 'k', 9, 0,
-  /* 2270 */ 'n', 'r', 'k', 9, 0,
-  /* 2275 */ 'o', 'r', 'k', 9, 0,
-  /* 2280 */ 's', 'r', 'k', 9, 0,
-  /* 2285 */ 'x', 'r', 'k', 9, 0,
-  /* 2290 */ 'l', 'a', 'a', 'l', 9, 0,
-  /* 2296 */ 'l', 'o', 'c', 'l', 9, 0,
-  /* 2302 */ 's', 't', 'o', 'c', 'l', 9, 0,
-  /* 2309 */ 'b', 'r', 'c', 'l', 9, 0,
-  /* 2315 */ 'd', 'l', 9, 0,
-  /* 2319 */ 'l', 'o', 'c', 'g', 'l', 9, 0,
-  /* 2326 */ 's', 't', 'o', 'c', 'g', 'l', 9, 0,
-  /* 2334 */ 'j', 'g', 'l', 9, 0,
-  /* 2339 */ 'i', 'i', 'h', 'l', 9, 0,
-  /* 2345 */ 'l', 'l', 'i', 'h', 'l', 9, 0,
-  /* 2352 */ 'n', 'i', 'h', 'l', 9, 0,
-  /* 2358 */ 'o', 'i', 'h', 'l', 9, 0,
-  /* 2364 */ 't', 'm', 'h', 'l', 9, 0,
-  /* 2370 */ 'c', 'i', 'j', 'l', 9, 0,
-  /* 2376 */ 'c', 'g', 'i', 'j', 'l', 9, 0,
-  /* 2383 */ 'c', 'l', 'g', 'i', 'j', 'l', 9, 0,
-  /* 2391 */ 'c', 'l', 'i', 'j', 'l', 9, 0,
-  /* 2398 */ 'c', 'r', 'j', 'l', 9, 0,
-  /* 2404 */ 'c', 'g', 'r', 'j', 'l', 9, 0,
-  /* 2411 */ 'c', 'l', 'g', 'r', 'j', 'l', 9, 0,
-  /* 2419 */ 'c', 'l', 'r', 'j', 'l', 9, 0,
-  /* 2426 */ 'i', 'i', 'l', 'l', 9, 0,
-  /* 2432 */ 'l', 'l', 'i', 'l', 'l', 9, 0,
-  /* 2439 */ 'n', 'i', 'l', 'l', 9, 0,
-  /* 2445 */ 'o', 'i', 'l', 'l', 9, 0,
-  /* 2451 */ 't', 'm', 'l', 'l', 9, 0,
-  /* 2457 */ 'r', 'l', 'l', 9, 0,
-  /* 2462 */ 's', 'l', 'l', 9, 0,
-  /* 2467 */ 'l', 'o', 'c', 'n', 'l', 9, 0,
-  /* 2474 */ 's', 't', 'o', 'c', 'n', 'l', 9, 0,
-  /* 2482 */ 'l', 'o', 'c', 'g', 'n', 'l', 9, 0,
-  /* 2490 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 9, 0,
-  /* 2499 */ 'j', 'g', 'n', 'l', 9, 0,
-  /* 2505 */ 'c', 'i', 'j', 'n', 'l', 9, 0,
-  /* 2512 */ 'c', 'g', 'i', 'j', 'n', 'l', 9, 0,
-  /* 2520 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 9, 0,
-  /* 2529 */ 'c', 'l', 'i', 'j', 'n', 'l', 9, 0,
-  /* 2537 */ 'c', 'r', 'j', 'n', 'l', 9, 0,
-  /* 2544 */ 'c', 'g', 'r', 'j', 'n', 'l', 9, 0,
-  /* 2552 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 9, 0,
-  /* 2561 */ 'c', 'l', 'r', 'j', 'n', 'l', 9, 0,
-  /* 2569 */ 'l', 'o', 'c', 'r', 'n', 'l', 9, 0,
-  /* 2577 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 9, 0,
-  /* 2586 */ 'l', 'a', 'r', 'l', 9, 0,
-  /* 2592 */ 'l', 'o', 'c', 'r', 'l', 9, 0,
-  /* 2599 */ 'p', 'f', 'd', 'r', 'l', 9, 0,
-  /* 2606 */ 'c', 'g', 'f', 'r', 'l', 9, 0,
-  /* 2613 */ 'c', 'l', 'g', 'f', 'r', 'l', 9, 0,
-  /* 2621 */ 'l', 'l', 'g', 'f', 'r', 'l', 9, 0,
-  /* 2629 */ 'l', 'o', 'c', 'g', 'r', 'l', 9, 0,
-  /* 2637 */ 'c', 'l', 'g', 'r', 'l', 9, 0,
-  /* 2644 */ 's', 't', 'g', 'r', 'l', 9, 0,
-  /* 2651 */ 'c', 'h', 'r', 'l', 9, 0,
-  /* 2657 */ 'c', 'g', 'h', 'r', 'l', 9, 0,
-  /* 2664 */ 'c', 'l', 'g', 'h', 'r', 'l', 9, 0,
-  /* 2672 */ 'l', 'l', 'g', 'h', 'r', 'l', 9, 0,
-  /* 2680 */ 'c', 'l', 'h', 'r', 'l', 9, 0,
-  /* 2687 */ 'l', 'l', 'h', 'r', 'l', 9, 0,
-  /* 2694 */ 's', 't', 'h', 'r', 'l', 9, 0,
-  /* 2701 */ 'c', 'l', 'r', 'l', 9, 0,
-  /* 2707 */ 's', 'r', 'l', 9, 0,
-  /* 2712 */ 's', 't', 'r', 'l', 9, 0,
-  /* 2718 */ 'b', 'r', 'a', 's', 'l', 9, 0,
-  /* 2725 */ 'i', 'p', 'm', 9, 0,
-  /* 2730 */ 't', 'm', 9, 0,
-  /* 2734 */ 'l', 'a', 'n', 9, 0,
-  /* 2739 */ 'l', 'a', 'o', 9, 0,
-  /* 2744 */ 'l', 'o', 'c', 'o', 9, 0,
-  /* 2750 */ 's', 't', 'o', 'c', 'o', 9, 0,
-  /* 2757 */ 'l', 'o', 'c', 'g', 'o', 9, 0,
-  /* 2764 */ 's', 't', 'o', 'c', 'g', 'o', 9, 0,
-  /* 2772 */ 'j', 'g', 'o', 9, 0,
-  /* 2777 */ 'j', 'o', 9, 0,
-  /* 2781 */ 'l', 'o', 'c', 'n', 'o', 9, 0,
-  /* 2788 */ 's', 't', 'o', 'c', 'n', 'o', 9, 0,
-  /* 2796 */ 'l', 'o', 'c', 'g', 'n', 'o', 9, 0,
-  /* 2804 */ 's', 't', 'o', 'c', 'g', 'n', 'o', 9, 0,
-  /* 2813 */ 'j', 'g', 'n', 'o', 9, 0,
-  /* 2819 */ 'j', 'n', 'o', 9, 0,
-  /* 2824 */ 'l', 'o', 'c', 'r', 'n', 'o', 9, 0,
-  /* 2832 */ 'l', 'o', 'c', 'g', 'r', 'n', 'o', 9, 0,
-  /* 2841 */ 'l', 'o', 'c', 'r', 'o', 9, 0,
-  /* 2848 */ 'l', 'o', 'c', 'g', 'r', 'o', 9, 0,
-  /* 2856 */ 'e', 'a', 'r', 9, 0,
-  /* 2861 */ 'm', 'a', 'd', 'b', 'r', 9, 0,
-  /* 2868 */ 'l', 'c', 'd', 'b', 'r', 9, 0,
-  /* 2875 */ 'd', 'd', 'b', 'r', 9, 0,
-  /* 2881 */ 'l', 'e', 'd', 'b', 'r', 9, 0,
-  /* 2888 */ 'c', 'f', 'd', 'b', 'r', 9, 0,
-  /* 2895 */ 'c', 'l', 'f', 'd', 'b', 'r', 9, 0,
-  /* 2903 */ 'c', 'g', 'd', 'b', 'r', 9, 0,
-  /* 2910 */ 'c', 'l', 'g', 'd', 'b', 'r', 9, 0,
-  /* 2918 */ 'f', 'i', 'd', 'b', 'r', 9, 0,
-  /* 2925 */ 'm', 'd', 'b', 'r', 9, 0,
-  /* 2931 */ 'l', 'n', 'd', 'b', 'r', 9, 0,
-  /* 2938 */ 'l', 'p', 'd', 'b', 'r', 9, 0,
-  /* 2945 */ 's', 'q', 'd', 'b', 'r', 9, 0,
-  /* 2952 */ 'm', 's', 'd', 'b', 'r', 9, 0,
-  /* 2959 */ 'l', 't', 'd', 'b', 'r', 9, 0,
-  /* 2966 */ 'l', 'x', 'd', 'b', 'r', 9, 0,
-  /* 2973 */ 'm', 'x', 'd', 'b', 'r', 9, 0,
-  /* 2980 */ 'm', 'a', 'e', 'b', 'r', 9, 0,
-  /* 2987 */ 'l', 'c', 'e', 'b', 'r', 9, 0,
-  /* 2994 */ 'l', 'd', 'e', 'b', 'r', 9, 0,
-  /* 3001 */ 'm', 'd', 'e', 'b', 'r', 9, 0,
-  /* 3008 */ 'm', 'e', 'e', 'b', 'r', 9, 0,
-  /* 3015 */ 'c', 'f', 'e', 'b', 'r', 9, 0,
-  /* 3022 */ 'c', 'l', 'f', 'e', 'b', 'r', 9, 0,
-  /* 3030 */ 'c', 'g', 'e', 'b', 'r', 9, 0,
-  /* 3037 */ 'c', 'l', 'g', 'e', 'b', 'r', 9, 0,
-  /* 3045 */ 'f', 'i', 'e', 'b', 'r', 9, 0,
-  /* 3052 */ 'l', 'n', 'e', 'b', 'r', 9, 0,
-  /* 3059 */ 'l', 'p', 'e', 'b', 'r', 9, 0,
-  /* 3066 */ 's', 'q', 'e', 'b', 'r', 9, 0,
-  /* 3073 */ 'm', 's', 'e', 'b', 'r', 9, 0,
-  /* 3080 */ 'l', 't', 'e', 'b', 'r', 9, 0,
-  /* 3087 */ 'l', 'x', 'e', 'b', 'r', 9, 0,
-  /* 3094 */ 'c', 'd', 'f', 'b', 'r', 9, 0,
-  /* 3101 */ 'c', 'e', 'f', 'b', 'r', 9, 0,
-  /* 3108 */ 'c', 'd', 'l', 'f', 'b', 'r', 9, 0,
-  /* 3116 */ 'c', 'e', 'l', 'f', 'b', 'r', 9, 0,
-  /* 3124 */ 'c', 'x', 'l', 'f', 'b', 'r', 9, 0,
-  /* 3132 */ 'c', 'x', 'f', 'b', 'r', 9, 0,
-  /* 3139 */ 'c', 'd', 'g', 'b', 'r', 9, 0,
-  /* 3146 */ 'c', 'e', 'g', 'b', 'r', 9, 0,
-  /* 3153 */ 'c', 'd', 'l', 'g', 'b', 'r', 9, 0,
-  /* 3161 */ 'c', 'e', 'l', 'g', 'b', 'r', 9, 0,
-  /* 3169 */ 'c', 'x', 'l', 'g', 'b', 'r', 9, 0,
-  /* 3177 */ 'c', 'x', 'g', 'b', 'r', 9, 0,
-  /* 3184 */ 's', 'l', 'b', 'r', 9, 0,
-  /* 3190 */ 'a', 'x', 'b', 'r', 9, 0,
-  /* 3196 */ 'l', 'c', 'x', 'b', 'r', 9, 0,
-  /* 3203 */ 'l', 'd', 'x', 'b', 'r', 9, 0,
-  /* 3210 */ 'l', 'e', 'x', 'b', 'r', 9, 0,
-  /* 3217 */ 'c', 'f', 'x', 'b', 'r', 9, 0,
-  /* 3224 */ 'c', 'l', 'f', 'x', 'b', 'r', 9, 0,
-  /* 3232 */ 'c', 'g', 'x', 'b', 'r', 9, 0,
-  /* 3239 */ 'c', 'l', 'g', 'x', 'b', 'r', 9, 0,
-  /* 3247 */ 'f', 'i', 'x', 'b', 'r', 9, 0,
-  /* 3254 */ 'm', 'x', 'b', 'r', 9, 0,
-  /* 3260 */ 'l', 'n', 'x', 'b', 'r', 9, 0,
-  /* 3267 */ 'l', 'p', 'x', 'b', 'r', 9, 0,
-  /* 3274 */ 's', 'q', 'x', 'b', 'r', 9, 0,
-  /* 3281 */ 's', 'x', 'b', 'r', 9, 0,
-  /* 3287 */ 'l', 't', 'x', 'b', 'r', 9, 0,
-  /* 3294 */ 'b', 'c', 'r', 9, 0,
-  /* 3299 */ 'l', 'l', 'g', 'c', 'r', 9, 0,
-  /* 3306 */ 'a', 'l', 'c', 'r', 9, 0,
-  /* 3312 */ 'l', 'l', 'c', 'r', 9, 0,
-  /* 3318 */ 'l', 'o', 'c', 'r', 9, 0,
-  /* 3324 */ 'l', 'g', 'd', 'r', 9, 0,
-  /* 3330 */ 'l', 'd', 'r', 9, 0,
-  /* 3335 */ 'c', 'p', 's', 'd', 'r', 9, 0,
-  /* 3342 */ 'l', 'z', 'd', 'r', 9, 0,
-  /* 3348 */ 'b', 'e', 'r', 9, 0,
-  /* 3353 */ 'b', 'h', 'e', 'r', 9, 0,
-  /* 3359 */ 'b', 'n', 'h', 'e', 'r', 9, 0,
-  /* 3366 */ 'b', 'l', 'e', 'r', 9, 0,
-  /* 3372 */ 'b', 'n', 'l', 'e', 'r', 9, 0,
-  /* 3379 */ 'b', 'n', 'e', 'r', 9, 0,
-  /* 3385 */ 'l', 'z', 'e', 'r', 9, 0,
-  /* 3391 */ 'a', 'g', 'f', 'r', 9, 0,
-  /* 3397 */ 'l', 'c', 'g', 'f', 'r', 9, 0,
-  /* 3404 */ 'a', 'l', 'g', 'f', 'r', 9, 0,
-  /* 3411 */ 'c', 'l', 'g', 'f', 'r', 9, 0,
-  /* 3418 */ 'l', 'l', 'g', 'f', 'r', 9, 0,
-  /* 3425 */ 's', 'l', 'g', 'f', 'r', 9, 0,
-  /* 3432 */ 'l', 'n', 'g', 'f', 'r', 9, 0,
-  /* 3439 */ 'l', 'p', 'g', 'f', 'r', 9, 0,
-  /* 3446 */ 'd', 's', 'g', 'f', 'r', 9, 0,
-  /* 3453 */ 'm', 's', 'g', 'f', 'r', 9, 0,
-  /* 3460 */ 'l', 't', 'g', 'f', 'r', 9, 0,
-  /* 3467 */ 'a', 'g', 'r', 9, 0,
-  /* 3472 */ 's', 'l', 'b', 'g', 'r', 9, 0,
-  /* 3479 */ 'a', 'l', 'c', 'g', 'r', 9, 0,
-  /* 3486 */ 'l', 'o', 'c', 'g', 'r', 9, 0,
-  /* 3493 */ 'l', 'd', 'g', 'r', 9, 0,
-  /* 3499 */ 'a', 'l', 'g', 'r', 9, 0,
-  /* 3505 */ 'c', 'l', 'g', 'r', 9, 0,
-  /* 3511 */ 'd', 'l', 'g', 'r', 9, 0,
-  /* 3517 */ 'm', 'l', 'g', 'r', 9, 0,
-  /* 3523 */ 's', 'l', 'g', 'r', 9, 0,
-  /* 3529 */ 'l', 'n', 'g', 'r', 9, 0,
-  /* 3535 */ 'f', 'l', 'o', 'g', 'r', 9, 0,
-  /* 3542 */ 'l', 'p', 'g', 'r', 9, 0,
-  /* 3548 */ 'd', 's', 'g', 'r', 9, 0,
-  /* 3554 */ 'm', 's', 'g', 'r', 9, 0,
-  /* 3560 */ 'l', 't', 'g', 'r', 9, 0,
-  /* 3566 */ 'l', 'r', 'v', 'g', 'r', 9, 0,
-  /* 3573 */ 'x', 'g', 'r', 9, 0,
-  /* 3578 */ 'b', 'h', 'r', 9, 0,
-  /* 3583 */ 'l', 'l', 'g', 'h', 'r', 9, 0,
-  /* 3590 */ 'b', 'l', 'h', 'r', 9, 0,
-  /* 3596 */ 'l', 'l', 'h', 'r', 9, 0,
-  /* 3602 */ 'b', 'n', 'l', 'h', 'r', 9, 0,
-  /* 3609 */ 'b', 'n', 'h', 'r', 9, 0,
-  /* 3615 */ 'a', 'l', 'r', 9, 0,
-  /* 3620 */ 'b', 'l', 'r', 9, 0,
-  /* 3625 */ 'c', 'l', 'r', 9, 0,
-  /* 3630 */ 'd', 'l', 'r', 9, 0,
-  /* 3635 */ 'b', 'n', 'l', 'r', 9, 0,
-  /* 3641 */ 's', 'l', 'r', 9, 0,
-  /* 3646 */ 'l', 'n', 'r', 9, 0,
-  /* 3651 */ 'b', 'o', 'r', 9, 0,
-  /* 3656 */ 'b', 'n', 'o', 'r', 9, 0,
-  /* 3662 */ 'l', 'p', 'r', 9, 0,
-  /* 3667 */ 'b', 'a', 's', 'r', 9, 0,
-  /* 3673 */ 'm', 's', 'r', 9, 0,
-  /* 3678 */ 'l', 't', 'r', 9, 0,
-  /* 3683 */ 'l', 'r', 'v', 'r', 9, 0,
-  /* 3689 */ 'l', 'x', 'r', 9, 0,
-  /* 3694 */ 'l', 'z', 'x', 'r', 9, 0,
-  /* 3700 */ 'b', 'r', 'a', 's', 9, 0,
-  /* 3706 */ 'c', 's', 9, 0,
-  /* 3710 */ 'm', 's', 9, 0,
-  /* 3714 */ 'b', 'r', 'c', 't', 9, 0,
-  /* 3720 */ 'l', 't', 9, 0,
-  /* 3724 */ 'c', 'l', 's', 't', 9, 0,
-  /* 3730 */ 's', 'r', 's', 't', 9, 0,
-  /* 3736 */ 'm', 'v', 's', 't', 9, 0,
-  /* 3742 */ 'l', 'r', 'v', 9, 0,
-  /* 3747 */ 's', 't', 'r', 'v', 9, 0,
-  /* 3753 */ 'l', 'a', 'x', 9, 0,
-  /* 3758 */ 'l', 'a', 'y', 9, 0,
-  /* 3763 */ 'i', 'c', 'y', 9, 0,
-  /* 3768 */ 's', 't', 'c', 'y', 9, 0,
-  /* 3774 */ 'l', 'd', 'y', 9, 0,
-  /* 3779 */ 's', 't', 'd', 'y', 9, 0,
-  /* 3785 */ 'l', 'e', 'y', 9, 0,
-  /* 3790 */ 's', 't', 'e', 'y', 9, 0,
-  /* 3796 */ 'a', 'h', 'y', 9, 0,
-  /* 3801 */ 'c', 'h', 'y', 9, 0,
-  /* 3806 */ 'l', 'h', 'y', 9, 0,
-  /* 3811 */ 'm', 'h', 'y', 9, 0,
-  /* 3816 */ 's', 'h', 'y', 9, 0,
-  /* 3821 */ 's', 't', 'h', 'y', 9, 0,
-  /* 3827 */ 'c', 'l', 'i', 'y', 9, 0,
-  /* 3833 */ 'n', 'i', 'y', 9, 0,
-  /* 3838 */ 'o', 'i', 'y', 9, 0,
-  /* 3843 */ 'm', 'v', 'i', 'y', 9, 0,
-  /* 3849 */ 'x', 'i', 'y', 9, 0,
-  /* 3854 */ 'a', 'l', 'y', 9, 0,
-  /* 3859 */ 'c', 'l', 'y', 9, 0,
-  /* 3864 */ 's', 'l', 'y', 9, 0,
-  /* 3869 */ 't', 'm', 'y', 9, 0,
-  /* 3874 */ 'n', 'y', 9, 0,
-  /* 3878 */ 'o', 'y', 9, 0,
-  /* 3882 */ 'c', 's', 'y', 9, 0,
-  /* 3887 */ 'm', 's', 'y', 9, 0,
-  /* 3892 */ 's', 't', 'y', 9, 0,
-  /* 3897 */ 'x', 'y', 9, 0,
-  /* 3901 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 3914 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 3921 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 3931 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 3946 */ 'l', 'o', 'c', 0,
-  /* 3950 */ 's', 't', 'o', 'c', 0,
-  /* 3955 */ 'l', 'o', 'c', 'g', 0,
-  /* 3960 */ 's', 't', 'o', 'c', 'g', 0,
-  /* 3966 */ 'j', 'g', 0,
-  /* 3969 */ 'c', 'i', 'j', 0,
-  /* 3973 */ 'c', 'g', 'i', 'j', 0,
-  /* 3978 */ 'c', 'l', 'g', 'i', 'j', 0,
-  /* 3984 */ 'c', 'l', 'i', 'j', 0,
-  /* 3989 */ 'c', 'r', 'j', 0,
-  /* 3993 */ 'c', 'g', 'r', 'j', 0,
-  /* 3998 */ 'c', 'l', 'g', 'r', 'j', 0,
-  /* 4004 */ 'c', 'l', 'r', 'j', 0,
-  /* 4009 */ 'l', 'o', 'c', 'r', 0,
-  /* 4014 */ 'l', 'o', 'c', 'g', 'r', 0,
+  /* 9 */ 'l', 'e', 'd', 'b', 'r', 'a', 9, 0,
+  /* 17 */ 'f', 'i', 'd', 'b', 'r', 'a', 9, 0,
+  /* 25 */ 'f', 'i', 'e', 'b', 'r', 'a', 9, 0,
+  /* 33 */ 'l', 'd', 'x', 'b', 'r', 'a', 9, 0,
+  /* 41 */ 'l', 'e', 'x', 'b', 'r', 'a', 9, 0,
+  /* 49 */ 'f', 'i', 'x', 'b', 'r', 'a', 9, 0,
+  /* 57 */ 's', 'r', 'a', 9, 0,
+  /* 62 */ 'm', 'a', 'd', 'b', 9, 0,
+  /* 68 */ 'c', 'd', 'b', 9, 0,
+  /* 73 */ 'd', 'd', 'b', 9, 0,
+  /* 78 */ 'm', 'd', 'b', 9, 0,
+  /* 83 */ 's', 'q', 'd', 'b', 9, 0,
+  /* 89 */ 'm', 's', 'd', 'b', 9, 0,
+  /* 95 */ 'l', 'x', 'd', 'b', 9, 0,
+  /* 101 */ 'm', 'x', 'd', 'b', 9, 0,
+  /* 107 */ 'm', 'a', 'e', 'b', 9, 0,
+  /* 113 */ 'c', 'e', 'b', 9, 0,
+  /* 118 */ 'l', 'd', 'e', 'b', 9, 0,
+  /* 124 */ 'm', 'd', 'e', 'b', 9, 0,
+  /* 130 */ 'm', 'e', 'e', 'b', 9, 0,
+  /* 136 */ 's', 'q', 'e', 'b', 9, 0,
+  /* 142 */ 'm', 's', 'e', 'b', 9, 0,
+  /* 148 */ 'l', 'x', 'e', 'b', 9, 0,
+  /* 154 */ 'l', 'g', 'b', 9, 0,
+  /* 159 */ 's', 'l', 'b', 9, 0,
+  /* 164 */ 'l', 'l', 'g', 'c', 9, 0,
+  /* 170 */ 'i', 'c', 9, 0,
+  /* 174 */ 'a', 'l', 'c', 9, 0,
+  /* 179 */ 'c', 'l', 'c', 9, 0,
+  /* 184 */ 'l', 'l', 'c', 9, 0,
+  /* 189 */ 'n', 'c', 9, 0,
+  /* 193 */ 'l', 'o', 'c', 9, 0,
+  /* 198 */ 's', 't', 'o', 'c', 9, 0,
+  /* 204 */ 'b', 'r', 'c', 9, 0,
+  /* 209 */ 's', 't', 'c', 9, 0,
+  /* 214 */ 'm', 'v', 'c', 9, 0,
+  /* 219 */ 'x', 'c', 9, 0,
+  /* 223 */ 'p', 'f', 'd', 9, 0,
+  /* 228 */ 'l', 'd', 9, 0,
+  /* 232 */ 's', 't', 'd', 9, 0,
+  /* 237 */ 'l', 'o', 'c', 'e', 9, 0,
+  /* 243 */ 's', 't', 'o', 'c', 'e', 9, 0,
+  /* 250 */ 'l', 'o', 'c', 'g', 'e', 9, 0,
+  /* 257 */ 's', 't', 'o', 'c', 'g', 'e', 9, 0,
+  /* 265 */ 'j', 'g', 'e', 9, 0,
+  /* 270 */ 'l', 'o', 'c', 'h', 'e', 9, 0,
+  /* 277 */ 's', 't', 'o', 'c', 'h', 'e', 9, 0,
+  /* 285 */ 'l', 'o', 'c', 'g', 'h', 'e', 9, 0,
+  /* 293 */ 's', 't', 'o', 'c', 'g', 'h', 'e', 9, 0,
+  /* 302 */ 'j', 'g', 'h', 'e', 9, 0,
+  /* 308 */ 'c', 'i', 'j', 'h', 'e', 9, 0,
+  /* 315 */ 'c', 'g', 'i', 'j', 'h', 'e', 9, 0,
+  /* 323 */ 'c', 'l', 'g', 'i', 'j', 'h', 'e', 9, 0,
+  /* 332 */ 'c', 'l', 'i', 'j', 'h', 'e', 9, 0,
+  /* 340 */ 'c', 'r', 'j', 'h', 'e', 9, 0,
+  /* 347 */ 'c', 'g', 'r', 'j', 'h', 'e', 9, 0,
+  /* 355 */ 'c', 'l', 'g', 'r', 'j', 'h', 'e', 9, 0,
+  /* 364 */ 'c', 'l', 'r', 'j', 'h', 'e', 9, 0,
+  /* 372 */ 'l', 'o', 'c', 'n', 'h', 'e', 9, 0,
+  /* 380 */ 's', 't', 'o', 'c', 'n', 'h', 'e', 9, 0,
+  /* 389 */ 'l', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
+  /* 398 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 'e', 9, 0,
+  /* 408 */ 'j', 'g', 'n', 'h', 'e', 9, 0,
+  /* 415 */ 'c', 'i', 'j', 'n', 'h', 'e', 9, 0,
+  /* 423 */ 'c', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
+  /* 432 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 'e', 9, 0,
+  /* 442 */ 'c', 'l', 'i', 'j', 'n', 'h', 'e', 9, 0,
+  /* 451 */ 'c', 'r', 'j', 'n', 'h', 'e', 9, 0,
+  /* 459 */ 'c', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
+  /* 468 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 'e', 9, 0,
+  /* 478 */ 'c', 'l', 'r', 'j', 'n', 'h', 'e', 9, 0,
+  /* 487 */ 'l', 'o', 'c', 'r', 'n', 'h', 'e', 9, 0,
+  /* 496 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 'e', 9, 0,
+  /* 506 */ 'l', 'o', 'c', 'r', 'h', 'e', 9, 0,
+  /* 514 */ 'l', 'o', 'c', 'g', 'r', 'h', 'e', 9, 0,
+  /* 523 */ 'c', 'i', 'j', 'e', 9, 0,
+  /* 529 */ 'c', 'g', 'i', 'j', 'e', 9, 0,
+  /* 536 */ 'c', 'l', 'g', 'i', 'j', 'e', 9, 0,
+  /* 544 */ 'c', 'l', 'i', 'j', 'e', 9, 0,
+  /* 551 */ 'c', 'r', 'j', 'e', 9, 0,
+  /* 557 */ 'c', 'g', 'r', 'j', 'e', 9, 0,
+  /* 564 */ 'c', 'l', 'g', 'r', 'j', 'e', 9, 0,
+  /* 572 */ 'c', 'l', 'r', 'j', 'e', 9, 0,
+  /* 579 */ 'l', 'o', 'c', 'l', 'e', 9, 0,
+  /* 586 */ 's', 't', 'o', 'c', 'l', 'e', 9, 0,
+  /* 594 */ 'l', 'o', 'c', 'g', 'l', 'e', 9, 0,
+  /* 602 */ 's', 't', 'o', 'c', 'g', 'l', 'e', 9, 0,
+  /* 611 */ 'j', 'g', 'l', 'e', 9, 0,
+  /* 617 */ 'c', 'i', 'j', 'l', 'e', 9, 0,
+  /* 624 */ 'c', 'g', 'i', 'j', 'l', 'e', 9, 0,
+  /* 632 */ 'c', 'l', 'g', 'i', 'j', 'l', 'e', 9, 0,
+  /* 641 */ 'c', 'l', 'i', 'j', 'l', 'e', 9, 0,
+  /* 649 */ 'c', 'r', 'j', 'l', 'e', 9, 0,
+  /* 656 */ 'c', 'g', 'r', 'j', 'l', 'e', 9, 0,
+  /* 664 */ 'c', 'l', 'g', 'r', 'j', 'l', 'e', 9, 0,
+  /* 673 */ 'c', 'l', 'r', 'j', 'l', 'e', 9, 0,
+  /* 681 */ 'l', 'o', 'c', 'n', 'l', 'e', 9, 0,
+  /* 689 */ 's', 't', 'o', 'c', 'n', 'l', 'e', 9, 0,
+  /* 698 */ 'l', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
+  /* 707 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'e', 9, 0,
+  /* 717 */ 'j', 'g', 'n', 'l', 'e', 9, 0,
+  /* 724 */ 'c', 'i', 'j', 'n', 'l', 'e', 9, 0,
+  /* 732 */ 'c', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
+  /* 741 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'e', 9, 0,
+  /* 751 */ 'c', 'l', 'i', 'j', 'n', 'l', 'e', 9, 0,
+  /* 760 */ 'c', 'r', 'j', 'n', 'l', 'e', 9, 0,
+  /* 768 */ 'c', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
+  /* 777 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'e', 9, 0,
+  /* 787 */ 'c', 'l', 'r', 'j', 'n', 'l', 'e', 9, 0,
+  /* 796 */ 'l', 'o', 'c', 'r', 'n', 'l', 'e', 9, 0,
+  /* 805 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'e', 9, 0,
+  /* 815 */ 'l', 'o', 'c', 'r', 'l', 'e', 9, 0,
+  /* 823 */ 'l', 'o', 'c', 'g', 'r', 'l', 'e', 9, 0,
+  /* 832 */ 'l', 'o', 'c', 'n', 'e', 9, 0,
+  /* 839 */ 's', 't', 'o', 'c', 'n', 'e', 9, 0,
+  /* 847 */ 'l', 'o', 'c', 'g', 'n', 'e', 9, 0,
+  /* 855 */ 's', 't', 'o', 'c', 'g', 'n', 'e', 9, 0,
+  /* 864 */ 'j', 'g', 'n', 'e', 9, 0,
+  /* 870 */ 'c', 'i', 'j', 'n', 'e', 9, 0,
+  /* 877 */ 'c', 'g', 'i', 'j', 'n', 'e', 9, 0,
+  /* 885 */ 'c', 'l', 'g', 'i', 'j', 'n', 'e', 9, 0,
+  /* 894 */ 'c', 'l', 'i', 'j', 'n', 'e', 9, 0,
+  /* 902 */ 'c', 'r', 'j', 'n', 'e', 9, 0,
+  /* 909 */ 'c', 'g', 'r', 'j', 'n', 'e', 9, 0,
+  /* 917 */ 'c', 'l', 'g', 'r', 'j', 'n', 'e', 9, 0,
+  /* 926 */ 'c', 'l', 'r', 'j', 'n', 'e', 9, 0,
+  /* 934 */ 'l', 'o', 'c', 'r', 'n', 'e', 9, 0,
+  /* 942 */ 'l', 'o', 'c', 'g', 'r', 'n', 'e', 9, 0,
+  /* 951 */ 'l', 'o', 'c', 'r', 'e', 9, 0,
+  /* 958 */ 'l', 'o', 'c', 'g', 'r', 'e', 9, 0,
+  /* 966 */ 's', 't', 'e', 9, 0,
+  /* 971 */ 'a', 'g', 'f', 9, 0,
+  /* 976 */ 'c', 'g', 'f', 9, 0,
+  /* 981 */ 'a', 'l', 'g', 'f', 9, 0,
+  /* 987 */ 'c', 'l', 'g', 'f', 9, 0,
+  /* 993 */ 'l', 'l', 'g', 'f', 9, 0,
+  /* 999 */ 's', 'l', 'g', 'f', 9, 0,
+  /* 1005 */ 'd', 's', 'g', 'f', 9, 0,
+  /* 1011 */ 'm', 's', 'g', 'f', 9, 0,
+  /* 1017 */ 'l', 't', 'g', 'f', 9, 0,
+  /* 1023 */ 'c', 'h', 'f', 9, 0,
+  /* 1028 */ 'i', 'i', 'h', 'f', 9, 0,
+  /* 1034 */ 'l', 'l', 'i', 'h', 'f', 9, 0,
+  /* 1041 */ 'n', 'i', 'h', 'f', 9, 0,
+  /* 1047 */ 'o', 'i', 'h', 'f', 9, 0,
+  /* 1053 */ 'x', 'i', 'h', 'f', 9, 0,
+  /* 1059 */ 'c', 'l', 'h', 'f', 9, 0,
+  /* 1065 */ 'i', 'i', 'l', 'f', 9, 0,
+  /* 1071 */ 'l', 'l', 'i', 'l', 'f', 9, 0,
+  /* 1078 */ 'n', 'i', 'l', 'f', 9, 0,
+  /* 1084 */ 'o', 'i', 'l', 'f', 9, 0,
+  /* 1090 */ 'x', 'i', 'l', 'f', 9, 0,
+  /* 1096 */ 'l', 'a', 'a', 'g', 9, 0,
+  /* 1102 */ 's', 'r', 'a', 'g', 9, 0,
+  /* 1108 */ 's', 'l', 'b', 'g', 9, 0,
+  /* 1114 */ 'r', 'i', 's', 'b', 'g', 9, 0,
+  /* 1121 */ 'r', 'n', 's', 'b', 'g', 9, 0,
+  /* 1128 */ 'r', 'o', 's', 'b', 'g', 9, 0,
+  /* 1135 */ 'r', 'x', 's', 'b', 'g', 9, 0,
+  /* 1142 */ 'a', 'l', 'c', 'g', 9, 0,
+  /* 1148 */ 'l', 'o', 'c', 'g', 9, 0,
+  /* 1154 */ 's', 't', 'o', 'c', 'g', 9, 0,
+  /* 1161 */ 'r', 'i', 's', 'b', 'h', 'g', 9, 0,
+  /* 1169 */ 'j', 'g', 9, 0,
+  /* 1173 */ 'l', 'a', 'a', 'l', 'g', 9, 0,
+  /* 1180 */ 'r', 'i', 's', 'b', 'l', 'g', 9, 0,
+  /* 1188 */ 'c', 'l', 'g', 9, 0,
+  /* 1193 */ 'd', 'l', 'g', 9, 0,
+  /* 1198 */ 'r', 'l', 'l', 'g', 9, 0,
+  /* 1204 */ 's', 'l', 'l', 'g', 9, 0,
+  /* 1210 */ 'm', 'l', 'g', 9, 0,
+  /* 1215 */ 's', 'r', 'l', 'g', 9, 0,
+  /* 1221 */ 's', 'l', 'g', 9, 0,
+  /* 1226 */ 'l', 'm', 'g', 9, 0,
+  /* 1231 */ 's', 't', 'm', 'g', 9, 0,
+  /* 1237 */ 'l', 'a', 'n', 'g', 9, 0,
+  /* 1243 */ 'l', 'a', 'o', 'g', 9, 0,
+  /* 1249 */ 'c', 's', 'g', 9, 0,
+  /* 1254 */ 'd', 's', 'g', 9, 0,
+  /* 1259 */ 'm', 's', 'g', 9, 0,
+  /* 1264 */ 'b', 'r', 'c', 't', 'g', 9, 0,
+  /* 1271 */ 'l', 't', 'g', 9, 0,
+  /* 1276 */ 's', 't', 'g', 9, 0,
+  /* 1281 */ 'l', 'r', 'v', 'g', 9, 0,
+  /* 1287 */ 's', 't', 'r', 'v', 'g', 9, 0,
+  /* 1294 */ 'l', 'a', 'x', 'g', 9, 0,
+  /* 1300 */ 'a', 'h', 9, 0,
+  /* 1304 */ 'l', 'b', 'h', 9, 0,
+  /* 1309 */ 'l', 'l', 'c', 'h', 9, 0,
+  /* 1315 */ 'l', 'o', 'c', 'h', 9, 0,
+  /* 1321 */ 's', 't', 'o', 'c', 'h', 9, 0,
+  /* 1328 */ 's', 't', 'c', 'h', 9, 0,
+  /* 1334 */ 'l', 'f', 'h', 9, 0,
+  /* 1339 */ 's', 't', 'f', 'h', 9, 0,
+  /* 1345 */ 'l', 'o', 'c', 'g', 'h', 9, 0,
+  /* 1352 */ 's', 't', 'o', 'c', 'g', 'h', 9, 0,
+  /* 1360 */ 'j', 'g', 'h', 9, 0,
+  /* 1365 */ 'l', 'l', 'g', 'h', 9, 0,
+  /* 1371 */ 'i', 'i', 'h', 'h', 9, 0,
+  /* 1377 */ 'l', 'l', 'i', 'h', 'h', 9, 0,
+  /* 1384 */ 'n', 'i', 'h', 'h', 9, 0,
+  /* 1390 */ 'o', 'i', 'h', 'h', 9, 0,
+  /* 1396 */ 'l', 'l', 'h', 'h', 9, 0,
+  /* 1402 */ 't', 'm', 'h', 'h', 9, 0,
+  /* 1408 */ 's', 't', 'h', 'h', 9, 0,
+  /* 1414 */ 'a', 'i', 'h', 9, 0,
+  /* 1419 */ 'c', 'i', 'h', 9, 0,
+  /* 1424 */ 'c', 'l', 'i', 'h', 9, 0,
+  /* 1430 */ 'c', 'i', 'j', 'h', 9, 0,
+  /* 1436 */ 'c', 'g', 'i', 'j', 'h', 9, 0,
+  /* 1443 */ 'c', 'l', 'g', 'i', 'j', 'h', 9, 0,
+  /* 1451 */ 'c', 'l', 'i', 'j', 'h', 9, 0,
+  /* 1458 */ 'c', 'r', 'j', 'h', 9, 0,
+  /* 1464 */ 'c', 'g', 'r', 'j', 'h', 9, 0,
+  /* 1471 */ 'c', 'l', 'g', 'r', 'j', 'h', 9, 0,
+  /* 1479 */ 'c', 'l', 'r', 'j', 'h', 9, 0,
+  /* 1486 */ 'l', 'o', 'c', 'l', 'h', 9, 0,
+  /* 1493 */ 's', 't', 'o', 'c', 'l', 'h', 9, 0,
+  /* 1501 */ 'l', 'o', 'c', 'g', 'l', 'h', 9, 0,
+  /* 1509 */ 's', 't', 'o', 'c', 'g', 'l', 'h', 9, 0,
+  /* 1518 */ 'j', 'g', 'l', 'h', 9, 0,
+  /* 1524 */ 'i', 'i', 'l', 'h', 9, 0,
+  /* 1530 */ 'l', 'l', 'i', 'l', 'h', 9, 0,
+  /* 1537 */ 'n', 'i', 'l', 'h', 9, 0,
+  /* 1543 */ 'o', 'i', 'l', 'h', 9, 0,
+  /* 1549 */ 'c', 'i', 'j', 'l', 'h', 9, 0,
+  /* 1556 */ 'c', 'g', 'i', 'j', 'l', 'h', 9, 0,
+  /* 1564 */ 'c', 'l', 'g', 'i', 'j', 'l', 'h', 9, 0,
+  /* 1573 */ 'c', 'l', 'i', 'j', 'l', 'h', 9, 0,
+  /* 1581 */ 'c', 'r', 'j', 'l', 'h', 9, 0,
+  /* 1588 */ 'c', 'g', 'r', 'j', 'l', 'h', 9, 0,
+  /* 1596 */ 'c', 'l', 'g', 'r', 'j', 'l', 'h', 9, 0,
+  /* 1605 */ 'c', 'l', 'r', 'j', 'l', 'h', 9, 0,
+  /* 1613 */ 'l', 'l', 'h', 9, 0,
+  /* 1618 */ 't', 'm', 'l', 'h', 9, 0,
+  /* 1624 */ 'l', 'o', 'c', 'n', 'l', 'h', 9, 0,
+  /* 1632 */ 's', 't', 'o', 'c', 'n', 'l', 'h', 9, 0,
+  /* 1641 */ 'l', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
+  /* 1650 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 'h', 9, 0,
+  /* 1660 */ 'j', 'g', 'n', 'l', 'h', 9, 0,
+  /* 1667 */ 'c', 'i', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1675 */ 'c', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1684 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1694 */ 'c', 'l', 'i', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1703 */ 'c', 'r', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1711 */ 'c', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1720 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1730 */ 'c', 'l', 'r', 'j', 'n', 'l', 'h', 9, 0,
+  /* 1739 */ 'l', 'o', 'c', 'r', 'n', 'l', 'h', 9, 0,
+  /* 1748 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 'h', 9, 0,
+  /* 1758 */ 'l', 'o', 'c', 'r', 'l', 'h', 9, 0,
+  /* 1766 */ 'l', 'o', 'c', 'g', 'r', 'l', 'h', 9, 0,
+  /* 1775 */ 'm', 'h', 9, 0,
+  /* 1779 */ 'l', 'o', 'c', 'n', 'h', 9, 0,
+  /* 1786 */ 's', 't', 'o', 'c', 'n', 'h', 9, 0,
+  /* 1794 */ 'l', 'o', 'c', 'g', 'n', 'h', 9, 0,
+  /* 1802 */ 's', 't', 'o', 'c', 'g', 'n', 'h', 9, 0,
+  /* 1811 */ 'j', 'g', 'n', 'h', 9, 0,
+  /* 1817 */ 'c', 'i', 'j', 'n', 'h', 9, 0,
+  /* 1824 */ 'c', 'g', 'i', 'j', 'n', 'h', 9, 0,
+  /* 1832 */ 'c', 'l', 'g', 'i', 'j', 'n', 'h', 9, 0,
+  /* 1841 */ 'c', 'l', 'i', 'j', 'n', 'h', 9, 0,
+  /* 1849 */ 'c', 'r', 'j', 'n', 'h', 9, 0,
+  /* 1856 */ 'c', 'g', 'r', 'j', 'n', 'h', 9, 0,
+  /* 1864 */ 'c', 'l', 'g', 'r', 'j', 'n', 'h', 9, 0,
+  /* 1873 */ 'c', 'l', 'r', 'j', 'n', 'h', 9, 0,
+  /* 1881 */ 'l', 'o', 'c', 'r', 'n', 'h', 9, 0,
+  /* 1889 */ 'l', 'o', 'c', 'g', 'r', 'n', 'h', 9, 0,
+  /* 1898 */ 'l', 'o', 'c', 'r', 'h', 9, 0,
+  /* 1905 */ 'l', 'o', 'c', 'g', 'r', 'h', 9, 0,
+  /* 1913 */ 's', 'h', 9, 0,
+  /* 1917 */ 's', 't', 'h', 9, 0,
+  /* 1922 */ 'a', 'f', 'i', 9, 0,
+  /* 1927 */ 'c', 'f', 'i', 9, 0,
+  /* 1932 */ 'a', 'g', 'f', 'i', 9, 0,
+  /* 1938 */ 'c', 'g', 'f', 'i', 9, 0,
+  /* 1944 */ 'a', 'l', 'g', 'f', 'i', 9, 0,
+  /* 1951 */ 'c', 'l', 'g', 'f', 'i', 9, 0,
+  /* 1958 */ 's', 'l', 'g', 'f', 'i', 9, 0,
+  /* 1965 */ 'm', 's', 'g', 'f', 'i', 9, 0,
+  /* 1972 */ 'a', 'l', 'f', 'i', 9, 0,
+  /* 1978 */ 'c', 'l', 'f', 'i', 9, 0,
+  /* 1984 */ 's', 'l', 'f', 'i', 9, 0,
+  /* 1990 */ 'm', 's', 'f', 'i', 9, 0,
+  /* 1996 */ 'a', 'h', 'i', 9, 0,
+  /* 2001 */ 'c', 'h', 'i', 9, 0,
+  /* 2006 */ 'a', 'g', 'h', 'i', 9, 0,
+  /* 2012 */ 'c', 'g', 'h', 'i', 9, 0,
+  /* 2018 */ 'l', 'g', 'h', 'i', 9, 0,
+  /* 2024 */ 'm', 'g', 'h', 'i', 9, 0,
+  /* 2030 */ 'm', 'v', 'g', 'h', 'i', 9, 0,
+  /* 2037 */ 'm', 'v', 'h', 'h', 'i', 9, 0,
+  /* 2044 */ 'l', 'h', 'i', 9, 0,
+  /* 2049 */ 'm', 'h', 'i', 9, 0,
+  /* 2054 */ 'm', 'v', 'h', 'i', 9, 0,
+  /* 2060 */ 'c', 'l', 'i', 9, 0,
+  /* 2065 */ 'n', 'i', 9, 0,
+  /* 2069 */ 'o', 'i', 9, 0,
+  /* 2073 */ 'a', 's', 'i', 9, 0,
+  /* 2078 */ 'a', 'g', 's', 'i', 9, 0,
+  /* 2084 */ 'c', 'h', 's', 'i', 9, 0,
+  /* 2090 */ 'c', 'l', 'f', 'h', 's', 'i', 9, 0,
+  /* 2098 */ 'c', 'g', 'h', 's', 'i', 9, 0,
+  /* 2105 */ 'c', 'l', 'g', 'h', 's', 'i', 9, 0,
+  /* 2113 */ 'c', 'h', 'h', 's', 'i', 9, 0,
+  /* 2120 */ 'c', 'l', 'h', 'h', 's', 'i', 9, 0,
+  /* 2128 */ 'm', 'v', 'i', 9, 0,
+  /* 2133 */ 'x', 'i', 9, 0,
+  /* 2137 */ 'c', 'i', 'j', 9, 0,
+  /* 2142 */ 'c', 'g', 'i', 'j', 9, 0,
+  /* 2148 */ 'c', 'l', 'g', 'i', 'j', 9, 0,
+  /* 2155 */ 'c', 'l', 'i', 'j', 9, 0,
+  /* 2161 */ 'c', 'r', 'j', 9, 0,
+  /* 2166 */ 'c', 'g', 'r', 'j', 9, 0,
+  /* 2172 */ 'c', 'l', 'g', 'r', 'j', 9, 0,
+  /* 2179 */ 'c', 'l', 'r', 'j', 9, 0,
+  /* 2185 */ 's', 'r', 'a', 'k', 9, 0,
+  /* 2191 */ 'a', 'h', 'i', 'k', 9, 0,
+  /* 2197 */ 'a', 'g', 'h', 'i', 'k', 9, 0,
+  /* 2204 */ 'a', 'l', 'g', 'h', 's', 'i', 'k', 9, 0,
+  /* 2213 */ 'a', 'l', 'h', 's', 'i', 'k', 9, 0,
+  /* 2221 */ 's', 'l', 'l', 'k', 9, 0,
+  /* 2227 */ 's', 'r', 'l', 'k', 9, 0,
+  /* 2233 */ 'a', 'r', 'k', 9, 0,
+  /* 2238 */ 'a', 'g', 'r', 'k', 9, 0,
+  /* 2244 */ 'a', 'l', 'g', 'r', 'k', 9, 0,
+  /* 2251 */ 's', 'l', 'g', 'r', 'k', 9, 0,
+  /* 2258 */ 'n', 'g', 'r', 'k', 9, 0,
+  /* 2264 */ 'o', 'g', 'r', 'k', 9, 0,
+  /* 2270 */ 's', 'g', 'r', 'k', 9, 0,
+  /* 2276 */ 'x', 'g', 'r', 'k', 9, 0,
+  /* 2282 */ 'a', 'l', 'r', 'k', 9, 0,
+  /* 2288 */ 's', 'l', 'r', 'k', 9, 0,
+  /* 2294 */ 'n', 'r', 'k', 9, 0,
+  /* 2299 */ 'o', 'r', 'k', 9, 0,
+  /* 2304 */ 's', 'r', 'k', 9, 0,
+  /* 2309 */ 'x', 'r', 'k', 9, 0,
+  /* 2314 */ 'l', 'a', 'a', 'l', 9, 0,
+  /* 2320 */ 'l', 'o', 'c', 'l', 9, 0,
+  /* 2326 */ 's', 't', 'o', 'c', 'l', 9, 0,
+  /* 2333 */ 'b', 'r', 'c', 'l', 9, 0,
+  /* 2339 */ 'd', 'l', 9, 0,
+  /* 2343 */ 'l', 'o', 'c', 'g', 'l', 9, 0,
+  /* 2350 */ 's', 't', 'o', 'c', 'g', 'l', 9, 0,
+  /* 2358 */ 'j', 'g', 'l', 9, 0,
+  /* 2363 */ 'i', 'i', 'h', 'l', 9, 0,
+  /* 2369 */ 'l', 'l', 'i', 'h', 'l', 9, 0,
+  /* 2376 */ 'n', 'i', 'h', 'l', 9, 0,
+  /* 2382 */ 'o', 'i', 'h', 'l', 9, 0,
+  /* 2388 */ 't', 'm', 'h', 'l', 9, 0,
+  /* 2394 */ 'c', 'i', 'j', 'l', 9, 0,
+  /* 2400 */ 'c', 'g', 'i', 'j', 'l', 9, 0,
+  /* 2407 */ 'c', 'l', 'g', 'i', 'j', 'l', 9, 0,
+  /* 2415 */ 'c', 'l', 'i', 'j', 'l', 9, 0,
+  /* 2422 */ 'c', 'r', 'j', 'l', 9, 0,
+  /* 2428 */ 'c', 'g', 'r', 'j', 'l', 9, 0,
+  /* 2435 */ 'c', 'l', 'g', 'r', 'j', 'l', 9, 0,
+  /* 2443 */ 'c', 'l', 'r', 'j', 'l', 9, 0,
+  /* 2450 */ 'i', 'i', 'l', 'l', 9, 0,
+  /* 2456 */ 'l', 'l', 'i', 'l', 'l', 9, 0,
+  /* 2463 */ 'n', 'i', 'l', 'l', 9, 0,
+  /* 2469 */ 'o', 'i', 'l', 'l', 9, 0,
+  /* 2475 */ 't', 'm', 'l', 'l', 9, 0,
+  /* 2481 */ 'r', 'l', 'l', 9, 0,
+  /* 2486 */ 's', 'l', 'l', 9, 0,
+  /* 2491 */ 'l', 'o', 'c', 'n', 'l', 9, 0,
+  /* 2498 */ 's', 't', 'o', 'c', 'n', 'l', 9, 0,
+  /* 2506 */ 'l', 'o', 'c', 'g', 'n', 'l', 9, 0,
+  /* 2514 */ 's', 't', 'o', 'c', 'g', 'n', 'l', 9, 0,
+  /* 2523 */ 'j', 'g', 'n', 'l', 9, 0,
+  /* 2529 */ 'c', 'i', 'j', 'n', 'l', 9, 0,
+  /* 2536 */ 'c', 'g', 'i', 'j', 'n', 'l', 9, 0,
+  /* 2544 */ 'c', 'l', 'g', 'i', 'j', 'n', 'l', 9, 0,
+  /* 2553 */ 'c', 'l', 'i', 'j', 'n', 'l', 9, 0,
+  /* 2561 */ 'c', 'r', 'j', 'n', 'l', 9, 0,
+  /* 2568 */ 'c', 'g', 'r', 'j', 'n', 'l', 9, 0,
+  /* 2576 */ 'c', 'l', 'g', 'r', 'j', 'n', 'l', 9, 0,
+  /* 2585 */ 'c', 'l', 'r', 'j', 'n', 'l', 9, 0,
+  /* 2593 */ 'l', 'o', 'c', 'r', 'n', 'l', 9, 0,
+  /* 2601 */ 'l', 'o', 'c', 'g', 'r', 'n', 'l', 9, 0,
+  /* 2610 */ 'l', 'a', 'r', 'l', 9, 0,
+  /* 2616 */ 'l', 'o', 'c', 'r', 'l', 9, 0,
+  /* 2623 */ 'p', 'f', 'd', 'r', 'l', 9, 0,
+  /* 2630 */ 'c', 'g', 'f', 'r', 'l', 9, 0,
+  /* 2637 */ 'c', 'l', 'g', 'f', 'r', 'l', 9, 0,
+  /* 2645 */ 'l', 'l', 'g', 'f', 'r', 'l', 9, 0,
+  /* 2653 */ 'l', 'o', 'c', 'g', 'r', 'l', 9, 0,
+  /* 2661 */ 'c', 'l', 'g', 'r', 'l', 9, 0,
+  /* 2668 */ 's', 't', 'g', 'r', 'l', 9, 0,
+  /* 2675 */ 'c', 'h', 'r', 'l', 9, 0,
+  /* 2681 */ 'c', 'g', 'h', 'r', 'l', 9, 0,
+  /* 2688 */ 'c', 'l', 'g', 'h', 'r', 'l', 9, 0,
+  /* 2696 */ 'l', 'l', 'g', 'h', 'r', 'l', 9, 0,
+  /* 2704 */ 'c', 'l', 'h', 'r', 'l', 9, 0,
+  /* 2711 */ 'l', 'l', 'h', 'r', 'l', 9, 0,
+  /* 2718 */ 's', 't', 'h', 'r', 'l', 9, 0,
+  /* 2725 */ 'c', 'l', 'r', 'l', 9, 0,
+  /* 2731 */ 's', 'r', 'l', 9, 0,
+  /* 2736 */ 's', 't', 'r', 'l', 9, 0,
+  /* 2742 */ 'b', 'r', 'a', 's', 'l', 9, 0,
+  /* 2749 */ 'i', 'p', 'm', 9, 0,
+  /* 2754 */ 't', 'm', 9, 0,
+  /* 2758 */ 'l', 'a', 'n', 9, 0,
+  /* 2763 */ 'l', 'a', 'o', 9, 0,
+  /* 2768 */ 'l', 'o', 'c', 'o', 9, 0,
+  /* 2774 */ 's', 't', 'o', 'c', 'o', 9, 0,
+  /* 2781 */ 'l', 'o', 'c', 'g', 'o', 9, 0,
+  /* 2788 */ 's', 't', 'o', 'c', 'g', 'o', 9, 0,
+  /* 2796 */ 'j', 'g', 'o', 9, 0,
+  /* 2801 */ 'j', 'o', 9, 0,
+  /* 2805 */ 'l', 'o', 'c', 'n', 'o', 9, 0,
+  /* 2812 */ 's', 't', 'o', 'c', 'n', 'o', 9, 0,
+  /* 2820 */ 'l', 'o', 'c', 'g', 'n', 'o', 9, 0,
+  /* 2828 */ 's', 't', 'o', 'c', 'g', 'n', 'o', 9, 0,
+  /* 2837 */ 'j', 'g', 'n', 'o', 9, 0,
+  /* 2843 */ 'j', 'n', 'o', 9, 0,
+  /* 2848 */ 'l', 'o', 'c', 'r', 'n', 'o', 9, 0,
+  /* 2856 */ 'l', 'o', 'c', 'g', 'r', 'n', 'o', 9, 0,
+  /* 2865 */ 'l', 'o', 'c', 'r', 'o', 9, 0,
+  /* 2872 */ 'l', 'o', 'c', 'g', 'r', 'o', 9, 0,
+  /* 2880 */ 'e', 'a', 'r', 9, 0,
+  /* 2885 */ 'm', 'a', 'd', 'b', 'r', 9, 0,
+  /* 2892 */ 'l', 'c', 'd', 'b', 'r', 9, 0,
+  /* 2899 */ 'd', 'd', 'b', 'r', 9, 0,
+  /* 2905 */ 'l', 'e', 'd', 'b', 'r', 9, 0,
+  /* 2912 */ 'c', 'f', 'd', 'b', 'r', 9, 0,
+  /* 2919 */ 'c', 'l', 'f', 'd', 'b', 'r', 9, 0,
+  /* 2927 */ 'c', 'g', 'd', 'b', 'r', 9, 0,
+  /* 2934 */ 'c', 'l', 'g', 'd', 'b', 'r', 9, 0,
+  /* 2942 */ 'f', 'i', 'd', 'b', 'r', 9, 0,
+  /* 2949 */ 'm', 'd', 'b', 'r', 9, 0,
+  /* 2955 */ 'l', 'n', 'd', 'b', 'r', 9, 0,
+  /* 2962 */ 'l', 'p', 'd', 'b', 'r', 9, 0,
+  /* 2969 */ 's', 'q', 'd', 'b', 'r', 9, 0,
+  /* 2976 */ 'm', 's', 'd', 'b', 'r', 9, 0,
+  /* 2983 */ 'l', 't', 'd', 'b', 'r', 9, 0,
+  /* 2990 */ 'l', 'x', 'd', 'b', 'r', 9, 0,
+  /* 2997 */ 'm', 'x', 'd', 'b', 'r', 9, 0,
+  /* 3004 */ 'm', 'a', 'e', 'b', 'r', 9, 0,
+  /* 3011 */ 'l', 'c', 'e', 'b', 'r', 9, 0,
+  /* 3018 */ 'l', 'd', 'e', 'b', 'r', 9, 0,
+  /* 3025 */ 'm', 'd', 'e', 'b', 'r', 9, 0,
+  /* 3032 */ 'm', 'e', 'e', 'b', 'r', 9, 0,
+  /* 3039 */ 'c', 'f', 'e', 'b', 'r', 9, 0,
+  /* 3046 */ 'c', 'l', 'f', 'e', 'b', 'r', 9, 0,
+  /* 3054 */ 'c', 'g', 'e', 'b', 'r', 9, 0,
+  /* 3061 */ 'c', 'l', 'g', 'e', 'b', 'r', 9, 0,
+  /* 3069 */ 'f', 'i', 'e', 'b', 'r', 9, 0,
+  /* 3076 */ 'l', 'n', 'e', 'b', 'r', 9, 0,
+  /* 3083 */ 'l', 'p', 'e', 'b', 'r', 9, 0,
+  /* 3090 */ 's', 'q', 'e', 'b', 'r', 9, 0,
+  /* 3097 */ 'm', 's', 'e', 'b', 'r', 9, 0,
+  /* 3104 */ 'l', 't', 'e', 'b', 'r', 9, 0,
+  /* 3111 */ 'l', 'x', 'e', 'b', 'r', 9, 0,
+  /* 3118 */ 'c', 'd', 'f', 'b', 'r', 9, 0,
+  /* 3125 */ 'c', 'e', 'f', 'b', 'r', 9, 0,
+  /* 3132 */ 'c', 'd', 'l', 'f', 'b', 'r', 9, 0,
+  /* 3140 */ 'c', 'e', 'l', 'f', 'b', 'r', 9, 0,
+  /* 3148 */ 'c', 'x', 'l', 'f', 'b', 'r', 9, 0,
+  /* 3156 */ 'c', 'x', 'f', 'b', 'r', 9, 0,
+  /* 3163 */ 'c', 'd', 'g', 'b', 'r', 9, 0,
+  /* 3170 */ 'c', 'e', 'g', 'b', 'r', 9, 0,
+  /* 3177 */ 'c', 'd', 'l', 'g', 'b', 'r', 9, 0,
+  /* 3185 */ 'c', 'e', 'l', 'g', 'b', 'r', 9, 0,
+  /* 3193 */ 'c', 'x', 'l', 'g', 'b', 'r', 9, 0,
+  /* 3201 */ 'c', 'x', 'g', 'b', 'r', 9, 0,
+  /* 3208 */ 's', 'l', 'b', 'r', 9, 0,
+  /* 3214 */ 'a', 'x', 'b', 'r', 9, 0,
+  /* 3220 */ 'l', 'c', 'x', 'b', 'r', 9, 0,
+  /* 3227 */ 'l', 'd', 'x', 'b', 'r', 9, 0,
+  /* 3234 */ 'l', 'e', 'x', 'b', 'r', 9, 0,
+  /* 3241 */ 'c', 'f', 'x', 'b', 'r', 9, 0,
+  /* 3248 */ 'c', 'l', 'f', 'x', 'b', 'r', 9, 0,
+  /* 3256 */ 'c', 'g', 'x', 'b', 'r', 9, 0,
+  /* 3263 */ 'c', 'l', 'g', 'x', 'b', 'r', 9, 0,
+  /* 3271 */ 'f', 'i', 'x', 'b', 'r', 9, 0,
+  /* 3278 */ 'm', 'x', 'b', 'r', 9, 0,
+  /* 3284 */ 'l', 'n', 'x', 'b', 'r', 9, 0,
+  /* 3291 */ 'l', 'p', 'x', 'b', 'r', 9, 0,
+  /* 3298 */ 's', 'q', 'x', 'b', 'r', 9, 0,
+  /* 3305 */ 's', 'x', 'b', 'r', 9, 0,
+  /* 3311 */ 'l', 't', 'x', 'b', 'r', 9, 0,
+  /* 3318 */ 'b', 'c', 'r', 9, 0,
+  /* 3323 */ 'l', 'l', 'g', 'c', 'r', 9, 0,
+  /* 3330 */ 'a', 'l', 'c', 'r', 9, 0,
+  /* 3336 */ 'l', 'l', 'c', 'r', 9, 0,
+  /* 3342 */ 'l', 'o', 'c', 'r', 9, 0,
+  /* 3348 */ 'l', 'g', 'd', 'r', 9, 0,
+  /* 3354 */ 'l', 'd', 'r', 9, 0,
+  /* 3359 */ 'c', 'p', 's', 'd', 'r', 9, 0,
+  /* 3366 */ 'l', 'z', 'd', 'r', 9, 0,
+  /* 3372 */ 'b', 'e', 'r', 9, 0,
+  /* 3377 */ 'b', 'h', 'e', 'r', 9, 0,
+  /* 3383 */ 'b', 'n', 'h', 'e', 'r', 9, 0,
+  /* 3390 */ 'b', 'l', 'e', 'r', 9, 0,
+  /* 3396 */ 'b', 'n', 'l', 'e', 'r', 9, 0,
+  /* 3403 */ 'b', 'n', 'e', 'r', 9, 0,
+  /* 3409 */ 'l', 'z', 'e', 'r', 9, 0,
+  /* 3415 */ 'a', 'g', 'f', 'r', 9, 0,
+  /* 3421 */ 'l', 'c', 'g', 'f', 'r', 9, 0,
+  /* 3428 */ 'a', 'l', 'g', 'f', 'r', 9, 0,
+  /* 3435 */ 'c', 'l', 'g', 'f', 'r', 9, 0,
+  /* 3442 */ 'l', 'l', 'g', 'f', 'r', 9, 0,
+  /* 3449 */ 's', 'l', 'g', 'f', 'r', 9, 0,
+  /* 3456 */ 'l', 'n', 'g', 'f', 'r', 9, 0,
+  /* 3463 */ 'l', 'p', 'g', 'f', 'r', 9, 0,
+  /* 3470 */ 'd', 's', 'g', 'f', 'r', 9, 0,
+  /* 3477 */ 'm', 's', 'g', 'f', 'r', 9, 0,
+  /* 3484 */ 'l', 't', 'g', 'f', 'r', 9, 0,
+  /* 3491 */ 'a', 'g', 'r', 9, 0,
+  /* 3496 */ 's', 'l', 'b', 'g', 'r', 9, 0,
+  /* 3503 */ 'a', 'l', 'c', 'g', 'r', 9, 0,
+  /* 3510 */ 'l', 'o', 'c', 'g', 'r', 9, 0,
+  /* 3517 */ 'l', 'd', 'g', 'r', 9, 0,
+  /* 3523 */ 'a', 'l', 'g', 'r', 9, 0,
+  /* 3529 */ 'c', 'l', 'g', 'r', 9, 0,
+  /* 3535 */ 'd', 'l', 'g', 'r', 9, 0,
+  /* 3541 */ 'm', 'l', 'g', 'r', 9, 0,
+  /* 3547 */ 's', 'l', 'g', 'r', 9, 0,
+  /* 3553 */ 'l', 'n', 'g', 'r', 9, 0,
+  /* 3559 */ 'f', 'l', 'o', 'g', 'r', 9, 0,
+  /* 3566 */ 'l', 'p', 'g', 'r', 9, 0,
+  /* 3572 */ 'd', 's', 'g', 'r', 9, 0,
+  /* 3578 */ 'm', 's', 'g', 'r', 9, 0,
+  /* 3584 */ 'l', 't', 'g', 'r', 9, 0,
+  /* 3590 */ 'l', 'r', 'v', 'g', 'r', 9, 0,
+  /* 3597 */ 'x', 'g', 'r', 9, 0,
+  /* 3602 */ 'b', 'h', 'r', 9, 0,
+  /* 3607 */ 'l', 'l', 'g', 'h', 'r', 9, 0,
+  /* 3614 */ 'b', 'l', 'h', 'r', 9, 0,
+  /* 3620 */ 'l', 'l', 'h', 'r', 9, 0,
+  /* 3626 */ 'b', 'n', 'l', 'h', 'r', 9, 0,
+  /* 3633 */ 'b', 'n', 'h', 'r', 9, 0,
+  /* 3639 */ 'a', 'l', 'r', 9, 0,
+  /* 3644 */ 'b', 'l', 'r', 9, 0,
+  /* 3649 */ 'c', 'l', 'r', 9, 0,
+  /* 3654 */ 'd', 'l', 'r', 9, 0,
+  /* 3659 */ 'b', 'n', 'l', 'r', 9, 0,
+  /* 3665 */ 's', 'l', 'r', 9, 0,
+  /* 3670 */ 'l', 'n', 'r', 9, 0,
+  /* 3675 */ 'b', 'o', 'r', 9, 0,
+  /* 3680 */ 'b', 'n', 'o', 'r', 9, 0,
+  /* 3686 */ 'l', 'p', 'r', 9, 0,
+  /* 3691 */ 'b', 'a', 's', 'r', 9, 0,
+  /* 3697 */ 'm', 's', 'r', 9, 0,
+  /* 3702 */ 'l', 't', 'r', 9, 0,
+  /* 3707 */ 'l', 'r', 'v', 'r', 9, 0,
+  /* 3713 */ 'l', 'x', 'r', 9, 0,
+  /* 3718 */ 'l', 'z', 'x', 'r', 9, 0,
+  /* 3724 */ 'b', 'r', 'a', 's', 9, 0,
+  /* 3730 */ 'c', 's', 9, 0,
+  /* 3734 */ 'm', 's', 9, 0,
+  /* 3738 */ 'b', 'r', 'c', 't', 9, 0,
+  /* 3744 */ 'l', 't', 9, 0,
+  /* 3748 */ 'c', 'l', 's', 't', 9, 0,
+  /* 3754 */ 's', 'r', 's', 't', 9, 0,
+  /* 3760 */ 'm', 'v', 's', 't', 9, 0,
+  /* 3766 */ 'l', 'r', 'v', 9, 0,
+  /* 3771 */ 's', 't', 'r', 'v', 9, 0,
+  /* 3777 */ 'l', 'a', 'x', 9, 0,
+  /* 3782 */ 'l', 'a', 'y', 9, 0,
+  /* 3787 */ 'i', 'c', 'y', 9, 0,
+  /* 3792 */ 's', 't', 'c', 'y', 9, 0,
+  /* 3798 */ 'l', 'd', 'y', 9, 0,
+  /* 3803 */ 's', 't', 'd', 'y', 9, 0,
+  /* 3809 */ 'l', 'e', 'y', 9, 0,
+  /* 3814 */ 's', 't', 'e', 'y', 9, 0,
+  /* 3820 */ 'a', 'h', 'y', 9, 0,
+  /* 3825 */ 'c', 'h', 'y', 9, 0,
+  /* 3830 */ 'l', 'h', 'y', 9, 0,
+  /* 3835 */ 'm', 'h', 'y', 9, 0,
+  /* 3840 */ 's', 'h', 'y', 9, 0,
+  /* 3845 */ 's', 't', 'h', 'y', 9, 0,
+  /* 3851 */ 'c', 'l', 'i', 'y', 9, 0,
+  /* 3857 */ 'n', 'i', 'y', 9, 0,
+  /* 3862 */ 'o', 'i', 'y', 9, 0,
+  /* 3867 */ 'm', 'v', 'i', 'y', 9, 0,
+  /* 3873 */ 'x', 'i', 'y', 9, 0,
+  /* 3878 */ 'a', 'l', 'y', 9, 0,
+  /* 3883 */ 'c', 'l', 'y', 9, 0,
+  /* 3888 */ 's', 'l', 'y', 9, 0,
+  /* 3893 */ 't', 'm', 'y', 9, 0,
+  /* 3898 */ 'n', 'y', 9, 0,
+  /* 3902 */ 'o', 'y', 9, 0,
+  /* 3906 */ 'c', 's', 'y', 9, 0,
+  /* 3911 */ 'm', 's', 'y', 9, 0,
+  /* 3916 */ 's', 't', 'y', 9, 0,
+  /* 3921 */ 'x', 'y', 9, 0,
+  /* 3925 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 3938 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 3945 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 3955 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 3970 */ 'l', 'o', 'c', 0,
+  /* 3974 */ 's', 't', 'o', 'c', 0,
+  /* 3979 */ 'l', 'o', 'c', 'g', 0,
+  /* 3984 */ 's', 't', 'o', 'c', 'g', 0,
+  /* 3990 */ 'j', 'g', 0,
+  /* 3993 */ 'c', 'i', 'j', 0,
+  /* 3997 */ 'c', 'g', 'i', 'j', 0,
+  /* 4002 */ 'c', 'l', 'g', 'i', 'j', 0,
+  /* 4008 */ 'c', 'l', 'i', 'j', 0,
+  /* 4013 */ 'c', 'r', 'j', 0,
+  /* 4017 */ 'c', 'g', 'r', 'j', 0,
+  /* 4022 */ 'c', 'l', 'g', 'r', 'j', 0,
+  /* 4028 */ 'c', 'l', 'r', 'j', 0,
+  /* 4033 */ 'l', 'o', 'c', 'r', 0,
+  /* 4038 */ 'l', 'o', 'c', 'g', 'r', 0,
   };
 #endif
 
@@ -1902,7 +1909,7 @@
 /// for the specified register.
 static const char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 119 && "Invalid register number!");
+  // assert(RegNo && RegNo < 98 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static const char AsmStrs[] = {
diff --git a/arch/SystemZ/SystemZGenDisassemblerTables.inc b/arch/SystemZ/SystemZGenDisassemblerTables.inc
index 761187c..b6034d0 100644
--- a/arch/SystemZ/SystemZGenDisassemblerTables.inc
+++ b/arch/SystemZ/SystemZGenDisassemblerTables.inc
@@ -29,70 +29,70 @@
 /* 3 */       MCD_OPC_FilterValue, 7, 127, 0, // Skip to: 134
 /* 7 */       MCD_OPC_ExtractField, 4, 4,  // Inst{7-4} ...
 /* 10 */      MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 18
-/* 14 */      MCD_OPC_Decode, 241, 2, 0, // Opcode: AsmOBR
+/* 14 */      MCD_OPC_Decode, 242, 2, 0, // Opcode: AsmOBR
 /* 18 */      MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 26
-/* 22 */      MCD_OPC_Decode, 161, 1, 0, // Opcode: AsmHBR
+/* 22 */      MCD_OPC_Decode, 162, 1, 0, // Opcode: AsmHBR
 /* 26 */      MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 34
-/* 30 */      MCD_OPC_Decode, 206, 2, 0, // Opcode: AsmNLEBR
+/* 30 */      MCD_OPC_Decode, 207, 2, 0, // Opcode: AsmNLEBR
 /* 34 */      MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 42
-/* 38 */      MCD_OPC_Decode, 147, 2, 0, // Opcode: AsmLBR
+/* 38 */      MCD_OPC_Decode, 148, 2, 0, // Opcode: AsmLBR
 /* 42 */      MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 50
-/* 46 */      MCD_OPC_Decode, 188, 2, 0, // Opcode: AsmNHEBR
+/* 46 */      MCD_OPC_Decode, 189, 2, 0, // Opcode: AsmNHEBR
 /* 50 */      MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 58
-/* 54 */      MCD_OPC_Decode, 157, 2, 0, // Opcode: AsmLHBR
+/* 54 */      MCD_OPC_Decode, 158, 2, 0, // Opcode: AsmLHBR
 /* 58 */      MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 66
-/* 62 */      MCD_OPC_Decode, 178, 2, 0, // Opcode: AsmNEBR
+/* 62 */      MCD_OPC_Decode, 179, 2, 0, // Opcode: AsmNEBR
 /* 66 */      MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 74
-/* 70 */      MCD_OPC_Decode, 152, 1, 0, // Opcode: AsmEBR
+/* 70 */      MCD_OPC_Decode, 153, 1, 0, // Opcode: AsmEBR
 /* 74 */      MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 82
-/* 78 */      MCD_OPC_Decode, 215, 2, 0, // Opcode: AsmNLHBR
+/* 78 */      MCD_OPC_Decode, 216, 2, 0, // Opcode: AsmNLHBR
 /* 82 */      MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 90
-/* 86 */      MCD_OPC_Decode, 162, 1, 0, // Opcode: AsmHEBR
+/* 86 */      MCD_OPC_Decode, 163, 1, 0, // Opcode: AsmHEBR
 /* 90 */      MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 98
-/* 94 */      MCD_OPC_Decode, 205, 2, 0, // Opcode: AsmNLBR
+/* 94 */      MCD_OPC_Decode, 206, 2, 0, // Opcode: AsmNLBR
 /* 98 */      MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 106
-/* 102 */     MCD_OPC_Decode, 148, 2, 0, // Opcode: AsmLEBR
+/* 102 */     MCD_OPC_Decode, 149, 2, 0, // Opcode: AsmLEBR
 /* 106 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 114
-/* 110 */     MCD_OPC_Decode, 187, 2, 0, // Opcode: AsmNHBR
+/* 110 */     MCD_OPC_Decode, 188, 2, 0, // Opcode: AsmNHBR
 /* 114 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 122
-/* 118 */     MCD_OPC_Decode, 232, 2, 0, // Opcode: AsmNOBR
+/* 118 */     MCD_OPC_Decode, 233, 2, 0, // Opcode: AsmNOBR
 /* 122 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 130
-/* 126 */     MCD_OPC_Decode, 253, 2, 0, // Opcode: BR
-/* 130 */     MCD_OPC_Decode, 141, 1, 1, // Opcode: AsmBCR
+/* 126 */     MCD_OPC_Decode, 254, 2, 0, // Opcode: BR
+/* 130 */     MCD_OPC_Decode, 142, 1, 1, // Opcode: AsmBCR
 /* 134 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 142
-/* 138 */     MCD_OPC_Decode, 252, 2, 2, // Opcode: BASR
+/* 138 */     MCD_OPC_Decode, 253, 2, 2, // Opcode: BASR
 /* 142 */     MCD_OPC_FilterValue, 16, 4, 0, // Skip to: 150
-/* 146 */     MCD_OPC_Decode, 138, 5, 3, // Opcode: LPR
+/* 146 */     MCD_OPC_Decode, 142, 5, 3, // Opcode: LPR
 /* 150 */     MCD_OPC_FilterValue, 17, 4, 0, // Skip to: 158
-/* 154 */     MCD_OPC_Decode, 128, 5, 3, // Opcode: LNR
+/* 154 */     MCD_OPC_Decode, 132, 5, 3, // Opcode: LNR
 /* 158 */     MCD_OPC_FilterValue, 18, 4, 0, // Skip to: 166
-/* 162 */     MCD_OPC_Decode, 156, 5, 3, // Opcode: LTR
+/* 162 */     MCD_OPC_Decode, 160, 5, 3, // Opcode: LTR
 /* 166 */     MCD_OPC_FilterValue, 19, 4, 0, // Skip to: 174
-/* 170 */     MCD_OPC_Decode, 188, 4, 3, // Opcode: LCR
+/* 170 */     MCD_OPC_Decode, 189, 4, 3, // Opcode: LCR
 /* 174 */     MCD_OPC_FilterValue, 20, 4, 0, // Skip to: 182
-/* 178 */     MCD_OPC_Decode, 235, 5, 4, // Opcode: NR
+/* 178 */     MCD_OPC_Decode, 239, 5, 4, // Opcode: NR
 /* 182 */     MCD_OPC_FilterValue, 21, 4, 0, // Skip to: 190
-/* 186 */     MCD_OPC_Decode, 207, 3, 3, // Opcode: CLR
+/* 186 */     MCD_OPC_Decode, 208, 3, 3, // Opcode: CLR
 /* 190 */     MCD_OPC_FilterValue, 22, 4, 0, // Skip to: 198
-/* 194 */     MCD_OPC_Decode, 134, 6, 4, // Opcode: OR
+/* 194 */     MCD_OPC_Decode, 138, 6, 4, // Opcode: OR
 /* 198 */     MCD_OPC_FilterValue, 23, 4, 0, // Skip to: 206
-/* 202 */     MCD_OPC_Decode, 133, 7, 4, // Opcode: XR
+/* 202 */     MCD_OPC_Decode, 137, 7, 4, // Opcode: XR
 /* 206 */     MCD_OPC_FilterValue, 24, 4, 0, // Skip to: 214
-/* 210 */     MCD_OPC_Decode, 140, 5, 3, // Opcode: LR
+/* 210 */     MCD_OPC_Decode, 144, 5, 3, // Opcode: LR
 /* 214 */     MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 222
-/* 218 */     MCD_OPC_Decode, 218, 3, 3, // Opcode: CR
+/* 218 */     MCD_OPC_Decode, 219, 3, 3, // Opcode: CR
 /* 222 */     MCD_OPC_FilterValue, 26, 3, 0, // Skip to: 229
-/* 226 */     MCD_OPC_Decode, 63, 4, // Opcode: AR
+/* 226 */     MCD_OPC_Decode, 64, 4, // Opcode: AR
 /* 229 */     MCD_OPC_FilterValue, 27, 4, 0, // Skip to: 237
-/* 233 */     MCD_OPC_Decode, 189, 6, 4, // Opcode: SR
+/* 233 */     MCD_OPC_Decode, 193, 6, 4, // Opcode: SR
 /* 237 */     MCD_OPC_FilterValue, 30, 3, 0, // Skip to: 244
-/* 241 */     MCD_OPC_Decode, 60, 4, // Opcode: ALR
+/* 241 */     MCD_OPC_Decode, 61, 4, // Opcode: ALR
 /* 244 */     MCD_OPC_FilterValue, 31, 4, 0, // Skip to: 252
-/* 248 */     MCD_OPC_Decode, 181, 6, 4, // Opcode: SLR
+/* 248 */     MCD_OPC_Decode, 185, 6, 4, // Opcode: SLR
 /* 252 */     MCD_OPC_FilterValue, 40, 4, 0, // Skip to: 260
-/* 256 */     MCD_OPC_Decode, 194, 4, 5, // Opcode: LDR
+/* 256 */     MCD_OPC_Decode, 195, 4, 5, // Opcode: LDR
 /* 260 */     MCD_OPC_FilterValue, 56, 4, 0, // Skip to: 268
-/* 264 */     MCD_OPC_Decode, 199, 4, 6, // Opcode: LER
+/* 264 */     MCD_OPC_Decode, 202, 4, 6, // Opcode: LER
 /* 268 */     MCD_OPC_Fail,
   0
 };
@@ -100,730 +100,736 @@
 static uint8_t DecoderTable32[] = {
 /* 0 */       MCD_OPC_ExtractField, 24, 8,  // Inst{31-24} ...
 /* 3 */       MCD_OPC_FilterValue, 64, 4, 0, // Skip to: 11
-/* 7 */       MCD_OPC_Decode, 212, 6, 7, // Opcode: STH
+/* 7 */       MCD_OPC_Decode, 216, 6, 7, // Opcode: STH
 /* 11 */      MCD_OPC_FilterValue, 65, 4, 0, // Skip to: 19
-/* 15 */      MCD_OPC_Decode, 167, 4, 8, // Opcode: LA
+/* 15 */      MCD_OPC_Decode, 168, 4, 8, // Opcode: LA
 /* 19 */      MCD_OPC_FilterValue, 66, 4, 0, // Skip to: 27
-/* 23 */      MCD_OPC_Decode, 201, 6, 7, // Opcode: STC
+/* 23 */      MCD_OPC_Decode, 205, 6, 7, // Opcode: STC
 /* 27 */      MCD_OPC_FilterValue, 67, 4, 0, // Skip to: 35
-/* 31 */      MCD_OPC_Decode, 143, 4, 9, // Opcode: IC
+/* 31 */      MCD_OPC_Decode, 144, 4, 9, // Opcode: IC
 /* 35 */      MCD_OPC_FilterValue, 72, 4, 0, // Skip to: 43
-/* 39 */      MCD_OPC_Decode, 217, 4, 7, // Opcode: LH
+/* 39 */      MCD_OPC_Decode, 221, 4, 7, // Opcode: LH
 /* 43 */      MCD_OPC_FilterValue, 73, 4, 0, // Skip to: 51
-/* 47 */      MCD_OPC_Decode, 166, 3, 7, // Opcode: CH
+/* 47 */      MCD_OPC_Decode, 167, 3, 7, // Opcode: CH
 /* 51 */      MCD_OPC_FilterValue, 74, 3, 0, // Skip to: 58
-/* 55 */      MCD_OPC_Decode, 39, 10, // Opcode: AH
+/* 55 */      MCD_OPC_Decode, 40, 10, // Opcode: AH
 /* 58 */      MCD_OPC_FilterValue, 75, 4, 0, // Skip to: 66
-/* 62 */      MCD_OPC_Decode, 164, 6, 10, // Opcode: SH
+/* 62 */      MCD_OPC_Decode, 168, 6, 10, // Opcode: SH
 /* 66 */      MCD_OPC_FilterValue, 76, 4, 0, // Skip to: 74
-/* 70 */      MCD_OPC_Decode, 180, 5, 10, // Opcode: MH
+/* 70 */      MCD_OPC_Decode, 184, 5, 10, // Opcode: MH
 /* 74 */      MCD_OPC_FilterValue, 80, 4, 0, // Skip to: 82
-/* 78 */      MCD_OPC_Decode, 199, 6, 7, // Opcode: ST
+/* 78 */      MCD_OPC_Decode, 203, 6, 7, // Opcode: ST
 /* 82 */      MCD_OPC_FilterValue, 84, 4, 0, // Skip to: 90
-/* 86 */      MCD_OPC_Decode, 211, 5, 10, // Opcode: N
+/* 86 */      MCD_OPC_Decode, 215, 5, 10, // Opcode: N
 /* 90 */      MCD_OPC_FilterValue, 85, 4, 0, // Skip to: 98
-/* 94 */      MCD_OPC_Decode, 175, 3, 7, // Opcode: CL
+/* 94 */      MCD_OPC_Decode, 176, 3, 7, // Opcode: CL
 /* 98 */      MCD_OPC_FilterValue, 86, 4, 0, // Skip to: 106
-/* 102 */     MCD_OPC_Decode, 238, 5, 10, // Opcode: O
+/* 102 */     MCD_OPC_Decode, 242, 5, 10, // Opcode: O
 /* 106 */     MCD_OPC_FilterValue, 87, 4, 0, // Skip to: 114
-/* 110 */     MCD_OPC_Decode, 247, 6, 10, // Opcode: X
+/* 110 */     MCD_OPC_Decode, 251, 6, 10, // Opcode: X
 /* 114 */     MCD_OPC_FilterValue, 88, 4, 0, // Skip to: 122
-/* 118 */     MCD_OPC_Decode, 165, 4, 7, // Opcode: L
+/* 118 */     MCD_OPC_Decode, 166, 4, 7, // Opcode: L
 /* 122 */     MCD_OPC_FilterValue, 89, 4, 0, // Skip to: 130
-/* 126 */     MCD_OPC_Decode, 132, 3, 7, // Opcode: C
+/* 126 */     MCD_OPC_Decode, 133, 3, 7, // Opcode: C
 /* 130 */     MCD_OPC_FilterValue, 90, 3, 0, // Skip to: 137
-/* 134 */     MCD_OPC_Decode, 19, 10, // Opcode: A
+/* 134 */     MCD_OPC_Decode, 20, 10, // Opcode: A
 /* 137 */     MCD_OPC_FilterValue, 91, 4, 0, // Skip to: 145
-/* 141 */     MCD_OPC_Decode, 154, 6, 10, // Opcode: S
+/* 141 */     MCD_OPC_Decode, 158, 6, 10, // Opcode: S
 /* 145 */     MCD_OPC_FilterValue, 94, 3, 0, // Skip to: 152
-/* 149 */     MCD_OPC_Decode, 46, 10, // Opcode: AL
+/* 149 */     MCD_OPC_Decode, 47, 10, // Opcode: AL
 /* 152 */     MCD_OPC_FilterValue, 95, 4, 0, // Skip to: 160
-/* 156 */     MCD_OPC_Decode, 166, 6, 10, // Opcode: SL
+/* 156 */     MCD_OPC_Decode, 170, 6, 10, // Opcode: SL
 /* 160 */     MCD_OPC_FilterValue, 96, 4, 0, // Skip to: 168
-/* 164 */     MCD_OPC_Decode, 205, 6, 11, // Opcode: STD
+/* 164 */     MCD_OPC_Decode, 209, 6, 11, // Opcode: STD
 /* 168 */     MCD_OPC_FilterValue, 104, 4, 0, // Skip to: 176
-/* 172 */     MCD_OPC_Decode, 190, 4, 11, // Opcode: LD
+/* 172 */     MCD_OPC_Decode, 191, 4, 11, // Opcode: LD
 /* 176 */     MCD_OPC_FilterValue, 112, 4, 0, // Skip to: 184
-/* 180 */     MCD_OPC_Decode, 207, 6, 12, // Opcode: STE
+/* 180 */     MCD_OPC_Decode, 211, 6, 12, // Opcode: STE
 /* 184 */     MCD_OPC_FilterValue, 113, 4, 0, // Skip to: 192
-/* 188 */     MCD_OPC_Decode, 185, 5, 10, // Opcode: MS
+/* 188 */     MCD_OPC_Decode, 189, 5, 10, // Opcode: MS
 /* 192 */     MCD_OPC_FilterValue, 120, 4, 0, // Skip to: 200
-/* 196 */     MCD_OPC_Decode, 197, 4, 12, // Opcode: LE
+/* 196 */     MCD_OPC_Decode, 199, 4, 12, // Opcode: LE
 /* 200 */     MCD_OPC_FilterValue, 136, 1, 10, 0, // Skip to: 215
-/* 205 */     MCD_OPC_CheckField, 16, 4, 0, 194, 11, // Skip to: 3221
-/* 211 */     MCD_OPC_Decode, 194, 6, 13, // Opcode: SRL
+/* 205 */     MCD_OPC_CheckField, 16, 4, 0, 218, 11, // Skip to: 3245
+/* 211 */     MCD_OPC_Decode, 198, 6, 13, // Opcode: SRL
 /* 215 */     MCD_OPC_FilterValue, 137, 1, 10, 0, // Skip to: 230
-/* 220 */     MCD_OPC_CheckField, 16, 4, 0, 179, 11, // Skip to: 3221
-/* 226 */     MCD_OPC_Decode, 178, 6, 13, // Opcode: SLL
+/* 220 */     MCD_OPC_CheckField, 16, 4, 0, 203, 11, // Skip to: 3245
+/* 226 */     MCD_OPC_Decode, 182, 6, 13, // Opcode: SLL
 /* 230 */     MCD_OPC_FilterValue, 138, 1, 10, 0, // Skip to: 245
-/* 235 */     MCD_OPC_CheckField, 16, 4, 0, 164, 11, // Skip to: 3221
-/* 241 */     MCD_OPC_Decode, 190, 6, 13, // Opcode: SRA
+/* 235 */     MCD_OPC_CheckField, 16, 4, 0, 188, 11, // Skip to: 3245
+/* 241 */     MCD_OPC_Decode, 194, 6, 13, // Opcode: SRA
 /* 245 */     MCD_OPC_FilterValue, 145, 1, 4, 0, // Skip to: 254
-/* 250 */     MCD_OPC_Decode, 235, 6, 14, // Opcode: TM
+/* 250 */     MCD_OPC_Decode, 239, 6, 14, // Opcode: TM
 /* 254 */     MCD_OPC_FilterValue, 146, 1, 4, 0, // Skip to: 263
-/* 259 */     MCD_OPC_Decode, 204, 5, 14, // Opcode: MVI
+/* 259 */     MCD_OPC_Decode, 208, 5, 14, // Opcode: MVI
 /* 263 */     MCD_OPC_FilterValue, 148, 1, 4, 0, // Skip to: 272
-/* 268 */     MCD_OPC_Decode, 218, 5, 14, // Opcode: NI
+/* 268 */     MCD_OPC_Decode, 222, 5, 14, // Opcode: NI
 /* 272 */     MCD_OPC_FilterValue, 149, 1, 4, 0, // Skip to: 281
-/* 277 */     MCD_OPC_Decode, 202, 3, 14, // Opcode: CLI
+/* 277 */     MCD_OPC_Decode, 203, 3, 14, // Opcode: CLI
 /* 281 */     MCD_OPC_FilterValue, 150, 1, 4, 0, // Skip to: 290
-/* 286 */     MCD_OPC_Decode, 245, 5, 14, // Opcode: OI
+/* 286 */     MCD_OPC_Decode, 249, 5, 14, // Opcode: OI
 /* 290 */     MCD_OPC_FilterValue, 151, 1, 4, 0, // Skip to: 299
-/* 295 */     MCD_OPC_Decode, 254, 6, 14, // Opcode: XI
+/* 295 */     MCD_OPC_Decode, 130, 7, 14, // Opcode: XI
 /* 299 */     MCD_OPC_FilterValue, 165, 1, 131, 0, // Skip to: 435
 /* 304 */     MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 307 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 315
-/* 311 */     MCD_OPC_Decode, 150, 4, 15, // Opcode: IIHH
+/* 311 */     MCD_OPC_Decode, 151, 4, 15, // Opcode: IIHH
 /* 315 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 323
-/* 319 */     MCD_OPC_Decode, 152, 4, 15, // Opcode: IIHL
+/* 319 */     MCD_OPC_Decode, 153, 4, 15, // Opcode: IIHL
 /* 323 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 331
-/* 327 */     MCD_OPC_Decode, 157, 4, 16, // Opcode: IILH
+/* 327 */     MCD_OPC_Decode, 158, 4, 16, // Opcode: IILH
 /* 331 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 339
-/* 335 */     MCD_OPC_Decode, 159, 4, 16, // Opcode: IILL
+/* 335 */     MCD_OPC_Decode, 160, 4, 16, // Opcode: IILL
 /* 339 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 347
-/* 343 */     MCD_OPC_Decode, 222, 5, 15, // Opcode: NIHH
+/* 343 */     MCD_OPC_Decode, 226, 5, 15, // Opcode: NIHH
 /* 347 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 355
-/* 351 */     MCD_OPC_Decode, 224, 5, 15, // Opcode: NIHL
+/* 351 */     MCD_OPC_Decode, 228, 5, 15, // Opcode: NIHL
 /* 355 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 363
-/* 359 */     MCD_OPC_Decode, 229, 5, 16, // Opcode: NILH
+/* 359 */     MCD_OPC_Decode, 233, 5, 16, // Opcode: NILH
 /* 363 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 371
-/* 367 */     MCD_OPC_Decode, 231, 5, 16, // Opcode: NILL
+/* 367 */     MCD_OPC_Decode, 235, 5, 16, // Opcode: NILL
 /* 371 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 379
-/* 375 */     MCD_OPC_Decode, 249, 5, 15, // Opcode: OIHH
+/* 375 */     MCD_OPC_Decode, 253, 5, 15, // Opcode: OIHH
 /* 379 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 387
-/* 383 */     MCD_OPC_Decode, 251, 5, 15, // Opcode: OIHL
+/* 383 */     MCD_OPC_Decode, 255, 5, 15, // Opcode: OIHL
 /* 387 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 395
-/* 391 */     MCD_OPC_Decode, 128, 6, 16, // Opcode: OILH
+/* 391 */     MCD_OPC_Decode, 132, 6, 16, // Opcode: OILH
 /* 395 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 403
-/* 399 */     MCD_OPC_Decode, 130, 6, 16, // Opcode: OILL
+/* 399 */     MCD_OPC_Decode, 134, 6, 16, // Opcode: OILL
 /* 403 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 411
-/* 407 */     MCD_OPC_Decode, 245, 4, 17, // Opcode: LLIHH
+/* 407 */     MCD_OPC_Decode, 249, 4, 17, // Opcode: LLIHH
 /* 411 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 419
-/* 415 */     MCD_OPC_Decode, 246, 4, 17, // Opcode: LLIHL
+/* 415 */     MCD_OPC_Decode, 250, 4, 17, // Opcode: LLIHL
 /* 419 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 427
-/* 423 */     MCD_OPC_Decode, 248, 4, 17, // Opcode: LLILH
-/* 427 */     MCD_OPC_FilterValue, 15, 230, 10, // Skip to: 3221
-/* 431 */     MCD_OPC_Decode, 249, 4, 17, // Opcode: LLILL
+/* 423 */     MCD_OPC_Decode, 252, 4, 17, // Opcode: LLILH
+/* 427 */     MCD_OPC_FilterValue, 15, 254, 10, // Skip to: 3245
+/* 431 */     MCD_OPC_Decode, 253, 4, 17, // Opcode: LLILL
 /* 435 */     MCD_OPC_FilterValue, 167, 1, 252, 0, // Skip to: 692
 /* 440 */     MCD_OPC_ExtractField, 16, 4,  // Inst{19-16} ...
 /* 443 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 451
-/* 447 */     MCD_OPC_Decode, 241, 6, 18, // Opcode: TMLH
+/* 447 */     MCD_OPC_Decode, 245, 6, 18, // Opcode: TMLH
 /* 451 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 459
-/* 455 */     MCD_OPC_Decode, 243, 6, 18, // Opcode: TMLL
+/* 455 */     MCD_OPC_Decode, 247, 6, 18, // Opcode: TMLL
 /* 459 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 467
-/* 463 */     MCD_OPC_Decode, 236, 6, 19, // Opcode: TMHH
+/* 463 */     MCD_OPC_Decode, 240, 6, 19, // Opcode: TMHH
 /* 467 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 475
-/* 471 */     MCD_OPC_Decode, 238, 6, 19, // Opcode: TMHL
+/* 471 */     MCD_OPC_Decode, 242, 6, 19, // Opcode: TMHL
 /* 475 */     MCD_OPC_FilterValue, 4, 127, 0, // Skip to: 606
 /* 479 */     MCD_OPC_ExtractField, 20, 4,  // Inst{23-20} ...
 /* 482 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 490
-/* 486 */     MCD_OPC_Decode, 242, 2, 20, // Opcode: AsmOJ
+/* 486 */     MCD_OPC_Decode, 243, 2, 20, // Opcode: AsmOJ
 /* 490 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 498
-/* 494 */     MCD_OPC_Decode, 171, 1, 20, // Opcode: AsmHJ
+/* 494 */     MCD_OPC_Decode, 172, 1, 20, // Opcode: AsmHJ
 /* 498 */     MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 506
-/* 502 */     MCD_OPC_Decode, 207, 2, 20, // Opcode: AsmNLEJ
+/* 502 */     MCD_OPC_Decode, 208, 2, 20, // Opcode: AsmNLEJ
 /* 506 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 514
-/* 510 */     MCD_OPC_Decode, 166, 2, 20, // Opcode: AsmLJ
+/* 510 */     MCD_OPC_Decode, 167, 2, 20, // Opcode: AsmLJ
 /* 514 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 522
-/* 518 */     MCD_OPC_Decode, 189, 2, 20, // Opcode: AsmNHEJ
+/* 518 */     MCD_OPC_Decode, 190, 2, 20, // Opcode: AsmNHEJ
 /* 522 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 530
-/* 526 */     MCD_OPC_Decode, 158, 2, 20, // Opcode: AsmLHJ
+/* 526 */     MCD_OPC_Decode, 159, 2, 20, // Opcode: AsmLHJ
 /* 530 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 538
-/* 534 */     MCD_OPC_Decode, 179, 2, 20, // Opcode: AsmNEJ
+/* 534 */     MCD_OPC_Decode, 180, 2, 20, // Opcode: AsmNEJ
 /* 538 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 546
-/* 542 */     MCD_OPC_Decode, 153, 1, 20, // Opcode: AsmEJ
+/* 542 */     MCD_OPC_Decode, 154, 1, 20, // Opcode: AsmEJ
 /* 546 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 554
-/* 550 */     MCD_OPC_Decode, 216, 2, 20, // Opcode: AsmNLHJ
+/* 550 */     MCD_OPC_Decode, 217, 2, 20, // Opcode: AsmNLHJ
 /* 554 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 562
-/* 558 */     MCD_OPC_Decode, 163, 1, 20, // Opcode: AsmHEJ
+/* 558 */     MCD_OPC_Decode, 164, 1, 20, // Opcode: AsmHEJ
 /* 562 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 570
-/* 566 */     MCD_OPC_Decode, 224, 2, 20, // Opcode: AsmNLJ
+/* 566 */     MCD_OPC_Decode, 225, 2, 20, // Opcode: AsmNLJ
 /* 570 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 578
-/* 574 */     MCD_OPC_Decode, 149, 2, 20, // Opcode: AsmLEJ
+/* 574 */     MCD_OPC_Decode, 150, 2, 20, // Opcode: AsmLEJ
 /* 578 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 586
-/* 582 */     MCD_OPC_Decode, 197, 2, 20, // Opcode: AsmNHJ
+/* 582 */     MCD_OPC_Decode, 198, 2, 20, // Opcode: AsmNHJ
 /* 586 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 594
-/* 590 */     MCD_OPC_Decode, 233, 2, 20, // Opcode: AsmNOJ
+/* 590 */     MCD_OPC_Decode, 234, 2, 20, // Opcode: AsmNOJ
 /* 594 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 602
-/* 598 */     MCD_OPC_Decode, 163, 4, 20, // Opcode: J
-/* 602 */     MCD_OPC_Decode, 142, 1, 21, // Opcode: AsmBRC
+/* 598 */     MCD_OPC_Decode, 164, 4, 20, // Opcode: J
+/* 602 */     MCD_OPC_Decode, 143, 1, 21, // Opcode: AsmBRC
 /* 606 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 614
-/* 610 */     MCD_OPC_Decode, 254, 2, 22, // Opcode: BRAS
+/* 610 */     MCD_OPC_Decode, 255, 2, 22, // Opcode: BRAS
 /* 614 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 622
-/* 618 */     MCD_OPC_Decode, 130, 3, 23, // Opcode: BRCT
+/* 618 */     MCD_OPC_Decode, 131, 3, 23, // Opcode: BRCT
 /* 622 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 630
-/* 626 */     MCD_OPC_Decode, 131, 3, 24, // Opcode: BRCTG
+/* 626 */     MCD_OPC_Decode, 132, 3, 24, // Opcode: BRCTG
 /* 630 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 638
-/* 634 */     MCD_OPC_Decode, 219, 4, 25, // Opcode: LHI
+/* 634 */     MCD_OPC_Decode, 223, 4, 25, // Opcode: LHI
 /* 638 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 646
-/* 642 */     MCD_OPC_Decode, 212, 4, 26, // Opcode: LGHI
+/* 642 */     MCD_OPC_Decode, 216, 4, 26, // Opcode: LGHI
 /* 646 */     MCD_OPC_FilterValue, 10, 3, 0, // Skip to: 653
-/* 650 */     MCD_OPC_Decode, 40, 27, // Opcode: AHI
+/* 650 */     MCD_OPC_Decode, 41, 27, // Opcode: AHI
 /* 653 */     MCD_OPC_FilterValue, 11, 3, 0, // Skip to: 660
-/* 657 */     MCD_OPC_Decode, 34, 28, // Opcode: AGHI
+/* 657 */     MCD_OPC_Decode, 35, 28, // Opcode: AGHI
 /* 660 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 668
-/* 664 */     MCD_OPC_Decode, 181, 5, 27, // Opcode: MHI
+/* 664 */     MCD_OPC_Decode, 185, 5, 27, // Opcode: MHI
 /* 668 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 676
-/* 672 */     MCD_OPC_Decode, 179, 5, 28, // Opcode: MGHI
+/* 672 */     MCD_OPC_Decode, 183, 5, 28, // Opcode: MGHI
 /* 676 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 684
-/* 680 */     MCD_OPC_Decode, 169, 3, 25, // Opcode: CHI
-/* 684 */     MCD_OPC_FilterValue, 15, 229, 9, // Skip to: 3221
-/* 688 */     MCD_OPC_Decode, 158, 3, 26, // Opcode: CGHI
+/* 680 */     MCD_OPC_Decode, 170, 3, 25, // Opcode: CHI
+/* 684 */     MCD_OPC_FilterValue, 15, 253, 9, // Skip to: 3245
+/* 688 */     MCD_OPC_Decode, 159, 3, 26, // Opcode: CGHI
 /* 692 */     MCD_OPC_FilterValue, 178, 1, 68, 0, // Skip to: 765
 /* 697 */     MCD_OPC_ExtractField, 8, 16,  // Inst{23-8} ...
 /* 700 */     MCD_OPC_FilterValue, 128, 68, 10, 0, // Skip to: 715
-/* 705 */     MCD_OPC_CheckField, 0, 4, 0, 206, 9, // Skip to: 3221
-/* 711 */     MCD_OPC_Decode, 162, 4, 29, // Opcode: IPM
+/* 705 */     MCD_OPC_CheckField, 0, 4, 0, 230, 9, // Skip to: 3245
+/* 711 */     MCD_OPC_Decode, 163, 4, 29, // Opcode: IPM
 /* 715 */     MCD_OPC_FilterValue, 128, 158, 1, 4, 0, // Skip to: 725
-/* 721 */     MCD_OPC_Decode, 135, 4, 30, // Opcode: EAR
+/* 721 */     MCD_OPC_Decode, 136, 4, 30, // Opcode: EAR
 /* 725 */     MCD_OPC_FilterValue, 128, 164, 1, 4, 0, // Skip to: 735
-/* 731 */     MCD_OPC_Decode, 196, 5, 4, // Opcode: MSR
+/* 731 */     MCD_OPC_Decode, 200, 5, 4, // Opcode: MSR
 /* 735 */     MCD_OPC_FilterValue, 128, 170, 1, 4, 0, // Skip to: 745
-/* 741 */     MCD_OPC_Decode, 206, 5, 31, // Opcode: MVST
+/* 741 */     MCD_OPC_Decode, 210, 5, 31, // Opcode: MVST
 /* 745 */     MCD_OPC_FilterValue, 128, 186, 1, 4, 0, // Skip to: 755
-/* 751 */     MCD_OPC_Decode, 210, 3, 31, // Opcode: CLST
-/* 755 */     MCD_OPC_FilterValue, 128, 188, 1, 156, 9, // Skip to: 3221
-/* 761 */     MCD_OPC_Decode, 197, 6, 31, // Opcode: SRST
-/* 765 */     MCD_OPC_FilterValue, 179, 1, 85, 4, // Skip to: 1879
+/* 751 */     MCD_OPC_Decode, 211, 3, 31, // Opcode: CLST
+/* 755 */     MCD_OPC_FilterValue, 128, 188, 1, 180, 9, // Skip to: 3245
+/* 761 */     MCD_OPC_Decode, 201, 6, 31, // Opcode: SRST
+/* 765 */     MCD_OPC_FilterValue, 179, 1, 109, 4, // Skip to: 1903
 /* 770 */     MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
 /* 773 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 787
-/* 777 */     MCD_OPC_CheckField, 8, 8, 0, 134, 9, // Skip to: 3221
-/* 783 */     MCD_OPC_Decode, 135, 5, 6, // Opcode: LPEBR
+/* 777 */     MCD_OPC_CheckField, 8, 8, 0, 158, 9, // Skip to: 3245
+/* 783 */     MCD_OPC_Decode, 139, 5, 6, // Opcode: LPEBR
 /* 787 */     MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 801
-/* 791 */     MCD_OPC_CheckField, 8, 8, 0, 120, 9, // Skip to: 3221
-/* 797 */     MCD_OPC_Decode, 253, 4, 6, // Opcode: LNEBR
+/* 791 */     MCD_OPC_CheckField, 8, 8, 0, 144, 9, // Skip to: 3245
+/* 797 */     MCD_OPC_Decode, 129, 5, 6, // Opcode: LNEBR
 /* 801 */     MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 815
-/* 805 */     MCD_OPC_CheckField, 8, 8, 0, 106, 9, // Skip to: 3221
-/* 811 */     MCD_OPC_Decode, 150, 5, 6, // Opcode: LTEBR
+/* 805 */     MCD_OPC_CheckField, 8, 8, 0, 130, 9, // Skip to: 3245
+/* 811 */     MCD_OPC_Decode, 154, 5, 6, // Opcode: LTEBR
 /* 815 */     MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 829
-/* 819 */     MCD_OPC_CheckField, 8, 8, 0, 92, 9, // Skip to: 3221
-/* 825 */     MCD_OPC_Decode, 185, 4, 6, // Opcode: LCEBR
+/* 819 */     MCD_OPC_CheckField, 8, 8, 0, 116, 9, // Skip to: 3245
+/* 825 */     MCD_OPC_Decode, 186, 4, 6, // Opcode: LCEBR
 /* 829 */     MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 843
-/* 833 */     MCD_OPC_CheckField, 8, 8, 0, 78, 9, // Skip to: 3221
-/* 839 */     MCD_OPC_Decode, 192, 4, 32, // Opcode: LDEBR
+/* 833 */     MCD_OPC_CheckField, 8, 8, 0, 102, 9, // Skip to: 3245
+/* 839 */     MCD_OPC_Decode, 193, 4, 32, // Opcode: LDEBR
 /* 843 */     MCD_OPC_FilterValue, 5, 10, 0, // Skip to: 857
-/* 847 */     MCD_OPC_CheckField, 8, 8, 0, 64, 9, // Skip to: 3221
-/* 853 */     MCD_OPC_Decode, 161, 5, 33, // Opcode: LXDBR
+/* 847 */     MCD_OPC_CheckField, 8, 8, 0, 88, 9, // Skip to: 3245
+/* 853 */     MCD_OPC_Decode, 165, 5, 33, // Opcode: LXDBR
 /* 857 */     MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 871
-/* 861 */     MCD_OPC_CheckField, 8, 8, 0, 50, 9, // Skip to: 3221
-/* 867 */     MCD_OPC_Decode, 163, 5, 34, // Opcode: LXEBR
+/* 861 */     MCD_OPC_CheckField, 8, 8, 0, 74, 9, // Skip to: 3245
+/* 867 */     MCD_OPC_Decode, 167, 5, 34, // Opcode: LXEBR
 /* 871 */     MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 885
-/* 875 */     MCD_OPC_CheckField, 8, 8, 0, 36, 9, // Skip to: 3221
-/* 881 */     MCD_OPC_Decode, 210, 5, 35, // Opcode: MXDBR
+/* 875 */     MCD_OPC_CheckField, 8, 8, 0, 60, 9, // Skip to: 3245
+/* 881 */     MCD_OPC_Decode, 214, 5, 35, // Opcode: MXDBR
 /* 885 */     MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 899
-/* 889 */     MCD_OPC_CheckField, 8, 8, 0, 22, 9, // Skip to: 3221
-/* 895 */     MCD_OPC_Decode, 140, 3, 6, // Opcode: CEBR
+/* 889 */     MCD_OPC_CheckField, 8, 8, 0, 46, 9, // Skip to: 3245
+/* 895 */     MCD_OPC_Decode, 141, 3, 6, // Opcode: CEBR
 /* 899 */     MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 912
-/* 903 */     MCD_OPC_CheckField, 8, 8, 0, 8, 9, // Skip to: 3221
-/* 909 */     MCD_OPC_Decode, 26, 36, // Opcode: AEBR
+/* 903 */     MCD_OPC_CheckField, 8, 8, 0, 32, 9, // Skip to: 3245
+/* 909 */     MCD_OPC_Decode, 27, 36, // Opcode: AEBR
 /* 912 */     MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 926
-/* 916 */     MCD_OPC_CheckField, 8, 8, 0, 251, 8, // Skip to: 3221
-/* 922 */     MCD_OPC_Decode, 158, 6, 36, // Opcode: SEBR
+/* 916 */     MCD_OPC_CheckField, 8, 8, 0, 19, 9, // Skip to: 3245
+/* 922 */     MCD_OPC_Decode, 162, 6, 36, // Opcode: SEBR
 /* 926 */     MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 940
-/* 930 */     MCD_OPC_CheckField, 8, 8, 0, 237, 8, // Skip to: 3221
-/* 936 */     MCD_OPC_Decode, 176, 5, 37, // Opcode: MDEBR
+/* 930 */     MCD_OPC_CheckField, 8, 8, 0, 5, 9, // Skip to: 3245
+/* 936 */     MCD_OPC_Decode, 180, 5, 37, // Opcode: MDEBR
 /* 940 */     MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 954
-/* 944 */     MCD_OPC_CheckField, 8, 8, 0, 223, 8, // Skip to: 3221
-/* 950 */     MCD_OPC_Decode, 253, 3, 36, // Opcode: DEBR
+/* 944 */     MCD_OPC_CheckField, 8, 8, 0, 247, 8, // Skip to: 3245
+/* 950 */     MCD_OPC_Decode, 254, 3, 36, // Opcode: DEBR
 /* 954 */     MCD_OPC_FilterValue, 14, 10, 0, // Skip to: 968
-/* 958 */     MCD_OPC_CheckField, 8, 4, 0, 209, 8, // Skip to: 3221
-/* 964 */     MCD_OPC_Decode, 172, 5, 38, // Opcode: MAEBR
+/* 958 */     MCD_OPC_CheckField, 8, 4, 0, 233, 8, // Skip to: 3245
+/* 964 */     MCD_OPC_Decode, 176, 5, 38, // Opcode: MAEBR
 /* 968 */     MCD_OPC_FilterValue, 15, 10, 0, // Skip to: 982
-/* 972 */     MCD_OPC_CheckField, 8, 4, 0, 195, 8, // Skip to: 3221
-/* 978 */     MCD_OPC_Decode, 189, 5, 38, // Opcode: MSEBR
+/* 972 */     MCD_OPC_CheckField, 8, 4, 0, 219, 8, // Skip to: 3245
+/* 978 */     MCD_OPC_Decode, 193, 5, 38, // Opcode: MSEBR
 /* 982 */     MCD_OPC_FilterValue, 16, 10, 0, // Skip to: 996
-/* 986 */     MCD_OPC_CheckField, 8, 8, 0, 181, 8, // Skip to: 3221
-/* 992 */     MCD_OPC_Decode, 134, 5, 5, // Opcode: LPDBR
+/* 986 */     MCD_OPC_CheckField, 8, 8, 0, 205, 8, // Skip to: 3245
+/* 992 */     MCD_OPC_Decode, 138, 5, 5, // Opcode: LPDBR
 /* 996 */     MCD_OPC_FilterValue, 17, 10, 0, // Skip to: 1010
-/* 1000 */    MCD_OPC_CheckField, 8, 8, 0, 167, 8, // Skip to: 3221
-/* 1006 */    MCD_OPC_Decode, 252, 4, 5, // Opcode: LNDBR
+/* 1000 */    MCD_OPC_CheckField, 8, 8, 0, 191, 8, // Skip to: 3245
+/* 1006 */    MCD_OPC_Decode, 128, 5, 5, // Opcode: LNDBR
 /* 1010 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 1024
-/* 1014 */    MCD_OPC_CheckField, 8, 8, 0, 153, 8, // Skip to: 3221
-/* 1020 */    MCD_OPC_Decode, 148, 5, 5, // Opcode: LTDBR
+/* 1014 */    MCD_OPC_CheckField, 8, 8, 0, 177, 8, // Skip to: 3245
+/* 1020 */    MCD_OPC_Decode, 152, 5, 5, // Opcode: LTDBR
 /* 1024 */    MCD_OPC_FilterValue, 19, 10, 0, // Skip to: 1038
-/* 1028 */    MCD_OPC_CheckField, 8, 8, 0, 139, 8, // Skip to: 3221
-/* 1034 */    MCD_OPC_Decode, 184, 4, 5, // Opcode: LCDBR
+/* 1028 */    MCD_OPC_CheckField, 8, 8, 0, 163, 8, // Skip to: 3245
+/* 1034 */    MCD_OPC_Decode, 185, 4, 5, // Opcode: LCDBR
 /* 1038 */    MCD_OPC_FilterValue, 20, 10, 0, // Skip to: 1052
-/* 1042 */    MCD_OPC_CheckField, 8, 8, 0, 125, 8, // Skip to: 3221
-/* 1048 */    MCD_OPC_Decode, 187, 6, 6, // Opcode: SQEBR
+/* 1042 */    MCD_OPC_CheckField, 8, 8, 0, 149, 8, // Skip to: 3245
+/* 1048 */    MCD_OPC_Decode, 191, 6, 6, // Opcode: SQEBR
 /* 1052 */    MCD_OPC_FilterValue, 21, 10, 0, // Skip to: 1066
-/* 1056 */    MCD_OPC_CheckField, 8, 8, 0, 111, 8, // Skip to: 3221
-/* 1062 */    MCD_OPC_Decode, 185, 6, 5, // Opcode: SQDBR
+/* 1056 */    MCD_OPC_CheckField, 8, 8, 0, 135, 8, // Skip to: 3245
+/* 1062 */    MCD_OPC_Decode, 189, 6, 5, // Opcode: SQDBR
 /* 1066 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 1080
-/* 1070 */    MCD_OPC_CheckField, 8, 8, 0, 97, 8, // Skip to: 3221
-/* 1076 */    MCD_OPC_Decode, 188, 6, 39, // Opcode: SQXBR
+/* 1070 */    MCD_OPC_CheckField, 8, 8, 0, 121, 8, // Skip to: 3245
+/* 1076 */    MCD_OPC_Decode, 192, 6, 39, // Opcode: SQXBR
 /* 1080 */    MCD_OPC_FilterValue, 23, 10, 0, // Skip to: 1094
-/* 1084 */    MCD_OPC_CheckField, 8, 8, 0, 83, 8, // Skip to: 3221
-/* 1090 */    MCD_OPC_Decode, 178, 5, 36, // Opcode: MEEBR
+/* 1084 */    MCD_OPC_CheckField, 8, 8, 0, 107, 8, // Skip to: 3245
+/* 1090 */    MCD_OPC_Decode, 182, 5, 36, // Opcode: MEEBR
 /* 1094 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 1108
-/* 1098 */    MCD_OPC_CheckField, 8, 8, 0, 69, 8, // Skip to: 3221
-/* 1104 */    MCD_OPC_Decode, 134, 3, 5, // Opcode: CDBR
+/* 1098 */    MCD_OPC_CheckField, 8, 8, 0, 93, 8, // Skip to: 3245
+/* 1104 */    MCD_OPC_Decode, 135, 3, 5, // Opcode: CDBR
 /* 1108 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 1121
-/* 1112 */    MCD_OPC_CheckField, 8, 8, 0, 55, 8, // Skip to: 3221
-/* 1118 */    MCD_OPC_Decode, 21, 40, // Opcode: ADBR
+/* 1112 */    MCD_OPC_CheckField, 8, 8, 0, 79, 8, // Skip to: 3245
+/* 1118 */    MCD_OPC_Decode, 22, 40, // Opcode: ADBR
 /* 1121 */    MCD_OPC_FilterValue, 27, 10, 0, // Skip to: 1135
-/* 1125 */    MCD_OPC_CheckField, 8, 8, 0, 42, 8, // Skip to: 3221
-/* 1131 */    MCD_OPC_Decode, 156, 6, 40, // Opcode: SDBR
+/* 1125 */    MCD_OPC_CheckField, 8, 8, 0, 66, 8, // Skip to: 3245
+/* 1131 */    MCD_OPC_Decode, 160, 6, 40, // Opcode: SDBR
 /* 1135 */    MCD_OPC_FilterValue, 28, 10, 0, // Skip to: 1149
-/* 1139 */    MCD_OPC_CheckField, 8, 8, 0, 28, 8, // Skip to: 3221
-/* 1145 */    MCD_OPC_Decode, 174, 5, 40, // Opcode: MDBR
+/* 1139 */    MCD_OPC_CheckField, 8, 8, 0, 52, 8, // Skip to: 3245
+/* 1145 */    MCD_OPC_Decode, 178, 5, 40, // Opcode: MDBR
 /* 1149 */    MCD_OPC_FilterValue, 29, 10, 0, // Skip to: 1163
-/* 1153 */    MCD_OPC_CheckField, 8, 8, 0, 14, 8, // Skip to: 3221
-/* 1159 */    MCD_OPC_Decode, 251, 3, 40, // Opcode: DDBR
+/* 1153 */    MCD_OPC_CheckField, 8, 8, 0, 38, 8, // Skip to: 3245
+/* 1159 */    MCD_OPC_Decode, 252, 3, 40, // Opcode: DDBR
 /* 1163 */    MCD_OPC_FilterValue, 30, 10, 0, // Skip to: 1177
-/* 1167 */    MCD_OPC_CheckField, 8, 4, 0, 0, 8, // Skip to: 3221
-/* 1173 */    MCD_OPC_Decode, 170, 5, 41, // Opcode: MADBR
+/* 1167 */    MCD_OPC_CheckField, 8, 4, 0, 24, 8, // Skip to: 3245
+/* 1173 */    MCD_OPC_Decode, 174, 5, 41, // Opcode: MADBR
 /* 1177 */    MCD_OPC_FilterValue, 31, 10, 0, // Skip to: 1191
-/* 1181 */    MCD_OPC_CheckField, 8, 4, 0, 242, 7, // Skip to: 3221
-/* 1187 */    MCD_OPC_Decode, 187, 5, 41, // Opcode: MSDBR
+/* 1181 */    MCD_OPC_CheckField, 8, 4, 0, 10, 8, // Skip to: 3245
+/* 1187 */    MCD_OPC_Decode, 191, 5, 41, // Opcode: MSDBR
 /* 1191 */    MCD_OPC_FilterValue, 64, 10, 0, // Skip to: 1205
-/* 1195 */    MCD_OPC_CheckField, 8, 8, 0, 228, 7, // Skip to: 3221
-/* 1201 */    MCD_OPC_Decode, 139, 5, 39, // Opcode: LPXBR
+/* 1195 */    MCD_OPC_CheckField, 8, 8, 0, 252, 7, // Skip to: 3245
+/* 1201 */    MCD_OPC_Decode, 143, 5, 39, // Opcode: LPXBR
 /* 1205 */    MCD_OPC_FilterValue, 65, 10, 0, // Skip to: 1219
-/* 1209 */    MCD_OPC_CheckField, 8, 8, 0, 214, 7, // Skip to: 3221
-/* 1215 */    MCD_OPC_Decode, 129, 5, 39, // Opcode: LNXBR
+/* 1209 */    MCD_OPC_CheckField, 8, 8, 0, 238, 7, // Skip to: 3245
+/* 1215 */    MCD_OPC_Decode, 133, 5, 39, // Opcode: LNXBR
 /* 1219 */    MCD_OPC_FilterValue, 66, 10, 0, // Skip to: 1233
-/* 1223 */    MCD_OPC_CheckField, 8, 8, 0, 200, 7, // Skip to: 3221
-/* 1229 */    MCD_OPC_Decode, 157, 5, 39, // Opcode: LTXBR
+/* 1223 */    MCD_OPC_CheckField, 8, 8, 0, 224, 7, // Skip to: 3245
+/* 1229 */    MCD_OPC_Decode, 161, 5, 39, // Opcode: LTXBR
 /* 1233 */    MCD_OPC_FilterValue, 67, 10, 0, // Skip to: 1247
-/* 1237 */    MCD_OPC_CheckField, 8, 8, 0, 186, 7, // Skip to: 3221
-/* 1243 */    MCD_OPC_Decode, 189, 4, 39, // Opcode: LCXBR
-/* 1247 */    MCD_OPC_FilterValue, 68, 10, 0, // Skip to: 1261
-/* 1251 */    MCD_OPC_CheckField, 8, 8, 0, 172, 7, // Skip to: 3221
-/* 1257 */    MCD_OPC_Decode, 198, 4, 42, // Opcode: LEDBR
-/* 1261 */    MCD_OPC_FilterValue, 69, 10, 0, // Skip to: 1275
-/* 1265 */    MCD_OPC_CheckField, 8, 8, 0, 158, 7, // Skip to: 3221
-/* 1271 */    MCD_OPC_Decode, 195, 4, 39, // Opcode: LDXBR
-/* 1275 */    MCD_OPC_FilterValue, 70, 10, 0, // Skip to: 1289
-/* 1279 */    MCD_OPC_CheckField, 8, 8, 0, 144, 7, // Skip to: 3221
-/* 1285 */    MCD_OPC_Decode, 200, 4, 39, // Opcode: LEXBR
-/* 1289 */    MCD_OPC_FilterValue, 71, 18, 0, // Skip to: 1311
-/* 1293 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 1303
-/* 1299 */    MCD_OPC_Decode, 140, 4, 43, // Opcode: FIXBR
-/* 1303 */    MCD_OPC_CheckPredicate, 0, 122, 7, // Skip to: 3221
-/* 1307 */    MCD_OPC_Decode, 141, 4, 44, // Opcode: FIXBRA
-/* 1311 */    MCD_OPC_FilterValue, 73, 10, 0, // Skip to: 1325
-/* 1315 */    MCD_OPC_CheckField, 8, 8, 0, 108, 7, // Skip to: 3221
-/* 1321 */    MCD_OPC_Decode, 224, 3, 39, // Opcode: CXBR
-/* 1325 */    MCD_OPC_FilterValue, 74, 10, 0, // Skip to: 1339
-/* 1329 */    MCD_OPC_CheckField, 8, 8, 0, 94, 7, // Skip to: 3221
-/* 1335 */    MCD_OPC_Decode, 139, 1, 45, // Opcode: AXBR
-/* 1339 */    MCD_OPC_FilterValue, 75, 10, 0, // Skip to: 1353
-/* 1343 */    MCD_OPC_CheckField, 8, 8, 0, 80, 7, // Skip to: 3221
-/* 1349 */    MCD_OPC_Decode, 226, 6, 45, // Opcode: SXBR
-/* 1353 */    MCD_OPC_FilterValue, 76, 10, 0, // Skip to: 1367
-/* 1357 */    MCD_OPC_CheckField, 8, 8, 0, 66, 7, // Skip to: 3221
-/* 1363 */    MCD_OPC_Decode, 208, 5, 45, // Opcode: MXBR
-/* 1367 */    MCD_OPC_FilterValue, 77, 10, 0, // Skip to: 1381
-/* 1371 */    MCD_OPC_CheckField, 8, 8, 0, 52, 7, // Skip to: 3221
-/* 1377 */    MCD_OPC_Decode, 134, 4, 45, // Opcode: DXBR
-/* 1381 */    MCD_OPC_FilterValue, 87, 18, 0, // Skip to: 1403
-/* 1385 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 1395
-/* 1391 */    MCD_OPC_Decode, 138, 4, 46, // Opcode: FIEBR
-/* 1395 */    MCD_OPC_CheckPredicate, 0, 30, 7, // Skip to: 3221
-/* 1399 */    MCD_OPC_Decode, 139, 4, 47, // Opcode: FIEBRA
-/* 1403 */    MCD_OPC_FilterValue, 95, 18, 0, // Skip to: 1425
-/* 1407 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 1417
-/* 1413 */    MCD_OPC_Decode, 136, 4, 48, // Opcode: FIDBR
-/* 1417 */    MCD_OPC_CheckPredicate, 0, 8, 7, // Skip to: 3221
-/* 1421 */    MCD_OPC_Decode, 137, 4, 49, // Opcode: FIDBRA
-/* 1425 */    MCD_OPC_FilterValue, 101, 10, 0, // Skip to: 1439
-/* 1429 */    MCD_OPC_CheckField, 8, 8, 0, 250, 6, // Skip to: 3221
-/* 1435 */    MCD_OPC_Decode, 164, 5, 39, // Opcode: LXR
-/* 1439 */    MCD_OPC_FilterValue, 114, 10, 0, // Skip to: 1453
-/* 1443 */    MCD_OPC_CheckField, 8, 4, 0, 236, 6, // Skip to: 3221
-/* 1449 */    MCD_OPC_Decode, 214, 3, 50, // Opcode: CPSDRdd
-/* 1453 */    MCD_OPC_FilterValue, 116, 16, 0, // Skip to: 1473
-/* 1457 */    MCD_OPC_CheckField, 8, 8, 0, 222, 6, // Skip to: 3221
-/* 1463 */    MCD_OPC_CheckField, 0, 4, 0, 216, 6, // Skip to: 3221
-/* 1469 */    MCD_OPC_Decode, 167, 5, 51, // Opcode: LZER
-/* 1473 */    MCD_OPC_FilterValue, 117, 16, 0, // Skip to: 1493
-/* 1477 */    MCD_OPC_CheckField, 8, 8, 0, 202, 6, // Skip to: 3221
-/* 1483 */    MCD_OPC_CheckField, 0, 4, 0, 196, 6, // Skip to: 3221
-/* 1489 */    MCD_OPC_Decode, 166, 5, 52, // Opcode: LZDR
-/* 1493 */    MCD_OPC_FilterValue, 118, 16, 0, // Skip to: 1513
-/* 1497 */    MCD_OPC_CheckField, 8, 8, 0, 182, 6, // Skip to: 3221
-/* 1503 */    MCD_OPC_CheckField, 0, 4, 0, 176, 6, // Skip to: 3221
-/* 1509 */    MCD_OPC_Decode, 168, 5, 53, // Opcode: LZXR
-/* 1513 */    MCD_OPC_FilterValue, 144, 1, 8, 0, // Skip to: 1526
-/* 1518 */    MCD_OPC_CheckPredicate, 0, 163, 6, // Skip to: 3221
-/* 1522 */    MCD_OPC_Decode, 143, 3, 54, // Opcode: CELFBR
-/* 1526 */    MCD_OPC_FilterValue, 145, 1, 8, 0, // Skip to: 1539
-/* 1531 */    MCD_OPC_CheckPredicate, 0, 150, 6, // Skip to: 3221
-/* 1535 */    MCD_OPC_Decode, 137, 3, 55, // Opcode: CDLFBR
-/* 1539 */    MCD_OPC_FilterValue, 146, 1, 8, 0, // Skip to: 1552
-/* 1544 */    MCD_OPC_CheckPredicate, 0, 137, 6, // Skip to: 3221
-/* 1548 */    MCD_OPC_Decode, 227, 3, 56, // Opcode: CXLFBR
-/* 1552 */    MCD_OPC_FilterValue, 148, 1, 10, 0, // Skip to: 1567
-/* 1557 */    MCD_OPC_CheckField, 8, 8, 0, 122, 6, // Skip to: 3221
-/* 1563 */    MCD_OPC_Decode, 141, 3, 57, // Opcode: CEFBR
-/* 1567 */    MCD_OPC_FilterValue, 149, 1, 10, 0, // Skip to: 1582
-/* 1572 */    MCD_OPC_CheckField, 8, 8, 0, 107, 6, // Skip to: 3221
-/* 1578 */    MCD_OPC_Decode, 135, 3, 58, // Opcode: CDFBR
-/* 1582 */    MCD_OPC_FilterValue, 150, 1, 10, 0, // Skip to: 1597
-/* 1587 */    MCD_OPC_CheckField, 8, 8, 0, 92, 6, // Skip to: 3221
-/* 1593 */    MCD_OPC_Decode, 225, 3, 59, // Opcode: CXFBR
-/* 1597 */    MCD_OPC_FilterValue, 152, 1, 10, 0, // Skip to: 1612
-/* 1602 */    MCD_OPC_CheckField, 8, 4, 0, 77, 6, // Skip to: 3221
-/* 1608 */    MCD_OPC_Decode, 146, 3, 60, // Opcode: CFEBR
-/* 1612 */    MCD_OPC_FilterValue, 153, 1, 10, 0, // Skip to: 1627
-/* 1617 */    MCD_OPC_CheckField, 8, 4, 0, 62, 6, // Skip to: 3221
-/* 1623 */    MCD_OPC_Decode, 145, 3, 61, // Opcode: CFDBR
-/* 1627 */    MCD_OPC_FilterValue, 154, 1, 10, 0, // Skip to: 1642
-/* 1632 */    MCD_OPC_CheckField, 8, 4, 0, 47, 6, // Skip to: 3221
-/* 1638 */    MCD_OPC_Decode, 149, 3, 62, // Opcode: CFXBR
-/* 1642 */    MCD_OPC_FilterValue, 156, 1, 8, 0, // Skip to: 1655
-/* 1647 */    MCD_OPC_CheckPredicate, 0, 34, 6, // Skip to: 3221
-/* 1651 */    MCD_OPC_Decode, 180, 3, 63, // Opcode: CLFEBR
-/* 1655 */    MCD_OPC_FilterValue, 157, 1, 8, 0, // Skip to: 1668
-/* 1660 */    MCD_OPC_CheckPredicate, 0, 21, 6, // Skip to: 3221
-/* 1664 */    MCD_OPC_Decode, 179, 3, 64, // Opcode: CLFDBR
-/* 1668 */    MCD_OPC_FilterValue, 158, 1, 8, 0, // Skip to: 1681
-/* 1673 */    MCD_OPC_CheckPredicate, 0, 8, 6, // Skip to: 3221
-/* 1677 */    MCD_OPC_Decode, 184, 3, 65, // Opcode: CLFXBR
-/* 1681 */    MCD_OPC_FilterValue, 160, 1, 8, 0, // Skip to: 1694
-/* 1686 */    MCD_OPC_CheckPredicate, 0, 251, 5, // Skip to: 3221
-/* 1690 */    MCD_OPC_Decode, 144, 3, 66, // Opcode: CELGBR
-/* 1694 */    MCD_OPC_FilterValue, 161, 1, 8, 0, // Skip to: 1707
-/* 1699 */    MCD_OPC_CheckPredicate, 0, 238, 5, // Skip to: 3221
-/* 1703 */    MCD_OPC_Decode, 138, 3, 67, // Opcode: CDLGBR
-/* 1707 */    MCD_OPC_FilterValue, 162, 1, 8, 0, // Skip to: 1720
-/* 1712 */    MCD_OPC_CheckPredicate, 0, 225, 5, // Skip to: 3221
-/* 1716 */    MCD_OPC_Decode, 228, 3, 68, // Opcode: CXLGBR
-/* 1720 */    MCD_OPC_FilterValue, 164, 1, 10, 0, // Skip to: 1735
-/* 1725 */    MCD_OPC_CheckField, 8, 8, 0, 210, 5, // Skip to: 3221
-/* 1731 */    MCD_OPC_Decode, 142, 3, 69, // Opcode: CEGBR
-/* 1735 */    MCD_OPC_FilterValue, 165, 1, 10, 0, // Skip to: 1750
-/* 1740 */    MCD_OPC_CheckField, 8, 8, 0, 195, 5, // Skip to: 3221
-/* 1746 */    MCD_OPC_Decode, 136, 3, 70, // Opcode: CDGBR
-/* 1750 */    MCD_OPC_FilterValue, 166, 1, 10, 0, // Skip to: 1765
-/* 1755 */    MCD_OPC_CheckField, 8, 8, 0, 180, 5, // Skip to: 3221
-/* 1761 */    MCD_OPC_Decode, 226, 3, 71, // Opcode: CXGBR
-/* 1765 */    MCD_OPC_FilterValue, 168, 1, 10, 0, // Skip to: 1780
-/* 1770 */    MCD_OPC_CheckField, 8, 4, 0, 165, 5, // Skip to: 3221
-/* 1776 */    MCD_OPC_Decode, 152, 3, 72, // Opcode: CGEBR
-/* 1780 */    MCD_OPC_FilterValue, 169, 1, 10, 0, // Skip to: 1795
-/* 1785 */    MCD_OPC_CheckField, 8, 4, 0, 150, 5, // Skip to: 3221
-/* 1791 */    MCD_OPC_Decode, 151, 3, 73, // Opcode: CGDBR
-/* 1795 */    MCD_OPC_FilterValue, 170, 1, 10, 0, // Skip to: 1810
-/* 1800 */    MCD_OPC_CheckField, 8, 4, 0, 135, 5, // Skip to: 3221
-/* 1806 */    MCD_OPC_Decode, 165, 3, 74, // Opcode: CGXBR
-/* 1810 */    MCD_OPC_FilterValue, 172, 1, 8, 0, // Skip to: 1823
-/* 1815 */    MCD_OPC_CheckPredicate, 0, 122, 5, // Skip to: 3221
-/* 1819 */    MCD_OPC_Decode, 187, 3, 75, // Opcode: CLGEBR
-/* 1823 */    MCD_OPC_FilterValue, 173, 1, 8, 0, // Skip to: 1836
-/* 1828 */    MCD_OPC_CheckPredicate, 0, 109, 5, // Skip to: 3221
-/* 1832 */    MCD_OPC_Decode, 186, 3, 76, // Opcode: CLGDBR
-/* 1836 */    MCD_OPC_FilterValue, 174, 1, 8, 0, // Skip to: 1849
-/* 1841 */    MCD_OPC_CheckPredicate, 0, 96, 5, // Skip to: 3221
-/* 1845 */    MCD_OPC_Decode, 198, 3, 77, // Opcode: CLGXBR
-/* 1849 */    MCD_OPC_FilterValue, 193, 1, 10, 0, // Skip to: 1864
-/* 1854 */    MCD_OPC_CheckField, 8, 8, 0, 81, 5, // Skip to: 3221
-/* 1860 */    MCD_OPC_Decode, 193, 4, 70, // Opcode: LDGR
-/* 1864 */    MCD_OPC_FilterValue, 205, 1, 72, 5, // Skip to: 3221
-/* 1869 */    MCD_OPC_CheckField, 8, 8, 0, 66, 5, // Skip to: 3221
-/* 1875 */    MCD_OPC_Decode, 206, 4, 78, // Opcode: LGDR
-/* 1879 */    MCD_OPC_FilterValue, 185, 1, 48, 5, // Skip to: 3212
-/* 1884 */    MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
-/* 1887 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1901
-/* 1891 */    MCD_OPC_CheckField, 8, 8, 0, 44, 5, // Skip to: 3221
-/* 1897 */    MCD_OPC_Decode, 137, 5, 79, // Opcode: LPGR
-/* 1901 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 1915
-/* 1905 */    MCD_OPC_CheckField, 8, 8, 0, 30, 5, // Skip to: 3221
-/* 1911 */    MCD_OPC_Decode, 255, 4, 79, // Opcode: LNGR
-/* 1915 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 1929
-/* 1919 */    MCD_OPC_CheckField, 8, 8, 0, 16, 5, // Skip to: 3221
-/* 1925 */    MCD_OPC_Decode, 155, 5, 79, // Opcode: LTGR
-/* 1929 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 1943
-/* 1933 */    MCD_OPC_CheckField, 8, 8, 0, 2, 5, // Skip to: 3221
-/* 1939 */    MCD_OPC_Decode, 187, 4, 79, // Opcode: LCGR
-/* 1943 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 1957
-/* 1947 */    MCD_OPC_CheckField, 8, 8, 0, 244, 4, // Skip to: 3221
-/* 1953 */    MCD_OPC_Decode, 215, 4, 79, // Opcode: LGR
-/* 1957 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 1971
-/* 1961 */    MCD_OPC_CheckField, 8, 8, 0, 230, 4, // Skip to: 3221
-/* 1967 */    MCD_OPC_Decode, 205, 4, 79, // Opcode: LGBR
-/* 1971 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 1985
-/* 1975 */    MCD_OPC_CheckField, 8, 8, 0, 216, 4, // Skip to: 3221
-/* 1981 */    MCD_OPC_Decode, 213, 4, 79, // Opcode: LGHR
-/* 1985 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 1998
-/* 1989 */    MCD_OPC_CheckField, 8, 8, 0, 202, 4, // Skip to: 3221
-/* 1995 */    MCD_OPC_Decode, 36, 80, // Opcode: AGR
-/* 1998 */    MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 2012
-/* 2002 */    MCD_OPC_CheckField, 8, 8, 0, 189, 4, // Skip to: 3221
-/* 2008 */    MCD_OPC_Decode, 162, 6, 80, // Opcode: SGR
-/* 2012 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 2025
-/* 2016 */    MCD_OPC_CheckField, 8, 8, 0, 175, 4, // Skip to: 3221
-/* 2022 */    MCD_OPC_Decode, 57, 80, // Opcode: ALGR
-/* 2025 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 2039
-/* 2029 */    MCD_OPC_CheckField, 8, 8, 0, 162, 4, // Skip to: 3221
-/* 2035 */    MCD_OPC_Decode, 176, 6, 80, // Opcode: SLGR
-/* 2039 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 2053
-/* 2043 */    MCD_OPC_CheckField, 8, 8, 0, 148, 4, // Skip to: 3221
-/* 2049 */    MCD_OPC_Decode, 195, 5, 80, // Opcode: MSGR
-/* 2053 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 2067
-/* 2057 */    MCD_OPC_CheckField, 8, 8, 0, 134, 4, // Skip to: 3221
-/* 2063 */    MCD_OPC_Decode, 133, 4, 81, // Opcode: DSGR
-/* 2067 */    MCD_OPC_FilterValue, 15, 10, 0, // Skip to: 2081
-/* 2071 */    MCD_OPC_CheckField, 8, 8, 0, 120, 4, // Skip to: 3221
-/* 2077 */    MCD_OPC_Decode, 145, 5, 79, // Opcode: LRVGR
-/* 2081 */    MCD_OPC_FilterValue, 16, 10, 0, // Skip to: 2095
-/* 2085 */    MCD_OPC_CheckField, 8, 8, 0, 106, 4, // Skip to: 3221
-/* 2091 */    MCD_OPC_Decode, 136, 5, 82, // Opcode: LPGFR
-/* 2095 */    MCD_OPC_FilterValue, 17, 10, 0, // Skip to: 2109
-/* 2099 */    MCD_OPC_CheckField, 8, 8, 0, 92, 4, // Skip to: 3221
-/* 2105 */    MCD_OPC_Decode, 254, 4, 82, // Opcode: LNGFR
-/* 2109 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 2123
-/* 2113 */    MCD_OPC_CheckField, 8, 8, 0, 78, 4, // Skip to: 3221
-/* 2119 */    MCD_OPC_Decode, 154, 5, 79, // Opcode: LTGFR
-/* 2123 */    MCD_OPC_FilterValue, 19, 10, 0, // Skip to: 2137
-/* 2127 */    MCD_OPC_CheckField, 8, 8, 0, 64, 4, // Skip to: 3221
-/* 2133 */    MCD_OPC_Decode, 186, 4, 82, // Opcode: LCGFR
-/* 2137 */    MCD_OPC_FilterValue, 20, 10, 0, // Skip to: 2151
-/* 2141 */    MCD_OPC_CheckField, 8, 8, 0, 50, 4, // Skip to: 3221
-/* 2147 */    MCD_OPC_Decode, 209, 4, 82, // Opcode: LGFR
-/* 2151 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 2165
-/* 2155 */    MCD_OPC_CheckField, 8, 8, 0, 36, 4, // Skip to: 3221
-/* 2161 */    MCD_OPC_Decode, 233, 4, 82, // Opcode: LLGFR
-/* 2165 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 2178
-/* 2169 */    MCD_OPC_CheckField, 8, 8, 0, 22, 4, // Skip to: 3221
-/* 2175 */    MCD_OPC_Decode, 33, 83, // Opcode: AGFR
-/* 2178 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 2192
-/* 2182 */    MCD_OPC_CheckField, 8, 8, 0, 9, 4, // Skip to: 3221
-/* 2188 */    MCD_OPC_Decode, 161, 6, 83, // Opcode: SGFR
-/* 2192 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 2205
-/* 2196 */    MCD_OPC_CheckField, 8, 8, 0, 251, 3, // Skip to: 3221
-/* 2202 */    MCD_OPC_Decode, 55, 83, // Opcode: ALGFR
-/* 2205 */    MCD_OPC_FilterValue, 27, 10, 0, // Skip to: 2219
-/* 2209 */    MCD_OPC_CheckField, 8, 8, 0, 238, 3, // Skip to: 3221
-/* 2215 */    MCD_OPC_Decode, 175, 6, 83, // Opcode: SLGFR
-/* 2219 */    MCD_OPC_FilterValue, 28, 10, 0, // Skip to: 2233
-/* 2223 */    MCD_OPC_CheckField, 8, 8, 0, 224, 3, // Skip to: 3221
-/* 2229 */    MCD_OPC_Decode, 194, 5, 83, // Opcode: MSGFR
-/* 2233 */    MCD_OPC_FilterValue, 29, 10, 0, // Skip to: 2247
-/* 2237 */    MCD_OPC_CheckField, 8, 8, 0, 210, 3, // Skip to: 3221
-/* 2243 */    MCD_OPC_Decode, 132, 4, 84, // Opcode: DSGFR
-/* 2247 */    MCD_OPC_FilterValue, 31, 10, 0, // Skip to: 2261
-/* 2251 */    MCD_OPC_CheckField, 8, 8, 0, 196, 3, // Skip to: 3221
-/* 2257 */    MCD_OPC_Decode, 146, 5, 3, // Opcode: LRVR
-/* 2261 */    MCD_OPC_FilterValue, 32, 10, 0, // Skip to: 2275
-/* 2265 */    MCD_OPC_CheckField, 8, 8, 0, 182, 3, // Skip to: 3221
-/* 2271 */    MCD_OPC_Decode, 162, 3, 79, // Opcode: CGR
-/* 2275 */    MCD_OPC_FilterValue, 33, 10, 0, // Skip to: 2289
-/* 2279 */    MCD_OPC_CheckField, 8, 8, 0, 168, 3, // Skip to: 3221
-/* 2285 */    MCD_OPC_Decode, 195, 3, 79, // Opcode: CLGR
-/* 2289 */    MCD_OPC_FilterValue, 38, 10, 0, // Skip to: 2303
-/* 2293 */    MCD_OPC_CheckField, 8, 8, 0, 154, 3, // Skip to: 3221
-/* 2299 */    MCD_OPC_Decode, 183, 4, 3, // Opcode: LBR
-/* 2303 */    MCD_OPC_FilterValue, 39, 10, 0, // Skip to: 2317
-/* 2307 */    MCD_OPC_CheckField, 8, 8, 0, 140, 3, // Skip to: 3221
-/* 2313 */    MCD_OPC_Decode, 222, 4, 3, // Opcode: LHR
-/* 2317 */    MCD_OPC_FilterValue, 48, 10, 0, // Skip to: 2331
-/* 2321 */    MCD_OPC_CheckField, 8, 8, 0, 126, 3, // Skip to: 3221
-/* 2327 */    MCD_OPC_Decode, 155, 3, 82, // Opcode: CGFR
-/* 2331 */    MCD_OPC_FilterValue, 49, 10, 0, // Skip to: 2345
-/* 2335 */    MCD_OPC_CheckField, 8, 8, 0, 112, 3, // Skip to: 3221
-/* 2341 */    MCD_OPC_Decode, 190, 3, 82, // Opcode: CLGFR
-/* 2345 */    MCD_OPC_FilterValue, 128, 1, 10, 0, // Skip to: 2360
-/* 2350 */    MCD_OPC_CheckField, 8, 8, 0, 97, 3, // Skip to: 3221
-/* 2356 */    MCD_OPC_Decode, 216, 5, 80, // Opcode: NGR
-/* 2360 */    MCD_OPC_FilterValue, 129, 1, 10, 0, // Skip to: 2375
-/* 2365 */    MCD_OPC_CheckField, 8, 8, 0, 82, 3, // Skip to: 3221
-/* 2371 */    MCD_OPC_Decode, 243, 5, 80, // Opcode: OGR
-/* 2375 */    MCD_OPC_FilterValue, 130, 1, 10, 0, // Skip to: 2390
-/* 2380 */    MCD_OPC_CheckField, 8, 8, 0, 67, 3, // Skip to: 3221
-/* 2386 */    MCD_OPC_Decode, 252, 6, 80, // Opcode: XGR
-/* 2390 */    MCD_OPC_FilterValue, 131, 1, 10, 0, // Skip to: 2405
-/* 2395 */    MCD_OPC_CheckField, 8, 8, 0, 52, 3, // Skip to: 3221
-/* 2401 */    MCD_OPC_Decode, 142, 4, 85, // Opcode: FLOGR
-/* 2405 */    MCD_OPC_FilterValue, 132, 1, 10, 0, // Skip to: 2420
-/* 2410 */    MCD_OPC_CheckField, 8, 8, 0, 37, 3, // Skip to: 3221
-/* 2416 */    MCD_OPC_Decode, 231, 4, 79, // Opcode: LLGCR
-/* 2420 */    MCD_OPC_FilterValue, 133, 1, 10, 0, // Skip to: 2435
-/* 2425 */    MCD_OPC_CheckField, 8, 8, 0, 22, 3, // Skip to: 3221
-/* 2431 */    MCD_OPC_Decode, 236, 4, 79, // Opcode: LLGHR
-/* 2435 */    MCD_OPC_FilterValue, 134, 1, 10, 0, // Skip to: 2450
-/* 2440 */    MCD_OPC_CheckField, 8, 8, 0, 7, 3, // Skip to: 3221
-/* 2446 */    MCD_OPC_Decode, 184, 5, 81, // Opcode: MLGR
-/* 2450 */    MCD_OPC_FilterValue, 135, 1, 10, 0, // Skip to: 2465
-/* 2455 */    MCD_OPC_CheckField, 8, 8, 0, 248, 2, // Skip to: 3221
-/* 2461 */    MCD_OPC_Decode, 128, 4, 81, // Opcode: DLGR
-/* 2465 */    MCD_OPC_FilterValue, 136, 1, 9, 0, // Skip to: 2479
-/* 2470 */    MCD_OPC_CheckField, 8, 8, 0, 233, 2, // Skip to: 3221
-/* 2476 */    MCD_OPC_Decode, 49, 80, // Opcode: ALCGR
-/* 2479 */    MCD_OPC_FilterValue, 137, 1, 10, 0, // Skip to: 2494
-/* 2484 */    MCD_OPC_CheckField, 8, 8, 0, 219, 2, // Skip to: 3221
-/* 2490 */    MCD_OPC_Decode, 172, 6, 80, // Opcode: SLGBR
-/* 2494 */    MCD_OPC_FilterValue, 148, 1, 10, 0, // Skip to: 2509
-/* 2499 */    MCD_OPC_CheckField, 8, 8, 0, 204, 2, // Skip to: 3221
-/* 2505 */    MCD_OPC_Decode, 228, 4, 3, // Opcode: LLCR
-/* 2509 */    MCD_OPC_FilterValue, 149, 1, 10, 0, // Skip to: 2524
-/* 2514 */    MCD_OPC_CheckField, 8, 8, 0, 189, 2, // Skip to: 3221
-/* 2520 */    MCD_OPC_Decode, 241, 4, 3, // Opcode: LLHR
-/* 2524 */    MCD_OPC_FilterValue, 151, 1, 10, 0, // Skip to: 2539
-/* 2529 */    MCD_OPC_CheckField, 8, 8, 0, 174, 2, // Skip to: 3221
-/* 2535 */    MCD_OPC_Decode, 129, 4, 84, // Opcode: DLR
-/* 2539 */    MCD_OPC_FilterValue, 152, 1, 9, 0, // Skip to: 2553
-/* 2544 */    MCD_OPC_CheckField, 8, 8, 0, 159, 2, // Skip to: 3221
-/* 2550 */    MCD_OPC_Decode, 50, 4, // Opcode: ALCR
-/* 2553 */    MCD_OPC_FilterValue, 153, 1, 10, 0, // Skip to: 2568
-/* 2558 */    MCD_OPC_CheckField, 8, 8, 0, 145, 2, // Skip to: 3221
-/* 2564 */    MCD_OPC_Decode, 169, 6, 4, // Opcode: SLBR
-/* 2568 */    MCD_OPC_FilterValue, 226, 1, 186, 0, // Skip to: 2759
-/* 2573 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2576 */    MCD_OPC_FilterValue, 0, 129, 2, // Skip to: 3221
-/* 2580 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 2583 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2595
-/* 2587 */    MCD_OPC_CheckPredicate, 1, 160, 0, // Skip to: 2751
-/* 2591 */    MCD_OPC_Decode, 246, 2, 80, // Opcode: AsmOLOCGR
-/* 2595 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2607
-/* 2599 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 2751
-/* 2603 */    MCD_OPC_Decode, 175, 1, 80, // Opcode: AsmHLOCGR
-/* 2607 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2619
-/* 2611 */    MCD_OPC_CheckPredicate, 1, 136, 0, // Skip to: 2751
-/* 2615 */    MCD_OPC_Decode, 211, 2, 80, // Opcode: AsmNLELOCGR
-/* 2619 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 2631
-/* 2623 */    MCD_OPC_CheckPredicate, 1, 124, 0, // Skip to: 2751
-/* 2627 */    MCD_OPC_Decode, 170, 2, 80, // Opcode: AsmLLOCGR
-/* 2631 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 2643
-/* 2635 */    MCD_OPC_CheckPredicate, 1, 112, 0, // Skip to: 2751
-/* 2639 */    MCD_OPC_Decode, 193, 2, 80, // Opcode: AsmNHELOCGR
-/* 2643 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 2655
-/* 2647 */    MCD_OPC_CheckPredicate, 1, 100, 0, // Skip to: 2751
-/* 2651 */    MCD_OPC_Decode, 162, 2, 80, // Opcode: AsmLHLOCGR
-/* 2655 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 2667
-/* 2659 */    MCD_OPC_CheckPredicate, 1, 88, 0, // Skip to: 2751
-/* 2663 */    MCD_OPC_Decode, 183, 2, 80, // Opcode: AsmNELOCGR
-/* 2667 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 2679
-/* 2671 */    MCD_OPC_CheckPredicate, 1, 76, 0, // Skip to: 2751
-/* 2675 */    MCD_OPC_Decode, 157, 1, 80, // Opcode: AsmELOCGR
-/* 2679 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 2691
-/* 2683 */    MCD_OPC_CheckPredicate, 1, 64, 0, // Skip to: 2751
-/* 2687 */    MCD_OPC_Decode, 220, 2, 80, // Opcode: AsmNLHLOCGR
-/* 2691 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 2703
-/* 2695 */    MCD_OPC_CheckPredicate, 1, 52, 0, // Skip to: 2751
-/* 2699 */    MCD_OPC_Decode, 167, 1, 80, // Opcode: AsmHELOCGR
-/* 2703 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 2715
-/* 2707 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 2751
-/* 2711 */    MCD_OPC_Decode, 228, 2, 80, // Opcode: AsmNLLOCGR
-/* 2715 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 2727
-/* 2719 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 2751
-/* 2723 */    MCD_OPC_Decode, 153, 2, 80, // Opcode: AsmLELOCGR
-/* 2727 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 2739
-/* 2731 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 2751
-/* 2735 */    MCD_OPC_Decode, 201, 2, 80, // Opcode: AsmNHLOCGR
-/* 2739 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 2751
-/* 2743 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 2751
-/* 2747 */    MCD_OPC_Decode, 237, 2, 80, // Opcode: AsmNOLOCGR
-/* 2751 */    MCD_OPC_CheckPredicate, 1, 210, 1, // Skip to: 3221
-/* 2755 */    MCD_OPC_Decode, 174, 2, 86, // Opcode: AsmLOCGR
-/* 2759 */    MCD_OPC_FilterValue, 228, 1, 14, 0, // Skip to: 2778
-/* 2764 */    MCD_OPC_CheckPredicate, 2, 197, 1, // Skip to: 3221
-/* 2768 */    MCD_OPC_CheckField, 8, 4, 0, 191, 1, // Skip to: 3221
-/* 2774 */    MCD_OPC_Decode, 217, 5, 87, // Opcode: NGRK
-/* 2778 */    MCD_OPC_FilterValue, 230, 1, 14, 0, // Skip to: 2797
-/* 2783 */    MCD_OPC_CheckPredicate, 2, 178, 1, // Skip to: 3221
-/* 2787 */    MCD_OPC_CheckField, 8, 4, 0, 172, 1, // Skip to: 3221
-/* 2793 */    MCD_OPC_Decode, 244, 5, 87, // Opcode: OGRK
-/* 2797 */    MCD_OPC_FilterValue, 231, 1, 14, 0, // Skip to: 2816
-/* 2802 */    MCD_OPC_CheckPredicate, 2, 159, 1, // Skip to: 3221
-/* 2806 */    MCD_OPC_CheckField, 8, 4, 0, 153, 1, // Skip to: 3221
-/* 2812 */    MCD_OPC_Decode, 253, 6, 87, // Opcode: XGRK
-/* 2816 */    MCD_OPC_FilterValue, 232, 1, 13, 0, // Skip to: 2834
-/* 2821 */    MCD_OPC_CheckPredicate, 2, 140, 1, // Skip to: 3221
-/* 2825 */    MCD_OPC_CheckField, 8, 4, 0, 134, 1, // Skip to: 3221
-/* 2831 */    MCD_OPC_Decode, 37, 87, // Opcode: AGRK
-/* 2834 */    MCD_OPC_FilterValue, 233, 1, 14, 0, // Skip to: 2853
-/* 2839 */    MCD_OPC_CheckPredicate, 2, 122, 1, // Skip to: 3221
-/* 2843 */    MCD_OPC_CheckField, 8, 4, 0, 116, 1, // Skip to: 3221
-/* 2849 */    MCD_OPC_Decode, 163, 6, 87, // Opcode: SGRK
-/* 2853 */    MCD_OPC_FilterValue, 234, 1, 13, 0, // Skip to: 2871
-/* 2858 */    MCD_OPC_CheckPredicate, 2, 103, 1, // Skip to: 3221
-/* 2862 */    MCD_OPC_CheckField, 8, 4, 0, 97, 1, // Skip to: 3221
-/* 2868 */    MCD_OPC_Decode, 58, 87, // Opcode: ALGRK
-/* 2871 */    MCD_OPC_FilterValue, 235, 1, 14, 0, // Skip to: 2890
-/* 2876 */    MCD_OPC_CheckPredicate, 2, 85, 1, // Skip to: 3221
-/* 2880 */    MCD_OPC_CheckField, 8, 4, 0, 79, 1, // Skip to: 3221
-/* 2886 */    MCD_OPC_Decode, 177, 6, 87, // Opcode: SLGRK
-/* 2890 */    MCD_OPC_FilterValue, 242, 1, 186, 0, // Skip to: 3081
-/* 2895 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2898 */    MCD_OPC_FilterValue, 0, 63, 1, // Skip to: 3221
-/* 2902 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 2905 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2917
-/* 2909 */    MCD_OPC_CheckPredicate, 1, 160, 0, // Skip to: 3073
-/* 2913 */    MCD_OPC_Decode, 247, 2, 4, // Opcode: AsmOLOCR
-/* 2917 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2929
-/* 2921 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 3073
-/* 2925 */    MCD_OPC_Decode, 176, 1, 4, // Opcode: AsmHLOCR
-/* 2929 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2941
-/* 2933 */    MCD_OPC_CheckPredicate, 1, 136, 0, // Skip to: 3073
-/* 2937 */    MCD_OPC_Decode, 212, 2, 4, // Opcode: AsmNLELOCR
-/* 2941 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 2953
-/* 2945 */    MCD_OPC_CheckPredicate, 1, 124, 0, // Skip to: 3073
-/* 2949 */    MCD_OPC_Decode, 171, 2, 4, // Opcode: AsmLLOCR
-/* 2953 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 2965
-/* 2957 */    MCD_OPC_CheckPredicate, 1, 112, 0, // Skip to: 3073
-/* 2961 */    MCD_OPC_Decode, 194, 2, 4, // Opcode: AsmNHELOCR
-/* 2965 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 2977
-/* 2969 */    MCD_OPC_CheckPredicate, 1, 100, 0, // Skip to: 3073
-/* 2973 */    MCD_OPC_Decode, 163, 2, 4, // Opcode: AsmLHLOCR
-/* 2977 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 2989
-/* 2981 */    MCD_OPC_CheckPredicate, 1, 88, 0, // Skip to: 3073
-/* 2985 */    MCD_OPC_Decode, 184, 2, 4, // Opcode: AsmNELOCR
-/* 2989 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3001
-/* 2993 */    MCD_OPC_CheckPredicate, 1, 76, 0, // Skip to: 3073
-/* 2997 */    MCD_OPC_Decode, 158, 1, 4, // Opcode: AsmELOCR
-/* 3001 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3013
-/* 3005 */    MCD_OPC_CheckPredicate, 1, 64, 0, // Skip to: 3073
-/* 3009 */    MCD_OPC_Decode, 221, 2, 4, // Opcode: AsmNLHLOCR
-/* 3013 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3025
-/* 3017 */    MCD_OPC_CheckPredicate, 1, 52, 0, // Skip to: 3073
-/* 3021 */    MCD_OPC_Decode, 168, 1, 4, // Opcode: AsmHELOCR
-/* 3025 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3037
-/* 3029 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 3073
-/* 3033 */    MCD_OPC_Decode, 229, 2, 4, // Opcode: AsmNLLOCR
-/* 3037 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3049
-/* 3041 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 3073
-/* 3045 */    MCD_OPC_Decode, 154, 2, 4, // Opcode: AsmLELOCR
-/* 3049 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3061
-/* 3053 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 3073
-/* 3057 */    MCD_OPC_Decode, 202, 2, 4, // Opcode: AsmNHLOCR
-/* 3061 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3073
-/* 3065 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 3073
-/* 3069 */    MCD_OPC_Decode, 238, 2, 4, // Opcode: AsmNOLOCR
-/* 3073 */    MCD_OPC_CheckPredicate, 1, 144, 0, // Skip to: 3221
-/* 3077 */    MCD_OPC_Decode, 175, 2, 88, // Opcode: AsmLOCR
-/* 3081 */    MCD_OPC_FilterValue, 244, 1, 14, 0, // Skip to: 3100
-/* 3086 */    MCD_OPC_CheckPredicate, 2, 131, 0, // Skip to: 3221
-/* 3090 */    MCD_OPC_CheckField, 8, 4, 0, 125, 0, // Skip to: 3221
-/* 3096 */    MCD_OPC_Decode, 236, 5, 89, // Opcode: NRK
-/* 3100 */    MCD_OPC_FilterValue, 246, 1, 14, 0, // Skip to: 3119
-/* 3105 */    MCD_OPC_CheckPredicate, 2, 112, 0, // Skip to: 3221
-/* 3109 */    MCD_OPC_CheckField, 8, 4, 0, 106, 0, // Skip to: 3221
-/* 3115 */    MCD_OPC_Decode, 135, 6, 89, // Opcode: ORK
-/* 3119 */    MCD_OPC_FilterValue, 247, 1, 14, 0, // Skip to: 3138
-/* 3124 */    MCD_OPC_CheckPredicate, 2, 93, 0, // Skip to: 3221
-/* 3128 */    MCD_OPC_CheckField, 8, 4, 0, 87, 0, // Skip to: 3221
-/* 3134 */    MCD_OPC_Decode, 134, 7, 89, // Opcode: XRK
-/* 3138 */    MCD_OPC_FilterValue, 248, 1, 13, 0, // Skip to: 3156
-/* 3143 */    MCD_OPC_CheckPredicate, 2, 74, 0, // Skip to: 3221
-/* 3147 */    MCD_OPC_CheckField, 8, 4, 0, 68, 0, // Skip to: 3221
-/* 3153 */    MCD_OPC_Decode, 64, 89, // Opcode: ARK
-/* 3156 */    MCD_OPC_FilterValue, 249, 1, 14, 0, // Skip to: 3175
-/* 3161 */    MCD_OPC_CheckPredicate, 2, 56, 0, // Skip to: 3221
-/* 3165 */    MCD_OPC_CheckField, 8, 4, 0, 50, 0, // Skip to: 3221
-/* 3171 */    MCD_OPC_Decode, 193, 6, 89, // Opcode: SRK
-/* 3175 */    MCD_OPC_FilterValue, 250, 1, 13, 0, // Skip to: 3193
-/* 3180 */    MCD_OPC_CheckPredicate, 2, 37, 0, // Skip to: 3221
-/* 3184 */    MCD_OPC_CheckField, 8, 4, 0, 31, 0, // Skip to: 3221
-/* 3190 */    MCD_OPC_Decode, 61, 89, // Opcode: ALRK
-/* 3193 */    MCD_OPC_FilterValue, 251, 1, 23, 0, // Skip to: 3221
-/* 3198 */    MCD_OPC_CheckPredicate, 2, 19, 0, // Skip to: 3221
-/* 3202 */    MCD_OPC_CheckField, 8, 4, 0, 13, 0, // Skip to: 3221
-/* 3208 */    MCD_OPC_Decode, 182, 6, 89, // Opcode: SLRK
-/* 3212 */    MCD_OPC_FilterValue, 186, 1, 4, 0, // Skip to: 3221
-/* 3217 */    MCD_OPC_Decode, 221, 3, 90, // Opcode: CS
-/* 3221 */    MCD_OPC_Fail,
+/* 1237 */    MCD_OPC_CheckField, 8, 8, 0, 210, 7, // Skip to: 3245
+/* 1243 */    MCD_OPC_Decode, 190, 4, 39, // Opcode: LCXBR
+/* 1247 */    MCD_OPC_FilterValue, 68, 18, 0, // Skip to: 1269
+/* 1251 */    MCD_OPC_CheckField, 8, 8, 0, 4, 0, // Skip to: 1261
+/* 1257 */    MCD_OPC_Decode, 200, 4, 42, // Opcode: LEDBR
+/* 1261 */    MCD_OPC_CheckPredicate, 0, 188, 7, // Skip to: 3245
+/* 1265 */    MCD_OPC_Decode, 201, 4, 43, // Opcode: LEDBRA
+/* 1269 */    MCD_OPC_FilterValue, 69, 18, 0, // Skip to: 1291
+/* 1273 */    MCD_OPC_CheckField, 8, 8, 0, 4, 0, // Skip to: 1283
+/* 1279 */    MCD_OPC_Decode, 196, 4, 39, // Opcode: LDXBR
+/* 1283 */    MCD_OPC_CheckPredicate, 0, 166, 7, // Skip to: 3245
+/* 1287 */    MCD_OPC_Decode, 197, 4, 44, // Opcode: LDXBRA
+/* 1291 */    MCD_OPC_FilterValue, 70, 18, 0, // Skip to: 1313
+/* 1295 */    MCD_OPC_CheckField, 8, 8, 0, 4, 0, // Skip to: 1305
+/* 1301 */    MCD_OPC_Decode, 203, 4, 39, // Opcode: LEXBR
+/* 1305 */    MCD_OPC_CheckPredicate, 0, 144, 7, // Skip to: 3245
+/* 1309 */    MCD_OPC_Decode, 204, 4, 44, // Opcode: LEXBRA
+/* 1313 */    MCD_OPC_FilterValue, 71, 18, 0, // Skip to: 1335
+/* 1317 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 1327
+/* 1323 */    MCD_OPC_Decode, 141, 4, 45, // Opcode: FIXBR
+/* 1327 */    MCD_OPC_CheckPredicate, 0, 122, 7, // Skip to: 3245
+/* 1331 */    MCD_OPC_Decode, 142, 4, 44, // Opcode: FIXBRA
+/* 1335 */    MCD_OPC_FilterValue, 73, 10, 0, // Skip to: 1349
+/* 1339 */    MCD_OPC_CheckField, 8, 8, 0, 108, 7, // Skip to: 3245
+/* 1345 */    MCD_OPC_Decode, 225, 3, 39, // Opcode: CXBR
+/* 1349 */    MCD_OPC_FilterValue, 74, 10, 0, // Skip to: 1363
+/* 1353 */    MCD_OPC_CheckField, 8, 8, 0, 94, 7, // Skip to: 3245
+/* 1359 */    MCD_OPC_Decode, 140, 1, 46, // Opcode: AXBR
+/* 1363 */    MCD_OPC_FilterValue, 75, 10, 0, // Skip to: 1377
+/* 1367 */    MCD_OPC_CheckField, 8, 8, 0, 80, 7, // Skip to: 3245
+/* 1373 */    MCD_OPC_Decode, 230, 6, 46, // Opcode: SXBR
+/* 1377 */    MCD_OPC_FilterValue, 76, 10, 0, // Skip to: 1391
+/* 1381 */    MCD_OPC_CheckField, 8, 8, 0, 66, 7, // Skip to: 3245
+/* 1387 */    MCD_OPC_Decode, 212, 5, 46, // Opcode: MXBR
+/* 1391 */    MCD_OPC_FilterValue, 77, 10, 0, // Skip to: 1405
+/* 1395 */    MCD_OPC_CheckField, 8, 8, 0, 52, 7, // Skip to: 3245
+/* 1401 */    MCD_OPC_Decode, 135, 4, 46, // Opcode: DXBR
+/* 1405 */    MCD_OPC_FilterValue, 87, 18, 0, // Skip to: 1427
+/* 1409 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 1419
+/* 1415 */    MCD_OPC_Decode, 139, 4, 47, // Opcode: FIEBR
+/* 1419 */    MCD_OPC_CheckPredicate, 0, 30, 7, // Skip to: 3245
+/* 1423 */    MCD_OPC_Decode, 140, 4, 48, // Opcode: FIEBRA
+/* 1427 */    MCD_OPC_FilterValue, 95, 18, 0, // Skip to: 1449
+/* 1431 */    MCD_OPC_CheckField, 8, 4, 0, 4, 0, // Skip to: 1441
+/* 1437 */    MCD_OPC_Decode, 137, 4, 49, // Opcode: FIDBR
+/* 1441 */    MCD_OPC_CheckPredicate, 0, 8, 7, // Skip to: 3245
+/* 1445 */    MCD_OPC_Decode, 138, 4, 50, // Opcode: FIDBRA
+/* 1449 */    MCD_OPC_FilterValue, 101, 10, 0, // Skip to: 1463
+/* 1453 */    MCD_OPC_CheckField, 8, 8, 0, 250, 6, // Skip to: 3245
+/* 1459 */    MCD_OPC_Decode, 168, 5, 39, // Opcode: LXR
+/* 1463 */    MCD_OPC_FilterValue, 114, 10, 0, // Skip to: 1477
+/* 1467 */    MCD_OPC_CheckField, 8, 4, 0, 236, 6, // Skip to: 3245
+/* 1473 */    MCD_OPC_Decode, 215, 3, 51, // Opcode: CPSDRdd
+/* 1477 */    MCD_OPC_FilterValue, 116, 16, 0, // Skip to: 1497
+/* 1481 */    MCD_OPC_CheckField, 8, 8, 0, 222, 6, // Skip to: 3245
+/* 1487 */    MCD_OPC_CheckField, 0, 4, 0, 216, 6, // Skip to: 3245
+/* 1493 */    MCD_OPC_Decode, 171, 5, 52, // Opcode: LZER
+/* 1497 */    MCD_OPC_FilterValue, 117, 16, 0, // Skip to: 1517
+/* 1501 */    MCD_OPC_CheckField, 8, 8, 0, 202, 6, // Skip to: 3245
+/* 1507 */    MCD_OPC_CheckField, 0, 4, 0, 196, 6, // Skip to: 3245
+/* 1513 */    MCD_OPC_Decode, 170, 5, 53, // Opcode: LZDR
+/* 1517 */    MCD_OPC_FilterValue, 118, 16, 0, // Skip to: 1537
+/* 1521 */    MCD_OPC_CheckField, 8, 8, 0, 182, 6, // Skip to: 3245
+/* 1527 */    MCD_OPC_CheckField, 0, 4, 0, 176, 6, // Skip to: 3245
+/* 1533 */    MCD_OPC_Decode, 172, 5, 54, // Opcode: LZXR
+/* 1537 */    MCD_OPC_FilterValue, 144, 1, 8, 0, // Skip to: 1550
+/* 1542 */    MCD_OPC_CheckPredicate, 0, 163, 6, // Skip to: 3245
+/* 1546 */    MCD_OPC_Decode, 144, 3, 55, // Opcode: CELFBR
+/* 1550 */    MCD_OPC_FilterValue, 145, 1, 8, 0, // Skip to: 1563
+/* 1555 */    MCD_OPC_CheckPredicate, 0, 150, 6, // Skip to: 3245
+/* 1559 */    MCD_OPC_Decode, 138, 3, 56, // Opcode: CDLFBR
+/* 1563 */    MCD_OPC_FilterValue, 146, 1, 8, 0, // Skip to: 1576
+/* 1568 */    MCD_OPC_CheckPredicate, 0, 137, 6, // Skip to: 3245
+/* 1572 */    MCD_OPC_Decode, 228, 3, 57, // Opcode: CXLFBR
+/* 1576 */    MCD_OPC_FilterValue, 148, 1, 10, 0, // Skip to: 1591
+/* 1581 */    MCD_OPC_CheckField, 8, 8, 0, 122, 6, // Skip to: 3245
+/* 1587 */    MCD_OPC_Decode, 142, 3, 58, // Opcode: CEFBR
+/* 1591 */    MCD_OPC_FilterValue, 149, 1, 10, 0, // Skip to: 1606
+/* 1596 */    MCD_OPC_CheckField, 8, 8, 0, 107, 6, // Skip to: 3245
+/* 1602 */    MCD_OPC_Decode, 136, 3, 59, // Opcode: CDFBR
+/* 1606 */    MCD_OPC_FilterValue, 150, 1, 10, 0, // Skip to: 1621
+/* 1611 */    MCD_OPC_CheckField, 8, 8, 0, 92, 6, // Skip to: 3245
+/* 1617 */    MCD_OPC_Decode, 226, 3, 60, // Opcode: CXFBR
+/* 1621 */    MCD_OPC_FilterValue, 152, 1, 10, 0, // Skip to: 1636
+/* 1626 */    MCD_OPC_CheckField, 8, 4, 0, 77, 6, // Skip to: 3245
+/* 1632 */    MCD_OPC_Decode, 147, 3, 61, // Opcode: CFEBR
+/* 1636 */    MCD_OPC_FilterValue, 153, 1, 10, 0, // Skip to: 1651
+/* 1641 */    MCD_OPC_CheckField, 8, 4, 0, 62, 6, // Skip to: 3245
+/* 1647 */    MCD_OPC_Decode, 146, 3, 62, // Opcode: CFDBR
+/* 1651 */    MCD_OPC_FilterValue, 154, 1, 10, 0, // Skip to: 1666
+/* 1656 */    MCD_OPC_CheckField, 8, 4, 0, 47, 6, // Skip to: 3245
+/* 1662 */    MCD_OPC_Decode, 150, 3, 63, // Opcode: CFXBR
+/* 1666 */    MCD_OPC_FilterValue, 156, 1, 8, 0, // Skip to: 1679
+/* 1671 */    MCD_OPC_CheckPredicate, 0, 34, 6, // Skip to: 3245
+/* 1675 */    MCD_OPC_Decode, 181, 3, 64, // Opcode: CLFEBR
+/* 1679 */    MCD_OPC_FilterValue, 157, 1, 8, 0, // Skip to: 1692
+/* 1684 */    MCD_OPC_CheckPredicate, 0, 21, 6, // Skip to: 3245
+/* 1688 */    MCD_OPC_Decode, 180, 3, 65, // Opcode: CLFDBR
+/* 1692 */    MCD_OPC_FilterValue, 158, 1, 8, 0, // Skip to: 1705
+/* 1697 */    MCD_OPC_CheckPredicate, 0, 8, 6, // Skip to: 3245
+/* 1701 */    MCD_OPC_Decode, 185, 3, 66, // Opcode: CLFXBR
+/* 1705 */    MCD_OPC_FilterValue, 160, 1, 8, 0, // Skip to: 1718
+/* 1710 */    MCD_OPC_CheckPredicate, 0, 251, 5, // Skip to: 3245
+/* 1714 */    MCD_OPC_Decode, 145, 3, 67, // Opcode: CELGBR
+/* 1718 */    MCD_OPC_FilterValue, 161, 1, 8, 0, // Skip to: 1731
+/* 1723 */    MCD_OPC_CheckPredicate, 0, 238, 5, // Skip to: 3245
+/* 1727 */    MCD_OPC_Decode, 139, 3, 68, // Opcode: CDLGBR
+/* 1731 */    MCD_OPC_FilterValue, 162, 1, 8, 0, // Skip to: 1744
+/* 1736 */    MCD_OPC_CheckPredicate, 0, 225, 5, // Skip to: 3245
+/* 1740 */    MCD_OPC_Decode, 229, 3, 69, // Opcode: CXLGBR
+/* 1744 */    MCD_OPC_FilterValue, 164, 1, 10, 0, // Skip to: 1759
+/* 1749 */    MCD_OPC_CheckField, 8, 8, 0, 210, 5, // Skip to: 3245
+/* 1755 */    MCD_OPC_Decode, 143, 3, 70, // Opcode: CEGBR
+/* 1759 */    MCD_OPC_FilterValue, 165, 1, 10, 0, // Skip to: 1774
+/* 1764 */    MCD_OPC_CheckField, 8, 8, 0, 195, 5, // Skip to: 3245
+/* 1770 */    MCD_OPC_Decode, 137, 3, 71, // Opcode: CDGBR
+/* 1774 */    MCD_OPC_FilterValue, 166, 1, 10, 0, // Skip to: 1789
+/* 1779 */    MCD_OPC_CheckField, 8, 8, 0, 180, 5, // Skip to: 3245
+/* 1785 */    MCD_OPC_Decode, 227, 3, 72, // Opcode: CXGBR
+/* 1789 */    MCD_OPC_FilterValue, 168, 1, 10, 0, // Skip to: 1804
+/* 1794 */    MCD_OPC_CheckField, 8, 4, 0, 165, 5, // Skip to: 3245
+/* 1800 */    MCD_OPC_Decode, 153, 3, 73, // Opcode: CGEBR
+/* 1804 */    MCD_OPC_FilterValue, 169, 1, 10, 0, // Skip to: 1819
+/* 1809 */    MCD_OPC_CheckField, 8, 4, 0, 150, 5, // Skip to: 3245
+/* 1815 */    MCD_OPC_Decode, 152, 3, 74, // Opcode: CGDBR
+/* 1819 */    MCD_OPC_FilterValue, 170, 1, 10, 0, // Skip to: 1834
+/* 1824 */    MCD_OPC_CheckField, 8, 4, 0, 135, 5, // Skip to: 3245
+/* 1830 */    MCD_OPC_Decode, 166, 3, 75, // Opcode: CGXBR
+/* 1834 */    MCD_OPC_FilterValue, 172, 1, 8, 0, // Skip to: 1847
+/* 1839 */    MCD_OPC_CheckPredicate, 0, 122, 5, // Skip to: 3245
+/* 1843 */    MCD_OPC_Decode, 188, 3, 76, // Opcode: CLGEBR
+/* 1847 */    MCD_OPC_FilterValue, 173, 1, 8, 0, // Skip to: 1860
+/* 1852 */    MCD_OPC_CheckPredicate, 0, 109, 5, // Skip to: 3245
+/* 1856 */    MCD_OPC_Decode, 187, 3, 77, // Opcode: CLGDBR
+/* 1860 */    MCD_OPC_FilterValue, 174, 1, 8, 0, // Skip to: 1873
+/* 1865 */    MCD_OPC_CheckPredicate, 0, 96, 5, // Skip to: 3245
+/* 1869 */    MCD_OPC_Decode, 199, 3, 78, // Opcode: CLGXBR
+/* 1873 */    MCD_OPC_FilterValue, 193, 1, 10, 0, // Skip to: 1888
+/* 1878 */    MCD_OPC_CheckField, 8, 8, 0, 81, 5, // Skip to: 3245
+/* 1884 */    MCD_OPC_Decode, 194, 4, 71, // Opcode: LDGR
+/* 1888 */    MCD_OPC_FilterValue, 205, 1, 72, 5, // Skip to: 3245
+/* 1893 */    MCD_OPC_CheckField, 8, 8, 0, 66, 5, // Skip to: 3245
+/* 1899 */    MCD_OPC_Decode, 210, 4, 79, // Opcode: LGDR
+/* 1903 */    MCD_OPC_FilterValue, 185, 1, 48, 5, // Skip to: 3236
+/* 1908 */    MCD_OPC_ExtractField, 16, 8,  // Inst{23-16} ...
+/* 1911 */    MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 1925
+/* 1915 */    MCD_OPC_CheckField, 8, 8, 0, 44, 5, // Skip to: 3245
+/* 1921 */    MCD_OPC_Decode, 141, 5, 80, // Opcode: LPGR
+/* 1925 */    MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 1939
+/* 1929 */    MCD_OPC_CheckField, 8, 8, 0, 30, 5, // Skip to: 3245
+/* 1935 */    MCD_OPC_Decode, 131, 5, 80, // Opcode: LNGR
+/* 1939 */    MCD_OPC_FilterValue, 2, 10, 0, // Skip to: 1953
+/* 1943 */    MCD_OPC_CheckField, 8, 8, 0, 16, 5, // Skip to: 3245
+/* 1949 */    MCD_OPC_Decode, 159, 5, 80, // Opcode: LTGR
+/* 1953 */    MCD_OPC_FilterValue, 3, 10, 0, // Skip to: 1967
+/* 1957 */    MCD_OPC_CheckField, 8, 8, 0, 2, 5, // Skip to: 3245
+/* 1963 */    MCD_OPC_Decode, 188, 4, 80, // Opcode: LCGR
+/* 1967 */    MCD_OPC_FilterValue, 4, 10, 0, // Skip to: 1981
+/* 1971 */    MCD_OPC_CheckField, 8, 8, 0, 244, 4, // Skip to: 3245
+/* 1977 */    MCD_OPC_Decode, 219, 4, 80, // Opcode: LGR
+/* 1981 */    MCD_OPC_FilterValue, 6, 10, 0, // Skip to: 1995
+/* 1985 */    MCD_OPC_CheckField, 8, 8, 0, 230, 4, // Skip to: 3245
+/* 1991 */    MCD_OPC_Decode, 209, 4, 80, // Opcode: LGBR
+/* 1995 */    MCD_OPC_FilterValue, 7, 10, 0, // Skip to: 2009
+/* 1999 */    MCD_OPC_CheckField, 8, 8, 0, 216, 4, // Skip to: 3245
+/* 2005 */    MCD_OPC_Decode, 217, 4, 80, // Opcode: LGHR
+/* 2009 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 2022
+/* 2013 */    MCD_OPC_CheckField, 8, 8, 0, 202, 4, // Skip to: 3245
+/* 2019 */    MCD_OPC_Decode, 37, 81, // Opcode: AGR
+/* 2022 */    MCD_OPC_FilterValue, 9, 10, 0, // Skip to: 2036
+/* 2026 */    MCD_OPC_CheckField, 8, 8, 0, 189, 4, // Skip to: 3245
+/* 2032 */    MCD_OPC_Decode, 166, 6, 81, // Opcode: SGR
+/* 2036 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 2049
+/* 2040 */    MCD_OPC_CheckField, 8, 8, 0, 175, 4, // Skip to: 3245
+/* 2046 */    MCD_OPC_Decode, 58, 81, // Opcode: ALGR
+/* 2049 */    MCD_OPC_FilterValue, 11, 10, 0, // Skip to: 2063
+/* 2053 */    MCD_OPC_CheckField, 8, 8, 0, 162, 4, // Skip to: 3245
+/* 2059 */    MCD_OPC_Decode, 180, 6, 81, // Opcode: SLGR
+/* 2063 */    MCD_OPC_FilterValue, 12, 10, 0, // Skip to: 2077
+/* 2067 */    MCD_OPC_CheckField, 8, 8, 0, 148, 4, // Skip to: 3245
+/* 2073 */    MCD_OPC_Decode, 199, 5, 81, // Opcode: MSGR
+/* 2077 */    MCD_OPC_FilterValue, 13, 10, 0, // Skip to: 2091
+/* 2081 */    MCD_OPC_CheckField, 8, 8, 0, 134, 4, // Skip to: 3245
+/* 2087 */    MCD_OPC_Decode, 134, 4, 82, // Opcode: DSGR
+/* 2091 */    MCD_OPC_FilterValue, 15, 10, 0, // Skip to: 2105
+/* 2095 */    MCD_OPC_CheckField, 8, 8, 0, 120, 4, // Skip to: 3245
+/* 2101 */    MCD_OPC_Decode, 149, 5, 80, // Opcode: LRVGR
+/* 2105 */    MCD_OPC_FilterValue, 16, 10, 0, // Skip to: 2119
+/* 2109 */    MCD_OPC_CheckField, 8, 8, 0, 106, 4, // Skip to: 3245
+/* 2115 */    MCD_OPC_Decode, 140, 5, 83, // Opcode: LPGFR
+/* 2119 */    MCD_OPC_FilterValue, 17, 10, 0, // Skip to: 2133
+/* 2123 */    MCD_OPC_CheckField, 8, 8, 0, 92, 4, // Skip to: 3245
+/* 2129 */    MCD_OPC_Decode, 130, 5, 83, // Opcode: LNGFR
+/* 2133 */    MCD_OPC_FilterValue, 18, 10, 0, // Skip to: 2147
+/* 2137 */    MCD_OPC_CheckField, 8, 8, 0, 78, 4, // Skip to: 3245
+/* 2143 */    MCD_OPC_Decode, 158, 5, 80, // Opcode: LTGFR
+/* 2147 */    MCD_OPC_FilterValue, 19, 10, 0, // Skip to: 2161
+/* 2151 */    MCD_OPC_CheckField, 8, 8, 0, 64, 4, // Skip to: 3245
+/* 2157 */    MCD_OPC_Decode, 187, 4, 83, // Opcode: LCGFR
+/* 2161 */    MCD_OPC_FilterValue, 20, 10, 0, // Skip to: 2175
+/* 2165 */    MCD_OPC_CheckField, 8, 8, 0, 50, 4, // Skip to: 3245
+/* 2171 */    MCD_OPC_Decode, 213, 4, 83, // Opcode: LGFR
+/* 2175 */    MCD_OPC_FilterValue, 22, 10, 0, // Skip to: 2189
+/* 2179 */    MCD_OPC_CheckField, 8, 8, 0, 36, 4, // Skip to: 3245
+/* 2185 */    MCD_OPC_Decode, 237, 4, 83, // Opcode: LLGFR
+/* 2189 */    MCD_OPC_FilterValue, 24, 9, 0, // Skip to: 2202
+/* 2193 */    MCD_OPC_CheckField, 8, 8, 0, 22, 4, // Skip to: 3245
+/* 2199 */    MCD_OPC_Decode, 34, 84, // Opcode: AGFR
+/* 2202 */    MCD_OPC_FilterValue, 25, 10, 0, // Skip to: 2216
+/* 2206 */    MCD_OPC_CheckField, 8, 8, 0, 9, 4, // Skip to: 3245
+/* 2212 */    MCD_OPC_Decode, 165, 6, 84, // Opcode: SGFR
+/* 2216 */    MCD_OPC_FilterValue, 26, 9, 0, // Skip to: 2229
+/* 2220 */    MCD_OPC_CheckField, 8, 8, 0, 251, 3, // Skip to: 3245
+/* 2226 */    MCD_OPC_Decode, 56, 84, // Opcode: ALGFR
+/* 2229 */    MCD_OPC_FilterValue, 27, 10, 0, // Skip to: 2243
+/* 2233 */    MCD_OPC_CheckField, 8, 8, 0, 238, 3, // Skip to: 3245
+/* 2239 */    MCD_OPC_Decode, 179, 6, 84, // Opcode: SLGFR
+/* 2243 */    MCD_OPC_FilterValue, 28, 10, 0, // Skip to: 2257
+/* 2247 */    MCD_OPC_CheckField, 8, 8, 0, 224, 3, // Skip to: 3245
+/* 2253 */    MCD_OPC_Decode, 198, 5, 84, // Opcode: MSGFR
+/* 2257 */    MCD_OPC_FilterValue, 29, 10, 0, // Skip to: 2271
+/* 2261 */    MCD_OPC_CheckField, 8, 8, 0, 210, 3, // Skip to: 3245
+/* 2267 */    MCD_OPC_Decode, 133, 4, 85, // Opcode: DSGFR
+/* 2271 */    MCD_OPC_FilterValue, 31, 10, 0, // Skip to: 2285
+/* 2275 */    MCD_OPC_CheckField, 8, 8, 0, 196, 3, // Skip to: 3245
+/* 2281 */    MCD_OPC_Decode, 150, 5, 3, // Opcode: LRVR
+/* 2285 */    MCD_OPC_FilterValue, 32, 10, 0, // Skip to: 2299
+/* 2289 */    MCD_OPC_CheckField, 8, 8, 0, 182, 3, // Skip to: 3245
+/* 2295 */    MCD_OPC_Decode, 163, 3, 80, // Opcode: CGR
+/* 2299 */    MCD_OPC_FilterValue, 33, 10, 0, // Skip to: 2313
+/* 2303 */    MCD_OPC_CheckField, 8, 8, 0, 168, 3, // Skip to: 3245
+/* 2309 */    MCD_OPC_Decode, 196, 3, 80, // Opcode: CLGR
+/* 2313 */    MCD_OPC_FilterValue, 38, 10, 0, // Skip to: 2327
+/* 2317 */    MCD_OPC_CheckField, 8, 8, 0, 154, 3, // Skip to: 3245
+/* 2323 */    MCD_OPC_Decode, 184, 4, 3, // Opcode: LBR
+/* 2327 */    MCD_OPC_FilterValue, 39, 10, 0, // Skip to: 2341
+/* 2331 */    MCD_OPC_CheckField, 8, 8, 0, 140, 3, // Skip to: 3245
+/* 2337 */    MCD_OPC_Decode, 226, 4, 3, // Opcode: LHR
+/* 2341 */    MCD_OPC_FilterValue, 48, 10, 0, // Skip to: 2355
+/* 2345 */    MCD_OPC_CheckField, 8, 8, 0, 126, 3, // Skip to: 3245
+/* 2351 */    MCD_OPC_Decode, 156, 3, 83, // Opcode: CGFR
+/* 2355 */    MCD_OPC_FilterValue, 49, 10, 0, // Skip to: 2369
+/* 2359 */    MCD_OPC_CheckField, 8, 8, 0, 112, 3, // Skip to: 3245
+/* 2365 */    MCD_OPC_Decode, 191, 3, 83, // Opcode: CLGFR
+/* 2369 */    MCD_OPC_FilterValue, 128, 1, 10, 0, // Skip to: 2384
+/* 2374 */    MCD_OPC_CheckField, 8, 8, 0, 97, 3, // Skip to: 3245
+/* 2380 */    MCD_OPC_Decode, 220, 5, 81, // Opcode: NGR
+/* 2384 */    MCD_OPC_FilterValue, 129, 1, 10, 0, // Skip to: 2399
+/* 2389 */    MCD_OPC_CheckField, 8, 8, 0, 82, 3, // Skip to: 3245
+/* 2395 */    MCD_OPC_Decode, 247, 5, 81, // Opcode: OGR
+/* 2399 */    MCD_OPC_FilterValue, 130, 1, 10, 0, // Skip to: 2414
+/* 2404 */    MCD_OPC_CheckField, 8, 8, 0, 67, 3, // Skip to: 3245
+/* 2410 */    MCD_OPC_Decode, 128, 7, 81, // Opcode: XGR
+/* 2414 */    MCD_OPC_FilterValue, 131, 1, 10, 0, // Skip to: 2429
+/* 2419 */    MCD_OPC_CheckField, 8, 8, 0, 52, 3, // Skip to: 3245
+/* 2425 */    MCD_OPC_Decode, 143, 4, 86, // Opcode: FLOGR
+/* 2429 */    MCD_OPC_FilterValue, 132, 1, 10, 0, // Skip to: 2444
+/* 2434 */    MCD_OPC_CheckField, 8, 8, 0, 37, 3, // Skip to: 3245
+/* 2440 */    MCD_OPC_Decode, 235, 4, 80, // Opcode: LLGCR
+/* 2444 */    MCD_OPC_FilterValue, 133, 1, 10, 0, // Skip to: 2459
+/* 2449 */    MCD_OPC_CheckField, 8, 8, 0, 22, 3, // Skip to: 3245
+/* 2455 */    MCD_OPC_Decode, 240, 4, 80, // Opcode: LLGHR
+/* 2459 */    MCD_OPC_FilterValue, 134, 1, 10, 0, // Skip to: 2474
+/* 2464 */    MCD_OPC_CheckField, 8, 8, 0, 7, 3, // Skip to: 3245
+/* 2470 */    MCD_OPC_Decode, 188, 5, 82, // Opcode: MLGR
+/* 2474 */    MCD_OPC_FilterValue, 135, 1, 10, 0, // Skip to: 2489
+/* 2479 */    MCD_OPC_CheckField, 8, 8, 0, 248, 2, // Skip to: 3245
+/* 2485 */    MCD_OPC_Decode, 129, 4, 82, // Opcode: DLGR
+/* 2489 */    MCD_OPC_FilterValue, 136, 1, 9, 0, // Skip to: 2503
+/* 2494 */    MCD_OPC_CheckField, 8, 8, 0, 233, 2, // Skip to: 3245
+/* 2500 */    MCD_OPC_Decode, 50, 81, // Opcode: ALCGR
+/* 2503 */    MCD_OPC_FilterValue, 137, 1, 10, 0, // Skip to: 2518
+/* 2508 */    MCD_OPC_CheckField, 8, 8, 0, 219, 2, // Skip to: 3245
+/* 2514 */    MCD_OPC_Decode, 176, 6, 81, // Opcode: SLGBR
+/* 2518 */    MCD_OPC_FilterValue, 148, 1, 10, 0, // Skip to: 2533
+/* 2523 */    MCD_OPC_CheckField, 8, 8, 0, 204, 2, // Skip to: 3245
+/* 2529 */    MCD_OPC_Decode, 232, 4, 3, // Opcode: LLCR
+/* 2533 */    MCD_OPC_FilterValue, 149, 1, 10, 0, // Skip to: 2548
+/* 2538 */    MCD_OPC_CheckField, 8, 8, 0, 189, 2, // Skip to: 3245
+/* 2544 */    MCD_OPC_Decode, 245, 4, 3, // Opcode: LLHR
+/* 2548 */    MCD_OPC_FilterValue, 151, 1, 10, 0, // Skip to: 2563
+/* 2553 */    MCD_OPC_CheckField, 8, 8, 0, 174, 2, // Skip to: 3245
+/* 2559 */    MCD_OPC_Decode, 130, 4, 85, // Opcode: DLR
+/* 2563 */    MCD_OPC_FilterValue, 152, 1, 9, 0, // Skip to: 2577
+/* 2568 */    MCD_OPC_CheckField, 8, 8, 0, 159, 2, // Skip to: 3245
+/* 2574 */    MCD_OPC_Decode, 51, 4, // Opcode: ALCR
+/* 2577 */    MCD_OPC_FilterValue, 153, 1, 10, 0, // Skip to: 2592
+/* 2582 */    MCD_OPC_CheckField, 8, 8, 0, 145, 2, // Skip to: 3245
+/* 2588 */    MCD_OPC_Decode, 173, 6, 4, // Opcode: SLBR
+/* 2592 */    MCD_OPC_FilterValue, 226, 1, 186, 0, // Skip to: 2783
+/* 2597 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2600 */    MCD_OPC_FilterValue, 0, 129, 2, // Skip to: 3245
+/* 2604 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 2607 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2619
+/* 2611 */    MCD_OPC_CheckPredicate, 1, 160, 0, // Skip to: 2775
+/* 2615 */    MCD_OPC_Decode, 247, 2, 81, // Opcode: AsmOLOCGR
+/* 2619 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2631
+/* 2623 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 2775
+/* 2627 */    MCD_OPC_Decode, 176, 1, 81, // Opcode: AsmHLOCGR
+/* 2631 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2643
+/* 2635 */    MCD_OPC_CheckPredicate, 1, 136, 0, // Skip to: 2775
+/* 2639 */    MCD_OPC_Decode, 212, 2, 81, // Opcode: AsmNLELOCGR
+/* 2643 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 2655
+/* 2647 */    MCD_OPC_CheckPredicate, 1, 124, 0, // Skip to: 2775
+/* 2651 */    MCD_OPC_Decode, 171, 2, 81, // Opcode: AsmLLOCGR
+/* 2655 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 2667
+/* 2659 */    MCD_OPC_CheckPredicate, 1, 112, 0, // Skip to: 2775
+/* 2663 */    MCD_OPC_Decode, 194, 2, 81, // Opcode: AsmNHELOCGR
+/* 2667 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 2679
+/* 2671 */    MCD_OPC_CheckPredicate, 1, 100, 0, // Skip to: 2775
+/* 2675 */    MCD_OPC_Decode, 163, 2, 81, // Opcode: AsmLHLOCGR
+/* 2679 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 2691
+/* 2683 */    MCD_OPC_CheckPredicate, 1, 88, 0, // Skip to: 2775
+/* 2687 */    MCD_OPC_Decode, 184, 2, 81, // Opcode: AsmNELOCGR
+/* 2691 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 2703
+/* 2695 */    MCD_OPC_CheckPredicate, 1, 76, 0, // Skip to: 2775
+/* 2699 */    MCD_OPC_Decode, 158, 1, 81, // Opcode: AsmELOCGR
+/* 2703 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 2715
+/* 2707 */    MCD_OPC_CheckPredicate, 1, 64, 0, // Skip to: 2775
+/* 2711 */    MCD_OPC_Decode, 221, 2, 81, // Opcode: AsmNLHLOCGR
+/* 2715 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 2727
+/* 2719 */    MCD_OPC_CheckPredicate, 1, 52, 0, // Skip to: 2775
+/* 2723 */    MCD_OPC_Decode, 168, 1, 81, // Opcode: AsmHELOCGR
+/* 2727 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 2739
+/* 2731 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 2775
+/* 2735 */    MCD_OPC_Decode, 229, 2, 81, // Opcode: AsmNLLOCGR
+/* 2739 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 2751
+/* 2743 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 2775
+/* 2747 */    MCD_OPC_Decode, 154, 2, 81, // Opcode: AsmLELOCGR
+/* 2751 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 2763
+/* 2755 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 2775
+/* 2759 */    MCD_OPC_Decode, 202, 2, 81, // Opcode: AsmNHLOCGR
+/* 2763 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 2775
+/* 2767 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 2775
+/* 2771 */    MCD_OPC_Decode, 238, 2, 81, // Opcode: AsmNOLOCGR
+/* 2775 */    MCD_OPC_CheckPredicate, 1, 210, 1, // Skip to: 3245
+/* 2779 */    MCD_OPC_Decode, 175, 2, 87, // Opcode: AsmLOCGR
+/* 2783 */    MCD_OPC_FilterValue, 228, 1, 14, 0, // Skip to: 2802
+/* 2788 */    MCD_OPC_CheckPredicate, 2, 197, 1, // Skip to: 3245
+/* 2792 */    MCD_OPC_CheckField, 8, 4, 0, 191, 1, // Skip to: 3245
+/* 2798 */    MCD_OPC_Decode, 221, 5, 88, // Opcode: NGRK
+/* 2802 */    MCD_OPC_FilterValue, 230, 1, 14, 0, // Skip to: 2821
+/* 2807 */    MCD_OPC_CheckPredicate, 2, 178, 1, // Skip to: 3245
+/* 2811 */    MCD_OPC_CheckField, 8, 4, 0, 172, 1, // Skip to: 3245
+/* 2817 */    MCD_OPC_Decode, 248, 5, 88, // Opcode: OGRK
+/* 2821 */    MCD_OPC_FilterValue, 231, 1, 14, 0, // Skip to: 2840
+/* 2826 */    MCD_OPC_CheckPredicate, 2, 159, 1, // Skip to: 3245
+/* 2830 */    MCD_OPC_CheckField, 8, 4, 0, 153, 1, // Skip to: 3245
+/* 2836 */    MCD_OPC_Decode, 129, 7, 88, // Opcode: XGRK
+/* 2840 */    MCD_OPC_FilterValue, 232, 1, 13, 0, // Skip to: 2858
+/* 2845 */    MCD_OPC_CheckPredicate, 2, 140, 1, // Skip to: 3245
+/* 2849 */    MCD_OPC_CheckField, 8, 4, 0, 134, 1, // Skip to: 3245
+/* 2855 */    MCD_OPC_Decode, 38, 88, // Opcode: AGRK
+/* 2858 */    MCD_OPC_FilterValue, 233, 1, 14, 0, // Skip to: 2877
+/* 2863 */    MCD_OPC_CheckPredicate, 2, 122, 1, // Skip to: 3245
+/* 2867 */    MCD_OPC_CheckField, 8, 4, 0, 116, 1, // Skip to: 3245
+/* 2873 */    MCD_OPC_Decode, 167, 6, 88, // Opcode: SGRK
+/* 2877 */    MCD_OPC_FilterValue, 234, 1, 13, 0, // Skip to: 2895
+/* 2882 */    MCD_OPC_CheckPredicate, 2, 103, 1, // Skip to: 3245
+/* 2886 */    MCD_OPC_CheckField, 8, 4, 0, 97, 1, // Skip to: 3245
+/* 2892 */    MCD_OPC_Decode, 59, 88, // Opcode: ALGRK
+/* 2895 */    MCD_OPC_FilterValue, 235, 1, 14, 0, // Skip to: 2914
+/* 2900 */    MCD_OPC_CheckPredicate, 2, 85, 1, // Skip to: 3245
+/* 2904 */    MCD_OPC_CheckField, 8, 4, 0, 79, 1, // Skip to: 3245
+/* 2910 */    MCD_OPC_Decode, 181, 6, 88, // Opcode: SLGRK
+/* 2914 */    MCD_OPC_FilterValue, 242, 1, 186, 0, // Skip to: 3105
+/* 2919 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2922 */    MCD_OPC_FilterValue, 0, 63, 1, // Skip to: 3245
+/* 2926 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 2929 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 2941
+/* 2933 */    MCD_OPC_CheckPredicate, 1, 160, 0, // Skip to: 3097
+/* 2937 */    MCD_OPC_Decode, 248, 2, 4, // Opcode: AsmOLOCR
+/* 2941 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 2953
+/* 2945 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 3097
+/* 2949 */    MCD_OPC_Decode, 177, 1, 4, // Opcode: AsmHLOCR
+/* 2953 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 2965
+/* 2957 */    MCD_OPC_CheckPredicate, 1, 136, 0, // Skip to: 3097
+/* 2961 */    MCD_OPC_Decode, 213, 2, 4, // Opcode: AsmNLELOCR
+/* 2965 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 2977
+/* 2969 */    MCD_OPC_CheckPredicate, 1, 124, 0, // Skip to: 3097
+/* 2973 */    MCD_OPC_Decode, 172, 2, 4, // Opcode: AsmLLOCR
+/* 2977 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 2989
+/* 2981 */    MCD_OPC_CheckPredicate, 1, 112, 0, // Skip to: 3097
+/* 2985 */    MCD_OPC_Decode, 195, 2, 4, // Opcode: AsmNHELOCR
+/* 2989 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 3001
+/* 2993 */    MCD_OPC_CheckPredicate, 1, 100, 0, // Skip to: 3097
+/* 2997 */    MCD_OPC_Decode, 164, 2, 4, // Opcode: AsmLHLOCR
+/* 3001 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 3013
+/* 3005 */    MCD_OPC_CheckPredicate, 1, 88, 0, // Skip to: 3097
+/* 3009 */    MCD_OPC_Decode, 185, 2, 4, // Opcode: AsmNELOCR
+/* 3013 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 3025
+/* 3017 */    MCD_OPC_CheckPredicate, 1, 76, 0, // Skip to: 3097
+/* 3021 */    MCD_OPC_Decode, 159, 1, 4, // Opcode: AsmELOCR
+/* 3025 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 3037
+/* 3029 */    MCD_OPC_CheckPredicate, 1, 64, 0, // Skip to: 3097
+/* 3033 */    MCD_OPC_Decode, 222, 2, 4, // Opcode: AsmNLHLOCR
+/* 3037 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 3049
+/* 3041 */    MCD_OPC_CheckPredicate, 1, 52, 0, // Skip to: 3097
+/* 3045 */    MCD_OPC_Decode, 169, 1, 4, // Opcode: AsmHELOCR
+/* 3049 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 3061
+/* 3053 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 3097
+/* 3057 */    MCD_OPC_Decode, 230, 2, 4, // Opcode: AsmNLLOCR
+/* 3061 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 3073
+/* 3065 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 3097
+/* 3069 */    MCD_OPC_Decode, 155, 2, 4, // Opcode: AsmLELOCR
+/* 3073 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 3085
+/* 3077 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 3097
+/* 3081 */    MCD_OPC_Decode, 203, 2, 4, // Opcode: AsmNHLOCR
+/* 3085 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 3097
+/* 3089 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 3097
+/* 3093 */    MCD_OPC_Decode, 239, 2, 4, // Opcode: AsmNOLOCR
+/* 3097 */    MCD_OPC_CheckPredicate, 1, 144, 0, // Skip to: 3245
+/* 3101 */    MCD_OPC_Decode, 176, 2, 89, // Opcode: AsmLOCR
+/* 3105 */    MCD_OPC_FilterValue, 244, 1, 14, 0, // Skip to: 3124
+/* 3110 */    MCD_OPC_CheckPredicate, 2, 131, 0, // Skip to: 3245
+/* 3114 */    MCD_OPC_CheckField, 8, 4, 0, 125, 0, // Skip to: 3245
+/* 3120 */    MCD_OPC_Decode, 240, 5, 90, // Opcode: NRK
+/* 3124 */    MCD_OPC_FilterValue, 246, 1, 14, 0, // Skip to: 3143
+/* 3129 */    MCD_OPC_CheckPredicate, 2, 112, 0, // Skip to: 3245
+/* 3133 */    MCD_OPC_CheckField, 8, 4, 0, 106, 0, // Skip to: 3245
+/* 3139 */    MCD_OPC_Decode, 139, 6, 90, // Opcode: ORK
+/* 3143 */    MCD_OPC_FilterValue, 247, 1, 14, 0, // Skip to: 3162
+/* 3148 */    MCD_OPC_CheckPredicate, 2, 93, 0, // Skip to: 3245
+/* 3152 */    MCD_OPC_CheckField, 8, 4, 0, 87, 0, // Skip to: 3245
+/* 3158 */    MCD_OPC_Decode, 138, 7, 90, // Opcode: XRK
+/* 3162 */    MCD_OPC_FilterValue, 248, 1, 13, 0, // Skip to: 3180
+/* 3167 */    MCD_OPC_CheckPredicate, 2, 74, 0, // Skip to: 3245
+/* 3171 */    MCD_OPC_CheckField, 8, 4, 0, 68, 0, // Skip to: 3245
+/* 3177 */    MCD_OPC_Decode, 65, 90, // Opcode: ARK
+/* 3180 */    MCD_OPC_FilterValue, 249, 1, 14, 0, // Skip to: 3199
+/* 3185 */    MCD_OPC_CheckPredicate, 2, 56, 0, // Skip to: 3245
+/* 3189 */    MCD_OPC_CheckField, 8, 4, 0, 50, 0, // Skip to: 3245
+/* 3195 */    MCD_OPC_Decode, 197, 6, 90, // Opcode: SRK
+/* 3199 */    MCD_OPC_FilterValue, 250, 1, 13, 0, // Skip to: 3217
+/* 3204 */    MCD_OPC_CheckPredicate, 2, 37, 0, // Skip to: 3245
+/* 3208 */    MCD_OPC_CheckField, 8, 4, 0, 31, 0, // Skip to: 3245
+/* 3214 */    MCD_OPC_Decode, 62, 90, // Opcode: ALRK
+/* 3217 */    MCD_OPC_FilterValue, 251, 1, 23, 0, // Skip to: 3245
+/* 3222 */    MCD_OPC_CheckPredicate, 2, 19, 0, // Skip to: 3245
+/* 3226 */    MCD_OPC_CheckField, 8, 4, 0, 13, 0, // Skip to: 3245
+/* 3232 */    MCD_OPC_Decode, 186, 6, 90, // Opcode: SLRK
+/* 3236 */    MCD_OPC_FilterValue, 186, 1, 4, 0, // Skip to: 3245
+/* 3241 */    MCD_OPC_Decode, 222, 3, 91, // Opcode: CS
+/* 3245 */    MCD_OPC_Fail,
   0
 };
 
@@ -832,838 +838,838 @@
 /* 3 */       MCD_OPC_FilterValue, 192, 1, 238, 0, // Skip to: 246
 /* 8 */       MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 11 */      MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 19
-/* 15 */      MCD_OPC_Decode, 176, 4, 91, // Opcode: LARL
+/* 15 */      MCD_OPC_Decode, 177, 4, 92, // Opcode: LARL
 /* 19 */      MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 27
-/* 23 */      MCD_OPC_Decode, 208, 4, 92, // Opcode: LGFI
+/* 23 */      MCD_OPC_Decode, 212, 4, 93, // Opcode: LGFI
 /* 27 */      MCD_OPC_FilterValue, 4, 127, 0, // Skip to: 158
 /* 31 */      MCD_OPC_ExtractField, 36, 4,  // Inst{39-36} ...
 /* 34 */      MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 42
-/* 38 */      MCD_OPC_Decode, 243, 2, 93, // Opcode: AsmOJG
+/* 38 */      MCD_OPC_Decode, 244, 2, 94, // Opcode: AsmOJG
 /* 42 */      MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 50
-/* 46 */      MCD_OPC_Decode, 172, 1, 93, // Opcode: AsmHJG
+/* 46 */      MCD_OPC_Decode, 173, 1, 94, // Opcode: AsmHJG
 /* 50 */      MCD_OPC_FilterValue, 3, 4, 0, // Skip to: 58
-/* 54 */      MCD_OPC_Decode, 208, 2, 93, // Opcode: AsmNLEJG
+/* 54 */      MCD_OPC_Decode, 209, 2, 94, // Opcode: AsmNLEJG
 /* 58 */      MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 66
-/* 62 */      MCD_OPC_Decode, 167, 2, 93, // Opcode: AsmLJG
+/* 62 */      MCD_OPC_Decode, 168, 2, 94, // Opcode: AsmLJG
 /* 66 */      MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 74
-/* 70 */      MCD_OPC_Decode, 190, 2, 93, // Opcode: AsmNHEJG
+/* 70 */      MCD_OPC_Decode, 191, 2, 94, // Opcode: AsmNHEJG
 /* 74 */      MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 82
-/* 78 */      MCD_OPC_Decode, 159, 2, 93, // Opcode: AsmLHJG
+/* 78 */      MCD_OPC_Decode, 160, 2, 94, // Opcode: AsmLHJG
 /* 82 */      MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 90
-/* 86 */      MCD_OPC_Decode, 180, 2, 93, // Opcode: AsmNEJG
+/* 86 */      MCD_OPC_Decode, 181, 2, 94, // Opcode: AsmNEJG
 /* 90 */      MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 98
-/* 94 */      MCD_OPC_Decode, 154, 1, 93, // Opcode: AsmEJG
+/* 94 */      MCD_OPC_Decode, 155, 1, 94, // Opcode: AsmEJG
 /* 98 */      MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 106
-/* 102 */     MCD_OPC_Decode, 217, 2, 93, // Opcode: AsmNLHJG
+/* 102 */     MCD_OPC_Decode, 218, 2, 94, // Opcode: AsmNLHJG
 /* 106 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 114
-/* 110 */     MCD_OPC_Decode, 164, 1, 93, // Opcode: AsmHEJG
+/* 110 */     MCD_OPC_Decode, 165, 1, 94, // Opcode: AsmHEJG
 /* 114 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 122
-/* 118 */     MCD_OPC_Decode, 225, 2, 93, // Opcode: AsmNLJG
+/* 118 */     MCD_OPC_Decode, 226, 2, 94, // Opcode: AsmNLJG
 /* 122 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 130
-/* 126 */     MCD_OPC_Decode, 150, 2, 93, // Opcode: AsmLEJG
+/* 126 */     MCD_OPC_Decode, 151, 2, 94, // Opcode: AsmLEJG
 /* 130 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 138
-/* 134 */     MCD_OPC_Decode, 198, 2, 93, // Opcode: AsmNHJG
+/* 134 */     MCD_OPC_Decode, 199, 2, 94, // Opcode: AsmNHJG
 /* 138 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 146
-/* 142 */     MCD_OPC_Decode, 234, 2, 93, // Opcode: AsmNOJG
+/* 142 */     MCD_OPC_Decode, 235, 2, 94, // Opcode: AsmNOJG
 /* 146 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 154
-/* 150 */     MCD_OPC_Decode, 164, 4, 93, // Opcode: JG
-/* 154 */     MCD_OPC_Decode, 143, 1, 94, // Opcode: AsmBRCL
+/* 150 */     MCD_OPC_Decode, 165, 4, 94, // Opcode: JG
+/* 154 */     MCD_OPC_Decode, 144, 1, 95, // Opcode: AsmBRCL
 /* 158 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 166
-/* 162 */     MCD_OPC_Decode, 255, 2, 91, // Opcode: BRASL
+/* 162 */     MCD_OPC_Decode, 128, 3, 92, // Opcode: BRASL
 /* 166 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 174
-/* 170 */     MCD_OPC_Decode, 128, 7, 95, // Opcode: XIHF
+/* 170 */     MCD_OPC_Decode, 132, 7, 96, // Opcode: XIHF
 /* 174 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 182
-/* 178 */     MCD_OPC_Decode, 130, 7, 96, // Opcode: XILF
+/* 178 */     MCD_OPC_Decode, 134, 7, 97, // Opcode: XILF
 /* 182 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 190
-/* 186 */     MCD_OPC_Decode, 148, 4, 97, // Opcode: IIHF
+/* 186 */     MCD_OPC_Decode, 149, 4, 98, // Opcode: IIHF
 /* 190 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 198
-/* 194 */     MCD_OPC_Decode, 155, 4, 98, // Opcode: IILF
+/* 194 */     MCD_OPC_Decode, 156, 4, 99, // Opcode: IILF
 /* 198 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 206
-/* 202 */     MCD_OPC_Decode, 220, 5, 95, // Opcode: NIHF
+/* 202 */     MCD_OPC_Decode, 224, 5, 96, // Opcode: NIHF
 /* 206 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 214
-/* 210 */     MCD_OPC_Decode, 227, 5, 96, // Opcode: NILF
+/* 210 */     MCD_OPC_Decode, 231, 5, 97, // Opcode: NILF
 /* 214 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 222
-/* 218 */     MCD_OPC_Decode, 247, 5, 95, // Opcode: OIHF
+/* 218 */     MCD_OPC_Decode, 251, 5, 96, // Opcode: OIHF
 /* 222 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 230
-/* 226 */     MCD_OPC_Decode, 254, 5, 96, // Opcode: OILF
+/* 226 */     MCD_OPC_Decode, 130, 6, 97, // Opcode: OILF
 /* 230 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 238
-/* 234 */     MCD_OPC_Decode, 244, 4, 99, // Opcode: LLIHF
-/* 238 */     MCD_OPC_FilterValue, 15, 213, 12, // Skip to: 3527
-/* 242 */     MCD_OPC_Decode, 247, 4, 99, // Opcode: LLILF
+/* 234 */     MCD_OPC_Decode, 248, 4, 100, // Opcode: LLIHF
+/* 238 */     MCD_OPC_FilterValue, 15, 214, 12, // Skip to: 3528
+/* 242 */     MCD_OPC_Decode, 251, 4, 100, // Opcode: LLILF
 /* 246 */     MCD_OPC_FilterValue, 194, 1, 95, 0, // Skip to: 346
 /* 251 */     MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 254 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 262
-/* 258 */     MCD_OPC_Decode, 193, 5, 100, // Opcode: MSGFI
+/* 258 */     MCD_OPC_Decode, 197, 5, 101, // Opcode: MSGFI
 /* 262 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 270
-/* 266 */     MCD_OPC_Decode, 190, 5, 101, // Opcode: MSFI
+/* 266 */     MCD_OPC_Decode, 194, 5, 102, // Opcode: MSFI
 /* 270 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 278
-/* 274 */     MCD_OPC_Decode, 174, 6, 102, // Opcode: SLGFI
+/* 274 */     MCD_OPC_Decode, 178, 6, 103, // Opcode: SLGFI
 /* 278 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 286
-/* 282 */     MCD_OPC_Decode, 170, 6, 96, // Opcode: SLFI
+/* 282 */     MCD_OPC_Decode, 174, 6, 97, // Opcode: SLFI
 /* 286 */     MCD_OPC_FilterValue, 8, 3, 0, // Skip to: 293
-/* 290 */     MCD_OPC_Decode, 32, 100, // Opcode: AGFI
+/* 290 */     MCD_OPC_Decode, 33, 101, // Opcode: AGFI
 /* 293 */     MCD_OPC_FilterValue, 9, 3, 0, // Skip to: 300
-/* 297 */     MCD_OPC_Decode, 28, 101, // Opcode: AFI
+/* 297 */     MCD_OPC_Decode, 29, 102, // Opcode: AFI
 /* 300 */     MCD_OPC_FilterValue, 10, 3, 0, // Skip to: 307
-/* 304 */     MCD_OPC_Decode, 54, 102, // Opcode: ALGFI
+/* 304 */     MCD_OPC_Decode, 55, 103, // Opcode: ALGFI
 /* 307 */     MCD_OPC_FilterValue, 11, 3, 0, // Skip to: 314
-/* 311 */     MCD_OPC_Decode, 51, 96, // Opcode: ALFI
+/* 311 */     MCD_OPC_Decode, 52, 97, // Opcode: ALFI
 /* 314 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 322
-/* 318 */     MCD_OPC_Decode, 154, 3, 92, // Opcode: CGFI
+/* 318 */     MCD_OPC_Decode, 155, 3, 93, // Opcode: CGFI
 /* 322 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 330
-/* 326 */     MCD_OPC_Decode, 147, 3, 103, // Opcode: CFI
+/* 326 */     MCD_OPC_Decode, 148, 3, 104, // Opcode: CFI
 /* 330 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 338
-/* 334 */     MCD_OPC_Decode, 189, 3, 99, // Opcode: CLGFI
-/* 338 */     MCD_OPC_FilterValue, 15, 113, 12, // Skip to: 3527
-/* 342 */     MCD_OPC_Decode, 182, 3, 98, // Opcode: CLFI
+/* 334 */     MCD_OPC_Decode, 190, 3, 100, // Opcode: CLGFI
+/* 338 */     MCD_OPC_FilterValue, 15, 114, 12, // Skip to: 3528
+/* 342 */     MCD_OPC_Decode, 183, 3, 99, // Opcode: CLFI
 /* 346 */     MCD_OPC_FilterValue, 196, 1, 91, 0, // Skip to: 442
 /* 351 */     MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 354 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 362
-/* 358 */     MCD_OPC_Decode, 242, 4, 104, // Opcode: LLHRL
+/* 358 */     MCD_OPC_Decode, 246, 4, 105, // Opcode: LLHRL
 /* 362 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 370
-/* 366 */     MCD_OPC_Decode, 214, 4, 91, // Opcode: LGHRL
+/* 366 */     MCD_OPC_Decode, 218, 4, 92, // Opcode: LGHRL
 /* 370 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 378
-/* 374 */     MCD_OPC_Decode, 223, 4, 104, // Opcode: LHRL
+/* 374 */     MCD_OPC_Decode, 227, 4, 105, // Opcode: LHRL
 /* 378 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 386
-/* 382 */     MCD_OPC_Decode, 237, 4, 91, // Opcode: LLGHRL
+/* 382 */     MCD_OPC_Decode, 241, 4, 92, // Opcode: LLGHRL
 /* 386 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 394
-/* 390 */     MCD_OPC_Decode, 215, 6, 104, // Opcode: STHRL
+/* 390 */     MCD_OPC_Decode, 219, 6, 105, // Opcode: STHRL
 /* 394 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 402
-/* 398 */     MCD_OPC_Decode, 216, 4, 91, // Opcode: LGRL
+/* 398 */     MCD_OPC_Decode, 220, 4, 92, // Opcode: LGRL
 /* 402 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 410
-/* 406 */     MCD_OPC_Decode, 211, 6, 91, // Opcode: STGRL
+/* 406 */     MCD_OPC_Decode, 215, 6, 92, // Opcode: STGRL
 /* 410 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 418
-/* 414 */     MCD_OPC_Decode, 210, 4, 91, // Opcode: LGFRL
+/* 414 */     MCD_OPC_Decode, 214, 4, 92, // Opcode: LGFRL
 /* 418 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 426
-/* 422 */     MCD_OPC_Decode, 141, 5, 104, // Opcode: LRL
+/* 422 */     MCD_OPC_Decode, 145, 5, 105, // Opcode: LRL
 /* 426 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 434
-/* 430 */     MCD_OPC_Decode, 234, 4, 91, // Opcode: LLGFRL
-/* 434 */     MCD_OPC_FilterValue, 15, 17, 12, // Skip to: 3527
-/* 438 */     MCD_OPC_Decode, 221, 6, 104, // Opcode: STRL
+/* 430 */     MCD_OPC_Decode, 238, 4, 92, // Opcode: LLGFRL
+/* 434 */     MCD_OPC_FilterValue, 15, 18, 12, // Skip to: 3528
+/* 438 */     MCD_OPC_Decode, 225, 6, 105, // Opcode: STRL
 /* 442 */     MCD_OPC_FilterValue, 198, 1, 91, 0, // Skip to: 538
 /* 447 */     MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 450 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 458
-/* 454 */     MCD_OPC_Decode, 138, 6, 94, // Opcode: PFDRL
+/* 454 */     MCD_OPC_Decode, 142, 6, 95, // Opcode: PFDRL
 /* 458 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 466
-/* 462 */     MCD_OPC_Decode, 159, 3, 91, // Opcode: CGHRL
+/* 462 */     MCD_OPC_Decode, 160, 3, 92, // Opcode: CGHRL
 /* 466 */     MCD_OPC_FilterValue, 5, 4, 0, // Skip to: 474
-/* 470 */     MCD_OPC_Decode, 170, 3, 104, // Opcode: CHRL
+/* 470 */     MCD_OPC_Decode, 171, 3, 105, // Opcode: CHRL
 /* 474 */     MCD_OPC_FilterValue, 6, 4, 0, // Skip to: 482
-/* 478 */     MCD_OPC_Decode, 192, 3, 91, // Opcode: CLGHRL
+/* 478 */     MCD_OPC_Decode, 193, 3, 92, // Opcode: CLGHRL
 /* 482 */     MCD_OPC_FilterValue, 7, 4, 0, // Skip to: 490
-/* 486 */     MCD_OPC_Decode, 201, 3, 104, // Opcode: CLHRL
+/* 486 */     MCD_OPC_Decode, 202, 3, 105, // Opcode: CLHRL
 /* 490 */     MCD_OPC_FilterValue, 8, 4, 0, // Skip to: 498
-/* 494 */     MCD_OPC_Decode, 164, 3, 91, // Opcode: CGRL
+/* 494 */     MCD_OPC_Decode, 165, 3, 92, // Opcode: CGRL
 /* 498 */     MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 506
-/* 502 */     MCD_OPC_Decode, 197, 3, 91, // Opcode: CLGRL
+/* 502 */     MCD_OPC_Decode, 198, 3, 92, // Opcode: CLGRL
 /* 506 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 514
-/* 510 */     MCD_OPC_Decode, 156, 3, 91, // Opcode: CGFRL
+/* 510 */     MCD_OPC_Decode, 157, 3, 92, // Opcode: CGFRL
 /* 514 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 522
-/* 518 */     MCD_OPC_Decode, 220, 3, 104, // Opcode: CRL
+/* 518 */     MCD_OPC_Decode, 221, 3, 105, // Opcode: CRL
 /* 522 */     MCD_OPC_FilterValue, 14, 4, 0, // Skip to: 530
-/* 526 */     MCD_OPC_Decode, 191, 3, 91, // Opcode: CLGFRL
-/* 530 */     MCD_OPC_FilterValue, 15, 177, 11, // Skip to: 3527
-/* 534 */     MCD_OPC_Decode, 209, 3, 104, // Opcode: CLRL
+/* 526 */     MCD_OPC_Decode, 192, 3, 92, // Opcode: CLGFRL
+/* 530 */     MCD_OPC_FilterValue, 15, 178, 11, // Skip to: 3528
+/* 534 */     MCD_OPC_Decode, 210, 3, 105, // Opcode: CLRL
 /* 538 */     MCD_OPC_FilterValue, 204, 1, 38, 0, // Skip to: 581
 /* 543 */     MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 546 */     MCD_OPC_FilterValue, 8, 7, 0, // Skip to: 557
-/* 550 */     MCD_OPC_CheckPredicate, 3, 157, 11, // Skip to: 3527
-/* 554 */     MCD_OPC_Decode, 45, 105, // Opcode: AIH
+/* 550 */     MCD_OPC_CheckPredicate, 3, 158, 11, // Skip to: 3528
+/* 554 */     MCD_OPC_Decode, 46, 106, // Opcode: AIH
 /* 557 */     MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 569
-/* 561 */     MCD_OPC_CheckPredicate, 3, 146, 11, // Skip to: 3527
-/* 565 */     MCD_OPC_Decode, 173, 3, 106, // Opcode: CIH
-/* 569 */     MCD_OPC_FilterValue, 15, 138, 11, // Skip to: 3527
-/* 573 */     MCD_OPC_CheckPredicate, 3, 134, 11, // Skip to: 3527
-/* 577 */     MCD_OPC_Decode, 203, 3, 98, // Opcode: CLIH
+/* 561 */     MCD_OPC_CheckPredicate, 3, 147, 11, // Skip to: 3528
+/* 565 */     MCD_OPC_Decode, 174, 3, 107, // Opcode: CIH
+/* 569 */     MCD_OPC_FilterValue, 15, 139, 11, // Skip to: 3528
+/* 573 */     MCD_OPC_CheckPredicate, 3, 135, 11, // Skip to: 3528
+/* 577 */     MCD_OPC_Decode, 204, 3, 99, // Opcode: CLIH
 /* 581 */     MCD_OPC_FilterValue, 210, 1, 4, 0, // Skip to: 590
-/* 586 */     MCD_OPC_Decode, 198, 5, 107, // Opcode: MVC
+/* 586 */     MCD_OPC_Decode, 202, 5, 108, // Opcode: MVC
 /* 590 */     MCD_OPC_FilterValue, 212, 1, 4, 0, // Skip to: 599
-/* 595 */     MCD_OPC_Decode, 212, 5, 107, // Opcode: NC
+/* 595 */     MCD_OPC_Decode, 216, 5, 108, // Opcode: NC
 /* 599 */     MCD_OPC_FilterValue, 213, 1, 4, 0, // Skip to: 608
-/* 604 */     MCD_OPC_Decode, 176, 3, 107, // Opcode: CLC
+/* 604 */     MCD_OPC_Decode, 177, 3, 108, // Opcode: CLC
 /* 608 */     MCD_OPC_FilterValue, 214, 1, 4, 0, // Skip to: 617
-/* 613 */     MCD_OPC_Decode, 239, 5, 107, // Opcode: OC
+/* 613 */     MCD_OPC_Decode, 243, 5, 108, // Opcode: OC
 /* 617 */     MCD_OPC_FilterValue, 215, 1, 4, 0, // Skip to: 626
-/* 622 */     MCD_OPC_Decode, 248, 6, 107, // Opcode: XC
+/* 622 */     MCD_OPC_Decode, 252, 6, 108, // Opcode: XC
 /* 626 */     MCD_OPC_FilterValue, 227, 1, 163, 2, // Skip to: 1306
 /* 631 */     MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
 /* 634 */     MCD_OPC_FilterValue, 2, 4, 0, // Skip to: 642
-/* 638 */     MCD_OPC_Decode, 152, 5, 108, // Opcode: LTG
+/* 638 */     MCD_OPC_Decode, 156, 5, 109, // Opcode: LTG
 /* 642 */     MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 650
-/* 646 */     MCD_OPC_Decode, 203, 4, 108, // Opcode: LG
+/* 646 */     MCD_OPC_Decode, 207, 4, 109, // Opcode: LG
 /* 650 */     MCD_OPC_FilterValue, 8, 3, 0, // Skip to: 657
-/* 654 */     MCD_OPC_Decode, 30, 109, // Opcode: AG
+/* 654 */     MCD_OPC_Decode, 31, 110, // Opcode: AG
 /* 657 */     MCD_OPC_FilterValue, 9, 4, 0, // Skip to: 665
-/* 661 */     MCD_OPC_Decode, 159, 6, 109, // Opcode: SG
+/* 661 */     MCD_OPC_Decode, 163, 6, 110, // Opcode: SG
 /* 665 */     MCD_OPC_FilterValue, 10, 3, 0, // Skip to: 672
-/* 669 */     MCD_OPC_Decode, 52, 109, // Opcode: ALG
+/* 669 */     MCD_OPC_Decode, 53, 110, // Opcode: ALG
 /* 672 */     MCD_OPC_FilterValue, 11, 4, 0, // Skip to: 680
-/* 676 */     MCD_OPC_Decode, 171, 6, 109, // Opcode: SLG
+/* 676 */     MCD_OPC_Decode, 175, 6, 110, // Opcode: SLG
 /* 680 */     MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 688
-/* 684 */     MCD_OPC_Decode, 191, 5, 109, // Opcode: MSG
+/* 684 */     MCD_OPC_Decode, 195, 5, 110, // Opcode: MSG
 /* 688 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 696
-/* 692 */     MCD_OPC_Decode, 130, 4, 110, // Opcode: DSG
+/* 692 */     MCD_OPC_Decode, 131, 4, 111, // Opcode: DSG
 /* 696 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 704
-/* 700 */     MCD_OPC_Decode, 144, 5, 108, // Opcode: LRVG
+/* 700 */     MCD_OPC_Decode, 148, 5, 109, // Opcode: LRVG
 /* 704 */     MCD_OPC_FilterValue, 18, 4, 0, // Skip to: 712
-/* 708 */     MCD_OPC_Decode, 147, 5, 111, // Opcode: LT
+/* 708 */     MCD_OPC_Decode, 151, 5, 112, // Opcode: LT
 /* 712 */     MCD_OPC_FilterValue, 20, 4, 0, // Skip to: 720
-/* 716 */     MCD_OPC_Decode, 207, 4, 108, // Opcode: LGF
+/* 716 */     MCD_OPC_Decode, 211, 4, 109, // Opcode: LGF
 /* 720 */     MCD_OPC_FilterValue, 21, 4, 0, // Skip to: 728
-/* 724 */     MCD_OPC_Decode, 211, 4, 108, // Opcode: LGH
+/* 724 */     MCD_OPC_Decode, 215, 4, 109, // Opcode: LGH
 /* 728 */     MCD_OPC_FilterValue, 22, 4, 0, // Skip to: 736
-/* 732 */     MCD_OPC_Decode, 232, 4, 108, // Opcode: LLGF
+/* 732 */     MCD_OPC_Decode, 236, 4, 109, // Opcode: LLGF
 /* 736 */     MCD_OPC_FilterValue, 24, 3, 0, // Skip to: 743
-/* 740 */     MCD_OPC_Decode, 31, 109, // Opcode: AGF
+/* 740 */     MCD_OPC_Decode, 32, 110, // Opcode: AGF
 /* 743 */     MCD_OPC_FilterValue, 25, 4, 0, // Skip to: 751
-/* 747 */     MCD_OPC_Decode, 160, 6, 109, // Opcode: SGF
+/* 747 */     MCD_OPC_Decode, 164, 6, 110, // Opcode: SGF
 /* 751 */     MCD_OPC_FilterValue, 26, 3, 0, // Skip to: 758
-/* 755 */     MCD_OPC_Decode, 53, 109, // Opcode: ALGF
+/* 755 */     MCD_OPC_Decode, 54, 110, // Opcode: ALGF
 /* 758 */     MCD_OPC_FilterValue, 27, 4, 0, // Skip to: 766
-/* 762 */     MCD_OPC_Decode, 173, 6, 109, // Opcode: SLGF
+/* 762 */     MCD_OPC_Decode, 177, 6, 110, // Opcode: SLGF
 /* 766 */     MCD_OPC_FilterValue, 28, 4, 0, // Skip to: 774
-/* 770 */     MCD_OPC_Decode, 192, 5, 109, // Opcode: MSGF
+/* 770 */     MCD_OPC_Decode, 196, 5, 110, // Opcode: MSGF
 /* 774 */     MCD_OPC_FilterValue, 29, 4, 0, // Skip to: 782
-/* 778 */     MCD_OPC_Decode, 131, 4, 110, // Opcode: DSGF
+/* 778 */     MCD_OPC_Decode, 132, 4, 111, // Opcode: DSGF
 /* 782 */     MCD_OPC_FilterValue, 30, 4, 0, // Skip to: 790
-/* 786 */     MCD_OPC_Decode, 143, 5, 111, // Opcode: LRV
+/* 786 */     MCD_OPC_Decode, 147, 5, 112, // Opcode: LRV
 /* 790 */     MCD_OPC_FilterValue, 32, 4, 0, // Skip to: 798
-/* 794 */     MCD_OPC_Decode, 150, 3, 108, // Opcode: CG
+/* 794 */     MCD_OPC_Decode, 151, 3, 109, // Opcode: CG
 /* 798 */     MCD_OPC_FilterValue, 33, 4, 0, // Skip to: 806
-/* 802 */     MCD_OPC_Decode, 185, 3, 108, // Opcode: CLG
+/* 802 */     MCD_OPC_Decode, 186, 3, 109, // Opcode: CLG
 /* 806 */     MCD_OPC_FilterValue, 36, 4, 0, // Skip to: 814
-/* 810 */     MCD_OPC_Decode, 210, 6, 108, // Opcode: STG
+/* 810 */     MCD_OPC_Decode, 214, 6, 109, // Opcode: STG
 /* 814 */     MCD_OPC_FilterValue, 47, 4, 0, // Skip to: 822
-/* 818 */     MCD_OPC_Decode, 223, 6, 108, // Opcode: STRVG
+/* 818 */     MCD_OPC_Decode, 227, 6, 109, // Opcode: STRVG
 /* 822 */     MCD_OPC_FilterValue, 48, 4, 0, // Skip to: 830
-/* 826 */     MCD_OPC_Decode, 153, 3, 108, // Opcode: CGF
+/* 826 */     MCD_OPC_Decode, 154, 3, 109, // Opcode: CGF
 /* 830 */     MCD_OPC_FilterValue, 49, 4, 0, // Skip to: 838
-/* 834 */     MCD_OPC_Decode, 188, 3, 108, // Opcode: CLGF
+/* 834 */     MCD_OPC_Decode, 189, 3, 109, // Opcode: CLGF
 /* 838 */     MCD_OPC_FilterValue, 50, 4, 0, // Skip to: 846
-/* 842 */     MCD_OPC_Decode, 153, 5, 108, // Opcode: LTGF
+/* 842 */     MCD_OPC_Decode, 157, 5, 109, // Opcode: LTGF
 /* 846 */     MCD_OPC_FilterValue, 52, 4, 0, // Skip to: 854
-/* 850 */     MCD_OPC_Decode, 157, 3, 108, // Opcode: CGH
+/* 850 */     MCD_OPC_Decode, 158, 3, 109, // Opcode: CGH
 /* 854 */     MCD_OPC_FilterValue, 54, 4, 0, // Skip to: 862
-/* 858 */     MCD_OPC_Decode, 137, 6, 112, // Opcode: PFD
+/* 858 */     MCD_OPC_Decode, 141, 6, 113, // Opcode: PFD
 /* 862 */     MCD_OPC_FilterValue, 62, 4, 0, // Skip to: 870
-/* 866 */     MCD_OPC_Decode, 222, 6, 111, // Opcode: STRV
+/* 866 */     MCD_OPC_Decode, 226, 6, 112, // Opcode: STRV
 /* 870 */     MCD_OPC_FilterValue, 80, 4, 0, // Skip to: 878
-/* 874 */     MCD_OPC_Decode, 225, 6, 111, // Opcode: STY
+/* 874 */     MCD_OPC_Decode, 229, 6, 112, // Opcode: STY
 /* 878 */     MCD_OPC_FilterValue, 81, 4, 0, // Skip to: 886
-/* 882 */     MCD_OPC_Decode, 197, 5, 113, // Opcode: MSY
+/* 882 */     MCD_OPC_Decode, 201, 5, 114, // Opcode: MSY
 /* 886 */     MCD_OPC_FilterValue, 84, 4, 0, // Skip to: 894
-/* 890 */     MCD_OPC_Decode, 237, 5, 113, // Opcode: NY
+/* 890 */     MCD_OPC_Decode, 241, 5, 114, // Opcode: NY
 /* 894 */     MCD_OPC_FilterValue, 85, 4, 0, // Skip to: 902
-/* 898 */     MCD_OPC_Decode, 212, 3, 111, // Opcode: CLY
+/* 898 */     MCD_OPC_Decode, 213, 3, 112, // Opcode: CLY
 /* 902 */     MCD_OPC_FilterValue, 86, 4, 0, // Skip to: 910
-/* 906 */     MCD_OPC_Decode, 136, 6, 113, // Opcode: OY
+/* 906 */     MCD_OPC_Decode, 140, 6, 114, // Opcode: OY
 /* 910 */     MCD_OPC_FilterValue, 87, 4, 0, // Skip to: 918
-/* 914 */     MCD_OPC_Decode, 135, 7, 113, // Opcode: XY
+/* 914 */     MCD_OPC_Decode, 139, 7, 114, // Opcode: XY
 /* 918 */     MCD_OPC_FilterValue, 88, 4, 0, // Skip to: 926
-/* 922 */     MCD_OPC_Decode, 165, 5, 111, // Opcode: LY
+/* 922 */     MCD_OPC_Decode, 169, 5, 112, // Opcode: LY
 /* 926 */     MCD_OPC_FilterValue, 89, 4, 0, // Skip to: 934
-/* 930 */     MCD_OPC_Decode, 229, 3, 111, // Opcode: CY
+/* 930 */     MCD_OPC_Decode, 230, 3, 112, // Opcode: CY
 /* 934 */     MCD_OPC_FilterValue, 90, 4, 0, // Skip to: 942
-/* 938 */     MCD_OPC_Decode, 140, 1, 113, // Opcode: AY
+/* 938 */     MCD_OPC_Decode, 141, 1, 114, // Opcode: AY
 /* 942 */     MCD_OPC_FilterValue, 91, 4, 0, // Skip to: 950
-/* 946 */     MCD_OPC_Decode, 227, 6, 113, // Opcode: SY
+/* 946 */     MCD_OPC_Decode, 231, 6, 114, // Opcode: SY
 /* 950 */     MCD_OPC_FilterValue, 94, 3, 0, // Skip to: 957
-/* 954 */     MCD_OPC_Decode, 62, 113, // Opcode: ALY
+/* 954 */     MCD_OPC_Decode, 63, 114, // Opcode: ALY
 /* 957 */     MCD_OPC_FilterValue, 95, 4, 0, // Skip to: 965
-/* 961 */     MCD_OPC_Decode, 183, 6, 113, // Opcode: SLY
+/* 961 */     MCD_OPC_Decode, 187, 6, 114, // Opcode: SLY
 /* 965 */     MCD_OPC_FilterValue, 112, 4, 0, // Skip to: 973
-/* 969 */     MCD_OPC_Decode, 216, 6, 111, // Opcode: STHY
+/* 969 */     MCD_OPC_Decode, 220, 6, 112, // Opcode: STHY
 /* 973 */     MCD_OPC_FilterValue, 113, 4, 0, // Skip to: 981
-/* 977 */     MCD_OPC_Decode, 179, 4, 108, // Opcode: LAY
+/* 977 */     MCD_OPC_Decode, 180, 4, 109, // Opcode: LAY
 /* 981 */     MCD_OPC_FilterValue, 114, 4, 0, // Skip to: 989
-/* 985 */     MCD_OPC_Decode, 204, 6, 111, // Opcode: STCY
+/* 985 */     MCD_OPC_Decode, 208, 6, 112, // Opcode: STCY
 /* 989 */     MCD_OPC_FilterValue, 115, 4, 0, // Skip to: 997
-/* 993 */     MCD_OPC_Decode, 146, 4, 109, // Opcode: ICY
+/* 993 */     MCD_OPC_Decode, 147, 4, 110, // Opcode: ICY
 /* 997 */     MCD_OPC_FilterValue, 118, 4, 0, // Skip to: 1005
-/* 1001 */    MCD_OPC_Decode, 180, 4, 111, // Opcode: LB
+/* 1001 */    MCD_OPC_Decode, 181, 4, 112, // Opcode: LB
 /* 1005 */    MCD_OPC_FilterValue, 119, 4, 0, // Skip to: 1013
-/* 1009 */    MCD_OPC_Decode, 204, 4, 108, // Opcode: LGB
+/* 1009 */    MCD_OPC_Decode, 208, 4, 109, // Opcode: LGB
 /* 1013 */    MCD_OPC_FilterValue, 120, 4, 0, // Skip to: 1021
-/* 1017 */    MCD_OPC_Decode, 224, 4, 111, // Opcode: LHY
+/* 1017 */    MCD_OPC_Decode, 228, 4, 112, // Opcode: LHY
 /* 1021 */    MCD_OPC_FilterValue, 121, 4, 0, // Skip to: 1029
-/* 1025 */    MCD_OPC_Decode, 172, 3, 111, // Opcode: CHY
+/* 1025 */    MCD_OPC_Decode, 173, 3, 112, // Opcode: CHY
 /* 1029 */    MCD_OPC_FilterValue, 122, 3, 0, // Skip to: 1036
-/* 1033 */    MCD_OPC_Decode, 44, 113, // Opcode: AHY
+/* 1033 */    MCD_OPC_Decode, 45, 114, // Opcode: AHY
 /* 1036 */    MCD_OPC_FilterValue, 123, 4, 0, // Skip to: 1044
-/* 1040 */    MCD_OPC_Decode, 165, 6, 113, // Opcode: SHY
+/* 1040 */    MCD_OPC_Decode, 169, 6, 114, // Opcode: SHY
 /* 1044 */    MCD_OPC_FilterValue, 124, 4, 0, // Skip to: 1052
-/* 1048 */    MCD_OPC_Decode, 182, 5, 113, // Opcode: MHY
+/* 1048 */    MCD_OPC_Decode, 186, 5, 114, // Opcode: MHY
 /* 1052 */    MCD_OPC_FilterValue, 128, 1, 4, 0, // Skip to: 1061
-/* 1057 */    MCD_OPC_Decode, 215, 5, 109, // Opcode: NG
+/* 1057 */    MCD_OPC_Decode, 219, 5, 110, // Opcode: NG
 /* 1061 */    MCD_OPC_FilterValue, 129, 1, 4, 0, // Skip to: 1070
-/* 1066 */    MCD_OPC_Decode, 242, 5, 109, // Opcode: OG
+/* 1066 */    MCD_OPC_Decode, 246, 5, 110, // Opcode: OG
 /* 1070 */    MCD_OPC_FilterValue, 130, 1, 4, 0, // Skip to: 1079
-/* 1075 */    MCD_OPC_Decode, 251, 6, 109, // Opcode: XG
+/* 1075 */    MCD_OPC_Decode, 255, 6, 110, // Opcode: XG
 /* 1079 */    MCD_OPC_FilterValue, 134, 1, 4, 0, // Skip to: 1088
-/* 1084 */    MCD_OPC_Decode, 183, 5, 110, // Opcode: MLG
+/* 1084 */    MCD_OPC_Decode, 187, 5, 111, // Opcode: MLG
 /* 1088 */    MCD_OPC_FilterValue, 135, 1, 4, 0, // Skip to: 1097
-/* 1093 */    MCD_OPC_Decode, 255, 3, 110, // Opcode: DLG
+/* 1093 */    MCD_OPC_Decode, 128, 4, 111, // Opcode: DLG
 /* 1097 */    MCD_OPC_FilterValue, 136, 1, 3, 0, // Skip to: 1105
-/* 1102 */    MCD_OPC_Decode, 48, 109, // Opcode: ALCG
+/* 1102 */    MCD_OPC_Decode, 49, 110, // Opcode: ALCG
 /* 1105 */    MCD_OPC_FilterValue, 137, 1, 4, 0, // Skip to: 1114
-/* 1110 */    MCD_OPC_Decode, 168, 6, 109, // Opcode: SLBG
+/* 1110 */    MCD_OPC_Decode, 172, 6, 110, // Opcode: SLBG
 /* 1114 */    MCD_OPC_FilterValue, 144, 1, 4, 0, // Skip to: 1123
-/* 1119 */    MCD_OPC_Decode, 230, 4, 108, // Opcode: LLGC
+/* 1119 */    MCD_OPC_Decode, 234, 4, 109, // Opcode: LLGC
 /* 1123 */    MCD_OPC_FilterValue, 145, 1, 4, 0, // Skip to: 1132
-/* 1128 */    MCD_OPC_Decode, 235, 4, 108, // Opcode: LLGH
+/* 1128 */    MCD_OPC_Decode, 239, 4, 109, // Opcode: LLGH
 /* 1132 */    MCD_OPC_FilterValue, 148, 1, 4, 0, // Skip to: 1141
-/* 1137 */    MCD_OPC_Decode, 225, 4, 111, // Opcode: LLC
+/* 1137 */    MCD_OPC_Decode, 229, 4, 112, // Opcode: LLC
 /* 1141 */    MCD_OPC_FilterValue, 149, 1, 4, 0, // Skip to: 1150
-/* 1146 */    MCD_OPC_Decode, 238, 4, 111, // Opcode: LLH
+/* 1146 */    MCD_OPC_Decode, 242, 4, 112, // Opcode: LLH
 /* 1150 */    MCD_OPC_FilterValue, 151, 1, 4, 0, // Skip to: 1159
-/* 1155 */    MCD_OPC_Decode, 254, 3, 110, // Opcode: DL
+/* 1155 */    MCD_OPC_Decode, 255, 3, 111, // Opcode: DL
 /* 1159 */    MCD_OPC_FilterValue, 152, 1, 3, 0, // Skip to: 1167
-/* 1164 */    MCD_OPC_Decode, 47, 113, // Opcode: ALC
+/* 1164 */    MCD_OPC_Decode, 48, 114, // Opcode: ALC
 /* 1167 */    MCD_OPC_FilterValue, 153, 1, 4, 0, // Skip to: 1176
-/* 1172 */    MCD_OPC_Decode, 167, 6, 113, // Opcode: SLB
+/* 1172 */    MCD_OPC_Decode, 171, 6, 114, // Opcode: SLB
 /* 1176 */    MCD_OPC_FilterValue, 192, 1, 8, 0, // Skip to: 1189
-/* 1181 */    MCD_OPC_CheckPredicate, 3, 38, 9, // Skip to: 3527
-/* 1185 */    MCD_OPC_Decode, 181, 4, 114, // Opcode: LBH
+/* 1181 */    MCD_OPC_CheckPredicate, 3, 39, 9, // Skip to: 3528
+/* 1185 */    MCD_OPC_Decode, 182, 4, 115, // Opcode: LBH
 /* 1189 */    MCD_OPC_FilterValue, 194, 1, 8, 0, // Skip to: 1202
-/* 1194 */    MCD_OPC_CheckPredicate, 3, 25, 9, // Skip to: 3527
-/* 1198 */    MCD_OPC_Decode, 226, 4, 111, // Opcode: LLCH
+/* 1194 */    MCD_OPC_CheckPredicate, 3, 26, 9, // Skip to: 3528
+/* 1198 */    MCD_OPC_Decode, 230, 4, 112, // Opcode: LLCH
 /* 1202 */    MCD_OPC_FilterValue, 195, 1, 8, 0, // Skip to: 1215
-/* 1207 */    MCD_OPC_CheckPredicate, 3, 12, 9, // Skip to: 3527
-/* 1211 */    MCD_OPC_Decode, 202, 6, 114, // Opcode: STCH
+/* 1207 */    MCD_OPC_CheckPredicate, 3, 13, 9, // Skip to: 3528
+/* 1211 */    MCD_OPC_Decode, 206, 6, 115, // Opcode: STCH
 /* 1215 */    MCD_OPC_FilterValue, 196, 1, 8, 0, // Skip to: 1228
-/* 1220 */    MCD_OPC_CheckPredicate, 3, 255, 8, // Skip to: 3527
-/* 1224 */    MCD_OPC_Decode, 218, 4, 114, // Opcode: LHH
+/* 1220 */    MCD_OPC_CheckPredicate, 3, 0, 9, // Skip to: 3528
+/* 1224 */    MCD_OPC_Decode, 222, 4, 115, // Opcode: LHH
 /* 1228 */    MCD_OPC_FilterValue, 198, 1, 8, 0, // Skip to: 1241
-/* 1233 */    MCD_OPC_CheckPredicate, 3, 242, 8, // Skip to: 3527
-/* 1237 */    MCD_OPC_Decode, 239, 4, 111, // Opcode: LLHH
+/* 1233 */    MCD_OPC_CheckPredicate, 3, 243, 8, // Skip to: 3528
+/* 1237 */    MCD_OPC_Decode, 243, 4, 112, // Opcode: LLHH
 /* 1241 */    MCD_OPC_FilterValue, 199, 1, 8, 0, // Skip to: 1254
-/* 1246 */    MCD_OPC_CheckPredicate, 3, 229, 8, // Skip to: 3527
-/* 1250 */    MCD_OPC_Decode, 213, 6, 114, // Opcode: STHH
+/* 1246 */    MCD_OPC_CheckPredicate, 3, 230, 8, // Skip to: 3528
+/* 1250 */    MCD_OPC_Decode, 217, 6, 115, // Opcode: STHH
 /* 1254 */    MCD_OPC_FilterValue, 202, 1, 8, 0, // Skip to: 1267
-/* 1259 */    MCD_OPC_CheckPredicate, 3, 216, 8, // Skip to: 3527
-/* 1263 */    MCD_OPC_Decode, 202, 4, 114, // Opcode: LFH
+/* 1259 */    MCD_OPC_CheckPredicate, 3, 217, 8, // Skip to: 3528
+/* 1263 */    MCD_OPC_Decode, 206, 4, 115, // Opcode: LFH
 /* 1267 */    MCD_OPC_FilterValue, 203, 1, 8, 0, // Skip to: 1280
-/* 1272 */    MCD_OPC_CheckPredicate, 3, 203, 8, // Skip to: 3527
-/* 1276 */    MCD_OPC_Decode, 209, 6, 114, // Opcode: STFH
+/* 1272 */    MCD_OPC_CheckPredicate, 3, 204, 8, // Skip to: 3528
+/* 1276 */    MCD_OPC_Decode, 213, 6, 115, // Opcode: STFH
 /* 1280 */    MCD_OPC_FilterValue, 205, 1, 8, 0, // Skip to: 1293
-/* 1285 */    MCD_OPC_CheckPredicate, 3, 190, 8, // Skip to: 3527
-/* 1289 */    MCD_OPC_Decode, 167, 3, 114, // Opcode: CHF
-/* 1293 */    MCD_OPC_FilterValue, 207, 1, 181, 8, // Skip to: 3527
-/* 1298 */    MCD_OPC_CheckPredicate, 3, 177, 8, // Skip to: 3527
-/* 1302 */    MCD_OPC_Decode, 199, 3, 114, // Opcode: CLHF
+/* 1285 */    MCD_OPC_CheckPredicate, 3, 191, 8, // Skip to: 3528
+/* 1289 */    MCD_OPC_Decode, 168, 3, 115, // Opcode: CHF
+/* 1293 */    MCD_OPC_FilterValue, 207, 1, 182, 8, // Skip to: 3528
+/* 1298 */    MCD_OPC_CheckPredicate, 3, 178, 8, // Skip to: 3528
+/* 1302 */    MCD_OPC_Decode, 200, 3, 115, // Opcode: CLHF
 /* 1306 */    MCD_OPC_FilterValue, 229, 1, 75, 0, // Skip to: 1386
 /* 1311 */    MCD_OPC_ExtractField, 32, 8,  // Inst{39-32} ...
 /* 1314 */    MCD_OPC_FilterValue, 68, 4, 0, // Skip to: 1322
-/* 1318 */    MCD_OPC_Decode, 202, 5, 115, // Opcode: MVHHI
+/* 1318 */    MCD_OPC_Decode, 206, 5, 116, // Opcode: MVHHI
 /* 1322 */    MCD_OPC_FilterValue, 72, 4, 0, // Skip to: 1330
-/* 1326 */    MCD_OPC_Decode, 201, 5, 115, // Opcode: MVGHI
+/* 1326 */    MCD_OPC_Decode, 205, 5, 116, // Opcode: MVGHI
 /* 1330 */    MCD_OPC_FilterValue, 76, 4, 0, // Skip to: 1338
-/* 1334 */    MCD_OPC_Decode, 203, 5, 115, // Opcode: MVHI
+/* 1334 */    MCD_OPC_Decode, 207, 5, 116, // Opcode: MVHI
 /* 1338 */    MCD_OPC_FilterValue, 84, 4, 0, // Skip to: 1346
-/* 1342 */    MCD_OPC_Decode, 168, 3, 115, // Opcode: CHHSI
+/* 1342 */    MCD_OPC_Decode, 169, 3, 116, // Opcode: CHHSI
 /* 1346 */    MCD_OPC_FilterValue, 85, 4, 0, // Skip to: 1354
-/* 1350 */    MCD_OPC_Decode, 200, 3, 116, // Opcode: CLHHSI
+/* 1350 */    MCD_OPC_Decode, 201, 3, 117, // Opcode: CLHHSI
 /* 1354 */    MCD_OPC_FilterValue, 88, 4, 0, // Skip to: 1362
-/* 1358 */    MCD_OPC_Decode, 160, 3, 115, // Opcode: CGHSI
+/* 1358 */    MCD_OPC_Decode, 161, 3, 116, // Opcode: CGHSI
 /* 1362 */    MCD_OPC_FilterValue, 89, 4, 0, // Skip to: 1370
-/* 1366 */    MCD_OPC_Decode, 193, 3, 116, // Opcode: CLGHSI
+/* 1366 */    MCD_OPC_Decode, 194, 3, 117, // Opcode: CLGHSI
 /* 1370 */    MCD_OPC_FilterValue, 92, 4, 0, // Skip to: 1378
-/* 1374 */    MCD_OPC_Decode, 171, 3, 115, // Opcode: CHSI
-/* 1378 */    MCD_OPC_FilterValue, 93, 97, 8, // Skip to: 3527
-/* 1382 */    MCD_OPC_Decode, 181, 3, 116, // Opcode: CLFHSI
-/* 1386 */    MCD_OPC_FilterValue, 235, 1, 53, 4, // Skip to: 2468
+/* 1374 */    MCD_OPC_Decode, 172, 3, 116, // Opcode: CHSI
+/* 1378 */    MCD_OPC_FilterValue, 93, 98, 8, // Skip to: 3528
+/* 1382 */    MCD_OPC_Decode, 182, 3, 117, // Opcode: CLFHSI
+/* 1386 */    MCD_OPC_FilterValue, 235, 1, 54, 4, // Skip to: 2469
 /* 1391 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
 /* 1394 */    MCD_OPC_FilterValue, 4, 4, 0, // Skip to: 1402
-/* 1398 */    MCD_OPC_Decode, 250, 4, 117, // Opcode: LMG
+/* 1398 */    MCD_OPC_Decode, 254, 4, 118, // Opcode: LMG
 /* 1402 */    MCD_OPC_FilterValue, 10, 4, 0, // Skip to: 1410
-/* 1406 */    MCD_OPC_Decode, 191, 6, 118, // Opcode: SRAG
+/* 1406 */    MCD_OPC_Decode, 195, 6, 119, // Opcode: SRAG
 /* 1410 */    MCD_OPC_FilterValue, 12, 4, 0, // Skip to: 1418
-/* 1414 */    MCD_OPC_Decode, 195, 6, 118, // Opcode: SRLG
+/* 1414 */    MCD_OPC_Decode, 199, 6, 119, // Opcode: SRLG
 /* 1418 */    MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 1426
-/* 1422 */    MCD_OPC_Decode, 179, 6, 118, // Opcode: SLLG
+/* 1422 */    MCD_OPC_Decode, 183, 6, 119, // Opcode: SLLG
 /* 1426 */    MCD_OPC_FilterValue, 20, 4, 0, // Skip to: 1434
-/* 1430 */    MCD_OPC_Decode, 223, 3, 119, // Opcode: CSY
+/* 1430 */    MCD_OPC_Decode, 224, 3, 120, // Opcode: CSY
 /* 1434 */    MCD_OPC_FilterValue, 28, 4, 0, // Skip to: 1442
-/* 1438 */    MCD_OPC_Decode, 149, 6, 118, // Opcode: RLLG
+/* 1438 */    MCD_OPC_Decode, 153, 6, 119, // Opcode: RLLG
 /* 1442 */    MCD_OPC_FilterValue, 29, 4, 0, // Skip to: 1450
-/* 1446 */    MCD_OPC_Decode, 148, 6, 120, // Opcode: RLL
+/* 1446 */    MCD_OPC_Decode, 152, 6, 121, // Opcode: RLL
 /* 1450 */    MCD_OPC_FilterValue, 36, 4, 0, // Skip to: 1458
-/* 1454 */    MCD_OPC_Decode, 217, 6, 117, // Opcode: STMG
+/* 1454 */    MCD_OPC_Decode, 221, 6, 118, // Opcode: STMG
 /* 1458 */    MCD_OPC_FilterValue, 48, 4, 0, // Skip to: 1466
-/* 1462 */    MCD_OPC_Decode, 222, 3, 121, // Opcode: CSG
+/* 1462 */    MCD_OPC_Decode, 223, 3, 122, // Opcode: CSG
 /* 1466 */    MCD_OPC_FilterValue, 81, 4, 0, // Skip to: 1474
-/* 1470 */    MCD_OPC_Decode, 246, 6, 122, // Opcode: TMY
+/* 1470 */    MCD_OPC_Decode, 250, 6, 123, // Opcode: TMY
 /* 1474 */    MCD_OPC_FilterValue, 82, 4, 0, // Skip to: 1482
-/* 1478 */    MCD_OPC_Decode, 205, 5, 122, // Opcode: MVIY
+/* 1478 */    MCD_OPC_Decode, 209, 5, 123, // Opcode: MVIY
 /* 1482 */    MCD_OPC_FilterValue, 84, 4, 0, // Skip to: 1490
-/* 1486 */    MCD_OPC_Decode, 234, 5, 122, // Opcode: NIY
+/* 1486 */    MCD_OPC_Decode, 238, 5, 123, // Opcode: NIY
 /* 1490 */    MCD_OPC_FilterValue, 85, 4, 0, // Skip to: 1498
-/* 1494 */    MCD_OPC_Decode, 205, 3, 122, // Opcode: CLIY
+/* 1494 */    MCD_OPC_Decode, 206, 3, 123, // Opcode: CLIY
 /* 1498 */    MCD_OPC_FilterValue, 86, 4, 0, // Skip to: 1506
-/* 1502 */    MCD_OPC_Decode, 133, 6, 122, // Opcode: OIY
+/* 1502 */    MCD_OPC_Decode, 137, 6, 123, // Opcode: OIY
 /* 1506 */    MCD_OPC_FilterValue, 87, 4, 0, // Skip to: 1514
-/* 1510 */    MCD_OPC_Decode, 132, 7, 122, // Opcode: XIY
+/* 1510 */    MCD_OPC_Decode, 136, 7, 123, // Opcode: XIY
 /* 1514 */    MCD_OPC_FilterValue, 106, 3, 0, // Skip to: 1521
-/* 1518 */    MCD_OPC_Decode, 65, 123, // Opcode: ASI
+/* 1518 */    MCD_OPC_Decode, 66, 124, // Opcode: ASI
 /* 1521 */    MCD_OPC_FilterValue, 122, 3, 0, // Skip to: 1528
-/* 1525 */    MCD_OPC_Decode, 38, 123, // Opcode: AGSI
+/* 1525 */    MCD_OPC_Decode, 39, 124, // Opcode: AGSI
 /* 1528 */    MCD_OPC_FilterValue, 220, 1, 8, 0, // Skip to: 1541
-/* 1533 */    MCD_OPC_CheckPredicate, 2, 198, 7, // Skip to: 3527
-/* 1537 */    MCD_OPC_Decode, 192, 6, 120, // Opcode: SRAK
+/* 1533 */    MCD_OPC_CheckPredicate, 2, 199, 7, // Skip to: 3528
+/* 1537 */    MCD_OPC_Decode, 196, 6, 121, // Opcode: SRAK
 /* 1541 */    MCD_OPC_FilterValue, 222, 1, 8, 0, // Skip to: 1554
-/* 1546 */    MCD_OPC_CheckPredicate, 2, 185, 7, // Skip to: 3527
-/* 1550 */    MCD_OPC_Decode, 196, 6, 120, // Opcode: SRLK
+/* 1546 */    MCD_OPC_CheckPredicate, 2, 186, 7, // Skip to: 3528
+/* 1550 */    MCD_OPC_Decode, 200, 6, 121, // Opcode: SRLK
 /* 1554 */    MCD_OPC_FilterValue, 223, 1, 8, 0, // Skip to: 1567
-/* 1559 */    MCD_OPC_CheckPredicate, 2, 172, 7, // Skip to: 3527
-/* 1563 */    MCD_OPC_Decode, 180, 6, 120, // Opcode: SLLK
+/* 1559 */    MCD_OPC_CheckPredicate, 2, 173, 7, // Skip to: 3528
+/* 1563 */    MCD_OPC_Decode, 184, 6, 121, // Opcode: SLLK
 /* 1567 */    MCD_OPC_FilterValue, 226, 1, 179, 0, // Skip to: 1751
 /* 1572 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 1575 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1587
 /* 1579 */    MCD_OPC_CheckPredicate, 1, 160, 0, // Skip to: 1743
-/* 1583 */    MCD_OPC_Decode, 245, 2, 124, // Opcode: AsmOLOCG
+/* 1583 */    MCD_OPC_Decode, 246, 2, 125, // Opcode: AsmOLOCG
 /* 1587 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1599
 /* 1591 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 1743
-/* 1595 */    MCD_OPC_Decode, 174, 1, 124, // Opcode: AsmHLOCG
+/* 1595 */    MCD_OPC_Decode, 175, 1, 125, // Opcode: AsmHLOCG
 /* 1599 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1611
 /* 1603 */    MCD_OPC_CheckPredicate, 1, 136, 0, // Skip to: 1743
-/* 1607 */    MCD_OPC_Decode, 210, 2, 124, // Opcode: AsmNLELOCG
+/* 1607 */    MCD_OPC_Decode, 211, 2, 125, // Opcode: AsmNLELOCG
 /* 1611 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1623
 /* 1615 */    MCD_OPC_CheckPredicate, 1, 124, 0, // Skip to: 1743
-/* 1619 */    MCD_OPC_Decode, 169, 2, 124, // Opcode: AsmLLOCG
+/* 1619 */    MCD_OPC_Decode, 170, 2, 125, // Opcode: AsmLLOCG
 /* 1623 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1635
 /* 1627 */    MCD_OPC_CheckPredicate, 1, 112, 0, // Skip to: 1743
-/* 1631 */    MCD_OPC_Decode, 192, 2, 124, // Opcode: AsmNHELOCG
+/* 1631 */    MCD_OPC_Decode, 193, 2, 125, // Opcode: AsmNHELOCG
 /* 1635 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 1647
 /* 1639 */    MCD_OPC_CheckPredicate, 1, 100, 0, // Skip to: 1743
-/* 1643 */    MCD_OPC_Decode, 161, 2, 124, // Opcode: AsmLHLOCG
+/* 1643 */    MCD_OPC_Decode, 162, 2, 125, // Opcode: AsmLHLOCG
 /* 1647 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 1659
 /* 1651 */    MCD_OPC_CheckPredicate, 1, 88, 0, // Skip to: 1743
-/* 1655 */    MCD_OPC_Decode, 182, 2, 124, // Opcode: AsmNELOCG
+/* 1655 */    MCD_OPC_Decode, 183, 2, 125, // Opcode: AsmNELOCG
 /* 1659 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1671
 /* 1663 */    MCD_OPC_CheckPredicate, 1, 76, 0, // Skip to: 1743
-/* 1667 */    MCD_OPC_Decode, 156, 1, 124, // Opcode: AsmELOCG
+/* 1667 */    MCD_OPC_Decode, 157, 1, 125, // Opcode: AsmELOCG
 /* 1671 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1683
 /* 1675 */    MCD_OPC_CheckPredicate, 1, 64, 0, // Skip to: 1743
-/* 1679 */    MCD_OPC_Decode, 219, 2, 124, // Opcode: AsmNLHLOCG
+/* 1679 */    MCD_OPC_Decode, 220, 2, 125, // Opcode: AsmNLHLOCG
 /* 1683 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1695
 /* 1687 */    MCD_OPC_CheckPredicate, 1, 52, 0, // Skip to: 1743
-/* 1691 */    MCD_OPC_Decode, 166, 1, 124, // Opcode: AsmHELOCG
+/* 1691 */    MCD_OPC_Decode, 167, 1, 125, // Opcode: AsmHELOCG
 /* 1695 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1707
 /* 1699 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 1743
-/* 1703 */    MCD_OPC_Decode, 227, 2, 124, // Opcode: AsmNLLOCG
+/* 1703 */    MCD_OPC_Decode, 228, 2, 125, // Opcode: AsmNLLOCG
 /* 1707 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1719
 /* 1711 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 1743
-/* 1715 */    MCD_OPC_Decode, 152, 2, 124, // Opcode: AsmLELOCG
+/* 1715 */    MCD_OPC_Decode, 153, 2, 125, // Opcode: AsmLELOCG
 /* 1719 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1731
 /* 1723 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 1743
-/* 1727 */    MCD_OPC_Decode, 200, 2, 124, // Opcode: AsmNHLOCG
+/* 1727 */    MCD_OPC_Decode, 201, 2, 125, // Opcode: AsmNHLOCG
 /* 1731 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1743
 /* 1735 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 1743
-/* 1739 */    MCD_OPC_Decode, 236, 2, 124, // Opcode: AsmNOLOCG
-/* 1743 */    MCD_OPC_CheckPredicate, 1, 244, 6, // Skip to: 3527
-/* 1747 */    MCD_OPC_Decode, 173, 2, 125, // Opcode: AsmLOCG
-/* 1751 */    MCD_OPC_FilterValue, 227, 1, 179, 0, // Skip to: 1935
+/* 1739 */    MCD_OPC_Decode, 237, 2, 125, // Opcode: AsmNOLOCG
+/* 1743 */    MCD_OPC_CheckPredicate, 1, 245, 6, // Skip to: 3528
+/* 1747 */    MCD_OPC_Decode, 174, 2, 126, // Opcode: AsmLOCG
+/* 1751 */    MCD_OPC_FilterValue, 227, 1, 180, 0, // Skip to: 1936
 /* 1756 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
 /* 1759 */    MCD_OPC_FilterValue, 1, 8, 0, // Skip to: 1771
 /* 1763 */    MCD_OPC_CheckPredicate, 1, 160, 0, // Skip to: 1927
-/* 1767 */    MCD_OPC_Decode, 249, 2, 126, // Opcode: AsmOSTOCG
+/* 1767 */    MCD_OPC_Decode, 250, 2, 127, // Opcode: AsmOSTOCG
 /* 1771 */    MCD_OPC_FilterValue, 2, 8, 0, // Skip to: 1783
 /* 1775 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 1927
-/* 1779 */    MCD_OPC_Decode, 178, 1, 126, // Opcode: AsmHSTOCG
+/* 1779 */    MCD_OPC_Decode, 179, 1, 127, // Opcode: AsmHSTOCG
 /* 1783 */    MCD_OPC_FilterValue, 3, 8, 0, // Skip to: 1795
 /* 1787 */    MCD_OPC_CheckPredicate, 1, 136, 0, // Skip to: 1927
-/* 1791 */    MCD_OPC_Decode, 214, 2, 126, // Opcode: AsmNLESTOCG
+/* 1791 */    MCD_OPC_Decode, 215, 2, 127, // Opcode: AsmNLESTOCG
 /* 1795 */    MCD_OPC_FilterValue, 4, 8, 0, // Skip to: 1807
 /* 1799 */    MCD_OPC_CheckPredicate, 1, 124, 0, // Skip to: 1927
-/* 1803 */    MCD_OPC_Decode, 177, 2, 126, // Opcode: AsmLSTOCG
+/* 1803 */    MCD_OPC_Decode, 178, 2, 127, // Opcode: AsmLSTOCG
 /* 1807 */    MCD_OPC_FilterValue, 5, 8, 0, // Skip to: 1819
 /* 1811 */    MCD_OPC_CheckPredicate, 1, 112, 0, // Skip to: 1927
-/* 1815 */    MCD_OPC_Decode, 196, 2, 126, // Opcode: AsmNHESTOCG
+/* 1815 */    MCD_OPC_Decode, 197, 2, 127, // Opcode: AsmNHESTOCG
 /* 1819 */    MCD_OPC_FilterValue, 6, 8, 0, // Skip to: 1831
 /* 1823 */    MCD_OPC_CheckPredicate, 1, 100, 0, // Skip to: 1927
-/* 1827 */    MCD_OPC_Decode, 165, 2, 126, // Opcode: AsmLHSTOCG
+/* 1827 */    MCD_OPC_Decode, 166, 2, 127, // Opcode: AsmLHSTOCG
 /* 1831 */    MCD_OPC_FilterValue, 7, 8, 0, // Skip to: 1843
 /* 1835 */    MCD_OPC_CheckPredicate, 1, 88, 0, // Skip to: 1927
-/* 1839 */    MCD_OPC_Decode, 186, 2, 126, // Opcode: AsmNESTOCG
+/* 1839 */    MCD_OPC_Decode, 187, 2, 127, // Opcode: AsmNESTOCG
 /* 1843 */    MCD_OPC_FilterValue, 8, 8, 0, // Skip to: 1855
 /* 1847 */    MCD_OPC_CheckPredicate, 1, 76, 0, // Skip to: 1927
-/* 1851 */    MCD_OPC_Decode, 160, 1, 126, // Opcode: AsmESTOCG
+/* 1851 */    MCD_OPC_Decode, 161, 1, 127, // Opcode: AsmESTOCG
 /* 1855 */    MCD_OPC_FilterValue, 9, 8, 0, // Skip to: 1867
 /* 1859 */    MCD_OPC_CheckPredicate, 1, 64, 0, // Skip to: 1927
-/* 1863 */    MCD_OPC_Decode, 223, 2, 126, // Opcode: AsmNLHSTOCG
+/* 1863 */    MCD_OPC_Decode, 224, 2, 127, // Opcode: AsmNLHSTOCG
 /* 1867 */    MCD_OPC_FilterValue, 10, 8, 0, // Skip to: 1879
 /* 1871 */    MCD_OPC_CheckPredicate, 1, 52, 0, // Skip to: 1927
-/* 1875 */    MCD_OPC_Decode, 170, 1, 126, // Opcode: AsmHESTOCG
+/* 1875 */    MCD_OPC_Decode, 171, 1, 127, // Opcode: AsmHESTOCG
 /* 1879 */    MCD_OPC_FilterValue, 11, 8, 0, // Skip to: 1891
 /* 1883 */    MCD_OPC_CheckPredicate, 1, 40, 0, // Skip to: 1927
-/* 1887 */    MCD_OPC_Decode, 231, 2, 126, // Opcode: AsmNLSTOCG
+/* 1887 */    MCD_OPC_Decode, 232, 2, 127, // Opcode: AsmNLSTOCG
 /* 1891 */    MCD_OPC_FilterValue, 12, 8, 0, // Skip to: 1903
 /* 1895 */    MCD_OPC_CheckPredicate, 1, 28, 0, // Skip to: 1927
-/* 1899 */    MCD_OPC_Decode, 156, 2, 126, // Opcode: AsmLESTOCG
+/* 1899 */    MCD_OPC_Decode, 157, 2, 127, // Opcode: AsmLESTOCG
 /* 1903 */    MCD_OPC_FilterValue, 13, 8, 0, // Skip to: 1915
 /* 1907 */    MCD_OPC_CheckPredicate, 1, 16, 0, // Skip to: 1927
-/* 1911 */    MCD_OPC_Decode, 204, 2, 126, // Opcode: AsmNHSTOCG
+/* 1911 */    MCD_OPC_Decode, 205, 2, 127, // Opcode: AsmNHSTOCG
 /* 1915 */    MCD_OPC_FilterValue, 14, 8, 0, // Skip to: 1927
 /* 1919 */    MCD_OPC_CheckPredicate, 1, 4, 0, // Skip to: 1927
-/* 1923 */    MCD_OPC_Decode, 240, 2, 126, // Opcode: AsmNOSTOCG
-/* 1927 */    MCD_OPC_CheckPredicate, 1, 60, 6, // Skip to: 3527
-/* 1931 */    MCD_OPC_Decode, 251, 2, 127, // Opcode: AsmSTOCG
-/* 1935 */    MCD_OPC_FilterValue, 228, 1, 8, 0, // Skip to: 1948
-/* 1940 */    MCD_OPC_CheckPredicate, 4, 47, 6, // Skip to: 3527
-/* 1944 */    MCD_OPC_Decode, 173, 4, 117, // Opcode: LANG
-/* 1948 */    MCD_OPC_FilterValue, 230, 1, 8, 0, // Skip to: 1961
-/* 1953 */    MCD_OPC_CheckPredicate, 4, 34, 6, // Skip to: 3527
-/* 1957 */    MCD_OPC_Decode, 175, 4, 117, // Opcode: LAOG
-/* 1961 */    MCD_OPC_FilterValue, 231, 1, 8, 0, // Skip to: 1974
-/* 1966 */    MCD_OPC_CheckPredicate, 4, 21, 6, // Skip to: 3527
-/* 1970 */    MCD_OPC_Decode, 178, 4, 117, // Opcode: LAXG
-/* 1974 */    MCD_OPC_FilterValue, 232, 1, 8, 0, // Skip to: 1987
-/* 1979 */    MCD_OPC_CheckPredicate, 4, 8, 6, // Skip to: 3527
-/* 1983 */    MCD_OPC_Decode, 169, 4, 117, // Opcode: LAAG
-/* 1987 */    MCD_OPC_FilterValue, 234, 1, 8, 0, // Skip to: 2000
-/* 1992 */    MCD_OPC_CheckPredicate, 4, 251, 5, // Skip to: 3527
-/* 1996 */    MCD_OPC_Decode, 171, 4, 117, // Opcode: LAALG
-/* 2000 */    MCD_OPC_FilterValue, 242, 1, 194, 0, // Skip to: 2199
-/* 2005 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
-/* 2008 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2021
-/* 2012 */    MCD_OPC_CheckPredicate, 1, 174, 0, // Skip to: 2190
-/* 2016 */    MCD_OPC_Decode, 244, 2, 128, 1, // Opcode: AsmOLOC
-/* 2021 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2034
-/* 2025 */    MCD_OPC_CheckPredicate, 1, 161, 0, // Skip to: 2190
-/* 2029 */    MCD_OPC_Decode, 173, 1, 128, 1, // Opcode: AsmHLOC
-/* 2034 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2047
-/* 2038 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 2190
-/* 2042 */    MCD_OPC_Decode, 209, 2, 128, 1, // Opcode: AsmNLELOC
-/* 2047 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 2060
-/* 2051 */    MCD_OPC_CheckPredicate, 1, 135, 0, // Skip to: 2190
-/* 2055 */    MCD_OPC_Decode, 168, 2, 128, 1, // Opcode: AsmLLOC
-/* 2060 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2073
-/* 2064 */    MCD_OPC_CheckPredicate, 1, 122, 0, // Skip to: 2190
-/* 2068 */    MCD_OPC_Decode, 191, 2, 128, 1, // Opcode: AsmNHELOC
-/* 2073 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2086
-/* 2077 */    MCD_OPC_CheckPredicate, 1, 109, 0, // Skip to: 2190
-/* 2081 */    MCD_OPC_Decode, 160, 2, 128, 1, // Opcode: AsmLHLOC
-/* 2086 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2099
-/* 2090 */    MCD_OPC_CheckPredicate, 1, 96, 0, // Skip to: 2190
-/* 2094 */    MCD_OPC_Decode, 181, 2, 128, 1, // Opcode: AsmNELOC
-/* 2099 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 2112
-/* 2103 */    MCD_OPC_CheckPredicate, 1, 83, 0, // Skip to: 2190
-/* 2107 */    MCD_OPC_Decode, 155, 1, 128, 1, // Opcode: AsmELOC
-/* 2112 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 2125
-/* 2116 */    MCD_OPC_CheckPredicate, 1, 70, 0, // Skip to: 2190
-/* 2120 */    MCD_OPC_Decode, 218, 2, 128, 1, // Opcode: AsmNLHLOC
-/* 2125 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 2138
-/* 2129 */    MCD_OPC_CheckPredicate, 1, 57, 0, // Skip to: 2190
-/* 2133 */    MCD_OPC_Decode, 165, 1, 128, 1, // Opcode: AsmHELOC
-/* 2138 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 2151
-/* 2142 */    MCD_OPC_CheckPredicate, 1, 44, 0, // Skip to: 2190
-/* 2146 */    MCD_OPC_Decode, 226, 2, 128, 1, // Opcode: AsmNLLOC
-/* 2151 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 2164
-/* 2155 */    MCD_OPC_CheckPredicate, 1, 31, 0, // Skip to: 2190
-/* 2159 */    MCD_OPC_Decode, 151, 2, 128, 1, // Opcode: AsmLELOC
-/* 2164 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2177
-/* 2168 */    MCD_OPC_CheckPredicate, 1, 18, 0, // Skip to: 2190
-/* 2172 */    MCD_OPC_Decode, 199, 2, 128, 1, // Opcode: AsmNHLOC
-/* 2177 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 2190
-/* 2181 */    MCD_OPC_CheckPredicate, 1, 5, 0, // Skip to: 2190
-/* 2185 */    MCD_OPC_Decode, 235, 2, 128, 1, // Opcode: AsmNOLOC
-/* 2190 */    MCD_OPC_CheckPredicate, 1, 53, 5, // Skip to: 3527
-/* 2194 */    MCD_OPC_Decode, 172, 2, 129, 1, // Opcode: AsmLOC
-/* 2199 */    MCD_OPC_FilterValue, 243, 1, 194, 0, // Skip to: 2398
-/* 2204 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
-/* 2207 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2220
-/* 2211 */    MCD_OPC_CheckPredicate, 1, 174, 0, // Skip to: 2389
-/* 2215 */    MCD_OPC_Decode, 248, 2, 130, 1, // Opcode: AsmOSTOC
-/* 2220 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2233
-/* 2224 */    MCD_OPC_CheckPredicate, 1, 161, 0, // Skip to: 2389
-/* 2228 */    MCD_OPC_Decode, 177, 1, 130, 1, // Opcode: AsmHSTOC
-/* 2233 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2246
-/* 2237 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 2389
-/* 2241 */    MCD_OPC_Decode, 213, 2, 130, 1, // Opcode: AsmNLESTOC
-/* 2246 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 2259
-/* 2250 */    MCD_OPC_CheckPredicate, 1, 135, 0, // Skip to: 2389
-/* 2254 */    MCD_OPC_Decode, 176, 2, 130, 1, // Opcode: AsmLSTOC
-/* 2259 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2272
-/* 2263 */    MCD_OPC_CheckPredicate, 1, 122, 0, // Skip to: 2389
-/* 2267 */    MCD_OPC_Decode, 195, 2, 130, 1, // Opcode: AsmNHESTOC
-/* 2272 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2285
-/* 2276 */    MCD_OPC_CheckPredicate, 1, 109, 0, // Skip to: 2389
-/* 2280 */    MCD_OPC_Decode, 164, 2, 130, 1, // Opcode: AsmLHSTOC
-/* 2285 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2298
-/* 2289 */    MCD_OPC_CheckPredicate, 1, 96, 0, // Skip to: 2389
-/* 2293 */    MCD_OPC_Decode, 185, 2, 130, 1, // Opcode: AsmNESTOC
-/* 2298 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 2311
-/* 2302 */    MCD_OPC_CheckPredicate, 1, 83, 0, // Skip to: 2389
-/* 2306 */    MCD_OPC_Decode, 159, 1, 130, 1, // Opcode: AsmESTOC
-/* 2311 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 2324
-/* 2315 */    MCD_OPC_CheckPredicate, 1, 70, 0, // Skip to: 2389
-/* 2319 */    MCD_OPC_Decode, 222, 2, 130, 1, // Opcode: AsmNLHSTOC
-/* 2324 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 2337
-/* 2328 */    MCD_OPC_CheckPredicate, 1, 57, 0, // Skip to: 2389
-/* 2332 */    MCD_OPC_Decode, 169, 1, 130, 1, // Opcode: AsmHESTOC
-/* 2337 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 2350
-/* 2341 */    MCD_OPC_CheckPredicate, 1, 44, 0, // Skip to: 2389
-/* 2345 */    MCD_OPC_Decode, 230, 2, 130, 1, // Opcode: AsmNLSTOC
-/* 2350 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 2363
-/* 2354 */    MCD_OPC_CheckPredicate, 1, 31, 0, // Skip to: 2389
-/* 2358 */    MCD_OPC_Decode, 155, 2, 130, 1, // Opcode: AsmLESTOC
-/* 2363 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2376
-/* 2367 */    MCD_OPC_CheckPredicate, 1, 18, 0, // Skip to: 2389
-/* 2371 */    MCD_OPC_Decode, 203, 2, 130, 1, // Opcode: AsmNHSTOC
-/* 2376 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 2389
-/* 2380 */    MCD_OPC_CheckPredicate, 1, 5, 0, // Skip to: 2389
-/* 2384 */    MCD_OPC_Decode, 239, 2, 130, 1, // Opcode: AsmNOSTOC
-/* 2389 */    MCD_OPC_CheckPredicate, 1, 110, 4, // Skip to: 3527
-/* 2393 */    MCD_OPC_Decode, 250, 2, 131, 1, // Opcode: AsmSTOC
-/* 2398 */    MCD_OPC_FilterValue, 244, 1, 9, 0, // Skip to: 2412
-/* 2403 */    MCD_OPC_CheckPredicate, 4, 96, 4, // Skip to: 3527
-/* 2407 */    MCD_OPC_Decode, 172, 4, 132, 1, // Opcode: LAN
-/* 2412 */    MCD_OPC_FilterValue, 246, 1, 9, 0, // Skip to: 2426
-/* 2417 */    MCD_OPC_CheckPredicate, 4, 82, 4, // Skip to: 3527
-/* 2421 */    MCD_OPC_Decode, 174, 4, 132, 1, // Opcode: LAO
-/* 2426 */    MCD_OPC_FilterValue, 247, 1, 9, 0, // Skip to: 2440
-/* 2431 */    MCD_OPC_CheckPredicate, 4, 68, 4, // Skip to: 3527
-/* 2435 */    MCD_OPC_Decode, 177, 4, 132, 1, // Opcode: LAX
-/* 2440 */    MCD_OPC_FilterValue, 248, 1, 9, 0, // Skip to: 2454
-/* 2445 */    MCD_OPC_CheckPredicate, 4, 54, 4, // Skip to: 3527
-/* 2449 */    MCD_OPC_Decode, 168, 4, 132, 1, // Opcode: LAA
-/* 2454 */    MCD_OPC_FilterValue, 250, 1, 44, 4, // Skip to: 3527
-/* 2459 */    MCD_OPC_CheckPredicate, 4, 40, 4, // Skip to: 3527
-/* 2463 */    MCD_OPC_Decode, 170, 4, 132, 1, // Opcode: LAAL
-/* 2468 */    MCD_OPC_FilterValue, 236, 1, 185, 2, // Skip to: 3170
-/* 2473 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
-/* 2476 */    MCD_OPC_FilterValue, 81, 9, 0, // Skip to: 2489
-/* 2480 */    MCD_OPC_CheckPredicate, 3, 19, 4, // Skip to: 3527
-/* 2484 */    MCD_OPC_Decode, 144, 6, 133, 1, // Opcode: RISBLG
-/* 2489 */    MCD_OPC_FilterValue, 84, 5, 0, // Skip to: 2498
-/* 2493 */    MCD_OPC_Decode, 150, 6, 134, 1, // Opcode: RNSBG
-/* 2498 */    MCD_OPC_FilterValue, 85, 5, 0, // Skip to: 2507
-/* 2502 */    MCD_OPC_Decode, 139, 6, 134, 1, // Opcode: RISBG
-/* 2507 */    MCD_OPC_FilterValue, 86, 5, 0, // Skip to: 2516
-/* 2511 */    MCD_OPC_Decode, 151, 6, 134, 1, // Opcode: ROSBG
-/* 2516 */    MCD_OPC_FilterValue, 87, 5, 0, // Skip to: 2525
-/* 2520 */    MCD_OPC_Decode, 152, 6, 134, 1, // Opcode: RXSBG
-/* 2525 */    MCD_OPC_FilterValue, 93, 9, 0, // Skip to: 2538
-/* 2529 */    MCD_OPC_CheckPredicate, 3, 226, 3, // Skip to: 3527
-/* 2533 */    MCD_OPC_Decode, 141, 6, 135, 1, // Opcode: RISBHG
-/* 2538 */    MCD_OPC_FilterValue, 100, 69, 0, // Skip to: 2611
-/* 2542 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2545 */    MCD_OPC_FilterValue, 0, 210, 3, // Skip to: 3527
-/* 2549 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 2552 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2561
-/* 2556 */    MCD_OPC_Decode, 204, 1, 136, 1, // Opcode: AsmJHCGR
-/* 2561 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2570
-/* 2565 */    MCD_OPC_Decode, 236, 1, 136, 1, // Opcode: AsmJLCGR
-/* 2570 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2579
-/* 2574 */    MCD_OPC_Decode, 140, 2, 136, 1, // Opcode: AsmJLHCGR
-/* 2579 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2588
-/* 2583 */    MCD_OPC_Decode, 188, 1, 136, 1, // Opcode: AsmJECGR
-/* 2588 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2597
-/* 2592 */    MCD_OPC_Decode, 220, 1, 136, 1, // Opcode: AsmJHECGR
-/* 2597 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2606
-/* 2601 */    MCD_OPC_Decode, 252, 1, 136, 1, // Opcode: AsmJLECGR
-/* 2606 */    MCD_OPC_Decode, 145, 1, 137, 1, // Opcode: AsmCGRJ
-/* 2611 */    MCD_OPC_FilterValue, 101, 69, 0, // Skip to: 2684
-/* 2615 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2618 */    MCD_OPC_FilterValue, 0, 137, 3, // Skip to: 3527
-/* 2622 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 2625 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2634
-/* 2629 */    MCD_OPC_Decode, 207, 1, 136, 1, // Opcode: AsmJHCLGR
-/* 2634 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2643
-/* 2638 */    MCD_OPC_Decode, 239, 1, 136, 1, // Opcode: AsmJLCLGR
-/* 2643 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2652
-/* 2647 */    MCD_OPC_Decode, 143, 2, 136, 1, // Opcode: AsmJLHCLGR
-/* 2652 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2661
-/* 2656 */    MCD_OPC_Decode, 191, 1, 136, 1, // Opcode: AsmJECLGR
-/* 2661 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2670
-/* 2665 */    MCD_OPC_Decode, 223, 1, 136, 1, // Opcode: AsmJHECLGR
-/* 2670 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2679
-/* 2674 */    MCD_OPC_Decode, 255, 1, 136, 1, // Opcode: AsmJLECLGR
-/* 2679 */    MCD_OPC_Decode, 148, 1, 137, 1, // Opcode: AsmCLGRJ
-/* 2684 */    MCD_OPC_FilterValue, 118, 69, 0, // Skip to: 2757
-/* 2688 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2691 */    MCD_OPC_FilterValue, 0, 64, 3, // Skip to: 3527
-/* 2695 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 2698 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2707
-/* 2702 */    MCD_OPC_Decode, 210, 1, 138, 1, // Opcode: AsmJHCR
-/* 2707 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2716
-/* 2711 */    MCD_OPC_Decode, 242, 1, 138, 1, // Opcode: AsmJLCR
-/* 2716 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2725
-/* 2720 */    MCD_OPC_Decode, 146, 2, 138, 1, // Opcode: AsmJLHCR
-/* 2725 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2734
-/* 2729 */    MCD_OPC_Decode, 194, 1, 138, 1, // Opcode: AsmJECR
-/* 2734 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2743
-/* 2738 */    MCD_OPC_Decode, 226, 1, 138, 1, // Opcode: AsmJHECR
-/* 2743 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2752
-/* 2747 */    MCD_OPC_Decode, 130, 2, 138, 1, // Opcode: AsmJLECR
-/* 2752 */    MCD_OPC_Decode, 151, 1, 139, 1, // Opcode: AsmCRJ
-/* 2757 */    MCD_OPC_FilterValue, 119, 69, 0, // Skip to: 2830
-/* 2761 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
-/* 2764 */    MCD_OPC_FilterValue, 0, 247, 2, // Skip to: 3527
-/* 2768 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
-/* 2771 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2780
-/* 2775 */    MCD_OPC_Decode, 209, 1, 138, 1, // Opcode: AsmJHCLR
-/* 2780 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2789
-/* 2784 */    MCD_OPC_Decode, 241, 1, 138, 1, // Opcode: AsmJLCLR
-/* 2789 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2798
-/* 2793 */    MCD_OPC_Decode, 145, 2, 138, 1, // Opcode: AsmJLHCLR
-/* 2798 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2807
-/* 2802 */    MCD_OPC_Decode, 193, 1, 138, 1, // Opcode: AsmJECLR
-/* 2807 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2816
-/* 2811 */    MCD_OPC_Decode, 225, 1, 138, 1, // Opcode: AsmJHECLR
-/* 2816 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2825
-/* 2820 */    MCD_OPC_Decode, 129, 2, 138, 1, // Opcode: AsmJLECLR
-/* 2825 */    MCD_OPC_Decode, 150, 1, 139, 1, // Opcode: AsmCLRJ
-/* 2830 */    MCD_OPC_FilterValue, 124, 62, 0, // Skip to: 2896
-/* 2834 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
-/* 2837 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2846
-/* 2841 */    MCD_OPC_Decode, 203, 1, 140, 1, // Opcode: AsmJHCGI
-/* 2846 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2855
-/* 2850 */    MCD_OPC_Decode, 235, 1, 140, 1, // Opcode: AsmJLCGI
-/* 2855 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2864
-/* 2859 */    MCD_OPC_Decode, 139, 2, 140, 1, // Opcode: AsmJLHCGI
-/* 2864 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2873
-/* 2868 */    MCD_OPC_Decode, 187, 1, 140, 1, // Opcode: AsmJECGI
-/* 2873 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2882
-/* 2877 */    MCD_OPC_Decode, 219, 1, 140, 1, // Opcode: AsmJHECGI
-/* 2882 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2891
-/* 2886 */    MCD_OPC_Decode, 251, 1, 140, 1, // Opcode: AsmJLECGI
-/* 2891 */    MCD_OPC_Decode, 144, 1, 141, 1, // Opcode: AsmCGIJ
-/* 2896 */    MCD_OPC_FilterValue, 125, 62, 0, // Skip to: 2962
-/* 2900 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
-/* 2903 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2912
-/* 2907 */    MCD_OPC_Decode, 206, 1, 142, 1, // Opcode: AsmJHCLGI
-/* 2912 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2921
-/* 2916 */    MCD_OPC_Decode, 238, 1, 142, 1, // Opcode: AsmJLCLGI
-/* 2921 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2930
-/* 2925 */    MCD_OPC_Decode, 142, 2, 142, 1, // Opcode: AsmJLHCLGI
-/* 2930 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2939
-/* 2934 */    MCD_OPC_Decode, 190, 1, 142, 1, // Opcode: AsmJECLGI
-/* 2939 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2948
-/* 2943 */    MCD_OPC_Decode, 222, 1, 142, 1, // Opcode: AsmJHECLGI
-/* 2948 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2957
-/* 2952 */    MCD_OPC_Decode, 254, 1, 142, 1, // Opcode: AsmJLECLGI
-/* 2957 */    MCD_OPC_Decode, 147, 1, 143, 1, // Opcode: AsmCLGIJ
-/* 2962 */    MCD_OPC_FilterValue, 126, 62, 0, // Skip to: 3028
-/* 2966 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
-/* 2969 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2978
-/* 2973 */    MCD_OPC_Decode, 205, 1, 144, 1, // Opcode: AsmJHCI
-/* 2978 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2987
-/* 2982 */    MCD_OPC_Decode, 237, 1, 144, 1, // Opcode: AsmJLCI
-/* 2987 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2996
-/* 2991 */    MCD_OPC_Decode, 141, 2, 144, 1, // Opcode: AsmJLHCI
-/* 2996 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 3005
-/* 3000 */    MCD_OPC_Decode, 189, 1, 144, 1, // Opcode: AsmJECI
-/* 3005 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 3014
-/* 3009 */    MCD_OPC_Decode, 221, 1, 144, 1, // Opcode: AsmJHECI
-/* 3014 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 3023
-/* 3018 */    MCD_OPC_Decode, 253, 1, 144, 1, // Opcode: AsmJLECI
-/* 3023 */    MCD_OPC_Decode, 146, 1, 145, 1, // Opcode: AsmCIJ
-/* 3028 */    MCD_OPC_FilterValue, 127, 62, 0, // Skip to: 3094
-/* 3032 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
-/* 3035 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 3044
-/* 3039 */    MCD_OPC_Decode, 208, 1, 146, 1, // Opcode: AsmJHCLI
-/* 3044 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 3053
-/* 3048 */    MCD_OPC_Decode, 240, 1, 146, 1, // Opcode: AsmJLCLI
-/* 3053 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 3062
-/* 3057 */    MCD_OPC_Decode, 144, 2, 146, 1, // Opcode: AsmJLHCLI
-/* 3062 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 3071
-/* 3066 */    MCD_OPC_Decode, 192, 1, 146, 1, // Opcode: AsmJECLI
-/* 3071 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 3080
-/* 3075 */    MCD_OPC_Decode, 224, 1, 146, 1, // Opcode: AsmJHECLI
-/* 3080 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 3089
-/* 3084 */    MCD_OPC_Decode, 128, 2, 146, 1, // Opcode: AsmJLECLI
-/* 3089 */    MCD_OPC_Decode, 149, 1, 147, 1, // Opcode: AsmCLIJ
-/* 3094 */    MCD_OPC_FilterValue, 216, 1, 14, 0, // Skip to: 3113
-/* 3099 */    MCD_OPC_CheckPredicate, 2, 168, 1, // Skip to: 3527
-/* 3103 */    MCD_OPC_CheckField, 8, 8, 0, 162, 1, // Skip to: 3527
-/* 3109 */    MCD_OPC_Decode, 41, 148, 1, // Opcode: AHIK
-/* 3113 */    MCD_OPC_FilterValue, 217, 1, 14, 0, // Skip to: 3132
-/* 3118 */    MCD_OPC_CheckPredicate, 2, 149, 1, // Skip to: 3527
-/* 3122 */    MCD_OPC_CheckField, 8, 8, 0, 143, 1, // Skip to: 3527
-/* 3128 */    MCD_OPC_Decode, 35, 149, 1, // Opcode: AGHIK
-/* 3132 */    MCD_OPC_FilterValue, 218, 1, 14, 0, // Skip to: 3151
-/* 3137 */    MCD_OPC_CheckPredicate, 2, 130, 1, // Skip to: 3527
-/* 3141 */    MCD_OPC_CheckField, 8, 8, 0, 124, 1, // Skip to: 3527
-/* 3147 */    MCD_OPC_Decode, 59, 148, 1, // Opcode: ALHSIK
-/* 3151 */    MCD_OPC_FilterValue, 219, 1, 115, 1, // Skip to: 3527
-/* 3156 */    MCD_OPC_CheckPredicate, 2, 111, 1, // Skip to: 3527
-/* 3160 */    MCD_OPC_CheckField, 8, 8, 0, 105, 1, // Skip to: 3527
-/* 3166 */    MCD_OPC_Decode, 56, 149, 1, // Opcode: ALGHSIK
-/* 3170 */    MCD_OPC_FilterValue, 237, 1, 96, 1, // Skip to: 3527
-/* 3175 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
-/* 3178 */    MCD_OPC_FilterValue, 4, 11, 0, // Skip to: 3193
-/* 3182 */    MCD_OPC_CheckField, 8, 8, 0, 83, 1, // Skip to: 3527
-/* 3188 */    MCD_OPC_Decode, 191, 4, 150, 1, // Opcode: LDEB
-/* 3193 */    MCD_OPC_FilterValue, 5, 11, 0, // Skip to: 3208
-/* 3197 */    MCD_OPC_CheckField, 8, 8, 0, 68, 1, // Skip to: 3527
-/* 3203 */    MCD_OPC_Decode, 160, 5, 151, 1, // Opcode: LXDB
-/* 3208 */    MCD_OPC_FilterValue, 6, 11, 0, // Skip to: 3223
-/* 3212 */    MCD_OPC_CheckField, 8, 8, 0, 53, 1, // Skip to: 3527
-/* 3218 */    MCD_OPC_Decode, 162, 5, 151, 1, // Opcode: LXEB
-/* 3223 */    MCD_OPC_FilterValue, 7, 11, 0, // Skip to: 3238
-/* 3227 */    MCD_OPC_CheckField, 8, 8, 0, 38, 1, // Skip to: 3527
-/* 3233 */    MCD_OPC_Decode, 209, 5, 152, 1, // Opcode: MXDB
-/* 3238 */    MCD_OPC_FilterValue, 9, 11, 0, // Skip to: 3253
-/* 3242 */    MCD_OPC_CheckField, 8, 8, 0, 23, 1, // Skip to: 3527
-/* 3248 */    MCD_OPC_Decode, 139, 3, 153, 1, // Opcode: CEB
-/* 3253 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 3267
-/* 3257 */    MCD_OPC_CheckField, 8, 8, 0, 8, 1, // Skip to: 3527
-/* 3263 */    MCD_OPC_Decode, 25, 154, 1, // Opcode: AEB
-/* 3267 */    MCD_OPC_FilterValue, 11, 11, 0, // Skip to: 3282
-/* 3271 */    MCD_OPC_CheckField, 8, 8, 0, 250, 0, // Skip to: 3527
-/* 3277 */    MCD_OPC_Decode, 157, 6, 154, 1, // Opcode: SEB
-/* 3282 */    MCD_OPC_FilterValue, 12, 11, 0, // Skip to: 3297
-/* 3286 */    MCD_OPC_CheckField, 8, 8, 0, 235, 0, // Skip to: 3527
-/* 3292 */    MCD_OPC_Decode, 175, 5, 155, 1, // Opcode: MDEB
-/* 3297 */    MCD_OPC_FilterValue, 13, 11, 0, // Skip to: 3312
-/* 3301 */    MCD_OPC_CheckField, 8, 8, 0, 220, 0, // Skip to: 3527
-/* 3307 */    MCD_OPC_Decode, 252, 3, 154, 1, // Opcode: DEB
-/* 3312 */    MCD_OPC_FilterValue, 14, 11, 0, // Skip to: 3327
-/* 3316 */    MCD_OPC_CheckField, 8, 4, 0, 205, 0, // Skip to: 3527
-/* 3322 */    MCD_OPC_Decode, 171, 5, 156, 1, // Opcode: MAEB
-/* 3327 */    MCD_OPC_FilterValue, 15, 11, 0, // Skip to: 3342
-/* 3331 */    MCD_OPC_CheckField, 8, 4, 0, 190, 0, // Skip to: 3527
-/* 3337 */    MCD_OPC_Decode, 188, 5, 156, 1, // Opcode: MSEB
-/* 3342 */    MCD_OPC_FilterValue, 20, 11, 0, // Skip to: 3357
-/* 3346 */    MCD_OPC_CheckField, 8, 8, 0, 175, 0, // Skip to: 3527
-/* 3352 */    MCD_OPC_Decode, 186, 6, 153, 1, // Opcode: SQEB
-/* 3357 */    MCD_OPC_FilterValue, 21, 11, 0, // Skip to: 3372
-/* 3361 */    MCD_OPC_CheckField, 8, 8, 0, 160, 0, // Skip to: 3527
-/* 3367 */    MCD_OPC_Decode, 184, 6, 150, 1, // Opcode: SQDB
-/* 3372 */    MCD_OPC_FilterValue, 23, 11, 0, // Skip to: 3387
-/* 3376 */    MCD_OPC_CheckField, 8, 8, 0, 145, 0, // Skip to: 3527
-/* 3382 */    MCD_OPC_Decode, 177, 5, 154, 1, // Opcode: MEEB
-/* 3387 */    MCD_OPC_FilterValue, 25, 11, 0, // Skip to: 3402
-/* 3391 */    MCD_OPC_CheckField, 8, 8, 0, 130, 0, // Skip to: 3527
-/* 3397 */    MCD_OPC_Decode, 133, 3, 150, 1, // Opcode: CDB
-/* 3402 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 3416
-/* 3406 */    MCD_OPC_CheckField, 8, 8, 0, 115, 0, // Skip to: 3527
-/* 3412 */    MCD_OPC_Decode, 20, 155, 1, // Opcode: ADB
-/* 3416 */    MCD_OPC_FilterValue, 27, 11, 0, // Skip to: 3431
-/* 3420 */    MCD_OPC_CheckField, 8, 8, 0, 101, 0, // Skip to: 3527
-/* 3426 */    MCD_OPC_Decode, 155, 6, 155, 1, // Opcode: SDB
-/* 3431 */    MCD_OPC_FilterValue, 28, 11, 0, // Skip to: 3446
-/* 3435 */    MCD_OPC_CheckField, 8, 8, 0, 86, 0, // Skip to: 3527
-/* 3441 */    MCD_OPC_Decode, 173, 5, 155, 1, // Opcode: MDB
-/* 3446 */    MCD_OPC_FilterValue, 29, 11, 0, // Skip to: 3461
-/* 3450 */    MCD_OPC_CheckField, 8, 8, 0, 71, 0, // Skip to: 3527
-/* 3456 */    MCD_OPC_Decode, 250, 3, 155, 1, // Opcode: DDB
-/* 3461 */    MCD_OPC_FilterValue, 30, 11, 0, // Skip to: 3476
-/* 3465 */    MCD_OPC_CheckField, 8, 4, 0, 56, 0, // Skip to: 3527
-/* 3471 */    MCD_OPC_Decode, 169, 5, 157, 1, // Opcode: MADB
-/* 3476 */    MCD_OPC_FilterValue, 31, 11, 0, // Skip to: 3491
-/* 3480 */    MCD_OPC_CheckField, 8, 4, 0, 41, 0, // Skip to: 3527
-/* 3486 */    MCD_OPC_Decode, 186, 5, 157, 1, // Opcode: MSDB
-/* 3491 */    MCD_OPC_FilterValue, 100, 5, 0, // Skip to: 3500
-/* 3495 */    MCD_OPC_Decode, 201, 4, 158, 1, // Opcode: LEY
-/* 3500 */    MCD_OPC_FilterValue, 101, 5, 0, // Skip to: 3509
-/* 3504 */    MCD_OPC_Decode, 196, 4, 159, 1, // Opcode: LDY
-/* 3509 */    MCD_OPC_FilterValue, 102, 5, 0, // Skip to: 3518
-/* 3513 */    MCD_OPC_Decode, 208, 6, 158, 1, // Opcode: STEY
-/* 3518 */    MCD_OPC_FilterValue, 103, 5, 0, // Skip to: 3527
-/* 3522 */    MCD_OPC_Decode, 206, 6, 159, 1, // Opcode: STDY
-/* 3527 */    MCD_OPC_Fail,
+/* 1923 */    MCD_OPC_Decode, 241, 2, 127, // Opcode: AsmNOSTOCG
+/* 1927 */    MCD_OPC_CheckPredicate, 1, 61, 6, // Skip to: 3528
+/* 1931 */    MCD_OPC_Decode, 252, 2, 128, 1, // Opcode: AsmSTOCG
+/* 1936 */    MCD_OPC_FilterValue, 228, 1, 8, 0, // Skip to: 1949
+/* 1941 */    MCD_OPC_CheckPredicate, 4, 47, 6, // Skip to: 3528
+/* 1945 */    MCD_OPC_Decode, 174, 4, 118, // Opcode: LANG
+/* 1949 */    MCD_OPC_FilterValue, 230, 1, 8, 0, // Skip to: 1962
+/* 1954 */    MCD_OPC_CheckPredicate, 4, 34, 6, // Skip to: 3528
+/* 1958 */    MCD_OPC_Decode, 176, 4, 118, // Opcode: LAOG
+/* 1962 */    MCD_OPC_FilterValue, 231, 1, 8, 0, // Skip to: 1975
+/* 1967 */    MCD_OPC_CheckPredicate, 4, 21, 6, // Skip to: 3528
+/* 1971 */    MCD_OPC_Decode, 179, 4, 118, // Opcode: LAXG
+/* 1975 */    MCD_OPC_FilterValue, 232, 1, 8, 0, // Skip to: 1988
+/* 1980 */    MCD_OPC_CheckPredicate, 4, 8, 6, // Skip to: 3528
+/* 1984 */    MCD_OPC_Decode, 170, 4, 118, // Opcode: LAAG
+/* 1988 */    MCD_OPC_FilterValue, 234, 1, 8, 0, // Skip to: 2001
+/* 1993 */    MCD_OPC_CheckPredicate, 4, 251, 5, // Skip to: 3528
+/* 1997 */    MCD_OPC_Decode, 172, 4, 118, // Opcode: LAALG
+/* 2001 */    MCD_OPC_FilterValue, 242, 1, 194, 0, // Skip to: 2200
+/* 2006 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
+/* 2009 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2022
+/* 2013 */    MCD_OPC_CheckPredicate, 1, 174, 0, // Skip to: 2191
+/* 2017 */    MCD_OPC_Decode, 245, 2, 129, 1, // Opcode: AsmOLOC
+/* 2022 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2035
+/* 2026 */    MCD_OPC_CheckPredicate, 1, 161, 0, // Skip to: 2191
+/* 2030 */    MCD_OPC_Decode, 174, 1, 129, 1, // Opcode: AsmHLOC
+/* 2035 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2048
+/* 2039 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 2191
+/* 2043 */    MCD_OPC_Decode, 210, 2, 129, 1, // Opcode: AsmNLELOC
+/* 2048 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 2061
+/* 2052 */    MCD_OPC_CheckPredicate, 1, 135, 0, // Skip to: 2191
+/* 2056 */    MCD_OPC_Decode, 169, 2, 129, 1, // Opcode: AsmLLOC
+/* 2061 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2074
+/* 2065 */    MCD_OPC_CheckPredicate, 1, 122, 0, // Skip to: 2191
+/* 2069 */    MCD_OPC_Decode, 192, 2, 129, 1, // Opcode: AsmNHELOC
+/* 2074 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2087
+/* 2078 */    MCD_OPC_CheckPredicate, 1, 109, 0, // Skip to: 2191
+/* 2082 */    MCD_OPC_Decode, 161, 2, 129, 1, // Opcode: AsmLHLOC
+/* 2087 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2100
+/* 2091 */    MCD_OPC_CheckPredicate, 1, 96, 0, // Skip to: 2191
+/* 2095 */    MCD_OPC_Decode, 182, 2, 129, 1, // Opcode: AsmNELOC
+/* 2100 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 2113
+/* 2104 */    MCD_OPC_CheckPredicate, 1, 83, 0, // Skip to: 2191
+/* 2108 */    MCD_OPC_Decode, 156, 1, 129, 1, // Opcode: AsmELOC
+/* 2113 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 2126
+/* 2117 */    MCD_OPC_CheckPredicate, 1, 70, 0, // Skip to: 2191
+/* 2121 */    MCD_OPC_Decode, 219, 2, 129, 1, // Opcode: AsmNLHLOC
+/* 2126 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 2139
+/* 2130 */    MCD_OPC_CheckPredicate, 1, 57, 0, // Skip to: 2191
+/* 2134 */    MCD_OPC_Decode, 166, 1, 129, 1, // Opcode: AsmHELOC
+/* 2139 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 2152
+/* 2143 */    MCD_OPC_CheckPredicate, 1, 44, 0, // Skip to: 2191
+/* 2147 */    MCD_OPC_Decode, 227, 2, 129, 1, // Opcode: AsmNLLOC
+/* 2152 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 2165
+/* 2156 */    MCD_OPC_CheckPredicate, 1, 31, 0, // Skip to: 2191
+/* 2160 */    MCD_OPC_Decode, 152, 2, 129, 1, // Opcode: AsmLELOC
+/* 2165 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2178
+/* 2169 */    MCD_OPC_CheckPredicate, 1, 18, 0, // Skip to: 2191
+/* 2173 */    MCD_OPC_Decode, 200, 2, 129, 1, // Opcode: AsmNHLOC
+/* 2178 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 2191
+/* 2182 */    MCD_OPC_CheckPredicate, 1, 5, 0, // Skip to: 2191
+/* 2186 */    MCD_OPC_Decode, 236, 2, 129, 1, // Opcode: AsmNOLOC
+/* 2191 */    MCD_OPC_CheckPredicate, 1, 53, 5, // Skip to: 3528
+/* 2195 */    MCD_OPC_Decode, 173, 2, 130, 1, // Opcode: AsmLOC
+/* 2200 */    MCD_OPC_FilterValue, 243, 1, 194, 0, // Skip to: 2399
+/* 2205 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
+/* 2208 */    MCD_OPC_FilterValue, 1, 9, 0, // Skip to: 2221
+/* 2212 */    MCD_OPC_CheckPredicate, 1, 174, 0, // Skip to: 2390
+/* 2216 */    MCD_OPC_Decode, 249, 2, 131, 1, // Opcode: AsmOSTOC
+/* 2221 */    MCD_OPC_FilterValue, 2, 9, 0, // Skip to: 2234
+/* 2225 */    MCD_OPC_CheckPredicate, 1, 161, 0, // Skip to: 2390
+/* 2229 */    MCD_OPC_Decode, 178, 1, 131, 1, // Opcode: AsmHSTOC
+/* 2234 */    MCD_OPC_FilterValue, 3, 9, 0, // Skip to: 2247
+/* 2238 */    MCD_OPC_CheckPredicate, 1, 148, 0, // Skip to: 2390
+/* 2242 */    MCD_OPC_Decode, 214, 2, 131, 1, // Opcode: AsmNLESTOC
+/* 2247 */    MCD_OPC_FilterValue, 4, 9, 0, // Skip to: 2260
+/* 2251 */    MCD_OPC_CheckPredicate, 1, 135, 0, // Skip to: 2390
+/* 2255 */    MCD_OPC_Decode, 177, 2, 131, 1, // Opcode: AsmLSTOC
+/* 2260 */    MCD_OPC_FilterValue, 5, 9, 0, // Skip to: 2273
+/* 2264 */    MCD_OPC_CheckPredicate, 1, 122, 0, // Skip to: 2390
+/* 2268 */    MCD_OPC_Decode, 196, 2, 131, 1, // Opcode: AsmNHESTOC
+/* 2273 */    MCD_OPC_FilterValue, 6, 9, 0, // Skip to: 2286
+/* 2277 */    MCD_OPC_CheckPredicate, 1, 109, 0, // Skip to: 2390
+/* 2281 */    MCD_OPC_Decode, 165, 2, 131, 1, // Opcode: AsmLHSTOC
+/* 2286 */    MCD_OPC_FilterValue, 7, 9, 0, // Skip to: 2299
+/* 2290 */    MCD_OPC_CheckPredicate, 1, 96, 0, // Skip to: 2390
+/* 2294 */    MCD_OPC_Decode, 186, 2, 131, 1, // Opcode: AsmNESTOC
+/* 2299 */    MCD_OPC_FilterValue, 8, 9, 0, // Skip to: 2312
+/* 2303 */    MCD_OPC_CheckPredicate, 1, 83, 0, // Skip to: 2390
+/* 2307 */    MCD_OPC_Decode, 160, 1, 131, 1, // Opcode: AsmESTOC
+/* 2312 */    MCD_OPC_FilterValue, 9, 9, 0, // Skip to: 2325
+/* 2316 */    MCD_OPC_CheckPredicate, 1, 70, 0, // Skip to: 2390
+/* 2320 */    MCD_OPC_Decode, 223, 2, 131, 1, // Opcode: AsmNLHSTOC
+/* 2325 */    MCD_OPC_FilterValue, 10, 9, 0, // Skip to: 2338
+/* 2329 */    MCD_OPC_CheckPredicate, 1, 57, 0, // Skip to: 2390
+/* 2333 */    MCD_OPC_Decode, 170, 1, 131, 1, // Opcode: AsmHESTOC
+/* 2338 */    MCD_OPC_FilterValue, 11, 9, 0, // Skip to: 2351
+/* 2342 */    MCD_OPC_CheckPredicate, 1, 44, 0, // Skip to: 2390
+/* 2346 */    MCD_OPC_Decode, 231, 2, 131, 1, // Opcode: AsmNLSTOC
+/* 2351 */    MCD_OPC_FilterValue, 12, 9, 0, // Skip to: 2364
+/* 2355 */    MCD_OPC_CheckPredicate, 1, 31, 0, // Skip to: 2390
+/* 2359 */    MCD_OPC_Decode, 156, 2, 131, 1, // Opcode: AsmLESTOC
+/* 2364 */    MCD_OPC_FilterValue, 13, 9, 0, // Skip to: 2377
+/* 2368 */    MCD_OPC_CheckPredicate, 1, 18, 0, // Skip to: 2390
+/* 2372 */    MCD_OPC_Decode, 204, 2, 131, 1, // Opcode: AsmNHSTOC
+/* 2377 */    MCD_OPC_FilterValue, 14, 9, 0, // Skip to: 2390
+/* 2381 */    MCD_OPC_CheckPredicate, 1, 5, 0, // Skip to: 2390
+/* 2385 */    MCD_OPC_Decode, 240, 2, 131, 1, // Opcode: AsmNOSTOC
+/* 2390 */    MCD_OPC_CheckPredicate, 1, 110, 4, // Skip to: 3528
+/* 2394 */    MCD_OPC_Decode, 251, 2, 132, 1, // Opcode: AsmSTOC
+/* 2399 */    MCD_OPC_FilterValue, 244, 1, 9, 0, // Skip to: 2413
+/* 2404 */    MCD_OPC_CheckPredicate, 4, 96, 4, // Skip to: 3528
+/* 2408 */    MCD_OPC_Decode, 173, 4, 133, 1, // Opcode: LAN
+/* 2413 */    MCD_OPC_FilterValue, 246, 1, 9, 0, // Skip to: 2427
+/* 2418 */    MCD_OPC_CheckPredicate, 4, 82, 4, // Skip to: 3528
+/* 2422 */    MCD_OPC_Decode, 175, 4, 133, 1, // Opcode: LAO
+/* 2427 */    MCD_OPC_FilterValue, 247, 1, 9, 0, // Skip to: 2441
+/* 2432 */    MCD_OPC_CheckPredicate, 4, 68, 4, // Skip to: 3528
+/* 2436 */    MCD_OPC_Decode, 178, 4, 133, 1, // Opcode: LAX
+/* 2441 */    MCD_OPC_FilterValue, 248, 1, 9, 0, // Skip to: 2455
+/* 2446 */    MCD_OPC_CheckPredicate, 4, 54, 4, // Skip to: 3528
+/* 2450 */    MCD_OPC_Decode, 169, 4, 133, 1, // Opcode: LAA
+/* 2455 */    MCD_OPC_FilterValue, 250, 1, 44, 4, // Skip to: 3528
+/* 2460 */    MCD_OPC_CheckPredicate, 4, 40, 4, // Skip to: 3528
+/* 2464 */    MCD_OPC_Decode, 171, 4, 133, 1, // Opcode: LAAL
+/* 2469 */    MCD_OPC_FilterValue, 236, 1, 185, 2, // Skip to: 3171
+/* 2474 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
+/* 2477 */    MCD_OPC_FilterValue, 81, 9, 0, // Skip to: 2490
+/* 2481 */    MCD_OPC_CheckPredicate, 3, 19, 4, // Skip to: 3528
+/* 2485 */    MCD_OPC_Decode, 148, 6, 134, 1, // Opcode: RISBLG
+/* 2490 */    MCD_OPC_FilterValue, 84, 5, 0, // Skip to: 2499
+/* 2494 */    MCD_OPC_Decode, 154, 6, 135, 1, // Opcode: RNSBG
+/* 2499 */    MCD_OPC_FilterValue, 85, 5, 0, // Skip to: 2508
+/* 2503 */    MCD_OPC_Decode, 143, 6, 135, 1, // Opcode: RISBG
+/* 2508 */    MCD_OPC_FilterValue, 86, 5, 0, // Skip to: 2517
+/* 2512 */    MCD_OPC_Decode, 155, 6, 135, 1, // Opcode: ROSBG
+/* 2517 */    MCD_OPC_FilterValue, 87, 5, 0, // Skip to: 2526
+/* 2521 */    MCD_OPC_Decode, 156, 6, 135, 1, // Opcode: RXSBG
+/* 2526 */    MCD_OPC_FilterValue, 93, 9, 0, // Skip to: 2539
+/* 2530 */    MCD_OPC_CheckPredicate, 3, 226, 3, // Skip to: 3528
+/* 2534 */    MCD_OPC_Decode, 145, 6, 136, 1, // Opcode: RISBHG
+/* 2539 */    MCD_OPC_FilterValue, 100, 69, 0, // Skip to: 2612
+/* 2543 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2546 */    MCD_OPC_FilterValue, 0, 210, 3, // Skip to: 3528
+/* 2550 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 2553 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2562
+/* 2557 */    MCD_OPC_Decode, 205, 1, 137, 1, // Opcode: AsmJHCGR
+/* 2562 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2571
+/* 2566 */    MCD_OPC_Decode, 237, 1, 137, 1, // Opcode: AsmJLCGR
+/* 2571 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2580
+/* 2575 */    MCD_OPC_Decode, 141, 2, 137, 1, // Opcode: AsmJLHCGR
+/* 2580 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2589
+/* 2584 */    MCD_OPC_Decode, 189, 1, 137, 1, // Opcode: AsmJECGR
+/* 2589 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2598
+/* 2593 */    MCD_OPC_Decode, 221, 1, 137, 1, // Opcode: AsmJHECGR
+/* 2598 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2607
+/* 2602 */    MCD_OPC_Decode, 253, 1, 137, 1, // Opcode: AsmJLECGR
+/* 2607 */    MCD_OPC_Decode, 146, 1, 138, 1, // Opcode: AsmCGRJ
+/* 2612 */    MCD_OPC_FilterValue, 101, 69, 0, // Skip to: 2685
+/* 2616 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2619 */    MCD_OPC_FilterValue, 0, 137, 3, // Skip to: 3528
+/* 2623 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 2626 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2635
+/* 2630 */    MCD_OPC_Decode, 208, 1, 137, 1, // Opcode: AsmJHCLGR
+/* 2635 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2644
+/* 2639 */    MCD_OPC_Decode, 240, 1, 137, 1, // Opcode: AsmJLCLGR
+/* 2644 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2653
+/* 2648 */    MCD_OPC_Decode, 144, 2, 137, 1, // Opcode: AsmJLHCLGR
+/* 2653 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2662
+/* 2657 */    MCD_OPC_Decode, 192, 1, 137, 1, // Opcode: AsmJECLGR
+/* 2662 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2671
+/* 2666 */    MCD_OPC_Decode, 224, 1, 137, 1, // Opcode: AsmJHECLGR
+/* 2671 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2680
+/* 2675 */    MCD_OPC_Decode, 128, 2, 137, 1, // Opcode: AsmJLECLGR
+/* 2680 */    MCD_OPC_Decode, 149, 1, 138, 1, // Opcode: AsmCLGRJ
+/* 2685 */    MCD_OPC_FilterValue, 118, 69, 0, // Skip to: 2758
+/* 2689 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2692 */    MCD_OPC_FilterValue, 0, 64, 3, // Skip to: 3528
+/* 2696 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 2699 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2708
+/* 2703 */    MCD_OPC_Decode, 211, 1, 139, 1, // Opcode: AsmJHCR
+/* 2708 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2717
+/* 2712 */    MCD_OPC_Decode, 243, 1, 139, 1, // Opcode: AsmJLCR
+/* 2717 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2726
+/* 2721 */    MCD_OPC_Decode, 147, 2, 139, 1, // Opcode: AsmJLHCR
+/* 2726 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2735
+/* 2730 */    MCD_OPC_Decode, 195, 1, 139, 1, // Opcode: AsmJECR
+/* 2735 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2744
+/* 2739 */    MCD_OPC_Decode, 227, 1, 139, 1, // Opcode: AsmJHECR
+/* 2744 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2753
+/* 2748 */    MCD_OPC_Decode, 131, 2, 139, 1, // Opcode: AsmJLECR
+/* 2753 */    MCD_OPC_Decode, 152, 1, 140, 1, // Opcode: AsmCRJ
+/* 2758 */    MCD_OPC_FilterValue, 119, 69, 0, // Skip to: 2831
+/* 2762 */    MCD_OPC_ExtractField, 8, 4,  // Inst{11-8} ...
+/* 2765 */    MCD_OPC_FilterValue, 0, 247, 2, // Skip to: 3528
+/* 2769 */    MCD_OPC_ExtractField, 12, 4,  // Inst{15-12} ...
+/* 2772 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2781
+/* 2776 */    MCD_OPC_Decode, 210, 1, 139, 1, // Opcode: AsmJHCLR
+/* 2781 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2790
+/* 2785 */    MCD_OPC_Decode, 242, 1, 139, 1, // Opcode: AsmJLCLR
+/* 2790 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2799
+/* 2794 */    MCD_OPC_Decode, 146, 2, 139, 1, // Opcode: AsmJLHCLR
+/* 2799 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2808
+/* 2803 */    MCD_OPC_Decode, 194, 1, 139, 1, // Opcode: AsmJECLR
+/* 2808 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2817
+/* 2812 */    MCD_OPC_Decode, 226, 1, 139, 1, // Opcode: AsmJHECLR
+/* 2817 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2826
+/* 2821 */    MCD_OPC_Decode, 130, 2, 139, 1, // Opcode: AsmJLECLR
+/* 2826 */    MCD_OPC_Decode, 151, 1, 140, 1, // Opcode: AsmCLRJ
+/* 2831 */    MCD_OPC_FilterValue, 124, 62, 0, // Skip to: 2897
+/* 2835 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
+/* 2838 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2847
+/* 2842 */    MCD_OPC_Decode, 204, 1, 141, 1, // Opcode: AsmJHCGI
+/* 2847 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2856
+/* 2851 */    MCD_OPC_Decode, 236, 1, 141, 1, // Opcode: AsmJLCGI
+/* 2856 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2865
+/* 2860 */    MCD_OPC_Decode, 140, 2, 141, 1, // Opcode: AsmJLHCGI
+/* 2865 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2874
+/* 2869 */    MCD_OPC_Decode, 188, 1, 141, 1, // Opcode: AsmJECGI
+/* 2874 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2883
+/* 2878 */    MCD_OPC_Decode, 220, 1, 141, 1, // Opcode: AsmJHECGI
+/* 2883 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2892
+/* 2887 */    MCD_OPC_Decode, 252, 1, 141, 1, // Opcode: AsmJLECGI
+/* 2892 */    MCD_OPC_Decode, 145, 1, 142, 1, // Opcode: AsmCGIJ
+/* 2897 */    MCD_OPC_FilterValue, 125, 62, 0, // Skip to: 2963
+/* 2901 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
+/* 2904 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2913
+/* 2908 */    MCD_OPC_Decode, 207, 1, 143, 1, // Opcode: AsmJHCLGI
+/* 2913 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2922
+/* 2917 */    MCD_OPC_Decode, 239, 1, 143, 1, // Opcode: AsmJLCLGI
+/* 2922 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2931
+/* 2926 */    MCD_OPC_Decode, 143, 2, 143, 1, // Opcode: AsmJLHCLGI
+/* 2931 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 2940
+/* 2935 */    MCD_OPC_Decode, 191, 1, 143, 1, // Opcode: AsmJECLGI
+/* 2940 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 2949
+/* 2944 */    MCD_OPC_Decode, 223, 1, 143, 1, // Opcode: AsmJHECLGI
+/* 2949 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 2958
+/* 2953 */    MCD_OPC_Decode, 255, 1, 143, 1, // Opcode: AsmJLECLGI
+/* 2958 */    MCD_OPC_Decode, 148, 1, 144, 1, // Opcode: AsmCLGIJ
+/* 2963 */    MCD_OPC_FilterValue, 126, 62, 0, // Skip to: 3029
+/* 2967 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
+/* 2970 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 2979
+/* 2974 */    MCD_OPC_Decode, 206, 1, 145, 1, // Opcode: AsmJHCI
+/* 2979 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 2988
+/* 2983 */    MCD_OPC_Decode, 238, 1, 145, 1, // Opcode: AsmJLCI
+/* 2988 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 2997
+/* 2992 */    MCD_OPC_Decode, 142, 2, 145, 1, // Opcode: AsmJLHCI
+/* 2997 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 3006
+/* 3001 */    MCD_OPC_Decode, 190, 1, 145, 1, // Opcode: AsmJECI
+/* 3006 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 3015
+/* 3010 */    MCD_OPC_Decode, 222, 1, 145, 1, // Opcode: AsmJHECI
+/* 3015 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 3024
+/* 3019 */    MCD_OPC_Decode, 254, 1, 145, 1, // Opcode: AsmJLECI
+/* 3024 */    MCD_OPC_Decode, 147, 1, 146, 1, // Opcode: AsmCIJ
+/* 3029 */    MCD_OPC_FilterValue, 127, 62, 0, // Skip to: 3095
+/* 3033 */    MCD_OPC_ExtractField, 32, 4,  // Inst{35-32} ...
+/* 3036 */    MCD_OPC_FilterValue, 2, 5, 0, // Skip to: 3045
+/* 3040 */    MCD_OPC_Decode, 209, 1, 147, 1, // Opcode: AsmJHCLI
+/* 3045 */    MCD_OPC_FilterValue, 4, 5, 0, // Skip to: 3054
+/* 3049 */    MCD_OPC_Decode, 241, 1, 147, 1, // Opcode: AsmJLCLI
+/* 3054 */    MCD_OPC_FilterValue, 6, 5, 0, // Skip to: 3063
+/* 3058 */    MCD_OPC_Decode, 145, 2, 147, 1, // Opcode: AsmJLHCLI
+/* 3063 */    MCD_OPC_FilterValue, 8, 5, 0, // Skip to: 3072
+/* 3067 */    MCD_OPC_Decode, 193, 1, 147, 1, // Opcode: AsmJECLI
+/* 3072 */    MCD_OPC_FilterValue, 10, 5, 0, // Skip to: 3081
+/* 3076 */    MCD_OPC_Decode, 225, 1, 147, 1, // Opcode: AsmJHECLI
+/* 3081 */    MCD_OPC_FilterValue, 12, 5, 0, // Skip to: 3090
+/* 3085 */    MCD_OPC_Decode, 129, 2, 147, 1, // Opcode: AsmJLECLI
+/* 3090 */    MCD_OPC_Decode, 150, 1, 148, 1, // Opcode: AsmCLIJ
+/* 3095 */    MCD_OPC_FilterValue, 216, 1, 14, 0, // Skip to: 3114
+/* 3100 */    MCD_OPC_CheckPredicate, 2, 168, 1, // Skip to: 3528
+/* 3104 */    MCD_OPC_CheckField, 8, 8, 0, 162, 1, // Skip to: 3528
+/* 3110 */    MCD_OPC_Decode, 42, 149, 1, // Opcode: AHIK
+/* 3114 */    MCD_OPC_FilterValue, 217, 1, 14, 0, // Skip to: 3133
+/* 3119 */    MCD_OPC_CheckPredicate, 2, 149, 1, // Skip to: 3528
+/* 3123 */    MCD_OPC_CheckField, 8, 8, 0, 143, 1, // Skip to: 3528
+/* 3129 */    MCD_OPC_Decode, 36, 150, 1, // Opcode: AGHIK
+/* 3133 */    MCD_OPC_FilterValue, 218, 1, 14, 0, // Skip to: 3152
+/* 3138 */    MCD_OPC_CheckPredicate, 2, 130, 1, // Skip to: 3528
+/* 3142 */    MCD_OPC_CheckField, 8, 8, 0, 124, 1, // Skip to: 3528
+/* 3148 */    MCD_OPC_Decode, 60, 149, 1, // Opcode: ALHSIK
+/* 3152 */    MCD_OPC_FilterValue, 219, 1, 115, 1, // Skip to: 3528
+/* 3157 */    MCD_OPC_CheckPredicate, 2, 111, 1, // Skip to: 3528
+/* 3161 */    MCD_OPC_CheckField, 8, 8, 0, 105, 1, // Skip to: 3528
+/* 3167 */    MCD_OPC_Decode, 57, 150, 1, // Opcode: ALGHSIK
+/* 3171 */    MCD_OPC_FilterValue, 237, 1, 96, 1, // Skip to: 3528
+/* 3176 */    MCD_OPC_ExtractField, 0, 8,  // Inst{7-0} ...
+/* 3179 */    MCD_OPC_FilterValue, 4, 11, 0, // Skip to: 3194
+/* 3183 */    MCD_OPC_CheckField, 8, 8, 0, 83, 1, // Skip to: 3528
+/* 3189 */    MCD_OPC_Decode, 192, 4, 151, 1, // Opcode: LDEB
+/* 3194 */    MCD_OPC_FilterValue, 5, 11, 0, // Skip to: 3209
+/* 3198 */    MCD_OPC_CheckField, 8, 8, 0, 68, 1, // Skip to: 3528
+/* 3204 */    MCD_OPC_Decode, 164, 5, 152, 1, // Opcode: LXDB
+/* 3209 */    MCD_OPC_FilterValue, 6, 11, 0, // Skip to: 3224
+/* 3213 */    MCD_OPC_CheckField, 8, 8, 0, 53, 1, // Skip to: 3528
+/* 3219 */    MCD_OPC_Decode, 166, 5, 152, 1, // Opcode: LXEB
+/* 3224 */    MCD_OPC_FilterValue, 7, 11, 0, // Skip to: 3239
+/* 3228 */    MCD_OPC_CheckField, 8, 8, 0, 38, 1, // Skip to: 3528
+/* 3234 */    MCD_OPC_Decode, 213, 5, 153, 1, // Opcode: MXDB
+/* 3239 */    MCD_OPC_FilterValue, 9, 11, 0, // Skip to: 3254
+/* 3243 */    MCD_OPC_CheckField, 8, 8, 0, 23, 1, // Skip to: 3528
+/* 3249 */    MCD_OPC_Decode, 140, 3, 154, 1, // Opcode: CEB
+/* 3254 */    MCD_OPC_FilterValue, 10, 10, 0, // Skip to: 3268
+/* 3258 */    MCD_OPC_CheckField, 8, 8, 0, 8, 1, // Skip to: 3528
+/* 3264 */    MCD_OPC_Decode, 26, 155, 1, // Opcode: AEB
+/* 3268 */    MCD_OPC_FilterValue, 11, 11, 0, // Skip to: 3283
+/* 3272 */    MCD_OPC_CheckField, 8, 8, 0, 250, 0, // Skip to: 3528
+/* 3278 */    MCD_OPC_Decode, 161, 6, 155, 1, // Opcode: SEB
+/* 3283 */    MCD_OPC_FilterValue, 12, 11, 0, // Skip to: 3298
+/* 3287 */    MCD_OPC_CheckField, 8, 8, 0, 235, 0, // Skip to: 3528
+/* 3293 */    MCD_OPC_Decode, 179, 5, 156, 1, // Opcode: MDEB
+/* 3298 */    MCD_OPC_FilterValue, 13, 11, 0, // Skip to: 3313
+/* 3302 */    MCD_OPC_CheckField, 8, 8, 0, 220, 0, // Skip to: 3528
+/* 3308 */    MCD_OPC_Decode, 253, 3, 155, 1, // Opcode: DEB
+/* 3313 */    MCD_OPC_FilterValue, 14, 11, 0, // Skip to: 3328
+/* 3317 */    MCD_OPC_CheckField, 8, 4, 0, 205, 0, // Skip to: 3528
+/* 3323 */    MCD_OPC_Decode, 175, 5, 157, 1, // Opcode: MAEB
+/* 3328 */    MCD_OPC_FilterValue, 15, 11, 0, // Skip to: 3343
+/* 3332 */    MCD_OPC_CheckField, 8, 4, 0, 190, 0, // Skip to: 3528
+/* 3338 */    MCD_OPC_Decode, 192, 5, 157, 1, // Opcode: MSEB
+/* 3343 */    MCD_OPC_FilterValue, 20, 11, 0, // Skip to: 3358
+/* 3347 */    MCD_OPC_CheckField, 8, 8, 0, 175, 0, // Skip to: 3528
+/* 3353 */    MCD_OPC_Decode, 190, 6, 154, 1, // Opcode: SQEB
+/* 3358 */    MCD_OPC_FilterValue, 21, 11, 0, // Skip to: 3373
+/* 3362 */    MCD_OPC_CheckField, 8, 8, 0, 160, 0, // Skip to: 3528
+/* 3368 */    MCD_OPC_Decode, 188, 6, 151, 1, // Opcode: SQDB
+/* 3373 */    MCD_OPC_FilterValue, 23, 11, 0, // Skip to: 3388
+/* 3377 */    MCD_OPC_CheckField, 8, 8, 0, 145, 0, // Skip to: 3528
+/* 3383 */    MCD_OPC_Decode, 181, 5, 155, 1, // Opcode: MEEB
+/* 3388 */    MCD_OPC_FilterValue, 25, 11, 0, // Skip to: 3403
+/* 3392 */    MCD_OPC_CheckField, 8, 8, 0, 130, 0, // Skip to: 3528
+/* 3398 */    MCD_OPC_Decode, 134, 3, 151, 1, // Opcode: CDB
+/* 3403 */    MCD_OPC_FilterValue, 26, 10, 0, // Skip to: 3417
+/* 3407 */    MCD_OPC_CheckField, 8, 8, 0, 115, 0, // Skip to: 3528
+/* 3413 */    MCD_OPC_Decode, 21, 156, 1, // Opcode: ADB
+/* 3417 */    MCD_OPC_FilterValue, 27, 11, 0, // Skip to: 3432
+/* 3421 */    MCD_OPC_CheckField, 8, 8, 0, 101, 0, // Skip to: 3528
+/* 3427 */    MCD_OPC_Decode, 159, 6, 156, 1, // Opcode: SDB
+/* 3432 */    MCD_OPC_FilterValue, 28, 11, 0, // Skip to: 3447
+/* 3436 */    MCD_OPC_CheckField, 8, 8, 0, 86, 0, // Skip to: 3528
+/* 3442 */    MCD_OPC_Decode, 177, 5, 156, 1, // Opcode: MDB
+/* 3447 */    MCD_OPC_FilterValue, 29, 11, 0, // Skip to: 3462
+/* 3451 */    MCD_OPC_CheckField, 8, 8, 0, 71, 0, // Skip to: 3528
+/* 3457 */    MCD_OPC_Decode, 251, 3, 156, 1, // Opcode: DDB
+/* 3462 */    MCD_OPC_FilterValue, 30, 11, 0, // Skip to: 3477
+/* 3466 */    MCD_OPC_CheckField, 8, 4, 0, 56, 0, // Skip to: 3528
+/* 3472 */    MCD_OPC_Decode, 173, 5, 158, 1, // Opcode: MADB
+/* 3477 */    MCD_OPC_FilterValue, 31, 11, 0, // Skip to: 3492
+/* 3481 */    MCD_OPC_CheckField, 8, 4, 0, 41, 0, // Skip to: 3528
+/* 3487 */    MCD_OPC_Decode, 190, 5, 158, 1, // Opcode: MSDB
+/* 3492 */    MCD_OPC_FilterValue, 100, 5, 0, // Skip to: 3501
+/* 3496 */    MCD_OPC_Decode, 205, 4, 159, 1, // Opcode: LEY
+/* 3501 */    MCD_OPC_FilterValue, 101, 5, 0, // Skip to: 3510
+/* 3505 */    MCD_OPC_Decode, 198, 4, 160, 1, // Opcode: LDY
+/* 3510 */    MCD_OPC_FilterValue, 102, 5, 0, // Skip to: 3519
+/* 3514 */    MCD_OPC_Decode, 212, 6, 159, 1, // Opcode: STEY
+/* 3519 */    MCD_OPC_FilterValue, 103, 5, 0, // Skip to: 3528
+/* 3523 */    MCD_OPC_Decode, 210, 6, 160, 1, // Opcode: STDY
+/* 3528 */    MCD_OPC_Fail,
   0
 };
 
@@ -1677,15 +1683,15 @@
   switch (Idx) {
   default: // llvm_unreachable("Invalid index!");
   case 0:
-    return getbool(Bits & SystemZ_FeatureFPExtension);
+    return getbool((Bits & SystemZ_FeatureFPExtension));
   case 1:
-    return getbool(Bits & SystemZ_FeatureLoadStoreOnCond);
+    return getbool((Bits & SystemZ_FeatureLoadStoreOnCond));
   case 2:
-    return getbool(Bits & SystemZ_FeatureDistinctOps);
+    return getbool((Bits & SystemZ_FeatureDistinctOps));
   case 3:
-    return getbool(Bits & SystemZ_FeatureHighWord);
+    return getbool((Bits & SystemZ_FeatureHighWord));
   case 4:
-    return getbool(Bits & SystemZ_FeatureInterlockedAccess1);
+    return getbool((Bits & SystemZ_FeatureInterlockedAccess1));
   }
 }
 
@@ -1990,11 +1996,13 @@
     return S; \
   case 43: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 44: \
     tmp = fieldname(insn, 4, 4); \
@@ -2009,18 +2017,18 @@
   case 45: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 46: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 47: \
     tmp = fieldname(insn, 4, 4); \
@@ -2029,16 +2037,16 @@
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 48: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 49: \
     tmp = fieldname(insn, 4, 4); \
@@ -2047,43 +2055,41 @@
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 50: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 51: \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 52: \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 53: \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 54: \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 55: \
+  case 51: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 52: \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 53: \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 54: \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 55: \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -2092,7 +2098,7 @@
     return S; \
   case 56: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
@@ -2102,29 +2108,31 @@
     return S; \
   case 57: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 58: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 59: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 60: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 61: \
     tmp = fieldname(insn, 4, 4); \
@@ -2132,7 +2140,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 62: \
     tmp = fieldname(insn, 4, 4); \
@@ -2140,7 +2148,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 63: \
     tmp = fieldname(insn, 4, 4); \
@@ -2148,9 +2156,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 64: \
     tmp = fieldname(insn, 4, 4); \
@@ -2158,7 +2164,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2168,23 +2174,23 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 66: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 67: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
@@ -2194,7 +2200,7 @@
     return S; \
   case 68: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
@@ -2204,29 +2210,31 @@
     return S; \
   case 69: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 70: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 71: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 72: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 73: \
     tmp = fieldname(insn, 4, 4); \
@@ -2234,7 +2242,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 74: \
     tmp = fieldname(insn, 4, 4); \
@@ -2242,7 +2250,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 75: \
     tmp = fieldname(insn, 4, 4); \
@@ -2250,9 +2258,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 76: \
     tmp = fieldname(insn, 4, 4); \
@@ -2260,7 +2266,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2270,85 +2276,95 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 78: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 79: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 80: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 81: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 82: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 83: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 84: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 85: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 86: \
     tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 4, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 87: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 88: \
+    tmp = fieldname(insn, 4, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 88: \
+  case 89: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 4, 4); \
@@ -2358,7 +2374,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 89: \
+  case 90: \
     tmp = fieldname(insn, 4, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 4); \
@@ -2366,7 +2382,7 @@
     tmp = fieldname(insn, 12, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 90: \
+  case 91: \
     tmp = fieldname(insn, 20, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 20, 4); \
@@ -2376,71 +2392,71 @@
     tmp = fieldname(insn, 0, 16); \
     if (decodeBDAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 91: \
+  case 92: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodePC32DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 92: \
+  case 93: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 93: \
-    tmp = fieldname(insn, 0, 32); \
-    if (decodePC32DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 94: \
-    tmp = fieldname(insn, 36, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodePC32DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 95: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
-    if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodePC32DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 96: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 97: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 32); \
-    if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
-  case 98: \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
+  case 98: \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 32); \
+    if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
   case 99: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 100: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 32); \
+    if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 101: \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 101: \
+  case 102: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
@@ -2448,7 +2464,7 @@
     tmp = fieldname(insn, 0, 32); \
     if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 102: \
+  case 103: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
@@ -2456,105 +2472,97 @@
     tmp = fieldname(insn, 0, 32); \
     if (decodeU32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 103: \
+  case 104: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 104: \
+  case 105: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodePC32DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 105: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 32); \
-    if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 106: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 32); \
     if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 107: \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 32); \
+    if (decodeS32ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 108: \
     tmp = fieldname(insn, 16, 24); \
     if (decodeBDLAddr64Disp12Len8Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
     if (decodeBDAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 108: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 28); \
-    if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 109: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 110: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 111: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 112: \
     tmp = fieldname(insn, 36, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 113: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 114: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 115: \
-    tmp = fieldname(insn, 16, 16); \
-    if (decodeBDAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 0, 16); \
-    if (decodeS16ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 28); \
+    if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 116: \
     tmp = fieldname(insn, 16, 16); \
     if (decodeBDAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 0, 16); \
-    if (decodeU16ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeS16ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 117: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 24); \
-    if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 16); \
+    if (decodeBDAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 0, 16); \
+    if (decodeU16ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 118: \
     tmp = fieldname(insn, 36, 4); \
@@ -2562,27 +2570,35 @@
     tmp = fieldname(insn, 32, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
-    if (decodeBDAddr32Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 119: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
-    if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeBDAddr32Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 120: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 24); \
+    if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 121: \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr32Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 121: \
+  case 122: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
@@ -2592,25 +2608,17 @@
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 122: \
-    tmp = fieldname(insn, 8, 24); \
-    if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 8); \
-    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 123: \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 8); \
-    if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 124: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 8); \
+    if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 125: \
     tmp = fieldname(insn, 36, 4); \
@@ -2619,30 +2627,30 @@
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 126: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 127: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 128: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 129: \
     tmp = fieldname(insn, 36, 4); \
@@ -2651,24 +2659,32 @@
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 130: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 131: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 132: \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 24); \
+    if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
     if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 132: \
+  case 133: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
@@ -2676,25 +2692,11 @@
     tmp = fieldname(insn, 8, 24); \
     if (decodeBDAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 133: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 24, 8); \
-    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 8); \
-    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 8); \
-    if (decodeU6ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 134: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 24, 8); \
@@ -2706,6 +2708,20 @@
     return S; \
   case 135: \
     tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 24, 8); \
+    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 8); \
+    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 8); \
+    if (decodeU6ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 136: \
+    tmp = fieldname(insn, 36, 4); \
     if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGRH32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -2718,7 +2734,7 @@
     tmp = fieldname(insn, 8, 8); \
     if (decodeU6ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 136: \
+  case 137: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
@@ -2726,7 +2742,7 @@
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 137: \
+  case 138: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
@@ -2736,29 +2752,21 @@
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 138: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 16); \
-    if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 139: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 140: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 8, 8); \
-    if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2767,8 +2775,6 @@
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
     if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2776,7 +2782,9 @@
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
-    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2785,16 +2793,16 @@
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
     if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 144: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
-    if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2803,8 +2811,6 @@
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
     if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2812,7 +2818,9 @@
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
-    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (decodeS8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
@@ -2821,20 +2829,28 @@
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 8); \
     if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 32, 4); \
-    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 148: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 8, 8); \
+    if (decodeU8ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 32, 4); \
+    if (decodeU4ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 16); \
+    if (decodePC16DBLOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 149: \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
     if (DecodeGR32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 16); \
     if (decodeS16ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 149: \
+  case 150: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeGR64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 32, 4); \
@@ -2842,60 +2858,60 @@
     tmp = fieldname(insn, 16, 16); \
     if (decodeS16ImmOperand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 150: \
+  case 151: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 151: \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 16, 20); \
-    if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    return S; \
   case 152: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 153: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeFP128BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 154: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 155: \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 156: \
-    tmp = fieldname(insn, 12, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
-    tmp = fieldname(insn, 12, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 36, 4); \
-    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
   case 157: \
     tmp = fieldname(insn, 12, 4); \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 12, 4); \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 36, 4); \
+    if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    tmp = fieldname(insn, 16, 20); \
+    if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
+    return S; \
+  case 158: \
+    tmp = fieldname(insn, 12, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 12, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
@@ -2904,13 +2920,13 @@
     tmp = fieldname(insn, 16, 20); \
     if (decodeBDXAddr64Disp12Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 158: \
+  case 159: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeFP32BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
     if (decodeBDXAddr64Disp20Operand(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     return S; \
-  case 159: \
+  case 160: \
     tmp = fieldname(insn, 36, 4); \
     if (DecodeFP64BitRegisterClass(MI, tmp, Address, Decoder) == MCDisassembler_Fail) return MCDisassembler_Fail; \
     tmp = fieldname(insn, 8, 28); \
@@ -2927,8 +2943,8 @@
   uint8_t *Ptr = DecodeTable; \
   uint32_t CurFieldValue = 0, ExpectedValue; \
   DecodeStatus S = MCDisassembler_Success; \
-  unsigned Len, Start, NumToSkip, PIdx, Opc, DecodeIdx; \
-  InsnType FieldValue, Val, PositiveMask, NegativeMask; \
+  unsigned Start, Len, NumToSkip, PIdx, Opc, DecodeIdx; \
+  InsnType Val, FieldValue, PositiveMask, NegativeMask; \
   bool Pred, Fail; \
   for (;;) { \
     switch (*Ptr) { \
diff --git a/arch/SystemZ/SystemZGenInstrInfo.inc b/arch/SystemZ/SystemZGenInstrInfo.inc
index c3f043f..3eda50b 100644
--- a/arch/SystemZ/SystemZGenInstrInfo.inc
+++ b/arch/SystemZ/SystemZGenInstrInfo.inc
@@ -33,894 +33,898 @@
     SystemZ_LIFETIME_END	= 16,
     SystemZ_STACKMAP	= 17,
     SystemZ_PATCHPOINT	= 18,
-    SystemZ_A	= 19,
-    SystemZ_ADB	= 20,
-    SystemZ_ADBR	= 21,
-    SystemZ_ADJCALLSTACKDOWN	= 22,
-    SystemZ_ADJCALLSTACKUP	= 23,
-    SystemZ_ADJDYNALLOC	= 24,
-    SystemZ_AEB	= 25,
-    SystemZ_AEBR	= 26,
-    SystemZ_AEXT128_64	= 27,
-    SystemZ_AFI	= 28,
-    SystemZ_AFIMux	= 29,
-    SystemZ_AG	= 30,
-    SystemZ_AGF	= 31,
-    SystemZ_AGFI	= 32,
-    SystemZ_AGFR	= 33,
-    SystemZ_AGHI	= 34,
-    SystemZ_AGHIK	= 35,
-    SystemZ_AGR	= 36,
-    SystemZ_AGRK	= 37,
-    SystemZ_AGSI	= 38,
-    SystemZ_AH	= 39,
-    SystemZ_AHI	= 40,
-    SystemZ_AHIK	= 41,
-    SystemZ_AHIMux	= 42,
-    SystemZ_AHIMuxK	= 43,
-    SystemZ_AHY	= 44,
-    SystemZ_AIH	= 45,
-    SystemZ_AL	= 46,
-    SystemZ_ALC	= 47,
-    SystemZ_ALCG	= 48,
-    SystemZ_ALCGR	= 49,
-    SystemZ_ALCR	= 50,
-    SystemZ_ALFI	= 51,
-    SystemZ_ALG	= 52,
-    SystemZ_ALGF	= 53,
-    SystemZ_ALGFI	= 54,
-    SystemZ_ALGFR	= 55,
-    SystemZ_ALGHSIK	= 56,
-    SystemZ_ALGR	= 57,
-    SystemZ_ALGRK	= 58,
-    SystemZ_ALHSIK	= 59,
-    SystemZ_ALR	= 60,
-    SystemZ_ALRK	= 61,
-    SystemZ_ALY	= 62,
-    SystemZ_AR	= 63,
-    SystemZ_ARK	= 64,
-    SystemZ_ASI	= 65,
-    SystemZ_ATOMIC_CMP_SWAPW	= 66,
-    SystemZ_ATOMIC_LOADW_AFI	= 67,
-    SystemZ_ATOMIC_LOADW_AR	= 68,
-    SystemZ_ATOMIC_LOADW_MAX	= 69,
-    SystemZ_ATOMIC_LOADW_MIN	= 70,
-    SystemZ_ATOMIC_LOADW_NILH	= 71,
-    SystemZ_ATOMIC_LOADW_NILHi	= 72,
-    SystemZ_ATOMIC_LOADW_NR	= 73,
-    SystemZ_ATOMIC_LOADW_NRi	= 74,
-    SystemZ_ATOMIC_LOADW_OILH	= 75,
-    SystemZ_ATOMIC_LOADW_OR	= 76,
-    SystemZ_ATOMIC_LOADW_SR	= 77,
-    SystemZ_ATOMIC_LOADW_UMAX	= 78,
-    SystemZ_ATOMIC_LOADW_UMIN	= 79,
-    SystemZ_ATOMIC_LOADW_XILF	= 80,
-    SystemZ_ATOMIC_LOADW_XR	= 81,
-    SystemZ_ATOMIC_LOAD_AFI	= 82,
-    SystemZ_ATOMIC_LOAD_AGFI	= 83,
-    SystemZ_ATOMIC_LOAD_AGHI	= 84,
-    SystemZ_ATOMIC_LOAD_AGR	= 85,
-    SystemZ_ATOMIC_LOAD_AHI	= 86,
-    SystemZ_ATOMIC_LOAD_AR	= 87,
-    SystemZ_ATOMIC_LOAD_MAX_32	= 88,
-    SystemZ_ATOMIC_LOAD_MAX_64	= 89,
-    SystemZ_ATOMIC_LOAD_MIN_32	= 90,
-    SystemZ_ATOMIC_LOAD_MIN_64	= 91,
-    SystemZ_ATOMIC_LOAD_NGR	= 92,
-    SystemZ_ATOMIC_LOAD_NGRi	= 93,
-    SystemZ_ATOMIC_LOAD_NIHF64	= 94,
-    SystemZ_ATOMIC_LOAD_NIHF64i	= 95,
-    SystemZ_ATOMIC_LOAD_NIHH64	= 96,
-    SystemZ_ATOMIC_LOAD_NIHH64i	= 97,
-    SystemZ_ATOMIC_LOAD_NIHL64	= 98,
-    SystemZ_ATOMIC_LOAD_NIHL64i	= 99,
-    SystemZ_ATOMIC_LOAD_NILF	= 100,
-    SystemZ_ATOMIC_LOAD_NILF64	= 101,
-    SystemZ_ATOMIC_LOAD_NILF64i	= 102,
-    SystemZ_ATOMIC_LOAD_NILFi	= 103,
-    SystemZ_ATOMIC_LOAD_NILH	= 104,
-    SystemZ_ATOMIC_LOAD_NILH64	= 105,
-    SystemZ_ATOMIC_LOAD_NILH64i	= 106,
-    SystemZ_ATOMIC_LOAD_NILHi	= 107,
-    SystemZ_ATOMIC_LOAD_NILL	= 108,
-    SystemZ_ATOMIC_LOAD_NILL64	= 109,
-    SystemZ_ATOMIC_LOAD_NILL64i	= 110,
-    SystemZ_ATOMIC_LOAD_NILLi	= 111,
-    SystemZ_ATOMIC_LOAD_NR	= 112,
-    SystemZ_ATOMIC_LOAD_NRi	= 113,
-    SystemZ_ATOMIC_LOAD_OGR	= 114,
-    SystemZ_ATOMIC_LOAD_OIHF64	= 115,
-    SystemZ_ATOMIC_LOAD_OIHH64	= 116,
-    SystemZ_ATOMIC_LOAD_OIHL64	= 117,
-    SystemZ_ATOMIC_LOAD_OILF	= 118,
-    SystemZ_ATOMIC_LOAD_OILF64	= 119,
-    SystemZ_ATOMIC_LOAD_OILH	= 120,
-    SystemZ_ATOMIC_LOAD_OILH64	= 121,
-    SystemZ_ATOMIC_LOAD_OILL	= 122,
-    SystemZ_ATOMIC_LOAD_OILL64	= 123,
-    SystemZ_ATOMIC_LOAD_OR	= 124,
-    SystemZ_ATOMIC_LOAD_SGR	= 125,
-    SystemZ_ATOMIC_LOAD_SR	= 126,
-    SystemZ_ATOMIC_LOAD_UMAX_32	= 127,
-    SystemZ_ATOMIC_LOAD_UMAX_64	= 128,
-    SystemZ_ATOMIC_LOAD_UMIN_32	= 129,
-    SystemZ_ATOMIC_LOAD_UMIN_64	= 130,
-    SystemZ_ATOMIC_LOAD_XGR	= 131,
-    SystemZ_ATOMIC_LOAD_XIHF64	= 132,
-    SystemZ_ATOMIC_LOAD_XILF	= 133,
-    SystemZ_ATOMIC_LOAD_XILF64	= 134,
-    SystemZ_ATOMIC_LOAD_XR	= 135,
-    SystemZ_ATOMIC_SWAPW	= 136,
-    SystemZ_ATOMIC_SWAP_32	= 137,
-    SystemZ_ATOMIC_SWAP_64	= 138,
-    SystemZ_AXBR	= 139,
-    SystemZ_AY	= 140,
-    SystemZ_AsmBCR	= 141,
-    SystemZ_AsmBRC	= 142,
-    SystemZ_AsmBRCL	= 143,
-    SystemZ_AsmCGIJ	= 144,
-    SystemZ_AsmCGRJ	= 145,
-    SystemZ_AsmCIJ	= 146,
-    SystemZ_AsmCLGIJ	= 147,
-    SystemZ_AsmCLGRJ	= 148,
-    SystemZ_AsmCLIJ	= 149,
-    SystemZ_AsmCLRJ	= 150,
-    SystemZ_AsmCRJ	= 151,
-    SystemZ_AsmEBR	= 152,
-    SystemZ_AsmEJ	= 153,
-    SystemZ_AsmEJG	= 154,
-    SystemZ_AsmELOC	= 155,
-    SystemZ_AsmELOCG	= 156,
-    SystemZ_AsmELOCGR	= 157,
-    SystemZ_AsmELOCR	= 158,
-    SystemZ_AsmESTOC	= 159,
-    SystemZ_AsmESTOCG	= 160,
-    SystemZ_AsmHBR	= 161,
-    SystemZ_AsmHEBR	= 162,
-    SystemZ_AsmHEJ	= 163,
-    SystemZ_AsmHEJG	= 164,
-    SystemZ_AsmHELOC	= 165,
-    SystemZ_AsmHELOCG	= 166,
-    SystemZ_AsmHELOCGR	= 167,
-    SystemZ_AsmHELOCR	= 168,
-    SystemZ_AsmHESTOC	= 169,
-    SystemZ_AsmHESTOCG	= 170,
-    SystemZ_AsmHJ	= 171,
-    SystemZ_AsmHJG	= 172,
-    SystemZ_AsmHLOC	= 173,
-    SystemZ_AsmHLOCG	= 174,
-    SystemZ_AsmHLOCGR	= 175,
-    SystemZ_AsmHLOCR	= 176,
-    SystemZ_AsmHSTOC	= 177,
-    SystemZ_AsmHSTOCG	= 178,
-    SystemZ_AsmJEAltCGI	= 179,
-    SystemZ_AsmJEAltCGR	= 180,
-    SystemZ_AsmJEAltCI	= 181,
-    SystemZ_AsmJEAltCLGI	= 182,
-    SystemZ_AsmJEAltCLGR	= 183,
-    SystemZ_AsmJEAltCLI	= 184,
-    SystemZ_AsmJEAltCLR	= 185,
-    SystemZ_AsmJEAltCR	= 186,
-    SystemZ_AsmJECGI	= 187,
-    SystemZ_AsmJECGR	= 188,
-    SystemZ_AsmJECI	= 189,
-    SystemZ_AsmJECLGI	= 190,
-    SystemZ_AsmJECLGR	= 191,
-    SystemZ_AsmJECLI	= 192,
-    SystemZ_AsmJECLR	= 193,
-    SystemZ_AsmJECR	= 194,
-    SystemZ_AsmJHAltCGI	= 195,
-    SystemZ_AsmJHAltCGR	= 196,
-    SystemZ_AsmJHAltCI	= 197,
-    SystemZ_AsmJHAltCLGI	= 198,
-    SystemZ_AsmJHAltCLGR	= 199,
-    SystemZ_AsmJHAltCLI	= 200,
-    SystemZ_AsmJHAltCLR	= 201,
-    SystemZ_AsmJHAltCR	= 202,
-    SystemZ_AsmJHCGI	= 203,
-    SystemZ_AsmJHCGR	= 204,
-    SystemZ_AsmJHCI	= 205,
-    SystemZ_AsmJHCLGI	= 206,
-    SystemZ_AsmJHCLGR	= 207,
-    SystemZ_AsmJHCLI	= 208,
-    SystemZ_AsmJHCLR	= 209,
-    SystemZ_AsmJHCR	= 210,
-    SystemZ_AsmJHEAltCGI	= 211,
-    SystemZ_AsmJHEAltCGR	= 212,
-    SystemZ_AsmJHEAltCI	= 213,
-    SystemZ_AsmJHEAltCLGI	= 214,
-    SystemZ_AsmJHEAltCLGR	= 215,
-    SystemZ_AsmJHEAltCLI	= 216,
-    SystemZ_AsmJHEAltCLR	= 217,
-    SystemZ_AsmJHEAltCR	= 218,
-    SystemZ_AsmJHECGI	= 219,
-    SystemZ_AsmJHECGR	= 220,
-    SystemZ_AsmJHECI	= 221,
-    SystemZ_AsmJHECLGI	= 222,
-    SystemZ_AsmJHECLGR	= 223,
-    SystemZ_AsmJHECLI	= 224,
-    SystemZ_AsmJHECLR	= 225,
-    SystemZ_AsmJHECR	= 226,
-    SystemZ_AsmJLAltCGI	= 227,
-    SystemZ_AsmJLAltCGR	= 228,
-    SystemZ_AsmJLAltCI	= 229,
-    SystemZ_AsmJLAltCLGI	= 230,
-    SystemZ_AsmJLAltCLGR	= 231,
-    SystemZ_AsmJLAltCLI	= 232,
-    SystemZ_AsmJLAltCLR	= 233,
-    SystemZ_AsmJLAltCR	= 234,
-    SystemZ_AsmJLCGI	= 235,
-    SystemZ_AsmJLCGR	= 236,
-    SystemZ_AsmJLCI	= 237,
-    SystemZ_AsmJLCLGI	= 238,
-    SystemZ_AsmJLCLGR	= 239,
-    SystemZ_AsmJLCLI	= 240,
-    SystemZ_AsmJLCLR	= 241,
-    SystemZ_AsmJLCR	= 242,
-    SystemZ_AsmJLEAltCGI	= 243,
-    SystemZ_AsmJLEAltCGR	= 244,
-    SystemZ_AsmJLEAltCI	= 245,
-    SystemZ_AsmJLEAltCLGI	= 246,
-    SystemZ_AsmJLEAltCLGR	= 247,
-    SystemZ_AsmJLEAltCLI	= 248,
-    SystemZ_AsmJLEAltCLR	= 249,
-    SystemZ_AsmJLEAltCR	= 250,
-    SystemZ_AsmJLECGI	= 251,
-    SystemZ_AsmJLECGR	= 252,
-    SystemZ_AsmJLECI	= 253,
-    SystemZ_AsmJLECLGI	= 254,
-    SystemZ_AsmJLECLGR	= 255,
-    SystemZ_AsmJLECLI	= 256,
-    SystemZ_AsmJLECLR	= 257,
-    SystemZ_AsmJLECR	= 258,
-    SystemZ_AsmJLHAltCGI	= 259,
-    SystemZ_AsmJLHAltCGR	= 260,
-    SystemZ_AsmJLHAltCI	= 261,
-    SystemZ_AsmJLHAltCLGI	= 262,
-    SystemZ_AsmJLHAltCLGR	= 263,
-    SystemZ_AsmJLHAltCLI	= 264,
-    SystemZ_AsmJLHAltCLR	= 265,
-    SystemZ_AsmJLHAltCR	= 266,
-    SystemZ_AsmJLHCGI	= 267,
-    SystemZ_AsmJLHCGR	= 268,
-    SystemZ_AsmJLHCI	= 269,
-    SystemZ_AsmJLHCLGI	= 270,
-    SystemZ_AsmJLHCLGR	= 271,
-    SystemZ_AsmJLHCLI	= 272,
-    SystemZ_AsmJLHCLR	= 273,
-    SystemZ_AsmJLHCR	= 274,
-    SystemZ_AsmLBR	= 275,
-    SystemZ_AsmLEBR	= 276,
-    SystemZ_AsmLEJ	= 277,
-    SystemZ_AsmLEJG	= 278,
-    SystemZ_AsmLELOC	= 279,
-    SystemZ_AsmLELOCG	= 280,
-    SystemZ_AsmLELOCGR	= 281,
-    SystemZ_AsmLELOCR	= 282,
-    SystemZ_AsmLESTOC	= 283,
-    SystemZ_AsmLESTOCG	= 284,
-    SystemZ_AsmLHBR	= 285,
-    SystemZ_AsmLHJ	= 286,
-    SystemZ_AsmLHJG	= 287,
-    SystemZ_AsmLHLOC	= 288,
-    SystemZ_AsmLHLOCG	= 289,
-    SystemZ_AsmLHLOCGR	= 290,
-    SystemZ_AsmLHLOCR	= 291,
-    SystemZ_AsmLHSTOC	= 292,
-    SystemZ_AsmLHSTOCG	= 293,
-    SystemZ_AsmLJ	= 294,
-    SystemZ_AsmLJG	= 295,
-    SystemZ_AsmLLOC	= 296,
-    SystemZ_AsmLLOCG	= 297,
-    SystemZ_AsmLLOCGR	= 298,
-    SystemZ_AsmLLOCR	= 299,
-    SystemZ_AsmLOC	= 300,
-    SystemZ_AsmLOCG	= 301,
-    SystemZ_AsmLOCGR	= 302,
-    SystemZ_AsmLOCR	= 303,
-    SystemZ_AsmLSTOC	= 304,
-    SystemZ_AsmLSTOCG	= 305,
-    SystemZ_AsmNEBR	= 306,
-    SystemZ_AsmNEJ	= 307,
-    SystemZ_AsmNEJG	= 308,
-    SystemZ_AsmNELOC	= 309,
-    SystemZ_AsmNELOCG	= 310,
-    SystemZ_AsmNELOCGR	= 311,
-    SystemZ_AsmNELOCR	= 312,
-    SystemZ_AsmNESTOC	= 313,
-    SystemZ_AsmNESTOCG	= 314,
-    SystemZ_AsmNHBR	= 315,
-    SystemZ_AsmNHEBR	= 316,
-    SystemZ_AsmNHEJ	= 317,
-    SystemZ_AsmNHEJG	= 318,
-    SystemZ_AsmNHELOC	= 319,
-    SystemZ_AsmNHELOCG	= 320,
-    SystemZ_AsmNHELOCGR	= 321,
-    SystemZ_AsmNHELOCR	= 322,
-    SystemZ_AsmNHESTOC	= 323,
-    SystemZ_AsmNHESTOCG	= 324,
-    SystemZ_AsmNHJ	= 325,
-    SystemZ_AsmNHJG	= 326,
-    SystemZ_AsmNHLOC	= 327,
-    SystemZ_AsmNHLOCG	= 328,
-    SystemZ_AsmNHLOCGR	= 329,
-    SystemZ_AsmNHLOCR	= 330,
-    SystemZ_AsmNHSTOC	= 331,
-    SystemZ_AsmNHSTOCG	= 332,
-    SystemZ_AsmNLBR	= 333,
-    SystemZ_AsmNLEBR	= 334,
-    SystemZ_AsmNLEJ	= 335,
-    SystemZ_AsmNLEJG	= 336,
-    SystemZ_AsmNLELOC	= 337,
-    SystemZ_AsmNLELOCG	= 338,
-    SystemZ_AsmNLELOCGR	= 339,
-    SystemZ_AsmNLELOCR	= 340,
-    SystemZ_AsmNLESTOC	= 341,
-    SystemZ_AsmNLESTOCG	= 342,
-    SystemZ_AsmNLHBR	= 343,
-    SystemZ_AsmNLHJ	= 344,
-    SystemZ_AsmNLHJG	= 345,
-    SystemZ_AsmNLHLOC	= 346,
-    SystemZ_AsmNLHLOCG	= 347,
-    SystemZ_AsmNLHLOCGR	= 348,
-    SystemZ_AsmNLHLOCR	= 349,
-    SystemZ_AsmNLHSTOC	= 350,
-    SystemZ_AsmNLHSTOCG	= 351,
-    SystemZ_AsmNLJ	= 352,
-    SystemZ_AsmNLJG	= 353,
-    SystemZ_AsmNLLOC	= 354,
-    SystemZ_AsmNLLOCG	= 355,
-    SystemZ_AsmNLLOCGR	= 356,
-    SystemZ_AsmNLLOCR	= 357,
-    SystemZ_AsmNLSTOC	= 358,
-    SystemZ_AsmNLSTOCG	= 359,
-    SystemZ_AsmNOBR	= 360,
-    SystemZ_AsmNOJ	= 361,
-    SystemZ_AsmNOJG	= 362,
-    SystemZ_AsmNOLOC	= 363,
-    SystemZ_AsmNOLOCG	= 364,
-    SystemZ_AsmNOLOCGR	= 365,
-    SystemZ_AsmNOLOCR	= 366,
-    SystemZ_AsmNOSTOC	= 367,
-    SystemZ_AsmNOSTOCG	= 368,
-    SystemZ_AsmOBR	= 369,
-    SystemZ_AsmOJ	= 370,
-    SystemZ_AsmOJG	= 371,
-    SystemZ_AsmOLOC	= 372,
-    SystemZ_AsmOLOCG	= 373,
-    SystemZ_AsmOLOCGR	= 374,
-    SystemZ_AsmOLOCR	= 375,
-    SystemZ_AsmOSTOC	= 376,
-    SystemZ_AsmOSTOCG	= 377,
-    SystemZ_AsmSTOC	= 378,
-    SystemZ_AsmSTOCG	= 379,
-    SystemZ_BASR	= 380,
-    SystemZ_BR	= 381,
-    SystemZ_BRAS	= 382,
-    SystemZ_BRASL	= 383,
-    SystemZ_BRC	= 384,
-    SystemZ_BRCL	= 385,
-    SystemZ_BRCT	= 386,
-    SystemZ_BRCTG	= 387,
-    SystemZ_C	= 388,
-    SystemZ_CDB	= 389,
-    SystemZ_CDBR	= 390,
-    SystemZ_CDFBR	= 391,
-    SystemZ_CDGBR	= 392,
-    SystemZ_CDLFBR	= 393,
-    SystemZ_CDLGBR	= 394,
-    SystemZ_CEB	= 395,
-    SystemZ_CEBR	= 396,
-    SystemZ_CEFBR	= 397,
-    SystemZ_CEGBR	= 398,
-    SystemZ_CELFBR	= 399,
-    SystemZ_CELGBR	= 400,
-    SystemZ_CFDBR	= 401,
-    SystemZ_CFEBR	= 402,
-    SystemZ_CFI	= 403,
-    SystemZ_CFIMux	= 404,
-    SystemZ_CFXBR	= 405,
-    SystemZ_CG	= 406,
-    SystemZ_CGDBR	= 407,
-    SystemZ_CGEBR	= 408,
-    SystemZ_CGF	= 409,
-    SystemZ_CGFI	= 410,
-    SystemZ_CGFR	= 411,
-    SystemZ_CGFRL	= 412,
-    SystemZ_CGH	= 413,
-    SystemZ_CGHI	= 414,
-    SystemZ_CGHRL	= 415,
-    SystemZ_CGHSI	= 416,
-    SystemZ_CGIJ	= 417,
-    SystemZ_CGR	= 418,
-    SystemZ_CGRJ	= 419,
-    SystemZ_CGRL	= 420,
-    SystemZ_CGXBR	= 421,
-    SystemZ_CH	= 422,
-    SystemZ_CHF	= 423,
-    SystemZ_CHHSI	= 424,
-    SystemZ_CHI	= 425,
-    SystemZ_CHRL	= 426,
-    SystemZ_CHSI	= 427,
-    SystemZ_CHY	= 428,
-    SystemZ_CIH	= 429,
-    SystemZ_CIJ	= 430,
-    SystemZ_CL	= 431,
-    SystemZ_CLC	= 432,
-    SystemZ_CLCLoop	= 433,
-    SystemZ_CLCSequence	= 434,
-    SystemZ_CLFDBR	= 435,
-    SystemZ_CLFEBR	= 436,
-    SystemZ_CLFHSI	= 437,
-    SystemZ_CLFI	= 438,
-    SystemZ_CLFIMux	= 439,
-    SystemZ_CLFXBR	= 440,
-    SystemZ_CLG	= 441,
-    SystemZ_CLGDBR	= 442,
-    SystemZ_CLGEBR	= 443,
-    SystemZ_CLGF	= 444,
-    SystemZ_CLGFI	= 445,
-    SystemZ_CLGFR	= 446,
-    SystemZ_CLGFRL	= 447,
-    SystemZ_CLGHRL	= 448,
-    SystemZ_CLGHSI	= 449,
-    SystemZ_CLGIJ	= 450,
-    SystemZ_CLGR	= 451,
-    SystemZ_CLGRJ	= 452,
-    SystemZ_CLGRL	= 453,
-    SystemZ_CLGXBR	= 454,
-    SystemZ_CLHF	= 455,
-    SystemZ_CLHHSI	= 456,
-    SystemZ_CLHRL	= 457,
-    SystemZ_CLI	= 458,
-    SystemZ_CLIH	= 459,
-    SystemZ_CLIJ	= 460,
-    SystemZ_CLIY	= 461,
-    SystemZ_CLMux	= 462,
-    SystemZ_CLR	= 463,
-    SystemZ_CLRJ	= 464,
-    SystemZ_CLRL	= 465,
-    SystemZ_CLST	= 466,
-    SystemZ_CLSTLoop	= 467,
-    SystemZ_CLY	= 468,
-    SystemZ_CMux	= 469,
-    SystemZ_CPSDRdd	= 470,
-    SystemZ_CPSDRds	= 471,
-    SystemZ_CPSDRsd	= 472,
-    SystemZ_CPSDRss	= 473,
-    SystemZ_CR	= 474,
-    SystemZ_CRJ	= 475,
-    SystemZ_CRL	= 476,
-    SystemZ_CS	= 477,
-    SystemZ_CSG	= 478,
-    SystemZ_CSY	= 479,
-    SystemZ_CXBR	= 480,
-    SystemZ_CXFBR	= 481,
-    SystemZ_CXGBR	= 482,
-    SystemZ_CXLFBR	= 483,
-    SystemZ_CXLGBR	= 484,
-    SystemZ_CY	= 485,
-    SystemZ_CallBASR	= 486,
-    SystemZ_CallBR	= 487,
-    SystemZ_CallBRASL	= 488,
-    SystemZ_CallJG	= 489,
-    SystemZ_CondStore16	= 490,
-    SystemZ_CondStore16Inv	= 491,
-    SystemZ_CondStore16Mux	= 492,
-    SystemZ_CondStore16MuxInv	= 493,
-    SystemZ_CondStore32	= 494,
-    SystemZ_CondStore32Inv	= 495,
-    SystemZ_CondStore64	= 496,
-    SystemZ_CondStore64Inv	= 497,
-    SystemZ_CondStore8	= 498,
-    SystemZ_CondStore8Inv	= 499,
-    SystemZ_CondStore8Mux	= 500,
-    SystemZ_CondStore8MuxInv	= 501,
-    SystemZ_CondStoreF32	= 502,
-    SystemZ_CondStoreF32Inv	= 503,
-    SystemZ_CondStoreF64	= 504,
-    SystemZ_CondStoreF64Inv	= 505,
-    SystemZ_DDB	= 506,
-    SystemZ_DDBR	= 507,
-    SystemZ_DEB	= 508,
-    SystemZ_DEBR	= 509,
-    SystemZ_DL	= 510,
-    SystemZ_DLG	= 511,
-    SystemZ_DLGR	= 512,
-    SystemZ_DLR	= 513,
-    SystemZ_DSG	= 514,
-    SystemZ_DSGF	= 515,
-    SystemZ_DSGFR	= 516,
-    SystemZ_DSGR	= 517,
-    SystemZ_DXBR	= 518,
-    SystemZ_EAR	= 519,
-    SystemZ_FIDBR	= 520,
-    SystemZ_FIDBRA	= 521,
-    SystemZ_FIEBR	= 522,
-    SystemZ_FIEBRA	= 523,
-    SystemZ_FIXBR	= 524,
-    SystemZ_FIXBRA	= 525,
-    SystemZ_FLOGR	= 526,
-    SystemZ_IC	= 527,
-    SystemZ_IC32	= 528,
-    SystemZ_IC32Y	= 529,
-    SystemZ_ICY	= 530,
-    SystemZ_IIFMux	= 531,
-    SystemZ_IIHF	= 532,
-    SystemZ_IIHF64	= 533,
-    SystemZ_IIHH	= 534,
-    SystemZ_IIHH64	= 535,
-    SystemZ_IIHL	= 536,
-    SystemZ_IIHL64	= 537,
-    SystemZ_IIHMux	= 538,
-    SystemZ_IILF	= 539,
-    SystemZ_IILF64	= 540,
-    SystemZ_IILH	= 541,
-    SystemZ_IILH64	= 542,
-    SystemZ_IILL	= 543,
-    SystemZ_IILL64	= 544,
-    SystemZ_IILMux	= 545,
-    SystemZ_IPM	= 546,
-    SystemZ_J	= 547,
-    SystemZ_JG	= 548,
-    SystemZ_L	= 549,
-    SystemZ_L128	= 550,
-    SystemZ_LA	= 551,
-    SystemZ_LAA	= 552,
-    SystemZ_LAAG	= 553,
-    SystemZ_LAAL	= 554,
-    SystemZ_LAALG	= 555,
-    SystemZ_LAN	= 556,
-    SystemZ_LANG	= 557,
-    SystemZ_LAO	= 558,
-    SystemZ_LAOG	= 559,
-    SystemZ_LARL	= 560,
-    SystemZ_LAX	= 561,
-    SystemZ_LAXG	= 562,
-    SystemZ_LAY	= 563,
-    SystemZ_LB	= 564,
-    SystemZ_LBH	= 565,
-    SystemZ_LBMux	= 566,
-    SystemZ_LBR	= 567,
-    SystemZ_LCDBR	= 568,
-    SystemZ_LCEBR	= 569,
-    SystemZ_LCGFR	= 570,
-    SystemZ_LCGR	= 571,
-    SystemZ_LCR	= 572,
-    SystemZ_LCXBR	= 573,
-    SystemZ_LD	= 574,
-    SystemZ_LDEB	= 575,
-    SystemZ_LDEBR	= 576,
-    SystemZ_LDGR	= 577,
-    SystemZ_LDR	= 578,
-    SystemZ_LDXBR	= 579,
-    SystemZ_LDY	= 580,
-    SystemZ_LE	= 581,
-    SystemZ_LEDBR	= 582,
-    SystemZ_LER	= 583,
-    SystemZ_LEXBR	= 584,
-    SystemZ_LEY	= 585,
-    SystemZ_LFH	= 586,
-    SystemZ_LG	= 587,
-    SystemZ_LGB	= 588,
-    SystemZ_LGBR	= 589,
-    SystemZ_LGDR	= 590,
-    SystemZ_LGF	= 591,
-    SystemZ_LGFI	= 592,
-    SystemZ_LGFR	= 593,
-    SystemZ_LGFRL	= 594,
-    SystemZ_LGH	= 595,
-    SystemZ_LGHI	= 596,
-    SystemZ_LGHR	= 597,
-    SystemZ_LGHRL	= 598,
-    SystemZ_LGR	= 599,
-    SystemZ_LGRL	= 600,
-    SystemZ_LH	= 601,
-    SystemZ_LHH	= 602,
-    SystemZ_LHI	= 603,
-    SystemZ_LHIMux	= 604,
-    SystemZ_LHMux	= 605,
-    SystemZ_LHR	= 606,
-    SystemZ_LHRL	= 607,
-    SystemZ_LHY	= 608,
-    SystemZ_LLC	= 609,
-    SystemZ_LLCH	= 610,
-    SystemZ_LLCMux	= 611,
-    SystemZ_LLCR	= 612,
-    SystemZ_LLCRMux	= 613,
-    SystemZ_LLGC	= 614,
-    SystemZ_LLGCR	= 615,
-    SystemZ_LLGF	= 616,
-    SystemZ_LLGFR	= 617,
-    SystemZ_LLGFRL	= 618,
-    SystemZ_LLGH	= 619,
-    SystemZ_LLGHR	= 620,
-    SystemZ_LLGHRL	= 621,
-    SystemZ_LLH	= 622,
-    SystemZ_LLHH	= 623,
-    SystemZ_LLHMux	= 624,
-    SystemZ_LLHR	= 625,
-    SystemZ_LLHRL	= 626,
-    SystemZ_LLHRMux	= 627,
-    SystemZ_LLIHF	= 628,
-    SystemZ_LLIHH	= 629,
-    SystemZ_LLIHL	= 630,
-    SystemZ_LLILF	= 631,
-    SystemZ_LLILH	= 632,
-    SystemZ_LLILL	= 633,
-    SystemZ_LMG	= 634,
-    SystemZ_LMux	= 635,
-    SystemZ_LNDBR	= 636,
-    SystemZ_LNEBR	= 637,
-    SystemZ_LNGFR	= 638,
-    SystemZ_LNGR	= 639,
-    SystemZ_LNR	= 640,
-    SystemZ_LNXBR	= 641,
-    SystemZ_LOC	= 642,
-    SystemZ_LOCG	= 643,
-    SystemZ_LOCGR	= 644,
-    SystemZ_LOCR	= 645,
-    SystemZ_LPDBR	= 646,
-    SystemZ_LPEBR	= 647,
-    SystemZ_LPGFR	= 648,
-    SystemZ_LPGR	= 649,
-    SystemZ_LPR	= 650,
-    SystemZ_LPXBR	= 651,
-    SystemZ_LR	= 652,
-    SystemZ_LRL	= 653,
-    SystemZ_LRMux	= 654,
-    SystemZ_LRV	= 655,
-    SystemZ_LRVG	= 656,
-    SystemZ_LRVGR	= 657,
-    SystemZ_LRVR	= 658,
-    SystemZ_LT	= 659,
-    SystemZ_LTDBR	= 660,
-    SystemZ_LTDBRCompare	= 661,
-    SystemZ_LTEBR	= 662,
-    SystemZ_LTEBRCompare	= 663,
-    SystemZ_LTG	= 664,
-    SystemZ_LTGF	= 665,
-    SystemZ_LTGFR	= 666,
-    SystemZ_LTGR	= 667,
-    SystemZ_LTR	= 668,
-    SystemZ_LTXBR	= 669,
-    SystemZ_LTXBRCompare	= 670,
-    SystemZ_LX	= 671,
-    SystemZ_LXDB	= 672,
-    SystemZ_LXDBR	= 673,
-    SystemZ_LXEB	= 674,
-    SystemZ_LXEBR	= 675,
-    SystemZ_LXR	= 676,
-    SystemZ_LY	= 677,
-    SystemZ_LZDR	= 678,
-    SystemZ_LZER	= 679,
-    SystemZ_LZXR	= 680,
-    SystemZ_MADB	= 681,
-    SystemZ_MADBR	= 682,
-    SystemZ_MAEB	= 683,
-    SystemZ_MAEBR	= 684,
-    SystemZ_MDB	= 685,
-    SystemZ_MDBR	= 686,
-    SystemZ_MDEB	= 687,
-    SystemZ_MDEBR	= 688,
-    SystemZ_MEEB	= 689,
-    SystemZ_MEEBR	= 690,
-    SystemZ_MGHI	= 691,
-    SystemZ_MH	= 692,
-    SystemZ_MHI	= 693,
-    SystemZ_MHY	= 694,
-    SystemZ_MLG	= 695,
-    SystemZ_MLGR	= 696,
-    SystemZ_MS	= 697,
-    SystemZ_MSDB	= 698,
-    SystemZ_MSDBR	= 699,
-    SystemZ_MSEB	= 700,
-    SystemZ_MSEBR	= 701,
-    SystemZ_MSFI	= 702,
-    SystemZ_MSG	= 703,
-    SystemZ_MSGF	= 704,
-    SystemZ_MSGFI	= 705,
-    SystemZ_MSGFR	= 706,
-    SystemZ_MSGR	= 707,
-    SystemZ_MSR	= 708,
-    SystemZ_MSY	= 709,
-    SystemZ_MVC	= 710,
-    SystemZ_MVCLoop	= 711,
-    SystemZ_MVCSequence	= 712,
-    SystemZ_MVGHI	= 713,
-    SystemZ_MVHHI	= 714,
-    SystemZ_MVHI	= 715,
-    SystemZ_MVI	= 716,
-    SystemZ_MVIY	= 717,
-    SystemZ_MVST	= 718,
-    SystemZ_MVSTLoop	= 719,
-    SystemZ_MXBR	= 720,
-    SystemZ_MXDB	= 721,
-    SystemZ_MXDBR	= 722,
-    SystemZ_N	= 723,
-    SystemZ_NC	= 724,
-    SystemZ_NCLoop	= 725,
-    SystemZ_NCSequence	= 726,
-    SystemZ_NG	= 727,
-    SystemZ_NGR	= 728,
-    SystemZ_NGRK	= 729,
-    SystemZ_NI	= 730,
-    SystemZ_NIFMux	= 731,
-    SystemZ_NIHF	= 732,
-    SystemZ_NIHF64	= 733,
-    SystemZ_NIHH	= 734,
-    SystemZ_NIHH64	= 735,
-    SystemZ_NIHL	= 736,
-    SystemZ_NIHL64	= 737,
-    SystemZ_NIHMux	= 738,
-    SystemZ_NILF	= 739,
-    SystemZ_NILF64	= 740,
-    SystemZ_NILH	= 741,
-    SystemZ_NILH64	= 742,
-    SystemZ_NILL	= 743,
-    SystemZ_NILL64	= 744,
-    SystemZ_NILMux	= 745,
-    SystemZ_NIY	= 746,
-    SystemZ_NR	= 747,
-    SystemZ_NRK	= 748,
-    SystemZ_NY	= 749,
-    SystemZ_O	= 750,
-    SystemZ_OC	= 751,
-    SystemZ_OCLoop	= 752,
-    SystemZ_OCSequence	= 753,
-    SystemZ_OG	= 754,
-    SystemZ_OGR	= 755,
-    SystemZ_OGRK	= 756,
-    SystemZ_OI	= 757,
-    SystemZ_OIFMux	= 758,
-    SystemZ_OIHF	= 759,
-    SystemZ_OIHF64	= 760,
-    SystemZ_OIHH	= 761,
-    SystemZ_OIHH64	= 762,
-    SystemZ_OIHL	= 763,
-    SystemZ_OIHL64	= 764,
-    SystemZ_OIHMux	= 765,
-    SystemZ_OILF	= 766,
-    SystemZ_OILF64	= 767,
-    SystemZ_OILH	= 768,
-    SystemZ_OILH64	= 769,
-    SystemZ_OILL	= 770,
-    SystemZ_OILL64	= 771,
-    SystemZ_OILMux	= 772,
-    SystemZ_OIY	= 773,
-    SystemZ_OR	= 774,
-    SystemZ_ORK	= 775,
-    SystemZ_OY	= 776,
-    SystemZ_PFD	= 777,
-    SystemZ_PFDRL	= 778,
-    SystemZ_RISBG	= 779,
-    SystemZ_RISBG32	= 780,
-    SystemZ_RISBHG	= 781,
-    SystemZ_RISBHH	= 782,
-    SystemZ_RISBHL	= 783,
-    SystemZ_RISBLG	= 784,
-    SystemZ_RISBLH	= 785,
-    SystemZ_RISBLL	= 786,
-    SystemZ_RISBMux	= 787,
-    SystemZ_RLL	= 788,
-    SystemZ_RLLG	= 789,
-    SystemZ_RNSBG	= 790,
-    SystemZ_ROSBG	= 791,
-    SystemZ_RXSBG	= 792,
-    SystemZ_Return	= 793,
-    SystemZ_S	= 794,
-    SystemZ_SDB	= 795,
-    SystemZ_SDBR	= 796,
-    SystemZ_SEB	= 797,
-    SystemZ_SEBR	= 798,
-    SystemZ_SG	= 799,
-    SystemZ_SGF	= 800,
-    SystemZ_SGFR	= 801,
-    SystemZ_SGR	= 802,
-    SystemZ_SGRK	= 803,
-    SystemZ_SH	= 804,
-    SystemZ_SHY	= 805,
-    SystemZ_SL	= 806,
-    SystemZ_SLB	= 807,
-    SystemZ_SLBG	= 808,
-    SystemZ_SLBR	= 809,
-    SystemZ_SLFI	= 810,
-    SystemZ_SLG	= 811,
-    SystemZ_SLGBR	= 812,
-    SystemZ_SLGF	= 813,
-    SystemZ_SLGFI	= 814,
-    SystemZ_SLGFR	= 815,
-    SystemZ_SLGR	= 816,
-    SystemZ_SLGRK	= 817,
-    SystemZ_SLL	= 818,
-    SystemZ_SLLG	= 819,
-    SystemZ_SLLK	= 820,
-    SystemZ_SLR	= 821,
-    SystemZ_SLRK	= 822,
-    SystemZ_SLY	= 823,
-    SystemZ_SQDB	= 824,
-    SystemZ_SQDBR	= 825,
-    SystemZ_SQEB	= 826,
-    SystemZ_SQEBR	= 827,
-    SystemZ_SQXBR	= 828,
-    SystemZ_SR	= 829,
-    SystemZ_SRA	= 830,
-    SystemZ_SRAG	= 831,
-    SystemZ_SRAK	= 832,
-    SystemZ_SRK	= 833,
-    SystemZ_SRL	= 834,
-    SystemZ_SRLG	= 835,
-    SystemZ_SRLK	= 836,
-    SystemZ_SRST	= 837,
-    SystemZ_SRSTLoop	= 838,
-    SystemZ_ST	= 839,
-    SystemZ_ST128	= 840,
-    SystemZ_STC	= 841,
-    SystemZ_STCH	= 842,
-    SystemZ_STCMux	= 843,
-    SystemZ_STCY	= 844,
-    SystemZ_STD	= 845,
-    SystemZ_STDY	= 846,
-    SystemZ_STE	= 847,
-    SystemZ_STEY	= 848,
-    SystemZ_STFH	= 849,
-    SystemZ_STG	= 850,
-    SystemZ_STGRL	= 851,
-    SystemZ_STH	= 852,
-    SystemZ_STHH	= 853,
-    SystemZ_STHMux	= 854,
-    SystemZ_STHRL	= 855,
-    SystemZ_STHY	= 856,
-    SystemZ_STMG	= 857,
-    SystemZ_STMux	= 858,
-    SystemZ_STOC	= 859,
-    SystemZ_STOCG	= 860,
-    SystemZ_STRL	= 861,
-    SystemZ_STRV	= 862,
-    SystemZ_STRVG	= 863,
-    SystemZ_STX	= 864,
-    SystemZ_STY	= 865,
-    SystemZ_SXBR	= 866,
-    SystemZ_SY	= 867,
-    SystemZ_Select32	= 868,
-    SystemZ_Select32Mux	= 869,
-    SystemZ_Select64	= 870,
-    SystemZ_SelectF128	= 871,
-    SystemZ_SelectF32	= 872,
-    SystemZ_SelectF64	= 873,
-    SystemZ_Serialize	= 874,
-    SystemZ_TM	= 875,
-    SystemZ_TMHH	= 876,
-    SystemZ_TMHH64	= 877,
-    SystemZ_TMHL	= 878,
-    SystemZ_TMHL64	= 879,
-    SystemZ_TMHMux	= 880,
-    SystemZ_TMLH	= 881,
-    SystemZ_TMLH64	= 882,
-    SystemZ_TMLL	= 883,
-    SystemZ_TMLL64	= 884,
-    SystemZ_TMLMux	= 885,
-    SystemZ_TMY	= 886,
-    SystemZ_X	= 887,
-    SystemZ_XC	= 888,
-    SystemZ_XCLoop	= 889,
-    SystemZ_XCSequence	= 890,
-    SystemZ_XG	= 891,
-    SystemZ_XGR	= 892,
-    SystemZ_XGRK	= 893,
-    SystemZ_XI	= 894,
-    SystemZ_XIFMux	= 895,
-    SystemZ_XIHF	= 896,
-    SystemZ_XIHF64	= 897,
-    SystemZ_XILF	= 898,
-    SystemZ_XILF64	= 899,
-    SystemZ_XIY	= 900,
-    SystemZ_XR	= 901,
-    SystemZ_XRK	= 902,
-    SystemZ_XY	= 903,
-    SystemZ_ZEXT128_32	= 904,
-    SystemZ_ZEXT128_64	= 905,
-    SystemZ_INSTRUCTION_LIST_END = 906
+    SystemZ_LOAD_STACK_GUARD	= 19,
+    SystemZ_A	= 20,
+    SystemZ_ADB	= 21,
+    SystemZ_ADBR	= 22,
+    SystemZ_ADJCALLSTACKDOWN	= 23,
+    SystemZ_ADJCALLSTACKUP	= 24,
+    SystemZ_ADJDYNALLOC	= 25,
+    SystemZ_AEB	= 26,
+    SystemZ_AEBR	= 27,
+    SystemZ_AEXT128_64	= 28,
+    SystemZ_AFI	= 29,
+    SystemZ_AFIMux	= 30,
+    SystemZ_AG	= 31,
+    SystemZ_AGF	= 32,
+    SystemZ_AGFI	= 33,
+    SystemZ_AGFR	= 34,
+    SystemZ_AGHI	= 35,
+    SystemZ_AGHIK	= 36,
+    SystemZ_AGR	= 37,
+    SystemZ_AGRK	= 38,
+    SystemZ_AGSI	= 39,
+    SystemZ_AH	= 40,
+    SystemZ_AHI	= 41,
+    SystemZ_AHIK	= 42,
+    SystemZ_AHIMux	= 43,
+    SystemZ_AHIMuxK	= 44,
+    SystemZ_AHY	= 45,
+    SystemZ_AIH	= 46,
+    SystemZ_AL	= 47,
+    SystemZ_ALC	= 48,
+    SystemZ_ALCG	= 49,
+    SystemZ_ALCGR	= 50,
+    SystemZ_ALCR	= 51,
+    SystemZ_ALFI	= 52,
+    SystemZ_ALG	= 53,
+    SystemZ_ALGF	= 54,
+    SystemZ_ALGFI	= 55,
+    SystemZ_ALGFR	= 56,
+    SystemZ_ALGHSIK	= 57,
+    SystemZ_ALGR	= 58,
+    SystemZ_ALGRK	= 59,
+    SystemZ_ALHSIK	= 60,
+    SystemZ_ALR	= 61,
+    SystemZ_ALRK	= 62,
+    SystemZ_ALY	= 63,
+    SystemZ_AR	= 64,
+    SystemZ_ARK	= 65,
+    SystemZ_ASI	= 66,
+    SystemZ_ATOMIC_CMP_SWAPW	= 67,
+    SystemZ_ATOMIC_LOADW_AFI	= 68,
+    SystemZ_ATOMIC_LOADW_AR	= 69,
+    SystemZ_ATOMIC_LOADW_MAX	= 70,
+    SystemZ_ATOMIC_LOADW_MIN	= 71,
+    SystemZ_ATOMIC_LOADW_NILH	= 72,
+    SystemZ_ATOMIC_LOADW_NILHi	= 73,
+    SystemZ_ATOMIC_LOADW_NR	= 74,
+    SystemZ_ATOMIC_LOADW_NRi	= 75,
+    SystemZ_ATOMIC_LOADW_OILH	= 76,
+    SystemZ_ATOMIC_LOADW_OR	= 77,
+    SystemZ_ATOMIC_LOADW_SR	= 78,
+    SystemZ_ATOMIC_LOADW_UMAX	= 79,
+    SystemZ_ATOMIC_LOADW_UMIN	= 80,
+    SystemZ_ATOMIC_LOADW_XILF	= 81,
+    SystemZ_ATOMIC_LOADW_XR	= 82,
+    SystemZ_ATOMIC_LOAD_AFI	= 83,
+    SystemZ_ATOMIC_LOAD_AGFI	= 84,
+    SystemZ_ATOMIC_LOAD_AGHI	= 85,
+    SystemZ_ATOMIC_LOAD_AGR	= 86,
+    SystemZ_ATOMIC_LOAD_AHI	= 87,
+    SystemZ_ATOMIC_LOAD_AR	= 88,
+    SystemZ_ATOMIC_LOAD_MAX_32	= 89,
+    SystemZ_ATOMIC_LOAD_MAX_64	= 90,
+    SystemZ_ATOMIC_LOAD_MIN_32	= 91,
+    SystemZ_ATOMIC_LOAD_MIN_64	= 92,
+    SystemZ_ATOMIC_LOAD_NGR	= 93,
+    SystemZ_ATOMIC_LOAD_NGRi	= 94,
+    SystemZ_ATOMIC_LOAD_NIHF64	= 95,
+    SystemZ_ATOMIC_LOAD_NIHF64i	= 96,
+    SystemZ_ATOMIC_LOAD_NIHH64	= 97,
+    SystemZ_ATOMIC_LOAD_NIHH64i	= 98,
+    SystemZ_ATOMIC_LOAD_NIHL64	= 99,
+    SystemZ_ATOMIC_LOAD_NIHL64i	= 100,
+    SystemZ_ATOMIC_LOAD_NILF	= 101,
+    SystemZ_ATOMIC_LOAD_NILF64	= 102,
+    SystemZ_ATOMIC_LOAD_NILF64i	= 103,
+    SystemZ_ATOMIC_LOAD_NILFi	= 104,
+    SystemZ_ATOMIC_LOAD_NILH	= 105,
+    SystemZ_ATOMIC_LOAD_NILH64	= 106,
+    SystemZ_ATOMIC_LOAD_NILH64i	= 107,
+    SystemZ_ATOMIC_LOAD_NILHi	= 108,
+    SystemZ_ATOMIC_LOAD_NILL	= 109,
+    SystemZ_ATOMIC_LOAD_NILL64	= 110,
+    SystemZ_ATOMIC_LOAD_NILL64i	= 111,
+    SystemZ_ATOMIC_LOAD_NILLi	= 112,
+    SystemZ_ATOMIC_LOAD_NR	= 113,
+    SystemZ_ATOMIC_LOAD_NRi	= 114,
+    SystemZ_ATOMIC_LOAD_OGR	= 115,
+    SystemZ_ATOMIC_LOAD_OIHF64	= 116,
+    SystemZ_ATOMIC_LOAD_OIHH64	= 117,
+    SystemZ_ATOMIC_LOAD_OIHL64	= 118,
+    SystemZ_ATOMIC_LOAD_OILF	= 119,
+    SystemZ_ATOMIC_LOAD_OILF64	= 120,
+    SystemZ_ATOMIC_LOAD_OILH	= 121,
+    SystemZ_ATOMIC_LOAD_OILH64	= 122,
+    SystemZ_ATOMIC_LOAD_OILL	= 123,
+    SystemZ_ATOMIC_LOAD_OILL64	= 124,
+    SystemZ_ATOMIC_LOAD_OR	= 125,
+    SystemZ_ATOMIC_LOAD_SGR	= 126,
+    SystemZ_ATOMIC_LOAD_SR	= 127,
+    SystemZ_ATOMIC_LOAD_UMAX_32	= 128,
+    SystemZ_ATOMIC_LOAD_UMAX_64	= 129,
+    SystemZ_ATOMIC_LOAD_UMIN_32	= 130,
+    SystemZ_ATOMIC_LOAD_UMIN_64	= 131,
+    SystemZ_ATOMIC_LOAD_XGR	= 132,
+    SystemZ_ATOMIC_LOAD_XIHF64	= 133,
+    SystemZ_ATOMIC_LOAD_XILF	= 134,
+    SystemZ_ATOMIC_LOAD_XILF64	= 135,
+    SystemZ_ATOMIC_LOAD_XR	= 136,
+    SystemZ_ATOMIC_SWAPW	= 137,
+    SystemZ_ATOMIC_SWAP_32	= 138,
+    SystemZ_ATOMIC_SWAP_64	= 139,
+    SystemZ_AXBR	= 140,
+    SystemZ_AY	= 141,
+    SystemZ_AsmBCR	= 142,
+    SystemZ_AsmBRC	= 143,
+    SystemZ_AsmBRCL	= 144,
+    SystemZ_AsmCGIJ	= 145,
+    SystemZ_AsmCGRJ	= 146,
+    SystemZ_AsmCIJ	= 147,
+    SystemZ_AsmCLGIJ	= 148,
+    SystemZ_AsmCLGRJ	= 149,
+    SystemZ_AsmCLIJ	= 150,
+    SystemZ_AsmCLRJ	= 151,
+    SystemZ_AsmCRJ	= 152,
+    SystemZ_AsmEBR	= 153,
+    SystemZ_AsmEJ	= 154,
+    SystemZ_AsmEJG	= 155,
+    SystemZ_AsmELOC	= 156,
+    SystemZ_AsmELOCG	= 157,
+    SystemZ_AsmELOCGR	= 158,
+    SystemZ_AsmELOCR	= 159,
+    SystemZ_AsmESTOC	= 160,
+    SystemZ_AsmESTOCG	= 161,
+    SystemZ_AsmHBR	= 162,
+    SystemZ_AsmHEBR	= 163,
+    SystemZ_AsmHEJ	= 164,
+    SystemZ_AsmHEJG	= 165,
+    SystemZ_AsmHELOC	= 166,
+    SystemZ_AsmHELOCG	= 167,
+    SystemZ_AsmHELOCGR	= 168,
+    SystemZ_AsmHELOCR	= 169,
+    SystemZ_AsmHESTOC	= 170,
+    SystemZ_AsmHESTOCG	= 171,
+    SystemZ_AsmHJ	= 172,
+    SystemZ_AsmHJG	= 173,
+    SystemZ_AsmHLOC	= 174,
+    SystemZ_AsmHLOCG	= 175,
+    SystemZ_AsmHLOCGR	= 176,
+    SystemZ_AsmHLOCR	= 177,
+    SystemZ_AsmHSTOC	= 178,
+    SystemZ_AsmHSTOCG	= 179,
+    SystemZ_AsmJEAltCGI	= 180,
+    SystemZ_AsmJEAltCGR	= 181,
+    SystemZ_AsmJEAltCI	= 182,
+    SystemZ_AsmJEAltCLGI	= 183,
+    SystemZ_AsmJEAltCLGR	= 184,
+    SystemZ_AsmJEAltCLI	= 185,
+    SystemZ_AsmJEAltCLR	= 186,
+    SystemZ_AsmJEAltCR	= 187,
+    SystemZ_AsmJECGI	= 188,
+    SystemZ_AsmJECGR	= 189,
+    SystemZ_AsmJECI	= 190,
+    SystemZ_AsmJECLGI	= 191,
+    SystemZ_AsmJECLGR	= 192,
+    SystemZ_AsmJECLI	= 193,
+    SystemZ_AsmJECLR	= 194,
+    SystemZ_AsmJECR	= 195,
+    SystemZ_AsmJHAltCGI	= 196,
+    SystemZ_AsmJHAltCGR	= 197,
+    SystemZ_AsmJHAltCI	= 198,
+    SystemZ_AsmJHAltCLGI	= 199,
+    SystemZ_AsmJHAltCLGR	= 200,
+    SystemZ_AsmJHAltCLI	= 201,
+    SystemZ_AsmJHAltCLR	= 202,
+    SystemZ_AsmJHAltCR	= 203,
+    SystemZ_AsmJHCGI	= 204,
+    SystemZ_AsmJHCGR	= 205,
+    SystemZ_AsmJHCI	= 206,
+    SystemZ_AsmJHCLGI	= 207,
+    SystemZ_AsmJHCLGR	= 208,
+    SystemZ_AsmJHCLI	= 209,
+    SystemZ_AsmJHCLR	= 210,
+    SystemZ_AsmJHCR	= 211,
+    SystemZ_AsmJHEAltCGI	= 212,
+    SystemZ_AsmJHEAltCGR	= 213,
+    SystemZ_AsmJHEAltCI	= 214,
+    SystemZ_AsmJHEAltCLGI	= 215,
+    SystemZ_AsmJHEAltCLGR	= 216,
+    SystemZ_AsmJHEAltCLI	= 217,
+    SystemZ_AsmJHEAltCLR	= 218,
+    SystemZ_AsmJHEAltCR	= 219,
+    SystemZ_AsmJHECGI	= 220,
+    SystemZ_AsmJHECGR	= 221,
+    SystemZ_AsmJHECI	= 222,
+    SystemZ_AsmJHECLGI	= 223,
+    SystemZ_AsmJHECLGR	= 224,
+    SystemZ_AsmJHECLI	= 225,
+    SystemZ_AsmJHECLR	= 226,
+    SystemZ_AsmJHECR	= 227,
+    SystemZ_AsmJLAltCGI	= 228,
+    SystemZ_AsmJLAltCGR	= 229,
+    SystemZ_AsmJLAltCI	= 230,
+    SystemZ_AsmJLAltCLGI	= 231,
+    SystemZ_AsmJLAltCLGR	= 232,
+    SystemZ_AsmJLAltCLI	= 233,
+    SystemZ_AsmJLAltCLR	= 234,
+    SystemZ_AsmJLAltCR	= 235,
+    SystemZ_AsmJLCGI	= 236,
+    SystemZ_AsmJLCGR	= 237,
+    SystemZ_AsmJLCI	= 238,
+    SystemZ_AsmJLCLGI	= 239,
+    SystemZ_AsmJLCLGR	= 240,
+    SystemZ_AsmJLCLI	= 241,
+    SystemZ_AsmJLCLR	= 242,
+    SystemZ_AsmJLCR	= 243,
+    SystemZ_AsmJLEAltCGI	= 244,
+    SystemZ_AsmJLEAltCGR	= 245,
+    SystemZ_AsmJLEAltCI	= 246,
+    SystemZ_AsmJLEAltCLGI	= 247,
+    SystemZ_AsmJLEAltCLGR	= 248,
+    SystemZ_AsmJLEAltCLI	= 249,
+    SystemZ_AsmJLEAltCLR	= 250,
+    SystemZ_AsmJLEAltCR	= 251,
+    SystemZ_AsmJLECGI	= 252,
+    SystemZ_AsmJLECGR	= 253,
+    SystemZ_AsmJLECI	= 254,
+    SystemZ_AsmJLECLGI	= 255,
+    SystemZ_AsmJLECLGR	= 256,
+    SystemZ_AsmJLECLI	= 257,
+    SystemZ_AsmJLECLR	= 258,
+    SystemZ_AsmJLECR	= 259,
+    SystemZ_AsmJLHAltCGI	= 260,
+    SystemZ_AsmJLHAltCGR	= 261,
+    SystemZ_AsmJLHAltCI	= 262,
+    SystemZ_AsmJLHAltCLGI	= 263,
+    SystemZ_AsmJLHAltCLGR	= 264,
+    SystemZ_AsmJLHAltCLI	= 265,
+    SystemZ_AsmJLHAltCLR	= 266,
+    SystemZ_AsmJLHAltCR	= 267,
+    SystemZ_AsmJLHCGI	= 268,
+    SystemZ_AsmJLHCGR	= 269,
+    SystemZ_AsmJLHCI	= 270,
+    SystemZ_AsmJLHCLGI	= 271,
+    SystemZ_AsmJLHCLGR	= 272,
+    SystemZ_AsmJLHCLI	= 273,
+    SystemZ_AsmJLHCLR	= 274,
+    SystemZ_AsmJLHCR	= 275,
+    SystemZ_AsmLBR	= 276,
+    SystemZ_AsmLEBR	= 277,
+    SystemZ_AsmLEJ	= 278,
+    SystemZ_AsmLEJG	= 279,
+    SystemZ_AsmLELOC	= 280,
+    SystemZ_AsmLELOCG	= 281,
+    SystemZ_AsmLELOCGR	= 282,
+    SystemZ_AsmLELOCR	= 283,
+    SystemZ_AsmLESTOC	= 284,
+    SystemZ_AsmLESTOCG	= 285,
+    SystemZ_AsmLHBR	= 286,
+    SystemZ_AsmLHJ	= 287,
+    SystemZ_AsmLHJG	= 288,
+    SystemZ_AsmLHLOC	= 289,
+    SystemZ_AsmLHLOCG	= 290,
+    SystemZ_AsmLHLOCGR	= 291,
+    SystemZ_AsmLHLOCR	= 292,
+    SystemZ_AsmLHSTOC	= 293,
+    SystemZ_AsmLHSTOCG	= 294,
+    SystemZ_AsmLJ	= 295,
+    SystemZ_AsmLJG	= 296,
+    SystemZ_AsmLLOC	= 297,
+    SystemZ_AsmLLOCG	= 298,
+    SystemZ_AsmLLOCGR	= 299,
+    SystemZ_AsmLLOCR	= 300,
+    SystemZ_AsmLOC	= 301,
+    SystemZ_AsmLOCG	= 302,
+    SystemZ_AsmLOCGR	= 303,
+    SystemZ_AsmLOCR	= 304,
+    SystemZ_AsmLSTOC	= 305,
+    SystemZ_AsmLSTOCG	= 306,
+    SystemZ_AsmNEBR	= 307,
+    SystemZ_AsmNEJ	= 308,
+    SystemZ_AsmNEJG	= 309,
+    SystemZ_AsmNELOC	= 310,
+    SystemZ_AsmNELOCG	= 311,
+    SystemZ_AsmNELOCGR	= 312,
+    SystemZ_AsmNELOCR	= 313,
+    SystemZ_AsmNESTOC	= 314,
+    SystemZ_AsmNESTOCG	= 315,
+    SystemZ_AsmNHBR	= 316,
+    SystemZ_AsmNHEBR	= 317,
+    SystemZ_AsmNHEJ	= 318,
+    SystemZ_AsmNHEJG	= 319,
+    SystemZ_AsmNHELOC	= 320,
+    SystemZ_AsmNHELOCG	= 321,
+    SystemZ_AsmNHELOCGR	= 322,
+    SystemZ_AsmNHELOCR	= 323,
+    SystemZ_AsmNHESTOC	= 324,
+    SystemZ_AsmNHESTOCG	= 325,
+    SystemZ_AsmNHJ	= 326,
+    SystemZ_AsmNHJG	= 327,
+    SystemZ_AsmNHLOC	= 328,
+    SystemZ_AsmNHLOCG	= 329,
+    SystemZ_AsmNHLOCGR	= 330,
+    SystemZ_AsmNHLOCR	= 331,
+    SystemZ_AsmNHSTOC	= 332,
+    SystemZ_AsmNHSTOCG	= 333,
+    SystemZ_AsmNLBR	= 334,
+    SystemZ_AsmNLEBR	= 335,
+    SystemZ_AsmNLEJ	= 336,
+    SystemZ_AsmNLEJG	= 337,
+    SystemZ_AsmNLELOC	= 338,
+    SystemZ_AsmNLELOCG	= 339,
+    SystemZ_AsmNLELOCGR	= 340,
+    SystemZ_AsmNLELOCR	= 341,
+    SystemZ_AsmNLESTOC	= 342,
+    SystemZ_AsmNLESTOCG	= 343,
+    SystemZ_AsmNLHBR	= 344,
+    SystemZ_AsmNLHJ	= 345,
+    SystemZ_AsmNLHJG	= 346,
+    SystemZ_AsmNLHLOC	= 347,
+    SystemZ_AsmNLHLOCG	= 348,
+    SystemZ_AsmNLHLOCGR	= 349,
+    SystemZ_AsmNLHLOCR	= 350,
+    SystemZ_AsmNLHSTOC	= 351,
+    SystemZ_AsmNLHSTOCG	= 352,
+    SystemZ_AsmNLJ	= 353,
+    SystemZ_AsmNLJG	= 354,
+    SystemZ_AsmNLLOC	= 355,
+    SystemZ_AsmNLLOCG	= 356,
+    SystemZ_AsmNLLOCGR	= 357,
+    SystemZ_AsmNLLOCR	= 358,
+    SystemZ_AsmNLSTOC	= 359,
+    SystemZ_AsmNLSTOCG	= 360,
+    SystemZ_AsmNOBR	= 361,
+    SystemZ_AsmNOJ	= 362,
+    SystemZ_AsmNOJG	= 363,
+    SystemZ_AsmNOLOC	= 364,
+    SystemZ_AsmNOLOCG	= 365,
+    SystemZ_AsmNOLOCGR	= 366,
+    SystemZ_AsmNOLOCR	= 367,
+    SystemZ_AsmNOSTOC	= 368,
+    SystemZ_AsmNOSTOCG	= 369,
+    SystemZ_AsmOBR	= 370,
+    SystemZ_AsmOJ	= 371,
+    SystemZ_AsmOJG	= 372,
+    SystemZ_AsmOLOC	= 373,
+    SystemZ_AsmOLOCG	= 374,
+    SystemZ_AsmOLOCGR	= 375,
+    SystemZ_AsmOLOCR	= 376,
+    SystemZ_AsmOSTOC	= 377,
+    SystemZ_AsmOSTOCG	= 378,
+    SystemZ_AsmSTOC	= 379,
+    SystemZ_AsmSTOCG	= 380,
+    SystemZ_BASR	= 381,
+    SystemZ_BR	= 382,
+    SystemZ_BRAS	= 383,
+    SystemZ_BRASL	= 384,
+    SystemZ_BRC	= 385,
+    SystemZ_BRCL	= 386,
+    SystemZ_BRCT	= 387,
+    SystemZ_BRCTG	= 388,
+    SystemZ_C	= 389,
+    SystemZ_CDB	= 390,
+    SystemZ_CDBR	= 391,
+    SystemZ_CDFBR	= 392,
+    SystemZ_CDGBR	= 393,
+    SystemZ_CDLFBR	= 394,
+    SystemZ_CDLGBR	= 395,
+    SystemZ_CEB	= 396,
+    SystemZ_CEBR	= 397,
+    SystemZ_CEFBR	= 398,
+    SystemZ_CEGBR	= 399,
+    SystemZ_CELFBR	= 400,
+    SystemZ_CELGBR	= 401,
+    SystemZ_CFDBR	= 402,
+    SystemZ_CFEBR	= 403,
+    SystemZ_CFI	= 404,
+    SystemZ_CFIMux	= 405,
+    SystemZ_CFXBR	= 406,
+    SystemZ_CG	= 407,
+    SystemZ_CGDBR	= 408,
+    SystemZ_CGEBR	= 409,
+    SystemZ_CGF	= 410,
+    SystemZ_CGFI	= 411,
+    SystemZ_CGFR	= 412,
+    SystemZ_CGFRL	= 413,
+    SystemZ_CGH	= 414,
+    SystemZ_CGHI	= 415,
+    SystemZ_CGHRL	= 416,
+    SystemZ_CGHSI	= 417,
+    SystemZ_CGIJ	= 418,
+    SystemZ_CGR	= 419,
+    SystemZ_CGRJ	= 420,
+    SystemZ_CGRL	= 421,
+    SystemZ_CGXBR	= 422,
+    SystemZ_CH	= 423,
+    SystemZ_CHF	= 424,
+    SystemZ_CHHSI	= 425,
+    SystemZ_CHI	= 426,
+    SystemZ_CHRL	= 427,
+    SystemZ_CHSI	= 428,
+    SystemZ_CHY	= 429,
+    SystemZ_CIH	= 430,
+    SystemZ_CIJ	= 431,
+    SystemZ_CL	= 432,
+    SystemZ_CLC	= 433,
+    SystemZ_CLCLoop	= 434,
+    SystemZ_CLCSequence	= 435,
+    SystemZ_CLFDBR	= 436,
+    SystemZ_CLFEBR	= 437,
+    SystemZ_CLFHSI	= 438,
+    SystemZ_CLFI	= 439,
+    SystemZ_CLFIMux	= 440,
+    SystemZ_CLFXBR	= 441,
+    SystemZ_CLG	= 442,
+    SystemZ_CLGDBR	= 443,
+    SystemZ_CLGEBR	= 444,
+    SystemZ_CLGF	= 445,
+    SystemZ_CLGFI	= 446,
+    SystemZ_CLGFR	= 447,
+    SystemZ_CLGFRL	= 448,
+    SystemZ_CLGHRL	= 449,
+    SystemZ_CLGHSI	= 450,
+    SystemZ_CLGIJ	= 451,
+    SystemZ_CLGR	= 452,
+    SystemZ_CLGRJ	= 453,
+    SystemZ_CLGRL	= 454,
+    SystemZ_CLGXBR	= 455,
+    SystemZ_CLHF	= 456,
+    SystemZ_CLHHSI	= 457,
+    SystemZ_CLHRL	= 458,
+    SystemZ_CLI	= 459,
+    SystemZ_CLIH	= 460,
+    SystemZ_CLIJ	= 461,
+    SystemZ_CLIY	= 462,
+    SystemZ_CLMux	= 463,
+    SystemZ_CLR	= 464,
+    SystemZ_CLRJ	= 465,
+    SystemZ_CLRL	= 466,
+    SystemZ_CLST	= 467,
+    SystemZ_CLSTLoop	= 468,
+    SystemZ_CLY	= 469,
+    SystemZ_CMux	= 470,
+    SystemZ_CPSDRdd	= 471,
+    SystemZ_CPSDRds	= 472,
+    SystemZ_CPSDRsd	= 473,
+    SystemZ_CPSDRss	= 474,
+    SystemZ_CR	= 475,
+    SystemZ_CRJ	= 476,
+    SystemZ_CRL	= 477,
+    SystemZ_CS	= 478,
+    SystemZ_CSG	= 479,
+    SystemZ_CSY	= 480,
+    SystemZ_CXBR	= 481,
+    SystemZ_CXFBR	= 482,
+    SystemZ_CXGBR	= 483,
+    SystemZ_CXLFBR	= 484,
+    SystemZ_CXLGBR	= 485,
+    SystemZ_CY	= 486,
+    SystemZ_CallBASR	= 487,
+    SystemZ_CallBR	= 488,
+    SystemZ_CallBRASL	= 489,
+    SystemZ_CallJG	= 490,
+    SystemZ_CondStore16	= 491,
+    SystemZ_CondStore16Inv	= 492,
+    SystemZ_CondStore16Mux	= 493,
+    SystemZ_CondStore16MuxInv	= 494,
+    SystemZ_CondStore32	= 495,
+    SystemZ_CondStore32Inv	= 496,
+    SystemZ_CondStore64	= 497,
+    SystemZ_CondStore64Inv	= 498,
+    SystemZ_CondStore8	= 499,
+    SystemZ_CondStore8Inv	= 500,
+    SystemZ_CondStore8Mux	= 501,
+    SystemZ_CondStore8MuxInv	= 502,
+    SystemZ_CondStoreF32	= 503,
+    SystemZ_CondStoreF32Inv	= 504,
+    SystemZ_CondStoreF64	= 505,
+    SystemZ_CondStoreF64Inv	= 506,
+    SystemZ_DDB	= 507,
+    SystemZ_DDBR	= 508,
+    SystemZ_DEB	= 509,
+    SystemZ_DEBR	= 510,
+    SystemZ_DL	= 511,
+    SystemZ_DLG	= 512,
+    SystemZ_DLGR	= 513,
+    SystemZ_DLR	= 514,
+    SystemZ_DSG	= 515,
+    SystemZ_DSGF	= 516,
+    SystemZ_DSGFR	= 517,
+    SystemZ_DSGR	= 518,
+    SystemZ_DXBR	= 519,
+    SystemZ_EAR	= 520,
+    SystemZ_FIDBR	= 521,
+    SystemZ_FIDBRA	= 522,
+    SystemZ_FIEBR	= 523,
+    SystemZ_FIEBRA	= 524,
+    SystemZ_FIXBR	= 525,
+    SystemZ_FIXBRA	= 526,
+    SystemZ_FLOGR	= 527,
+    SystemZ_IC	= 528,
+    SystemZ_IC32	= 529,
+    SystemZ_IC32Y	= 530,
+    SystemZ_ICY	= 531,
+    SystemZ_IIFMux	= 532,
+    SystemZ_IIHF	= 533,
+    SystemZ_IIHF64	= 534,
+    SystemZ_IIHH	= 535,
+    SystemZ_IIHH64	= 536,
+    SystemZ_IIHL	= 537,
+    SystemZ_IIHL64	= 538,
+    SystemZ_IIHMux	= 539,
+    SystemZ_IILF	= 540,
+    SystemZ_IILF64	= 541,
+    SystemZ_IILH	= 542,
+    SystemZ_IILH64	= 543,
+    SystemZ_IILL	= 544,
+    SystemZ_IILL64	= 545,
+    SystemZ_IILMux	= 546,
+    SystemZ_IPM	= 547,
+    SystemZ_J	= 548,
+    SystemZ_JG	= 549,
+    SystemZ_L	= 550,
+    SystemZ_L128	= 551,
+    SystemZ_LA	= 552,
+    SystemZ_LAA	= 553,
+    SystemZ_LAAG	= 554,
+    SystemZ_LAAL	= 555,
+    SystemZ_LAALG	= 556,
+    SystemZ_LAN	= 557,
+    SystemZ_LANG	= 558,
+    SystemZ_LAO	= 559,
+    SystemZ_LAOG	= 560,
+    SystemZ_LARL	= 561,
+    SystemZ_LAX	= 562,
+    SystemZ_LAXG	= 563,
+    SystemZ_LAY	= 564,
+    SystemZ_LB	= 565,
+    SystemZ_LBH	= 566,
+    SystemZ_LBMux	= 567,
+    SystemZ_LBR	= 568,
+    SystemZ_LCDBR	= 569,
+    SystemZ_LCEBR	= 570,
+    SystemZ_LCGFR	= 571,
+    SystemZ_LCGR	= 572,
+    SystemZ_LCR	= 573,
+    SystemZ_LCXBR	= 574,
+    SystemZ_LD	= 575,
+    SystemZ_LDEB	= 576,
+    SystemZ_LDEBR	= 577,
+    SystemZ_LDGR	= 578,
+    SystemZ_LDR	= 579,
+    SystemZ_LDXBR	= 580,
+    SystemZ_LDXBRA	= 581,
+    SystemZ_LDY	= 582,
+    SystemZ_LE	= 583,
+    SystemZ_LEDBR	= 584,
+    SystemZ_LEDBRA	= 585,
+    SystemZ_LER	= 586,
+    SystemZ_LEXBR	= 587,
+    SystemZ_LEXBRA	= 588,
+    SystemZ_LEY	= 589,
+    SystemZ_LFH	= 590,
+    SystemZ_LG	= 591,
+    SystemZ_LGB	= 592,
+    SystemZ_LGBR	= 593,
+    SystemZ_LGDR	= 594,
+    SystemZ_LGF	= 595,
+    SystemZ_LGFI	= 596,
+    SystemZ_LGFR	= 597,
+    SystemZ_LGFRL	= 598,
+    SystemZ_LGH	= 599,
+    SystemZ_LGHI	= 600,
+    SystemZ_LGHR	= 601,
+    SystemZ_LGHRL	= 602,
+    SystemZ_LGR	= 603,
+    SystemZ_LGRL	= 604,
+    SystemZ_LH	= 605,
+    SystemZ_LHH	= 606,
+    SystemZ_LHI	= 607,
+    SystemZ_LHIMux	= 608,
+    SystemZ_LHMux	= 609,
+    SystemZ_LHR	= 610,
+    SystemZ_LHRL	= 611,
+    SystemZ_LHY	= 612,
+    SystemZ_LLC	= 613,
+    SystemZ_LLCH	= 614,
+    SystemZ_LLCMux	= 615,
+    SystemZ_LLCR	= 616,
+    SystemZ_LLCRMux	= 617,
+    SystemZ_LLGC	= 618,
+    SystemZ_LLGCR	= 619,
+    SystemZ_LLGF	= 620,
+    SystemZ_LLGFR	= 621,
+    SystemZ_LLGFRL	= 622,
+    SystemZ_LLGH	= 623,
+    SystemZ_LLGHR	= 624,
+    SystemZ_LLGHRL	= 625,
+    SystemZ_LLH	= 626,
+    SystemZ_LLHH	= 627,
+    SystemZ_LLHMux	= 628,
+    SystemZ_LLHR	= 629,
+    SystemZ_LLHRL	= 630,
+    SystemZ_LLHRMux	= 631,
+    SystemZ_LLIHF	= 632,
+    SystemZ_LLIHH	= 633,
+    SystemZ_LLIHL	= 634,
+    SystemZ_LLILF	= 635,
+    SystemZ_LLILH	= 636,
+    SystemZ_LLILL	= 637,
+    SystemZ_LMG	= 638,
+    SystemZ_LMux	= 639,
+    SystemZ_LNDBR	= 640,
+    SystemZ_LNEBR	= 641,
+    SystemZ_LNGFR	= 642,
+    SystemZ_LNGR	= 643,
+    SystemZ_LNR	= 644,
+    SystemZ_LNXBR	= 645,
+    SystemZ_LOC	= 646,
+    SystemZ_LOCG	= 647,
+    SystemZ_LOCGR	= 648,
+    SystemZ_LOCR	= 649,
+    SystemZ_LPDBR	= 650,
+    SystemZ_LPEBR	= 651,
+    SystemZ_LPGFR	= 652,
+    SystemZ_LPGR	= 653,
+    SystemZ_LPR	= 654,
+    SystemZ_LPXBR	= 655,
+    SystemZ_LR	= 656,
+    SystemZ_LRL	= 657,
+    SystemZ_LRMux	= 658,
+    SystemZ_LRV	= 659,
+    SystemZ_LRVG	= 660,
+    SystemZ_LRVGR	= 661,
+    SystemZ_LRVR	= 662,
+    SystemZ_LT	= 663,
+    SystemZ_LTDBR	= 664,
+    SystemZ_LTDBRCompare	= 665,
+    SystemZ_LTEBR	= 666,
+    SystemZ_LTEBRCompare	= 667,
+    SystemZ_LTG	= 668,
+    SystemZ_LTGF	= 669,
+    SystemZ_LTGFR	= 670,
+    SystemZ_LTGR	= 671,
+    SystemZ_LTR	= 672,
+    SystemZ_LTXBR	= 673,
+    SystemZ_LTXBRCompare	= 674,
+    SystemZ_LX	= 675,
+    SystemZ_LXDB	= 676,
+    SystemZ_LXDBR	= 677,
+    SystemZ_LXEB	= 678,
+    SystemZ_LXEBR	= 679,
+    SystemZ_LXR	= 680,
+    SystemZ_LY	= 681,
+    SystemZ_LZDR	= 682,
+    SystemZ_LZER	= 683,
+    SystemZ_LZXR	= 684,
+    SystemZ_MADB	= 685,
+    SystemZ_MADBR	= 686,
+    SystemZ_MAEB	= 687,
+    SystemZ_MAEBR	= 688,
+    SystemZ_MDB	= 689,
+    SystemZ_MDBR	= 690,
+    SystemZ_MDEB	= 691,
+    SystemZ_MDEBR	= 692,
+    SystemZ_MEEB	= 693,
+    SystemZ_MEEBR	= 694,
+    SystemZ_MGHI	= 695,
+    SystemZ_MH	= 696,
+    SystemZ_MHI	= 697,
+    SystemZ_MHY	= 698,
+    SystemZ_MLG	= 699,
+    SystemZ_MLGR	= 700,
+    SystemZ_MS	= 701,
+    SystemZ_MSDB	= 702,
+    SystemZ_MSDBR	= 703,
+    SystemZ_MSEB	= 704,
+    SystemZ_MSEBR	= 705,
+    SystemZ_MSFI	= 706,
+    SystemZ_MSG	= 707,
+    SystemZ_MSGF	= 708,
+    SystemZ_MSGFI	= 709,
+    SystemZ_MSGFR	= 710,
+    SystemZ_MSGR	= 711,
+    SystemZ_MSR	= 712,
+    SystemZ_MSY	= 713,
+    SystemZ_MVC	= 714,
+    SystemZ_MVCLoop	= 715,
+    SystemZ_MVCSequence	= 716,
+    SystemZ_MVGHI	= 717,
+    SystemZ_MVHHI	= 718,
+    SystemZ_MVHI	= 719,
+    SystemZ_MVI	= 720,
+    SystemZ_MVIY	= 721,
+    SystemZ_MVST	= 722,
+    SystemZ_MVSTLoop	= 723,
+    SystemZ_MXBR	= 724,
+    SystemZ_MXDB	= 725,
+    SystemZ_MXDBR	= 726,
+    SystemZ_N	= 727,
+    SystemZ_NC	= 728,
+    SystemZ_NCLoop	= 729,
+    SystemZ_NCSequence	= 730,
+    SystemZ_NG	= 731,
+    SystemZ_NGR	= 732,
+    SystemZ_NGRK	= 733,
+    SystemZ_NI	= 734,
+    SystemZ_NIFMux	= 735,
+    SystemZ_NIHF	= 736,
+    SystemZ_NIHF64	= 737,
+    SystemZ_NIHH	= 738,
+    SystemZ_NIHH64	= 739,
+    SystemZ_NIHL	= 740,
+    SystemZ_NIHL64	= 741,
+    SystemZ_NIHMux	= 742,
+    SystemZ_NILF	= 743,
+    SystemZ_NILF64	= 744,
+    SystemZ_NILH	= 745,
+    SystemZ_NILH64	= 746,
+    SystemZ_NILL	= 747,
+    SystemZ_NILL64	= 748,
+    SystemZ_NILMux	= 749,
+    SystemZ_NIY	= 750,
+    SystemZ_NR	= 751,
+    SystemZ_NRK	= 752,
+    SystemZ_NY	= 753,
+    SystemZ_O	= 754,
+    SystemZ_OC	= 755,
+    SystemZ_OCLoop	= 756,
+    SystemZ_OCSequence	= 757,
+    SystemZ_OG	= 758,
+    SystemZ_OGR	= 759,
+    SystemZ_OGRK	= 760,
+    SystemZ_OI	= 761,
+    SystemZ_OIFMux	= 762,
+    SystemZ_OIHF	= 763,
+    SystemZ_OIHF64	= 764,
+    SystemZ_OIHH	= 765,
+    SystemZ_OIHH64	= 766,
+    SystemZ_OIHL	= 767,
+    SystemZ_OIHL64	= 768,
+    SystemZ_OIHMux	= 769,
+    SystemZ_OILF	= 770,
+    SystemZ_OILF64	= 771,
+    SystemZ_OILH	= 772,
+    SystemZ_OILH64	= 773,
+    SystemZ_OILL	= 774,
+    SystemZ_OILL64	= 775,
+    SystemZ_OILMux	= 776,
+    SystemZ_OIY	= 777,
+    SystemZ_OR	= 778,
+    SystemZ_ORK	= 779,
+    SystemZ_OY	= 780,
+    SystemZ_PFD	= 781,
+    SystemZ_PFDRL	= 782,
+    SystemZ_RISBG	= 783,
+    SystemZ_RISBG32	= 784,
+    SystemZ_RISBHG	= 785,
+    SystemZ_RISBHH	= 786,
+    SystemZ_RISBHL	= 787,
+    SystemZ_RISBLG	= 788,
+    SystemZ_RISBLH	= 789,
+    SystemZ_RISBLL	= 790,
+    SystemZ_RISBMux	= 791,
+    SystemZ_RLL	= 792,
+    SystemZ_RLLG	= 793,
+    SystemZ_RNSBG	= 794,
+    SystemZ_ROSBG	= 795,
+    SystemZ_RXSBG	= 796,
+    SystemZ_Return	= 797,
+    SystemZ_S	= 798,
+    SystemZ_SDB	= 799,
+    SystemZ_SDBR	= 800,
+    SystemZ_SEB	= 801,
+    SystemZ_SEBR	= 802,
+    SystemZ_SG	= 803,
+    SystemZ_SGF	= 804,
+    SystemZ_SGFR	= 805,
+    SystemZ_SGR	= 806,
+    SystemZ_SGRK	= 807,
+    SystemZ_SH	= 808,
+    SystemZ_SHY	= 809,
+    SystemZ_SL	= 810,
+    SystemZ_SLB	= 811,
+    SystemZ_SLBG	= 812,
+    SystemZ_SLBR	= 813,
+    SystemZ_SLFI	= 814,
+    SystemZ_SLG	= 815,
+    SystemZ_SLGBR	= 816,
+    SystemZ_SLGF	= 817,
+    SystemZ_SLGFI	= 818,
+    SystemZ_SLGFR	= 819,
+    SystemZ_SLGR	= 820,
+    SystemZ_SLGRK	= 821,
+    SystemZ_SLL	= 822,
+    SystemZ_SLLG	= 823,
+    SystemZ_SLLK	= 824,
+    SystemZ_SLR	= 825,
+    SystemZ_SLRK	= 826,
+    SystemZ_SLY	= 827,
+    SystemZ_SQDB	= 828,
+    SystemZ_SQDBR	= 829,
+    SystemZ_SQEB	= 830,
+    SystemZ_SQEBR	= 831,
+    SystemZ_SQXBR	= 832,
+    SystemZ_SR	= 833,
+    SystemZ_SRA	= 834,
+    SystemZ_SRAG	= 835,
+    SystemZ_SRAK	= 836,
+    SystemZ_SRK	= 837,
+    SystemZ_SRL	= 838,
+    SystemZ_SRLG	= 839,
+    SystemZ_SRLK	= 840,
+    SystemZ_SRST	= 841,
+    SystemZ_SRSTLoop	= 842,
+    SystemZ_ST	= 843,
+    SystemZ_ST128	= 844,
+    SystemZ_STC	= 845,
+    SystemZ_STCH	= 846,
+    SystemZ_STCMux	= 847,
+    SystemZ_STCY	= 848,
+    SystemZ_STD	= 849,
+    SystemZ_STDY	= 850,
+    SystemZ_STE	= 851,
+    SystemZ_STEY	= 852,
+    SystemZ_STFH	= 853,
+    SystemZ_STG	= 854,
+    SystemZ_STGRL	= 855,
+    SystemZ_STH	= 856,
+    SystemZ_STHH	= 857,
+    SystemZ_STHMux	= 858,
+    SystemZ_STHRL	= 859,
+    SystemZ_STHY	= 860,
+    SystemZ_STMG	= 861,
+    SystemZ_STMux	= 862,
+    SystemZ_STOC	= 863,
+    SystemZ_STOCG	= 864,
+    SystemZ_STRL	= 865,
+    SystemZ_STRV	= 866,
+    SystemZ_STRVG	= 867,
+    SystemZ_STX	= 868,
+    SystemZ_STY	= 869,
+    SystemZ_SXBR	= 870,
+    SystemZ_SY	= 871,
+    SystemZ_Select32	= 872,
+    SystemZ_Select32Mux	= 873,
+    SystemZ_Select64	= 874,
+    SystemZ_SelectF128	= 875,
+    SystemZ_SelectF32	= 876,
+    SystemZ_SelectF64	= 877,
+    SystemZ_Serialize	= 878,
+    SystemZ_TM	= 879,
+    SystemZ_TMHH	= 880,
+    SystemZ_TMHH64	= 881,
+    SystemZ_TMHL	= 882,
+    SystemZ_TMHL64	= 883,
+    SystemZ_TMHMux	= 884,
+    SystemZ_TMLH	= 885,
+    SystemZ_TMLH64	= 886,
+    SystemZ_TMLL	= 887,
+    SystemZ_TMLL64	= 888,
+    SystemZ_TMLMux	= 889,
+    SystemZ_TMY	= 890,
+    SystemZ_X	= 891,
+    SystemZ_XC	= 892,
+    SystemZ_XCLoop	= 893,
+    SystemZ_XCSequence	= 894,
+    SystemZ_XG	= 895,
+    SystemZ_XGR	= 896,
+    SystemZ_XGRK	= 897,
+    SystemZ_XI	= 898,
+    SystemZ_XIFMux	= 899,
+    SystemZ_XIHF	= 900,
+    SystemZ_XIHF64	= 901,
+    SystemZ_XILF	= 902,
+    SystemZ_XILF64	= 903,
+    SystemZ_XIY	= 904,
+    SystemZ_XR	= 905,
+    SystemZ_XRK	= 906,
+    SystemZ_XY	= 907,
+    SystemZ_ZEXT128_32	= 908,
+    SystemZ_ZEXT128_64	= 909,
+    SystemZ_INSTRUCTION_LIST_END = 910
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/SystemZ/SystemZGenRegisterInfo.inc b/arch/SystemZ/SystemZGenRegisterInfo.inc
index 6552276..02b6f2d 100644
--- a/arch/SystemZ/SystemZGenRegisterInfo.inc
+++ b/arch/SystemZ/SystemZGenRegisterInfo.inc
@@ -313,7 +313,7 @@
 };
 
   // GRX32Bit Register Class...
-  static uint16_t GRX32Bit[] = {
+  static MCPhysReg GRX32Bit[] = {
     SystemZ_R0L, SystemZ_R1L, SystemZ_R2L, SystemZ_R3L, SystemZ_R4L, SystemZ_R5L, SystemZ_R0H, SystemZ_R1H, SystemZ_R2H, SystemZ_R3H, SystemZ_R4H, SystemZ_R5H, SystemZ_R15L, SystemZ_R15H, SystemZ_R14L, SystemZ_R14H, SystemZ_R13L, SystemZ_R13H, SystemZ_R12L, SystemZ_R12H, SystemZ_R11L, SystemZ_R11H, SystemZ_R10L, SystemZ_R10H, SystemZ_R9L, SystemZ_R9H, SystemZ_R8L, SystemZ_R8H, SystemZ_R7L, SystemZ_R7H, SystemZ_R6L, SystemZ_R6H, 
   };
 
@@ -323,7 +323,7 @@
   };
 
   // FP32Bit Register Class...
-  static uint16_t FP32Bit[] = {
+  static MCPhysReg FP32Bit[] = {
     SystemZ_F0S, SystemZ_F1S, SystemZ_F2S, SystemZ_F3S, SystemZ_F4S, SystemZ_F5S, SystemZ_F6S, SystemZ_F7S, SystemZ_F8S, SystemZ_F9S, SystemZ_F10S, SystemZ_F11S, SystemZ_F12S, SystemZ_F13S, SystemZ_F14S, SystemZ_F15S, 
   };
 
@@ -333,7 +333,7 @@
   };
 
   // GR32Bit Register Class...
-  static uint16_t GR32Bit[] = {
+  static MCPhysReg GR32Bit[] = {
     SystemZ_R0L, SystemZ_R1L, SystemZ_R2L, SystemZ_R3L, SystemZ_R4L, SystemZ_R5L, SystemZ_R15L, SystemZ_R14L, SystemZ_R13L, SystemZ_R12L, SystemZ_R11L, SystemZ_R10L, SystemZ_R9L, SystemZ_R8L, SystemZ_R7L, SystemZ_R6L, 
   };
 
@@ -343,7 +343,7 @@
   };
 
   // GRH32Bit Register Class...
-  static uint16_t GRH32Bit[] = {
+  static MCPhysReg GRH32Bit[] = {
     SystemZ_R0H, SystemZ_R1H, SystemZ_R2H, SystemZ_R3H, SystemZ_R4H, SystemZ_R5H, SystemZ_R15H, SystemZ_R14H, SystemZ_R13H, SystemZ_R12H, SystemZ_R11H, SystemZ_R10H, SystemZ_R9H, SystemZ_R8H, SystemZ_R7H, SystemZ_R6H, 
   };
 
@@ -353,7 +353,7 @@
   };
 
   // ADDR32Bit Register Class...
-  static uint16_t ADDR32Bit[] = {
+  static MCPhysReg ADDR32Bit[] = {
     SystemZ_R1L, SystemZ_R2L, SystemZ_R3L, SystemZ_R4L, SystemZ_R5L, SystemZ_R15L, SystemZ_R14L, SystemZ_R13L, SystemZ_R12L, SystemZ_R11L, SystemZ_R10L, SystemZ_R9L, SystemZ_R8L, SystemZ_R7L, SystemZ_R6L, 
   };
 
@@ -363,7 +363,7 @@
   };
 
   // CCRegs Register Class...
-  static uint16_t CCRegs[] = {
+  static MCPhysReg CCRegs[] = {
     SystemZ_CC, 
   };
 
@@ -373,7 +373,7 @@
   };
 
   // FP64Bit Register Class...
-  static uint16_t FP64Bit[] = {
+  static MCPhysReg FP64Bit[] = {
     SystemZ_F0D, SystemZ_F1D, SystemZ_F2D, SystemZ_F3D, SystemZ_F4D, SystemZ_F5D, SystemZ_F6D, SystemZ_F7D, SystemZ_F8D, SystemZ_F9D, SystemZ_F10D, SystemZ_F11D, SystemZ_F12D, SystemZ_F13D, SystemZ_F14D, SystemZ_F15D, 
   };
 
@@ -383,7 +383,7 @@
   };
 
   // GR64Bit Register Class...
-  static uint16_t GR64Bit[] = {
+  static MCPhysReg GR64Bit[] = {
     SystemZ_R0D, SystemZ_R1D, SystemZ_R2D, SystemZ_R3D, SystemZ_R4D, SystemZ_R5D, SystemZ_R15D, SystemZ_R14D, SystemZ_R13D, SystemZ_R12D, SystemZ_R11D, SystemZ_R10D, SystemZ_R9D, SystemZ_R8D, SystemZ_R7D, SystemZ_R6D, 
   };
 
@@ -393,7 +393,7 @@
   };
 
   // ADDR64Bit Register Class...
-  static uint16_t ADDR64Bit[] = {
+  static MCPhysReg ADDR64Bit[] = {
     SystemZ_R1D, SystemZ_R2D, SystemZ_R3D, SystemZ_R4D, SystemZ_R5D, SystemZ_R15D, SystemZ_R14D, SystemZ_R13D, SystemZ_R12D, SystemZ_R11D, SystemZ_R10D, SystemZ_R9D, SystemZ_R8D, SystemZ_R7D, SystemZ_R6D, 
   };
 
@@ -403,7 +403,7 @@
   };
 
   // FP128Bit Register Class...
-  static uint16_t FP128Bit[] = {
+  static MCPhysReg FP128Bit[] = {
     SystemZ_F0Q, SystemZ_F1Q, SystemZ_F4Q, SystemZ_F5Q, SystemZ_F8Q, SystemZ_F9Q, SystemZ_F12Q, SystemZ_F13Q, 
   };
 
@@ -413,7 +413,7 @@
   };
 
   // GR128Bit Register Class...
-  static uint16_t GR128Bit[] = {
+  static MCPhysReg GR128Bit[] = {
     SystemZ_R0Q, SystemZ_R2Q, SystemZ_R4Q, SystemZ_R12Q, SystemZ_R10Q, SystemZ_R8Q, SystemZ_R6Q, SystemZ_R14Q, 
   };
 
@@ -423,7 +423,7 @@
   };
 
   // ADDR128Bit Register Class...
-  static uint16_t ADDR128Bit[] = {
+  static MCPhysReg ADDR128Bit[] = {
     SystemZ_R2Q, SystemZ_R4Q, SystemZ_R12Q, SystemZ_R10Q, SystemZ_R8Q, SystemZ_R6Q, SystemZ_R14Q, 
   };
 
diff --git a/arch/SystemZ/SystemZMapping.c b/arch/SystemZ/SystemZMapping.c
index c8e44a6..ba7698a 100644
--- a/arch/SystemZ/SystemZMapping.c
+++ b/arch/SystemZ/SystemZMapping.c
@@ -144,7 +144,7 @@
 	{
 		SystemZ_AGHIK, SYSZ_INS_AGHIK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -156,7 +156,7 @@
 	{
 		SystemZ_AGRK, SYSZ_INS_AGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -180,7 +180,7 @@
 	{
 		SystemZ_AHIK, SYSZ_INS_AHIK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -192,7 +192,7 @@
 	{
 		SystemZ_AIH, SYSZ_INS_AIH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -258,7 +258,7 @@
 	{
 		SystemZ_ALGHSIK, SYSZ_INS_ALGHSIK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -270,13 +270,13 @@
 	{
 		SystemZ_ALGRK, SYSZ_INS_ALGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_ALHSIK, SYSZ_INS_ALHSIK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -288,7 +288,7 @@
 	{
 		SystemZ_ALRK, SYSZ_INS_ALRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -306,7 +306,7 @@
 	{
 		SystemZ_ARK, SYSZ_INS_ARK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -414,37 +414,37 @@
 	{
 		SystemZ_AsmELOC, SYSZ_INS_LOCE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmELOCG, SYSZ_INS_LOCGE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmELOCGR, SYSZ_INS_LOCGRE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmELOCR, SYSZ_INS_LOCRE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmESTOC, SYSZ_INS_STOCE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmESTOCG, SYSZ_INS_STOCGE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -474,37 +474,37 @@
 	{
 		SystemZ_AsmHELOC, SYSZ_INS_LOCHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHELOCG, SYSZ_INS_LOCGHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHELOCGR, SYSZ_INS_LOCGRHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHELOCR, SYSZ_INS_LOCRHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHESTOC, SYSZ_INS_STOCHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHESTOCG, SYSZ_INS_STOCGHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -522,37 +522,37 @@
 	{
 		SystemZ_AsmHLOC, SYSZ_INS_LOCH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHLOCG, SYSZ_INS_LOCGH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHLOCGR, SYSZ_INS_LOCGRH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHLOCR, SYSZ_INS_LOCRH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHSTOC, SYSZ_INS_STOCH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmHSTOCG, SYSZ_INS_STOCGH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1158,37 +1158,37 @@
 	{
 		SystemZ_AsmLELOC, SYSZ_INS_LOCLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLELOCG, SYSZ_INS_LOCGLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLELOCGR, SYSZ_INS_LOCGRLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLELOCR, SYSZ_INS_LOCRLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLESTOC, SYSZ_INS_STOCLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLESTOCG, SYSZ_INS_STOCGLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1212,37 +1212,37 @@
 	{
 		SystemZ_AsmLHLOC, SYSZ_INS_LOCLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLHLOCG, SYSZ_INS_LOCGLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLHLOCGR, SYSZ_INS_LOCGRLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLHLOCR, SYSZ_INS_LOCRLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLHSTOC, SYSZ_INS_STOCLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLHSTOCG, SYSZ_INS_STOCGLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1260,61 +1260,61 @@
 	{
 		SystemZ_AsmLLOC, SYSZ_INS_LOCL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLLOCG, SYSZ_INS_LOCGL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLLOCGR, SYSZ_INS_LOCGRL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLLOCR, SYSZ_INS_LOCRL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLOC, SYSZ_INS_LOC,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLOCG, SYSZ_INS_LOCG,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLOCGR, SYSZ_INS_LOCGR,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLOCR, SYSZ_INS_LOCR,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLSTOC, SYSZ_INS_STOCL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmLSTOCG, SYSZ_INS_STOCGL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1338,37 +1338,37 @@
 	{
 		SystemZ_AsmNELOC, SYSZ_INS_LOCNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNELOCG, SYSZ_INS_LOCGNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNELOCGR, SYSZ_INS_LOCGRNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNELOCR, SYSZ_INS_LOCRNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNESTOC, SYSZ_INS_STOCNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNESTOCG, SYSZ_INS_STOCGNE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1398,37 +1398,37 @@
 	{
 		SystemZ_AsmNHELOC, SYSZ_INS_LOCNHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHELOCG, SYSZ_INS_LOCGNHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHELOCGR, SYSZ_INS_LOCGRNHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHELOCR, SYSZ_INS_LOCRNHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHESTOC, SYSZ_INS_STOCNHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHESTOCG, SYSZ_INS_STOCGNHE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1446,37 +1446,37 @@
 	{
 		SystemZ_AsmNHLOC, SYSZ_INS_LOCNH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHLOCG, SYSZ_INS_LOCGNH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHLOCGR, SYSZ_INS_LOCGRNH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHLOCR, SYSZ_INS_LOCRNH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHSTOC, SYSZ_INS_STOCNH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNHSTOCG, SYSZ_INS_STOCGNH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1506,37 +1506,37 @@
 	{
 		SystemZ_AsmNLELOC, SYSZ_INS_LOCNLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLELOCG, SYSZ_INS_LOCGNLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLELOCGR, SYSZ_INS_LOCGRNLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLELOCR, SYSZ_INS_LOCRNLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLESTOC, SYSZ_INS_STOCNLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLESTOCG, SYSZ_INS_STOCGNLE,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1560,37 +1560,37 @@
 	{
 		SystemZ_AsmNLHLOC, SYSZ_INS_LOCNLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLHLOCG, SYSZ_INS_LOCGNLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLHLOCGR, SYSZ_INS_LOCGRNLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLHLOCR, SYSZ_INS_LOCRNLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLHSTOC, SYSZ_INS_STOCNLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLHSTOCG, SYSZ_INS_STOCGNLH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1608,37 +1608,37 @@
 	{
 		SystemZ_AsmNLLOC, SYSZ_INS_LOCNL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLLOCG, SYSZ_INS_LOCGNL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLLOCGR, SYSZ_INS_LOCGRNL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLLOCR, SYSZ_INS_LOCRNL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLSTOC, SYSZ_INS_STOCNL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNLSTOCG, SYSZ_INS_STOCGNL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1662,37 +1662,37 @@
 	{
 		SystemZ_AsmNOLOC, SYSZ_INS_LOCNO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNOLOCG, SYSZ_INS_LOCGNO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNOLOCGR, SYSZ_INS_LOCGRNO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNOLOCR, SYSZ_INS_LOCRNO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNOSTOC, SYSZ_INS_STOCNO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmNOSTOCG, SYSZ_INS_STOCGNO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1716,49 +1716,49 @@
 	{
 		SystemZ_AsmOLOC, SYSZ_INS_LOCO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmOLOCG, SYSZ_INS_LOCGO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmOLOCGR, SYSZ_INS_LOCGRO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmOLOCR, SYSZ_INS_LOCRO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmOSTOC, SYSZ_INS_STOCO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmOSTOCG, SYSZ_INS_STOCGO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmSTOC, SYSZ_INS_STOC,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_AsmSTOCG, SYSZ_INS_STOCG,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1842,13 +1842,13 @@
 	{
 		SystemZ_CDLFBR, SYSZ_INS_CDLFBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_CDLGBR, SYSZ_INS_CDLGBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -1878,13 +1878,13 @@
 	{
 		SystemZ_CELFBR, SYSZ_INS_CELFBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_CELGBR, SYSZ_INS_CELGBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2016,7 +2016,7 @@
 	{
 		SystemZ_CHF, SYSZ_INS_CHF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2052,7 +2052,7 @@
 	{
 		SystemZ_CIH, SYSZ_INS_CIH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2076,13 +2076,13 @@
 	{
 		SystemZ_CLFDBR, SYSZ_INS_CLFDBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_CLFEBR, SYSZ_INS_CLFEBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2100,7 +2100,7 @@
 	{
 		SystemZ_CLFXBR, SYSZ_INS_CLFXBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2112,13 +2112,13 @@
 	{
 		SystemZ_CLGDBR, SYSZ_INS_CLGDBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_CLGEBR, SYSZ_INS_CLGEBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2184,13 +2184,13 @@
 	{
 		SystemZ_CLGXBR, SYSZ_INS_CLGXBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_CLHF, SYSZ_INS_CLHF,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2214,7 +2214,7 @@
 	{
 		SystemZ_CLIH, SYSZ_INS_CLIH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2340,13 +2340,13 @@
 	{
 		SystemZ_CXLFBR, SYSZ_INS_CXLFBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_CXLGBR, SYSZ_INS_CXLGBR,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2448,7 +2448,7 @@
 	{
 		SystemZ_FIDBRA, SYSZ_INS_FIDBRA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2460,7 +2460,7 @@
 	{
 		SystemZ_FIEBRA, SYSZ_INS_FIEBRA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2472,7 +2472,7 @@
 	{
 		SystemZ_FIXBRA, SYSZ_INS_FIXBRA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREFPEXTENSION, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2574,49 +2574,49 @@
 	{
 		SystemZ_LAA, SYSZ_INS_LAA,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAAG, SYSZ_INS_LAAG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAAL, SYSZ_INS_LAAL,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAALG, SYSZ_INS_LAALG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAN, SYSZ_INS_LAN,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LANG, SYSZ_INS_LANG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAO, SYSZ_INS_LAO,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAOG, SYSZ_INS_LAOG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2628,13 +2628,13 @@
 	{
 		SystemZ_LAX, SYSZ_INS_LAX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LAXG, SYSZ_INS_LAXG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREINTERLOCKEDACCESS1, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_INTERLOCKEDACCESS1, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2652,7 +2652,7 @@
 	{
 		SystemZ_LBH, SYSZ_INS_LBH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2734,6 +2734,12 @@
 #endif
 	},
 	{
+		SystemZ_LDXBRA, SYSZ_INS_LDXBRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
+#endif
+	},
+	{
 		SystemZ_LDY, SYSZ_INS_LDY,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2752,6 +2758,12 @@
 #endif
 	},
 	{
+		SystemZ_LEDBRA, SYSZ_INS_LEDBRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
+#endif
+	},
+	{
 		SystemZ_LER, SYSZ_INS_LER,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2764,6 +2776,12 @@
 #endif
 	},
 	{
+		SystemZ_LEXBRA, SYSZ_INS_LEXBRA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { SYSZ_GRP_FPEXTENSION, 0 }, 0, 0
+#endif
+	},
+	{
 		SystemZ_LEY, SYSZ_INS_LEY,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -2772,7 +2790,7 @@
 	{
 		SystemZ_LFH, SYSZ_INS_LFH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2868,7 +2886,7 @@
 	{
 		SystemZ_LHH, SYSZ_INS_LHH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2904,7 +2922,7 @@
 	{
 		SystemZ_LLCH, SYSZ_INS_LLCH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -2970,7 +2988,7 @@
 	{
 		SystemZ_LLHH, SYSZ_INS_LLHH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3066,25 +3084,25 @@
 	{
 		SystemZ_LOC, SYSZ_INS_LOC,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LOCG, SYSZ_INS_LOCG,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LOCGR, SYSZ_INS_LOCGR,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_LOCR, SYSZ_INS_LOCR,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3546,7 +3564,7 @@
 	{
 		SystemZ_NGRK, SYSZ_INS_NGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3606,7 +3624,7 @@
 	{
 		SystemZ_NRK, SYSZ_INS_NRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3642,7 +3660,7 @@
 	{
 		SystemZ_OGRK, SYSZ_INS_OGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3702,7 +3720,7 @@
 	{
 		SystemZ_ORK, SYSZ_INS_ORK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3738,13 +3756,13 @@
 	{
 		SystemZ_RISBHG, SYSZ_INS_RISBHG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_RISBLG, SYSZ_INS_RISBLG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3834,7 +3852,7 @@
 	{
 		SystemZ_SGRK, SYSZ_INS_SGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3918,7 +3936,7 @@
 	{
 		SystemZ_SLGRK, SYSZ_INS_SLGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3936,7 +3954,7 @@
 	{
 		SystemZ_SLLK, SYSZ_INS_SLLK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -3948,7 +3966,7 @@
 	{
 		SystemZ_SLRK, SYSZ_INS_SLRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4008,13 +4026,13 @@
 	{
 		SystemZ_SRAK, SYSZ_INS_SRAK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_SRK, SYSZ_INS_SRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4032,7 +4050,7 @@
 	{
 		SystemZ_SRLK, SYSZ_INS_SRLK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4056,7 +4074,7 @@
 	{
 		SystemZ_STCH, SYSZ_INS_STCH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4092,7 +4110,7 @@
 	{
 		SystemZ_STFH, SYSZ_INS_STFH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4116,7 +4134,7 @@
 	{
 		SystemZ_STHH, SYSZ_INS_STHH,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { SYSZ_GRP_FEATUREHIGHWORD, 0 }, 0, 0
+		{ 0 }, { 0 }, { SYSZ_GRP_HIGHWORD, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4140,13 +4158,13 @@
 	{
 		SystemZ_STOC, SYSZ_INS_STOC,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
 		SystemZ_STOCG, SYSZ_INS_STOCG,
 #ifndef CAPSTONE_DIET
-		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_FEATURELOADSTOREONCOND, 0 }, 0, 0
+		{ SYSZ_REG_CC, 0 }, { 0 }, { SYSZ_GRP_LOADSTOREONCOND, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4248,7 +4266,7 @@
 	{
 		SystemZ_XGRK, SYSZ_INS_XGRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4284,7 +4302,7 @@
 	{
 		SystemZ_XRK, SYSZ_INS_XRK,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_FEATUREDISTINCTOPS, 0 }, 0, 0
+		{ 0 }, { SYSZ_REG_CC, 0 }, { SYSZ_GRP_DISTINCTOPS, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4757,11 +4775,14 @@
 	{ SYSZ_INS_LDGR, "ldgr" },
 	{ SYSZ_INS_LDR, "ldr" },
 	{ SYSZ_INS_LDXBR, "ldxbr" },
+	{ SYSZ_INS_LDXBRA, "ldxbra" },
 	{ SYSZ_INS_LDY, "ldy" },
 	{ SYSZ_INS_LE, "le" },
 	{ SYSZ_INS_LEDBR, "ledbr" },
+	{ SYSZ_INS_LEDBRA, "ledbra" },
 	{ SYSZ_INS_LER, "ler" },
 	{ SYSZ_INS_LEXBR, "lexbr" },
+	{ SYSZ_INS_LEXBRA, "lexbra" },
 	{ SYSZ_INS_LEY, "ley" },
 	{ SYSZ_INS_LFH, "lfh" },
 	{ SYSZ_INS_LG, "lg" },
@@ -5035,6 +5056,31 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ SYSZ_GRP_INVALID, NULL },
+	{ SYSZ_GRP_DISTINCTOPS, "distinctops" },
+	{ SYSZ_GRP_FPEXTENSION, "fpextension" },
+	{ SYSZ_GRP_HIGHWORD, "highword" },
+	{ SYSZ_GRP_INTERLOCKEDACCESS1, "interlockedaccess1" },
+	{ SYSZ_GRP_LOADSTOREONCOND, "loadstoreoncond" },
+
+	{ SYSZ_GRP_JUMP, "jump" },
+};
+#endif
+
+const char *SystemZ_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= SYSZ_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 // map internal raw register to 'public' register
 sysz_reg SystemZ_map_register(unsigned int r)
 {
diff --git a/arch/SystemZ/SystemZMapping.h b/arch/SystemZ/SystemZMapping.h
index 21f8d43..0abb772 100644
--- a/arch/SystemZ/SystemZMapping.h
+++ b/arch/SystemZ/SystemZMapping.h
@@ -14,6 +14,8 @@
 
 const char *SystemZ_insn_name(csh handle, unsigned int id);
 
+const char *SystemZ_group_name(csh handle, unsigned int id);
+
 // map internal raw register to 'public' register
 sysz_reg SystemZ_map_register(unsigned int r);
 
diff --git a/arch/SystemZ/SystemZModule.c b/arch/SystemZ/SystemZModule.c
index 267c046..afcf37f 100644
--- a/arch/SystemZ/SystemZModule.c
+++ b/arch/SystemZ/SystemZModule.c
@@ -25,6 +25,7 @@
 	ud->reg_name = SystemZ_reg_name;
 	ud->insn_id = SystemZ_get_insn_id;
 	ud->insn_name = SystemZ_insn_name;
+	ud->group_name = SystemZ_group_name;
 
 	return CS_ERR_OK;
 }
@@ -32,7 +33,7 @@
 static cs_err option(cs_struct *handle, cs_opt_type type, size_t value)
 {
 	if (type == CS_OPT_SYNTAX)
-		handle->syntax = value;
+		handle->syntax = (int) value;
 
 	return CS_ERR_OK;
 }
diff --git a/arch/X86/X86ATTInstPrinter.c b/arch/X86/X86ATTInstPrinter.c
index 0ebc41d..537ddcc 100644
--- a/arch/X86/X86ATTInstPrinter.c
+++ b/arch/X86/X86ATTInstPrinter.c
@@ -29,8 +29,7 @@
 #include "../../SStream.h"
 #include "../../MCRegisterInfo.h"
 #include "X86Mapping.h"
-
-#define markup(x) ""
+#include "X86BaseInfo.h"
 
 
 #define GET_INSTRINFO_ENUM
@@ -43,6 +42,18 @@
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O);
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O);
 
+
+static void set_mem_access(MCInst *MI, bool status)
+{
+	if (MI->csh->detail != CS_OPT_ON)
+		return;
+
+	MI->csh->doing_mem = status;
+	if (!status)
+		// done, create the next operand slot
+		MI->flat_insn->detail->x86.op_count++;
+}
+
 static void printopaquemem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	printMemReference(MI, OpNo, O);
@@ -50,67 +61,88 @@
 
 static void printi8mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 1;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi16mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	if (MI->Opcode == X86_BOUNDS16rm)
+		MI->x86opsize = 4;
+	else
+		MI->x86opsize = 2;
+
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi32mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	if (MI->Opcode == X86_BOUNDS32rm)
+		MI->x86opsize = 8;
+	else
+		MI->x86opsize = 4;
+
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi64mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 8;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi128mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 16;
 	printMemReference(MI, OpNo, O);
 }
 
 #ifndef CAPSTONE_X86_REDUCE
 static void printi256mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 32;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi512mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 64;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf32mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 4;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf64mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 8;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf80mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 10;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf128mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 16;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf256mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 32;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf512mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 64;
 	printMemReference(MI, OpNo, O);
 }
 
@@ -182,82 +214,152 @@
 {
 	int64_t Imm = MCOperand_getImm(MCInst_getOperand(MI, Op)) & 0x3;
 	switch (Imm) {
-		case 0: SStream_concat0(O, "{rn-sae}"); break;
-		case 1: SStream_concat0(O, "{rd-sae}"); break;
-		case 2: SStream_concat0(O, "{ru-sae}"); break;
-		case 3: SStream_concat0(O, "{rz-sae}"); break;
+		case 0: SStream_concat0(O, "{rn-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RN); break;
+		case 1: SStream_concat0(O, "{rd-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RD); break;
+		case 2: SStream_concat0(O, "{ru-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RU); break;
+		case 3: SStream_concat0(O, "{rz-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RZ); break;
 		default: break;	// nev0er reach
 	}
 }
 
 #endif
 
+static void printRegName(SStream *OS, unsigned RegNo);
+
+// local printOperand, without updating public operands
+static void _printOperand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	MCOperand *Op  = MCInst_getOperand(MI, OpNo);
+	if (MCOperand_isReg(Op)) {
+		printRegName(O, MCOperand_getReg(Op));
+	} else if (MCOperand_isImm(Op)) {
+		// Print X86 immediates as signed values.
+		int64_t imm = MCOperand_getImm(Op);
+		if (imm < 0) {
+			if (imm < -HEX_THRESHOLD)
+				SStream_concat(O, "$-0x%"PRIx64, -imm);
+			else
+				SStream_concat(O, "$-%"PRIu64, -imm);
+		} else {
+			if (imm > HEX_THRESHOLD)
+				SStream_concat(O, "$0x%"PRIx64, imm);
+			else
+				SStream_concat(O, "$%"PRIu64, imm);
+		}
+	}
+}
+
 static void printSrcIdx(MCInst *MI, unsigned Op, SStream *O)
 {
 	MCOperand *SegReg;
+	int reg;
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = 0;
+	}
 
 	SegReg = MCInst_getOperand(MI, Op+1);
-
-	SStream_concat0(O, markup("<mem:"));
+	reg = MCOperand_getReg(SegReg);
 
 	// If this has a segment register, print it.
-	if (MCOperand_getReg(SegReg)) {
-		printOperand(MI, Op+1, O);
+	if (reg) {
+		_printOperand(MI, Op+1, O);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
+		}
+
 		SStream_concat0(O, ":");
 	}
 
 	SStream_concat0(O, "(");
+	set_mem_access(MI, true);
 
 	printOperand(MI, Op, O);
 
-	SStream_concat(O, ")%s", markup(">"));
+	SStream_concat0(O, ")");
+	set_mem_access(MI, false);
 }
 
 static void printDstIdx(MCInst *MI, unsigned Op, SStream *O)
 {
-	SStream_concat(O, "%s%s", markup("<mem:"), "%es:(");
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = 0;
+	}
+
+	// DI accesses are always ES-based on non-64bit mode
+	if (MI->csh->mode != CS_MODE_64) {
+		SStream_concat0(O, "%es:(");
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_ES;
+		}
+	} else
+		SStream_concat0(O, "(");
+
+	set_mem_access(MI, true);
+
 	printOperand(MI, Op, O);
 
-	SStream_concat(O, ")%s", markup(">"));
+	SStream_concat0(O, ")");
+	set_mem_access(MI, false);
 }
 
 static void printSrcIdx8(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 1;
 	printSrcIdx(MI, OpNo, O);
 }
 
 static void printSrcIdx16(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 2;
 	printSrcIdx(MI, OpNo, O);
 }
 
 static void printSrcIdx32(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 4;
 	printSrcIdx(MI, OpNo, O);
 }
 
 static void printSrcIdx64(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 8;
 	printSrcIdx(MI, OpNo, O);
 }
 
 static void printDstIdx8(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 1;
 	printDstIdx(MI, OpNo, O);
 }
 
 static void printDstIdx16(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 2;
 	printDstIdx(MI, OpNo, O);
 }
 
 static void printDstIdx32(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 4;
 	printDstIdx(MI, OpNo, O);
 }
 
 static void printDstIdx64(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 8;
 	printDstIdx(MI, OpNo, O);
 }
 
@@ -265,23 +367,28 @@
 {
 	MCOperand *DispSpec = MCInst_getOperand(MI, Op);
 	MCOperand *SegReg = MCInst_getOperand(MI, Op+1);
-
-	SStream_concat0(O, markup("<mem:"));
-
-	// If this has a segment register, print it.
-	if (MCOperand_getReg(SegReg)) {
-		printOperand(MI, Op+1, O);
-		SStream_concat0(O, ":");
-	}
+	int reg;
 
 	if (MI->csh->detail) {
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = 0;
 	}
 
+	// If this has a segment register, print it.
+	reg = MCOperand_getReg(SegReg);
+	if (reg) {
+		_printOperand(MI, Op + 1, O);
+		SStream_concat0(O, ":");
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
+		}
+	}
+
 	if (MCOperand_isImm(DispSpec)) {
 		int64_t imm = MCOperand_getImm(DispSpec);
 		if (MI->csh->detail)
@@ -296,34 +403,34 @@
 		}
 	}
 
-	SStream_concat0(O, markup(">"));
-
 	if (MI->csh->detail)
 		MI->flat_insn->detail->x86.op_count++;
 }
 
 static void printMemOffs8(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 1;
 	printMemOffset(MI, OpNo, O);
 }
 
 static void printMemOffs16(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 2;
 	printMemOffset(MI, OpNo, O);
 }
 
 static void printMemOffs32(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 4;
 	printMemOffset(MI, OpNo, O);
 }
 
 static void printMemOffs64(MCInst *MI, unsigned OpNo, SStream *O)
 {
+	MI->x86opsize = 8;
 	printMemOffset(MI, OpNo, O);
 }
 
-static void printRegName(SStream *OS, unsigned RegNo);
-
 /// printPCRelImm - This is used to print an immediate value that ends up
 /// being encoded as a pc-relative value (e.g. for jumps and calls).  These
 /// print slightly differently than normal immediates.  For example, a $ is not
@@ -350,6 +457,7 @@
 		}
 		if (MI->csh->detail) {
 			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
+			MI->has_imm = 1;
 			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = imm;
 			MI->flat_insn->detail->x86.op_count++;
 		}
@@ -362,76 +470,71 @@
 	if (MCOperand_isReg(Op)) {
 		printRegName(O, MCOperand_getReg(Op));
 		if (MI->csh->detail) {
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_REG;
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].reg = MCOperand_getReg(Op);
-			MI->flat_insn->detail->x86.op_count++;
+			unsigned int reg = MCOperand_getReg(Op);
+			if (MI->csh->doing_mem) {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = reg;
+			} else {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_REG;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].reg = reg;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->csh->regsize_map[reg];
+				MI->flat_insn->detail->x86.op_count++;
+			}
 		}
 	} else if (MCOperand_isImm(Op)) {
 		// Print X86 immediates as signed values.
 		int64_t imm = MCOperand_getImm(Op);
 		if (imm >= 0) {
 			if (imm > HEX_THRESHOLD)
-				SStream_concat(O, "%s$0x%"PRIx64"%s", markup("<imm:"), imm, markup(">"));
+				SStream_concat(O, "$0x%"PRIx64, imm);
 			else
-				SStream_concat(O, "%s$%"PRIu64"%s", markup("<imm:"), imm, markup(">"));
+				SStream_concat(O, "$%"PRIu64, imm);
 		} else {
 			if (imm < -HEX_THRESHOLD)
-				SStream_concat(O, "%s$-0x%"PRIx64"%s", markup("<imm:"), -imm, markup(">"));
+				SStream_concat(O, "$-0x%"PRIx64, -imm);
 			else
-				SStream_concat(O, "%s$-%"PRIu64"%s", markup("<imm:"), -imm, markup(">"));
+				SStream_concat(O, "$-%"PRIu64, -imm);
 		}
 		if (MI->csh->detail) {
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = imm;
-			MI->flat_insn->detail->x86.op_count++;
-		}
-	}
-}
-
-// local printOperand, without updating public operands
-static void _printOperand(MCInst *MI, unsigned OpNo, SStream *O)
-{
-	MCOperand *Op  = MCInst_getOperand(MI, OpNo);
-	if (MCOperand_isReg(Op)) {
-		printRegName(O, MCOperand_getReg(Op));
-	} else if (MCOperand_isImm(Op)) {
-		// Print X86 immediates as signed values.
-		int64_t imm = MCOperand_getImm(Op);
-		if (imm < 0) {
-			if (imm < -HEX_THRESHOLD)
-				SStream_concat(O, "%s$-0x%"PRIx64"%s", markup("<imm:"), -imm, markup(">"));
-			else
-				SStream_concat(O, "%s$-%"PRIu64"%s", markup("<imm:"), -imm, markup(">"));
-		} else {
-			if (imm > HEX_THRESHOLD)
-				SStream_concat(O, "%s$0x%"PRIx64"%s", markup("<imm:"), imm, markup(">"));
-			else
-				SStream_concat(O, "%s$%"PRIu64"%s", markup("<imm:"), imm, markup(">"));
+			if (MI->csh->doing_mem) {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = imm;
+			} else {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
+				MI->has_imm = 1;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = imm;
+				MI->flat_insn->detail->x86.op_count++;
+			}
 		}
 	}
 }
 
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O)
 {
-	MCOperand *BaseReg  = MCInst_getOperand(MI, Op);
-	MCOperand *IndexReg  = MCInst_getOperand(MI, Op+2);
-	MCOperand *DispSpec = MCInst_getOperand(MI, Op+3);
-	MCOperand *SegReg = MCInst_getOperand(MI, Op+4);
+	MCOperand *BaseReg  = MCInst_getOperand(MI, Op + X86_AddrBaseReg);
+	MCOperand *IndexReg  = MCInst_getOperand(MI, Op + X86_AddrIndexReg);
+	MCOperand *DispSpec = MCInst_getOperand(MI, Op + X86_AddrDisp);
+	MCOperand *SegReg = MCInst_getOperand(MI, Op + X86_AddrSegmentReg);
 	uint64_t ScaleVal;
+	int reg;
 
 	if (MI->csh->detail) {
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = MCOperand_getReg(BaseReg);
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = MCOperand_getReg(IndexReg);
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = 0;
 	}
 
-	SStream_concat0(O, markup("<mem:"));
-
 	// If this has a segment register, print it.
-	if (MCOperand_getReg(SegReg)) {
-		_printOperand(MI, Op+4, O);
+	reg = MCOperand_getReg(SegReg);
+	if (reg) {
+		_printOperand(MI, Op + X86_AddrSegmentReg, O);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
+		}
+
 		SStream_concat0(O, ":");
 	}
 
@@ -455,23 +558,21 @@
 		SStream_concat0(O, "(");
 
 		if (MCOperand_getReg(BaseReg))
-			_printOperand(MI, Op, O);
+			_printOperand(MI, Op + X86_AddrBaseReg, O);
 
 		if (MCOperand_getReg(IndexReg)) {
 			SStream_concat0(O, ", ");
-			_printOperand(MI, Op+2, O);
-			ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op+1));
+			_printOperand(MI, Op + X86_AddrIndexReg, O);
+			ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op + X86_AddrScaleAmt));
 			if (MI->csh->detail)
 				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = (int)ScaleVal;
 			if (ScaleVal != 1) {
-				SStream_concat(O, ", %s%u%s", markup("<imm:"), ScaleVal, markup(">"));
+				SStream_concat(O, ", %u", ScaleVal);
 			}
 		}
 		SStream_concat0(O, ")");
 	}
 
-	SStream_concat0(O, markup(">"));
-
 	if (MI->csh->detail)
 		MI->flat_insn->detail->x86.op_count++;
 }
@@ -491,37 +592,59 @@
 
 static void printRegName(SStream *OS, unsigned RegNo)
 {
-	SStream_concat(OS, "%s%%%s%s", markup("<reg:"), getRegisterName(RegNo), markup(">"));
+	SStream_concat(OS, "%%%s", getRegisterName(RegNo));
 }
 
 void X86_ATT_printInst(MCInst *MI, SStream *OS, void *info)
 {
 	char *mnem;
-	unsigned int i;
 	x86_reg reg;
+	int i;
+
+	// Output CALLpcrel32 as "callq" in 64-bit mode.
+	// In Intel annotation it's always emitted as "call".
+	//
+	// TODO: Probably this hack should be redesigned via InstAlias in
+	// InstrInfo.td as soon as Requires clause is supported properly
+	// for InstAlias.
+	if (MI->csh->mode == CS_MODE_64 && MCInst_getOpcode(MI) == X86_CALLpcrel32) {
+		SStream_concat0(OS, "callq\t");
+		MCInst_setOpcodePub(MI, X86_INS_CALL);
+		printPCRelImm(MI, 0, OS);
+		return;
+	}
 
 	// Try to print any aliases first.
-	mnem = printAliasInstr(MI, OS, NULL);
+	mnem = printAliasInstr(MI, OS, info);
 	if (mnem)
 		cs_mem_free(mnem);
 	else
-		printInstruction(MI, OS, NULL);
+		printInstruction(MI, OS, info);
+
+	if (MI->has_imm) {
+		// if op_count > 1, then this operand's size is taken from the destination op
+		if (MI->flat_insn->detail->x86.op_count > 1) {
+			for (i = 0; i < MI->flat_insn->detail->x86.op_count; i++) {
+				if (MI->flat_insn->detail->x86.operands[i].type == X86_OP_IMM)
+					MI->flat_insn->detail->x86.operands[i].size = MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count - 1].size;
+			}
+		} else
+			MI->flat_insn->detail->x86.operands[0].size = MI->imm_size;
+	}
 
 	if (MI->csh->detail) {
 		// special instruction needs to supply register op
-		reg = X86_insn_reg(MCInst_getOpcode(MI));
+		// first op can be embedded in the asm by llvm.
+		// so we have to add the missing register as the first operand
+		reg = X86_insn_reg_att(MCInst_getOpcode(MI));
 		if (reg) {
-			// add register operand
-			for (i = 0;; i++) {
-				// find the first empty slot to put it there
-				if (MI->flat_insn->detail->x86.operands[i].type == 0) {
-					MI->flat_insn->detail->x86.operands[i].type = X86_OP_REG;
-					MI->flat_insn->detail->x86.operands[i].reg = reg;
-					MI->flat_insn->detail->x86.op_count++;
-					break;
-				}
-			}
-
+			// shift all the ops right to leave 1st slot for this new register op
+			memmove(&(MI->flat_insn->detail->x86.operands[1]), &(MI->flat_insn->detail->x86.operands[0]),
+					sizeof(MI->flat_insn->detail->x86.operands[0]) * (ARR_SIZE(MI->flat_insn->detail->x86.operands) - 1));
+			MI->flat_insn->detail->x86.operands[0].type = X86_OP_REG;
+			MI->flat_insn->detail->x86.operands[0].reg = reg;
+			MI->flat_insn->detail->x86.operands[0].size = MI->csh->regsize_map[reg];
+			MI->flat_insn->detail->x86.op_count++;
 		}
 	}
 }
diff --git a/arch/X86/X86BaseInfo.h b/arch/X86/X86BaseInfo.h
new file mode 100644
index 0000000..7708b06
--- /dev/null
+++ b/arch/X86/X86BaseInfo.h
@@ -0,0 +1,40 @@
+//===-- X86BaseInfo.h - Top level definitions for X86 -------- --*- C++ -*-===//
+//
+//                     The LLVM Compiler Infrastructure
+//
+// This file is distributed under the University of Illinois Open Source
+// License. See LICENSE.TXT for details.
+//
+//===----------------------------------------------------------------------===//
+//
+// This file contains small standalone helper functions and enum definitions for
+// the X86 target useful for the compiler back-end and the MC libraries.
+// As such, it deliberately does not include references to LLVM core
+// code gen types, passes, etc..
+//
+//===----------------------------------------------------------------------===//
+
+#ifndef CS_X86_BASEINFO_H
+#define CS_X86_BASEINFO_H
+
+/* Capstone Disassembly Engine */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
+
+// Enums for memory operand decoding.  Each memory operand is represented with
+// a 5 operand sequence in the form:
+//   [BaseReg, ScaleAmt, IndexReg, Disp, Segment]
+// These enums help decode this.
+enum {
+	X86_AddrBaseReg = 0,
+	X86_AddrScaleAmt = 1,
+	X86_AddrIndexReg = 2,
+	X86_AddrDisp = 3,
+
+	/// AddrSegmentReg - The operand # of the segment in the memory operand.
+	X86_AddrSegmentReg = 4,
+
+	/// AddrNumOperands - Total number of operands in a memory reference.
+	X86_AddrNumOperands = 5
+};
+
+#endif
diff --git a/arch/X86/X86Disassembler.c b/arch/X86/X86Disassembler.c
index 5a21ae4..12c469c 100644
--- a/arch/X86/X86Disassembler.c
+++ b/arch/X86/X86Disassembler.c
@@ -32,6 +32,7 @@
 #include "X86Mapping.h"
 
 #define GET_REGINFO_ENUM
+#define GET_REGINFO_MC_DESC
 #include "X86GenRegisterInfo.inc"
 
 #define GET_INSTRINFO_ENUM
@@ -574,7 +575,7 @@
 			return false;
 		case ENCODING_WRITEMASK:
 			return translateMaskRegister(mcInst, insn->writemask);
-		case ENCODING_RM:
+		CASE_ENCODING_RM:
 			return translateRM(mcInst, operand, insn);
 		case ENCODING_CB:
 		case ENCODING_CW:
@@ -672,20 +673,26 @@
 	prefixes[2] = inter->prefix2;
 	prefixes[3] = inter->prefix3;
 
-	pub->detail->x86.segment = x86_map_segment(inter->segmentOverride);
-
-	if (inter->vectorExtensionType > 0)
+	if (inter->vectorExtensionType != 0)
 		memcpy(pub->detail->x86.opcode, inter->vectorExtensionPrefix, sizeof(pub->detail->x86.opcode));
 	else {
-		pub->detail->x86.opcode[0] = inter->opcode;
-		pub->detail->x86.opcode[1] = inter->twoByteEscape;
-		pub->detail->x86.opcode[2] = inter->threeByteEscape;
+		if (inter->twoByteEscape) {
+			if (inter->threeByteEscape) {
+				pub->detail->x86.opcode[0] = inter->twoByteEscape;
+				pub->detail->x86.opcode[1] = inter->threeByteEscape;
+				pub->detail->x86.opcode[2] = inter->opcode;
+			} else {
+				pub->detail->x86.opcode[0] = inter->twoByteEscape;
+				pub->detail->x86.opcode[1] = inter->opcode;
+			}
+		} else {
+				pub->detail->x86.opcode[0] = inter->opcode;
+		}
 	}
 
-	pub->detail->x86.op_size = inter->operandSize;
+	pub->detail->x86.rex = inter->rexPrefix;
+
 	pub->detail->x86.addr_size = inter->addressSize;
-	pub->detail->x86.disp_size = inter->displacementSize;
-	pub->detail->x86.imm_size = inter->immediateSize;
 
 	pub->detail->x86.modrm = inter->orgModRM;
 	pub->detail->x86.sib = inter->sib;
@@ -696,6 +703,25 @@
 	pub->detail->x86.sib_base = x86_map_sib_base(inter->sibBase);
 }
 
+void X86_init(MCRegisterInfo *MRI)
+{
+	/*
+	   InitMCRegisterInfo(X86RegDesc, 234,
+	   RA, PC,
+	   X86MCRegisterClasses, 79,
+	   X86RegUnitRoots, 119, X86RegDiffLists, X86RegStrings,
+	   X86SubRegIdxLists, 7,
+	   X86SubRegIdxRanges, X86RegEncodingTable);
+	*/
+
+	MCRegisterInfo_InitMCRegisterInfo(MRI, X86RegDesc, 234,
+			0, 0,
+			X86MCRegisterClasses, 79,
+			0, 0, X86RegDiffLists, 0,
+			X86SubRegIdxLists, 7,
+			0);
+}
+
 // Public interface for the disassembler
 bool X86_getInstruction(csh ud, const uint8_t *code, size_t code_len,
 		MCInst *instr, uint16_t *size, uint64_t address, void *_info)
@@ -714,8 +740,14 @@
 
 	if (instr->flat_insn->detail) {
 		instr->flat_insn->detail->x86.op_count = 0;
+		instr->flat_insn->detail->x86.sse_cc = X86_SSE_CC_INVALID;
+		instr->flat_insn->detail->x86.avx_cc = X86_AVX_CC_INVALID;
+		instr->flat_insn->detail->x86.avx_sae = false;
+		instr->flat_insn->detail->x86.avx_rm = X86_AVX_RM_INVALID;
+
 		memset(instr->flat_insn->detail->x86.prefix, 0, sizeof(instr->flat_insn->detail->x86.prefix));
-		memset(instr->flat_insn->detail->x86.operands, 0, ARR_SIZE(instr->flat_insn->detail->x86.operands));
+		memset(instr->flat_insn->detail->x86.opcode, 0, sizeof(instr->flat_insn->detail->x86.opcode));
+		memset(instr->flat_insn->detail->x86.operands, 0, sizeof(instr->flat_insn->detail->x86.operands));
 	}
 
 	if (handle->mode & CS_MODE_16)
@@ -743,18 +775,16 @@
 
 		result = (!translateInstruction(instr, &insn)) ?  true : false;
 		if (result) {
-			if (handle->detail)
+			if (handle->detail) {
 				update_pub_insn(instr->flat_insn, &insn, instr->x86_prefix);
-			else {
-				// copy all prefixes
+				instr->imm_size = insn.immSize;
+			} else {
+				// still copy all prefixes
 				instr->x86_prefix[0] = insn.prefix0;
 				instr->x86_prefix[1] = insn.prefix1;
 				instr->x86_prefix[2] = insn.prefix2;
 				instr->x86_prefix[3] = insn.prefix3;
 			}
-
-			// save immediate size to print immediate properly
-			instr->x86_imm_size = insn.immediateSize;
 		}
 
 		return result;
diff --git a/arch/X86/X86Disassembler.h b/arch/X86/X86Disassembler.h
index 7da13a1..2c21ede 100644
--- a/arch/X86/X86Disassembler.h
+++ b/arch/X86/X86Disassembler.h
@@ -83,18 +83,12 @@
 
 #include "../../MCInst.h"
 
-#define INSTRUCTION_SPECIFIER_FIELDS \
-  uint16_t operands;
-
-#define INSTRUCTION_IDS               \
-  uint16_t instructionIDs;
-
+#include "../../MCRegisterInfo.h"
 #include "X86DisassemblerDecoderCommon.h"
 
-#undef INSTRUCTION_SPECIFIER_FIELDS
-#undef INSTRUCTION_IDS
-
 bool X86_getInstruction(csh handle, const uint8_t *code, size_t code_len,
 		MCInst *instr, uint16_t *size, uint64_t address, void *info);
 
+void X86_init(MCRegisterInfo *MRI);
+
 #endif
diff --git a/arch/X86/X86DisassemblerDecoder.c b/arch/X86/X86DisassemblerDecoder.c
index 0491b87..b10b231 100644
--- a/arch/X86/X86DisassemblerDecoder.c
+++ b/arch/X86/X86DisassemblerDecoder.c
@@ -20,12 +20,35 @@
 
 #include <stdarg.h>   /* for va_*()       */
 #include <stdlib.h>   /* for exit()       */
-#include <string.h>   /* for memset()     */
 
 #include "../../cs_priv.h"
+#include "../../utils.h"
 
 #include "X86DisassemblerDecoder.h"
 
+/// Specifies whether a ModR/M byte is needed and (if so) which
+/// instruction each possible value of the ModR/M byte corresponds to.  Once
+/// this information is known, we have narrowed down to a single instruction.
+struct ModRMDecision {
+	uint8_t modrm_type;
+	uint16_t instructionIDs;
+};
+
+/// Specifies which set of ModR/M->instruction tables to look at
+/// given a particular opcode.
+struct OpcodeDecision {
+	struct ModRMDecision modRMDecisions[256];
+};
+
+/// Specifies which opcode->instruction tables to look at given
+/// a particular context (set of attributes).  Since there are many possible
+/// contexts, the decoder first uses CONTEXTS_SYM to determine which context
+/// applies given a specific set of attributes.  Hence there are only IC_max
+/// entries in this table, rather than 2^(ATTR_max).
+struct ContextDecision {
+	struct OpcodeDecision opcodeDecisions[IC_max];
+};
+
 #ifdef CAPSTONE_X86_REDUCE
 #include "X86GenDisassemblerTables_reduce.inc"
 #else
@@ -40,14 +63,6 @@
 #include "X86GenInstrInfo.inc"
 #endif
 
-static const char *x86DisassemblerGetInstrName(unsigned Opcode)
-{
-	return &X86InstrNameData[X86InstrNameIndices[Opcode]];
-}
-
-#define TRUE  1
-#define FALSE 0
-
 /*
  * contextForAttrs - Client for the instruction context table.  Takes a set of
  *   attributes and returns the appropriate decode context.
@@ -70,7 +85,7 @@
  *                      contextForAttrs.
  * @param opcode      - The last byte of the instruction's opcode, not counting
  *                      ModR/M extensions and escapes.
- * @return            - TRUE if the ModR/M byte is required, FALSE otherwise.
+ * @return            - true if the ModR/M byte is required, false otherwise.
  */
 static int modRMRequired(OpcodeType type,
 		InstructionContext insnContext,
@@ -139,7 +154,7 @@
 		uint8_t opcode,
 		uint8_t modRM)
 {
-	const struct ModRMDecision* dec = 0;
+	const struct ModRMDecision* dec = NULL;
 	const uint8_t *indextable = NULL;
 	uint8_t index;
 
@@ -346,7 +361,7 @@
  * @param location  - The location to query.
  * @return          - Whether the prefix is at that location.
  */
-static BOOL isPrefixAtLocation(struct InternalInstruction* insn,
+static bool isPrefixAtLocation(struct InternalInstruction* insn,
 		uint8_t prefix,
 		uint64_t location)
 {
@@ -356,9 +371,9 @@
 
 	if (insn->prefixPresent[prefix] == 1 &&
 			insn->prefixLocations[prefix] == location)
-		return TRUE;
+		return true;
 	else
-		return FALSE;
+		return false;
 }
 
 /*
@@ -372,12 +387,12 @@
  */
 static int readPrefixes(struct InternalInstruction* insn)
 {
-	BOOL isPrefix = TRUE;
+	bool isPrefix = true;
 	uint64_t prefixLocation;
 	uint8_t byte = 0, nextByte;
 
-	BOOL hasAdSize = FALSE;
-	BOOL hasOpSize = FALSE;
+	bool hasAdSize = false;
+	bool hasOpSize = false;
 
 	while (isPrefix) {
 		prefixLocation = insn->readerCursor;
@@ -399,7 +414,7 @@
 			if ((byte == 0xf2 || byte == 0xf3) &&
 					((nextByte == 0xf0) |
 					 ((nextByte & 0xfe) == 0x86 || (nextByte & 0xf8) == 0x90)))
-				insn->xAcquireRelease = TRUE;
+				insn->xAcquireRelease = true;
 			/*
 			 * Also if the byte is 0xf3, and the following condition is met:
 			 * - it is followed by a "mov mem, reg" (opcode 0x88/0x89) or
@@ -409,7 +424,7 @@
 			if (byte == 0xf3 &&
 					(nextByte == 0x88 || nextByte == 0x89 ||
 					 nextByte == 0xc6 || nextByte == 0xc7))
-				insn->xAcquireRelease = TRUE;
+				insn->xAcquireRelease = true;
 
 			if (insn->mode == MODE_64BIT && (nextByte & 0xf0) == 0x40) {
 				if (consumeByte(insn, &nextByte))
@@ -423,42 +438,81 @@
 		switch (byte) {
 			case 0xf2:  /* REPNE/REPNZ */
 			case 0xf3:  /* REP or REPE/REPZ */
+			case 0xf0:  /* LOCK */
 				// only accept the last prefix
 				insn->prefixPresent[0xf2] = 0;
 				insn->prefixPresent[0xf3] = 0;
-			case 0xf0:  /* LOCK */
+				insn->prefixPresent[0xf0] = 0;
 				setPrefixPresent(insn, byte, prefixLocation);
 				insn->prefix0 = byte;
 				break;
 			case 0x2e:  /* CS segment override -OR- Branch not taken */
+				insn->segmentOverride = SEG_OVERRIDE_CS;
+				// only accept the last prefix
+				insn->prefixPresent[0x2e] = 0;
+				insn->prefixPresent[0x36] = 0;
+				insn->prefixPresent[0x3e] = 0;
+				insn->prefixPresent[0x26] = 0;
+				insn->prefixPresent[0x64] = 0;
+				insn->prefixPresent[0x65] = 0;
+
+				setPrefixPresent(insn, byte, prefixLocation);
+				insn->prefix1 = byte;
+				break;
 			case 0x36:  /* SS segment override -OR- Branch taken */
+				insn->segmentOverride = SEG_OVERRIDE_SS;
+				// only accept the last prefix
+				insn->prefixPresent[0x2e] = 0;
+				insn->prefixPresent[0x36] = 0;
+				insn->prefixPresent[0x3e] = 0;
+				insn->prefixPresent[0x26] = 0;
+				insn->prefixPresent[0x64] = 0;
+				insn->prefixPresent[0x65] = 0;
+
+				setPrefixPresent(insn, byte, prefixLocation);
+				insn->prefix1 = byte;
+				break;
 			case 0x3e:  /* DS segment override */
+				insn->segmentOverride = SEG_OVERRIDE_DS;
+				// only accept the last prefix
+				insn->prefixPresent[0x2e] = 0;
+				insn->prefixPresent[0x36] = 0;
+				insn->prefixPresent[0x3e] = 0;
+				insn->prefixPresent[0x26] = 0;
+				insn->prefixPresent[0x64] = 0;
+				insn->prefixPresent[0x65] = 0;
+
+				setPrefixPresent(insn, byte, prefixLocation);
+				insn->prefix1 = byte;
+				break;
 			case 0x26:  /* ES segment override */
+				insn->segmentOverride = SEG_OVERRIDE_ES;
+				// only accept the last prefix
+				insn->prefixPresent[0x2e] = 0;
+				insn->prefixPresent[0x36] = 0;
+				insn->prefixPresent[0x3e] = 0;
+				insn->prefixPresent[0x26] = 0;
+				insn->prefixPresent[0x64] = 0;
+				insn->prefixPresent[0x65] = 0;
+
+				setPrefixPresent(insn, byte, prefixLocation);
+				insn->prefix1 = byte;
+				break;
 			case 0x64:  /* FS segment override */
+				insn->segmentOverride = SEG_OVERRIDE_FS;
+				// only accept the last prefix
+				insn->prefixPresent[0x2e] = 0;
+				insn->prefixPresent[0x36] = 0;
+				insn->prefixPresent[0x3e] = 0;
+				insn->prefixPresent[0x26] = 0;
+				insn->prefixPresent[0x64] = 0;
+				insn->prefixPresent[0x65] = 0;
+
+				setPrefixPresent(insn, byte, prefixLocation);
+				insn->prefix1 = byte;
+				break;
 			case 0x65:  /* GS segment override */
-				switch (byte) {
-					case 0x2e:
-						insn->segmentOverride = SEG_OVERRIDE_CS;
-						break;
-					case 0x36:
-						insn->segmentOverride = SEG_OVERRIDE_SS;
-						break;
-					case 0x3e:
-						insn->segmentOverride = SEG_OVERRIDE_DS;
-						break;
-					case 0x26:
-						insn->segmentOverride = SEG_OVERRIDE_ES;
-						break;
-					case 0x64:
-						insn->segmentOverride = SEG_OVERRIDE_FS;
-						break;
-					case 0x65:
-						insn->segmentOverride = SEG_OVERRIDE_GS;
-						break;
-					default:
-						//debug("Unhandled override");
-						return -1;
-				}
+				insn->segmentOverride = SEG_OVERRIDE_GS;
 				// only accept the last prefix
 				insn->prefixPresent[0x2e] = 0;
 				insn->prefixPresent[0x36] = 0;
@@ -471,17 +525,17 @@
 				insn->prefix1 = byte;
 				break;
 			case 0x66:  /* Operand-size override */
-				hasOpSize = TRUE;
+				hasOpSize = true;
 				setPrefixPresent(insn, byte, prefixLocation);
 				insn->prefix2 = byte;
 				break;
 			case 0x67:  /* Address-size override */
-				hasAdSize = TRUE;
+				hasAdSize = true;
 				setPrefixPresent(insn, byte, prefixLocation);
 				insn->prefix3 = byte;
 				break;
 			default:    /* Not a prefix byte */
-				isPrefix = FALSE;
+				isPrefix = false;
 				break;
 		}
 
@@ -604,7 +658,7 @@
 				default:
 					break;
 				case VEX_PREFIX_66:
-					hasOpSize = TRUE;
+					hasOpSize = true;
 					break;
 			}
 		}
@@ -643,7 +697,7 @@
 				default:
 					break;
 				case VEX_PREFIX_66:
-					hasOpSize = TRUE;
+					hasOpSize = true;
 					break;
 			}
 		}
@@ -675,27 +729,32 @@
 		insn->addressSize        = (hasAdSize ? 4 : 2);
 		insn->displacementSize   = (hasAdSize ? 4 : 2);
 		insn->immediateSize      = (hasOpSize ? 4 : 2);
+		insn->immSize = (hasOpSize ? 4 : 2);
 	} else if (insn->mode == MODE_32BIT) {
 		insn->registerSize       = (hasOpSize ? 2 : 4);
 		insn->addressSize        = (hasAdSize ? 2 : 4);
 		insn->displacementSize   = (hasAdSize ? 2 : 4);
 		insn->immediateSize      = (hasOpSize ? 2 : 4);
+		insn->immSize = (hasOpSize ? 2 : 4);
 	} else if (insn->mode == MODE_64BIT) {
 		if (insn->rexPrefix && wFromREX(insn->rexPrefix)) {
 			insn->registerSize       = 8;
 			insn->addressSize        = (hasAdSize ? 4 : 8);
 			insn->displacementSize   = 4;
 			insn->immediateSize      = 4;
+			insn->immSize      = 4;
 		} else if (insn->rexPrefix) {
 			insn->registerSize       = (hasOpSize ? 2 : 4);
 			insn->addressSize        = (hasAdSize ? 4 : 8);
 			insn->displacementSize   = (hasOpSize ? 2 : 4);
 			insn->immediateSize      = (hasOpSize ? 2 : 4);
+			insn->immSize      = (hasOpSize ? 2 : 4);
 		} else {
 			insn->registerSize       = (hasOpSize ? 2 : 4);
 			insn->addressSize        = (hasAdSize ? 4 : 8);
 			insn->displacementSize   = (hasOpSize ? 2 : 4);
 			insn->immediateSize      = (hasOpSize ? 2 : 4);
+			insn->immSize      = (hasOpSize ? 4 : 8);
 		}
 	}
 
@@ -857,9 +916,9 @@
 		struct InternalInstruction* insn,
 		uint16_t attrMask)
 {
-	BOOL hasModRMExtension;
+	bool hasModRMExtension;
 
-	uint16_t instructionClass;
+	InstructionContext instructionClass;
 
 	if (insn->opcodeType == T3DNOW_MAP)
 		instructionClass = IC_OF;
@@ -892,28 +951,23 @@
  * is16BitEquivalent - Determines whether two instruction names refer to
  * equivalent instructions but one is 16-bit whereas the other is not.
  *
- * @param orig  - The instruction that is not 16-bit
- * @param equiv - The instruction that is 16-bit
+ * @param orig  - The instruction ID that is not 16-bit
+ * @param equiv - The instruction ID that is 16-bit
  */
-static BOOL is16BitEquivalent(const char* orig, const char* equiv)
+static bool is16BitEquivalent(unsigned orig, unsigned equiv)
 {
 	size_t i;
+	uint16_t idx;
 
-	for (i = 0;; i++) {
-		if (orig[i] == '\0' && equiv[i] == '\0')
-			return TRUE;
-		if (orig[i] == '\0' || equiv[i] == '\0')
-			return FALSE;
-		if (orig[i] != equiv[i]) {
-			if ((orig[i] == 'Q' || orig[i] == 'L') && equiv[i] == 'W')
-				continue;
-			if ((orig[i] == '6' || orig[i] == '3') && equiv[i] == '1')
-				continue;
-			if ((orig[i] == '4' || orig[i] == '2') && equiv[i] == '6')
-				continue;
-			return FALSE;
+	if ((idx = x86_16_bit_eq_lookup[orig]) != 0)
+	{
+		for (i = idx - 1; x86_16_bit_eq_tbl[i].first == orig && i < ARR_SIZE(x86_16_bit_eq_tbl); ++i)
+		{
+			if (x86_16_bit_eq_tbl[i].second == equiv)
+				return true;
 		}
 	}
+	return false;
 }
 
 /*
@@ -1058,7 +1112,6 @@
 
 		const struct InstructionSpecifier *spec;
 		uint16_t instructionIDWithOpsize;
-		const char *specName, *specWithOpSizeName;
 
 		spec = specifierForUID(instructionID);
 
@@ -1074,11 +1127,7 @@
 			return 0;
 		}
 
-		specName = x86DisassemblerGetInstrName(instructionID);
-		specWithOpSizeName =
-			x86DisassemblerGetInstrName(instructionIDWithOpsize);
-
-		if (is16BitEquivalent(specName, specWithOpSizeName) &&
+		if (is16BitEquivalent(instructionID, instructionIDWithOpsize) &&
 				(insn->mode == MODE_16BIT) ^ insn->prefixPresent[0x66]) {
 			insn->instructionID = instructionIDWithOpsize;
 			insn->spec = specifierForUID(instructionIDWithOpsize);
@@ -1141,8 +1190,8 @@
  */
 static int readSIB(struct InternalInstruction* insn)
 {
-	SIBIndex sibIndexBase = 0;
-	SIBBase sibBaseBase = 0;
+	SIBIndex sibIndexBase = SIB_INDEX_NONE;
+	SIBBase sibBaseBase = SIB_BASE_NONE;
 	uint8_t index, base;
 
 	// dbgprintf(insn, "readSIB()");
@@ -1150,7 +1199,7 @@
 	if (insn->consumedSIB)
 		return 0;
 
-	insn->consumedSIB = TRUE;
+	insn->consumedSIB = true;
 
 	switch (insn->addressSize) {
 		case 2:
@@ -1249,12 +1298,12 @@
 	if (insn->consumedDisplacement)
 		return 0;
 
-	insn->consumedDisplacement = TRUE;
+	insn->consumedDisplacement = true;
 	insn->displacementOffset = (uint8_t)(insn->readerCursor - insn->startLocation);
 
 	switch (insn->eaDisplacement) {
 		case EA_DISP_NONE:
-			insn->consumedDisplacement = FALSE;
+			insn->consumedDisplacement = false;
 			break;
 		case EA_DISP_8:
 			if (consumeInt8(insn, &d8))
@@ -1273,7 +1322,7 @@
 			break;
 	}
 
-	insn->consumedDisplacement = TRUE;
+	insn->consumedDisplacement = true;
 	return 0;
 }
 
@@ -1298,7 +1347,7 @@
 		return -1;
 
 	// mark that we already got ModRM
-	insn->consumedModRM = TRUE;
+	insn->consumedModRM = true;
 
 	// save original ModRM for later reference
 	insn->orgModRM = insn->modRM;
@@ -1593,7 +1642,7 @@
 			if (!valid)
 				return -1;
 			break;
-		case ENCODING_RM:
+		CASE_ENCODING_RM:
 			if (insn->eaBase >= insn->eaRegBase) {
 				insn->eaBase = (EABase)fixupRMValue(insn,
 						(OperandType)op->type,
@@ -1726,21 +1775,25 @@
  */
 static int readVVVV(struct InternalInstruction* insn)
 {
+	int vvvv;
 	// dbgprintf(insn, "readVVVV()");
 
 	if (insn->vectorExtensionType == TYPE_EVEX)
-		insn->vvvv = vvvvFromEVEX3of4(insn->vectorExtensionPrefix[2]);
+		vvvv = (v2FromEVEX4of4(insn->vectorExtensionPrefix[3]) << 4 |
+				vvvvFromEVEX3of4(insn->vectorExtensionPrefix[2]));
 	else if (insn->vectorExtensionType == TYPE_VEX_3B)
-		insn->vvvv = vvvvFromVEX3of3(insn->vectorExtensionPrefix[2]);
+		vvvv = vvvvFromVEX3of3(insn->vectorExtensionPrefix[2]);
 	else if (insn->vectorExtensionType == TYPE_VEX_2B)
-		insn->vvvv = vvvvFromVEX2of2(insn->vectorExtensionPrefix[1]);
+		vvvv = vvvvFromVEX2of2(insn->vectorExtensionPrefix[1]);
 	else if (insn->vectorExtensionType == TYPE_XOP)
-		insn->vvvv = vvvvFromXOP3of3(insn->vectorExtensionPrefix[2]);
+		vvvv = vvvvFromXOP3of3(insn->vectorExtensionPrefix[2]);
 	else
 		return -1;
 
 	if (insn->mode != MODE_64BIT)
-		insn->vvvv &= 0x7;
+		vvvv &= 0x7;
+
+	insn->vvvv = vvvv;
 
 	return 0;
 }
@@ -1790,11 +1843,14 @@
 			case ENCODING_DI:
 				break;
 			case ENCODING_REG:
-			case ENCODING_RM:
+			CASE_ENCODING_RM:
 				if (readModRM(insn))
 					return -1;
 				if (fixupReg(insn, &x86OperandSets[insn->spec->operands][index]))
 					return -1;
+				// Apply the AVX512 compressed displacement scaling factor.
+				if (x86OperandSets[insn->spec->operands][index].encoding != ENCODING_REG && insn->eaDisplacement == EA_DISP_8)
+					insn->displacement *= 1 << (x86OperandSets[insn->spec->operands][index].encoding - ENCODING_RM);
 				break;
 			case ENCODING_CB:
 			case ENCODING_CW:
diff --git a/arch/X86/X86DisassemblerDecoder.h b/arch/X86/X86DisassemblerDecoder.h
index 34a2090..f64ebe5 100644
--- a/arch/X86/X86DisassemblerDecoder.h
+++ b/arch/X86/X86DisassemblerDecoder.h
@@ -19,23 +19,10 @@
 #ifndef CS_X86_DISASSEMBLERDECODER_H
 #define CS_X86_DISASSEMBLERDECODER_H
 
-#ifdef __cplusplus
-extern "C" {
-#endif
-
-#define INSTRUCTION_SPECIFIER_FIELDS \
-  uint16_t operands;
-
-#define INSTRUCTION_IDS     \
-  uint16_t instructionIDs;
-
 #include <stdio.h>
 
 #include "X86DisassemblerDecoderCommon.h"
 
-#undef INSTRUCTION_SPECIFIER_FIELDS
-#undef INSTRUCTION_IDS
-
 #include <stdint.h>
 
 /*
@@ -483,7 +470,6 @@
 /*
  * VEXLeadingOpcodeByte - Possible values for the VEX.m-mmmm field
  */
-
 typedef enum {
   VEX_LOB_0F = 0x1,
   VEX_LOB_0F38 = 0x2,
@@ -499,7 +485,6 @@
 /*
  * VEXPrefixCode - Possible values for the VEX.pp/EVEX.pp field
  */
-
 typedef enum {
   VEX_PREFIX_NONE = 0x0,
   VEX_PREFIX_66 = 0x1,
@@ -515,8 +500,6 @@
 	TYPE_XOP          = 0x4
 } VectorExtensionType;
 
-typedef uint8_t BOOL;
-
 struct reader_info {
 	const uint8_t *code;
 	uint64_t size;
@@ -546,6 +529,12 @@
  */
 typedef void (*dlog_t)(void* arg, const char *log);
 
+/// The specification for how to extract and interpret a full instruction and
+/// its operands.
+struct InstructionSpecifier {
+	uint16_t operands;
+};
+
 /*
  * The x86 internal instruction, which is produced by the decoder.
  */
@@ -559,13 +548,13 @@
   uint8_t rexPrefix;
   /* The segment override type */
   SegmentOverride segmentOverride;
-  BOOL                          consumedModRM;
+  bool                          consumedModRM;
   uint8_t                       orgModRM;  // save original modRM because we will modify modRM
   /* The SIB byte, used for more complex 32- or 64-bit memory operands */
-  BOOL                          consumedSIB;
+  bool                          consumedSIB;
   uint8_t                       sib;
   /* The displacement, used for memory operands */
-  BOOL                          consumedDisplacement;
+  bool                          consumedDisplacement;
   int32_t                       displacement;
   /* The value of the two-byte escape prefix (usually 0x0f) */
   uint8_t twoByteEscape;
@@ -577,7 +566,10 @@
   SIBBase                       sibBase;
   uint8_t                       numImmediatesConsumed;
   /* 1 if the prefix byte, 0xf2 or 0xf3 is xacquire or xrelease */
-  BOOL xAcquireRelease;
+  bool xAcquireRelease;
+
+  /* The value of the vector extension prefix(EVEX/VEX/XOP), if present */
+  uint8_t vectorExtensionPrefix[4];
 
   // end-of-zero-members
 
@@ -606,8 +598,6 @@
 
   /* contains the location (for use with the reader) of the prefix byte */
   uint64_t prefixLocations[0x100];
-  /* The value of the vector extension prefix(EVEX/VEX/XOP), if present */
-  uint8_t vectorExtensionPrefix[4];
   /* The type of the vector extension prefix */
   VectorExtensionType vectorExtensionType;
   /* The location where a mandatory prefix would have to be (i.e., right before
@@ -620,6 +610,8 @@
   uint8_t displacementSize;
   uint8_t immediateSize;
 
+  uint8_t immSize;	// immediate size for X86_OP_IMM operand
+
   /* Offsets from the start of the instruction to the pieces of data, which is
      needed to find relocation entries for adding symbolic operands */
   uint8_t displacementOffset;
@@ -706,8 +698,4 @@
 
 //const char *x86DisassemblerGetInstrName(unsigned Opcode, const void *mii);
 
-#ifdef __cplusplus
-}
-#endif
-
 #endif
diff --git a/arch/X86/X86DisassemblerDecoderCommon.h b/arch/X86/X86DisassemblerDecoderCommon.h
index a18ca57..5eab31b 100644
--- a/arch/X86/X86DisassemblerDecoderCommon.h
+++ b/arch/X86/X86DisassemblerDecoderCommon.h
@@ -336,34 +336,27 @@
 } ModRMDecisionType;
 #undef ENUM_ENTRY
 
-/*
- * ModRMDecision - Specifies whether a ModR/M byte is needed and (if so) which
- *  instruction each possible value of the ModR/M byte corresponds to.  Once
- *  this information is known, we have narrowed down to a single instruction.
- */
-struct ModRMDecision {
-	uint8_t     modrm_type;
-
-	/* The macro below must be defined wherever this file is included. */
-	INSTRUCTION_IDS
-};
-
-/*
- * OpcodeDecision - Specifies which set of ModR/M->instruction tables to look at
- *   given a particular opcode.
- */
-struct OpcodeDecision {
-	struct ModRMDecision modRMDecisions[256];
-};
-
-/*
- * Physical encodings of instruction operands.
- */
+#define CASE_ENCODING_RM     \
+    case ENCODING_RM:        \
+    case ENCODING_RM_CD2:    \
+    case ENCODING_RM_CD4:    \
+    case ENCODING_RM_CD8:    \
+    case ENCODING_RM_CD16:   \
+    case ENCODING_RM_CD32:   \
+    case ENCODING_RM_CD64
+ 
+// Physical encodings of instruction operands.
 
 #define ENCODINGS                                                            \
 ENUM_ENTRY(ENCODING_NONE,   "")                                              \
 ENUM_ENTRY(ENCODING_REG,    "Register operand in ModR/M byte.")              \
 ENUM_ENTRY(ENCODING_RM,     "R/M operand in ModR/M byte.")                   \
+ENUM_ENTRY(ENCODING_RM_CD2, "R/M operand with CDisp scaling of 2")           \
+ENUM_ENTRY(ENCODING_RM_CD4, "R/M operand with CDisp scaling of 4")           \
+ENUM_ENTRY(ENCODING_RM_CD8, "R/M operand with CDisp scaling of 8")           \
+ENUM_ENTRY(ENCODING_RM_CD16,"R/M operand with CDisp scaling of 16")          \
+ENUM_ENTRY(ENCODING_RM_CD32,"R/M operand with CDisp scaling of 32")          \
+ENUM_ENTRY(ENCODING_RM_CD64,"R/M operand with CDisp scaling of 64")          \
 ENUM_ENTRY(ENCODING_VVVV,   "Register operand in VEX.vvvv byte.")            \
 ENUM_ENTRY(ENCODING_WRITEMASK, "Register operand in EVEX.aaa byte.")         \
 ENUM_ENTRY(ENCODING_CB,     "1-byte code offset (possible new CS value)")    \
@@ -474,8 +467,12 @@
 ENUM_ENTRY(TYPE_XMM256,     "32-byte")                                       \
 ENUM_ENTRY(TYPE_XMM512,     "64-byte")                                       \
 ENUM_ENTRY(TYPE_VK1,        "1-bit")                                         \
+ENUM_ENTRY(TYPE_VK2,        "2-bit")                                         \
+ENUM_ENTRY(TYPE_VK4,        "4-bit")                                         \
 ENUM_ENTRY(TYPE_VK8,        "8-bit")                                         \
 ENUM_ENTRY(TYPE_VK16,       "16-bit")                                        \
+ENUM_ENTRY(TYPE_VK32,       "32-bit")                                        \
+ENUM_ENTRY(TYPE_VK64,       "64-bit")                                        \
 ENUM_ENTRY(TYPE_XMM0,       "Implicit use of XMM0")                          \
 ENUM_ENTRY(TYPE_SEGMENTREG, "Segment register operand")                      \
 ENUM_ENTRY(TYPE_DEBUGREG,   "Debug register operand")                        \
@@ -526,15 +523,6 @@
 #define X86_MAX_OPERANDS 5
 
 /*
- * The specification for how to extract and interpret a full instruction and
- * its operands.
- */
-struct InstructionSpecifier {
-	/* The macro below must be defined wherever this file is included. */
-	INSTRUCTION_SPECIFIER_FIELDS
-};
-
-/*
  * Decoding mode for the Intel disassembler.  16-bit, 32-bit, and 64-bit mode
  * are supported, and represent real mode, IA-32e, and IA-32e in 64-bit mode,
  * respectively.
diff --git a/arch/X86/X86GenAsmWriter.inc b/arch/X86/X86GenAsmWriter.inc
index 301def1..db3d704 100644
--- a/arch/X86/X86GenAsmWriter.inc
+++ b/arch/X86/X86GenAsmWriter.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,130 +25,131 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    14038U,	// DBG_VALUE
+    13714U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    14031U,	// BUNDLE
-    14103U,	// LIFETIME_START
-    14018U,	// LIFETIME_END
+    13707U,	// BUNDLE
+    13779U,	// LIFETIME_START
+    13694U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    14118U,	// AAA
-    20251U,	// AAD8i8
-    23388U,	// AAM8i8
-    14828U,	// AAS
-    14836U,	// ABS_F
+    0U,	// LOAD_STACK_GUARD
+    13794U,	// AAA
+    20679U,	// AAD8i8
+    23816U,	// AAM8i8
+    14535U,	// AAS
+    14543U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    13639U,	// ACQUIRE_MOV16rm
-    13639U,	// ACQUIRE_MOV32rm
-    13639U,	// ACQUIRE_MOV64rm
-    13639U,	// ACQUIRE_MOV8rm
-    2123988U,	// ADC16i16
-    4237524U,	// ADC16mi
-    4237524U,	// ADC16mi8
-    4237524U,	// ADC16mr
-    6351060U,	// ADC16ri
-    6351060U,	// ADC16ri8
-    6367444U,	// ADC16rm
-    6351060U,	// ADC16rr
-    8448212U,	// ADC16rr_REV
-    10508137U,	// ADC32i32
-    12621673U,	// ADC32mi
-    12621673U,	// ADC32mi8
-    12621673U,	// ADC32mr
-    6346601U,	// ADC32ri
-    6346601U,	// ADC32ri8
-    283203433U,	// ADC32rm
-    6346601U,	// ADC32rr
-    8443753U,	// ADC32rr_REV
-    16800986U,	// ADC64i32
-    18914522U,	// ADC64mi32
-    18914522U,	// ADC64mi8
-    18914522U,	// ADC64mr
-    6347994U,	// ADC64ri32
-    6347994U,	// ADC64ri8
-    283221210U,	// ADC64rm
-    6347994U,	// ADC64rr
-    8445146U,	// ADC64rr_REV
-    20991233U,	// ADC8i8
-    23104769U,	// ADC8mi
-    23104769U,	// ADC8mr
-    6343937U,	// ADC8ri
-    118017U,	// ADC8rm
-    6343937U,	// ADC8rr
-    8441089U,	// ADC8rr_REV
-    551689003U,	// ADCX32rm
-    8543019U,	// ADCX32rr
-    551723389U,	// ADCX64rm
-    8544637U,	// ADCX64rr
-    2124030U,	// ADD16i16
-    4237566U,	// ADD16mi
-    4237566U,	// ADD16mi8
-    4237566U,	// ADD16mr
-    6351102U,	// ADD16ri
-    6351102U,	// ADD16ri8
+    13315U,	// ACQUIRE_MOV16rm
+    13315U,	// ACQUIRE_MOV32rm
+    13315U,	// ACQUIRE_MOV64rm
+    13315U,	// ACQUIRE_MOV8rm
+    2124416U,	// ADC16i16
+    4237952U,	// ADC16mi
+    4237952U,	// ADC16mi8
+    4237952U,	// ADC16mr
+    6351488U,	// ADC16ri
+    6351488U,	// ADC16ri8
+    6367872U,	// ADC16rm
+    6351488U,	// ADC16rr
+    8448640U,	// ADC16rr_REV
+    10508565U,	// ADC32i32
+    12622101U,	// ADC32mi
+    12622101U,	// ADC32mi8
+    12622101U,	// ADC32mr
+    6347029U,	// ADC32ri
+    6347029U,	// ADC32ri8
+    283203861U,	// ADC32rm
+    6347029U,	// ADC32rr
+    8444181U,	// ADC32rr_REV
+    16801414U,	// ADC64i32
+    18914950U,	// ADC64mi32
+    18914950U,	// ADC64mi8
+    18914950U,	// ADC64mr
+    6348422U,	// ADC64ri32
+    6348422U,	// ADC64ri8
+    283221638U,	// ADC64rm
+    6348422U,	// ADC64rr
+    8445574U,	// ADC64rr_REV
+    20991661U,	// ADC8i8
+    23105197U,	// ADC8mi
+    23105197U,	// ADC8mr
+    6344365U,	// ADC8ri
+    118445U,	// ADC8rm
+    6344365U,	// ADC8rr
+    8441517U,	// ADC8rr_REV
+    551640279U,	// ADCX32rm
+    8445143U,	// ADCX32rr
+    551658281U,	// ADCX64rm
+    8446761U,	// ADCX64rr
+    2124458U,	// ADD16i16
+    4237994U,	// ADD16mi
+    4237994U,	// ADD16mi8
+    4237994U,	// ADD16mr
+    6351530U,	// ADD16ri
+    6351530U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    6367486U,	// ADD16rm
-    6351102U,	// ADD16rr
+    6367914U,	// ADD16rm
+    6351530U,	// ADD16rr
     0U,	// ADD16rr_DB
-    8448254U,	// ADD16rr_REV
-    10508171U,	// ADD32i32
-    12621707U,	// ADD32mi
-    12621707U,	// ADD32mi8
-    12621707U,	// ADD32mr
-    6346635U,	// ADD32ri
-    6346635U,	// ADD32ri8
+    8448682U,	// ADD16rr_REV
+    10508599U,	// ADD32i32
+    12622135U,	// ADD32mi
+    12622135U,	// ADD32mi8
+    12622135U,	// ADD32mr
+    6347063U,	// ADD32ri
+    6347063U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    283203467U,	// ADD32rm
-    6346635U,	// ADD32rr
+    283203895U,	// ADD32rm
+    6347063U,	// ADD32rr
     0U,	// ADD32rr_DB
-    8443787U,	// ADD32rr_REV
-    16801086U,	// ADD64i32
-    18914622U,	// ADD64mi32
-    18914622U,	// ADD64mi8
-    18914622U,	// ADD64mr
-    6348094U,	// ADD64ri32
+    8444215U,	// ADD32rr_REV
+    16801514U,	// ADD64i32
+    18915050U,	// ADD64mi32
+    18915050U,	// ADD64mi8
+    18915050U,	// ADD64mr
+    6348522U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    6348094U,	// ADD64ri8
+    6348522U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    283221310U,	// ADD64rm
-    6348094U,	// ADD64rr
+    283221738U,	// ADD64rm
+    6348522U,	// ADD64rr
     0U,	// ADD64rr_DB
-    8445246U,	// ADD64rr_REV
-    20991253U,	// ADD8i8
-    23104789U,	// ADD8mi
-    23104789U,	// ADD8mr
-    6343957U,	// ADD8ri
-    6343957U,	// ADD8ri8
-    118037U,	// ADD8rm
-    6343957U,	// ADD8rr
-    8441109U,	// ADD8rr_REV
-    8573367U,	// ADDPDrm
-    8442295U,	// ADDPDrr
-    8578043U,	// ADDPSrm
-    8446971U,	// ADDPSrr
-    551752697U,	// ADDSDrm
-    551752697U,	// ADDSDrm_Int
-    8442873U,	// ADDSDrr
-    8442873U,	// ADDSDrr_Int
-    551773837U,	// ADDSSrm
-    551773837U,	// ADDSSrm_Int
-    8447629U,	// ADDSSrr
-    8447629U,	// ADDSSrr_Int
-    8573302U,	// ADDSUBPDrm
-    8442230U,	// ADDSUBPDrr
-    8577978U,	// ADDSUBPSrm
-    8446906U,	// ADDSUBPSrr
-    238123U,	// ADD_F32m
-    251786U,	// ADD_F64m
-    270898U,	// ADD_FI16m
-    284561U,	// ADD_FI32m
-    23504U,	// ADD_FPrST0
-    20333U,	// ADD_FST0r
+    8445674U,	// ADD64rr_REV
+    20991681U,	// ADD8i8
+    23105217U,	// ADD8mi
+    23105217U,	// ADD8mr
+    6344385U,	// ADD8ri
+    6344385U,	// ADD8ri8
+    118465U,	// ADD8rm
+    6344385U,	// ADD8rr
+    8441537U,	// ADD8rr_REV
+    8524643U,	// ADDPDrm
+    8442723U,	// ADDPDrr
+    8529319U,	// ADDPSrm
+    8447399U,	// ADDPSrr
+    551703973U,	// ADDSDrm
+    551703973U,	// ADDSDrm_Int
+    8443301U,	// ADDSDrr
+    8443301U,	// ADDSDrr_Int
+    551725113U,	// ADDSSrm
+    551725113U,	// ADDSSrm_Int
+    8448057U,	// ADDSSrr
+    8448057U,	// ADDSSrr_Int
+    8524578U,	// ADDSUBPDrm
+    8442658U,	// ADDSUBPDrr
+    8529254U,	// ADDSUBPSrm
+    8447334U,	// ADDSUBPSrr
+    189399U,	// ADD_F32m
+    203062U,	// ADD_F64m
+    222174U,	// ADD_FI16m
+    235837U,	// ADD_FI32m
+    23932U,	// ADD_FPrST0
+    20761U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -163,586 +164,542 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    27929U,	// ADD_FrST0
-    14057U,	// ADJCALLSTACKDOWN32
-    14057U,	// ADJCALLSTACKDOWN64
-    14075U,	// ADJCALLSTACKUP32
-    14075U,	// ADJCALLSTACKUP64
-    551689024U,	// ADOX32rm
-    8543040U,	// ADOX32rr
-    551723410U,	// ADOX64rm
-    8544658U,	// ADOX64rr
-    8693648U,	// AESDECLASTrm
-    8447888U,	// AESDECLASTrr
-    8687339U,	// AESDECrm
-    8441579U,	// AESDECrr
-    8693661U,	// AESENCLASTrm
-    8447901U,	// AESENCLASTrr
-    8687379U,	// AESENCrm
-    8441619U,	// AESENCrr
-    315146U,	// AESIMCrm
-    8539914U,	// AESIMCrr
-    25503671U,	// AESKEYGENASSIST128rm
-    811657143U,	// AESKEYGENASSIST128rr
-    2124070U,	// AND16i16
-    4237606U,	// AND16mi
-    4237606U,	// AND16mi8
-    4237606U,	// AND16mr
-    6351142U,	// AND16ri
-    6351142U,	// AND16ri8
-    6367526U,	// AND16rm
-    6351142U,	// AND16rr
-    8448294U,	// AND16rr_REV
-    10508224U,	// AND32i32
-    12621760U,	// AND32mi
-    12621760U,	// AND32mi8
-    12621760U,	// AND32mr
-    6346688U,	// AND32ri
-    6346688U,	// AND32ri8
-    283203520U,	// AND32rm
-    6346688U,	// AND32rr
-    8443840U,	// AND32rr_REV
-    16801179U,	// AND64i32
-    18914715U,	// AND64mi32
-    18914715U,	// AND64mi8
-    18914715U,	// AND64mr
-    6348187U,	// AND64ri32
-    6348187U,	// AND64ri8
-    283221403U,	// AND64rm
-    6348187U,	// AND64rr
-    8445339U,	// AND64rr_REV
-    20991266U,	// AND8i8
-    23104802U,	// AND8mi
-    23104802U,	// AND8mr
-    6343970U,	// AND8ri
-    6343970U,	// AND8ri8
-    118050U,	// AND8rm
-    6343970U,	// AND8rr
-    8441122U,	// AND8rr_REV
-    283203859U,	// ANDN32rm
-    811653395U,	// ANDN32rr
-    283221832U,	// ANDN64rm
-    811654984U,	// ANDN64rr
-    8573549U,	// ANDNPDrm
-    8442477U,	// ANDNPDrr
-    8578254U,	// ANDNPSrm
-    8447182U,	// ANDNPSrr
-    8573413U,	// ANDPDrm
-    8442341U,	// ANDPDrr
-    8578089U,	// ANDPSrm
-    8447017U,	// ANDPSrr
-    4233586U,	// ARPL16mr
-    8542578U,	// ARPL16rr
-    12541U,	// ATOMADD6432
-    13321U,	// ATOMAND16
-    12754U,	// ATOMAND32
-    13008U,	// ATOMAND64
-    12562U,	// ATOMAND6432
-    13475U,	// ATOMAND8
-    13436U,	// ATOMMAX16
-    12969U,	// ATOMMAX32
-    13282U,	// ATOMMAX64
-    12711U,	// ATOMMAX6432
-    13602U,	// ATOMMAX8
-    13360U,	// ATOMMIN16
-    12854U,	// ATOMMIN32
-    13167U,	// ATOMMIN64
-    12605U,	// ATOMMIN6432
-    13512U,	// ATOMMIN8
-    13340U,	// ATOMNAND16
-    12773U,	// ATOMNAND32
-    13027U,	// ATOMNAND64
-    12583U,	// ATOMNAND6432
-    13493U,	// ATOMNAND8
-    13399U,	// ATOMOR16
-    12932U,	// ATOMOR32
-    13245U,	// ATOMOR64
-    12670U,	// ATOMOR6432
-    13567U,	// ATOMOR8
-    12520U,	// ATOMSUB6432
-    12648U,	// ATOMSWAP6432
-    13455U,	// ATOMUMAX16
-    12988U,	// ATOMUMAX32
-    13301U,	// ATOMUMAX64
-    12732U,	// ATOMUMAX6432
-    13620U,	// ATOMUMAX8
-    13379U,	// ATOMUMIN16
-    12873U,	// ATOMUMIN32
-    13186U,	// ATOMUMIN64
-    12626U,	// ATOMUMIN6432
-    13530U,	// ATOMUMIN8
-    13417U,	// ATOMXOR16
-    12950U,	// ATOMXOR32
-    13263U,	// ATOMXOR64
-    12690U,	// ATOMXOR6432
-    13584U,	// ATOMXOR8
+    28357U,	// ADD_FrST0
+    13733U,	// ADJCALLSTACKDOWN32
+    13733U,	// ADJCALLSTACKDOWN64
+    13751U,	// ADJCALLSTACKUP32
+    13751U,	// ADJCALLSTACKUP64
+    551804140U,	// ADOX32rm
+    8658156U,	// ADOX32rr
+    551838526U,	// ADOX64rm
+    8659774U,	// ADOX64rr
+    8694076U,	// AESDECLASTrm
+    8448316U,	// AESDECLASTrr
+    8687767U,	// AESDECrm
+    8442007U,	// AESDECrr
+    8694089U,	// AESENCLASTrm
+    8448329U,	// AESENCLASTrr
+    8687807U,	// AESENCrm
+    8442047U,	// AESENCrr
+    315574U,	// AESIMCrm
+    8655030U,	// AESIMCrr
+    25504099U,	// AESKEYGENASSIST128rm
+    811657571U,	// AESKEYGENASSIST128rr
+    2124498U,	// AND16i16
+    4238034U,	// AND16mi
+    4238034U,	// AND16mi8
+    4238034U,	// AND16mr
+    6351570U,	// AND16ri
+    6351570U,	// AND16ri8
+    6367954U,	// AND16rm
+    6351570U,	// AND16rr
+    8448722U,	// AND16rr_REV
+    10508652U,	// AND32i32
+    12622188U,	// AND32mi
+    12622188U,	// AND32mi8
+    12622188U,	// AND32mr
+    6347116U,	// AND32ri
+    6347116U,	// AND32ri8
+    283203948U,	// AND32rm
+    6347116U,	// AND32rr
+    8444268U,	// AND32rr_REV
+    16801607U,	// AND64i32
+    18915143U,	// AND64mi32
+    18915143U,	// AND64mi8
+    18915143U,	// AND64mr
+    6348615U,	// AND64ri32
+    6348615U,	// AND64ri8
+    283221831U,	// AND64rm
+    6348615U,	// AND64rr
+    8445767U,	// AND64rr_REV
+    20991694U,	// AND8i8
+    23105230U,	// AND8mi
+    23105230U,	// AND8mr
+    6344398U,	// AND8ri
+    6344398U,	// AND8ri8
+    118478U,	// AND8rm
+    6344398U,	// AND8rr
+    8441550U,	// AND8rr_REV
+    283204287U,	// ANDN32rm
+    811653823U,	// ANDN32rr
+    283222260U,	// ANDN64rm
+    811655412U,	// ANDN64rr
+    8524825U,	// ANDNPDrm
+    8442905U,	// ANDNPDrr
+    8529530U,	// ANDNPSrm
+    8447610U,	// ANDNPSrr
+    8524689U,	// ANDPDrm
+    8442769U,	// ANDPDrr
+    8529365U,	// ANDPSrm
+    8447445U,	// ANDPSrr
+    4234014U,	// ARPL16mr
+    8657694U,	// ARPL16rr
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    832903677U,	// BEXTR32rm
-    811653629U,	// BEXTR32rr
-    835002356U,	// BEXTR64rm
-    811655156U,	// BEXTR64rr
-    832905736U,	// BEXTRI32mi
-    811655688U,	// BEXTRI32ri
-    835002888U,	// BEXTRI64mi
-    811655688U,	// BEXTRI64ri
-    551688372U,	// BLCFILL32rm
-    8542388U,	// BLCFILL32rr
-    551721140U,	// BLCFILL64rm
-    8542388U,	// BLCFILL64rr
-    551687809U,	// BLCI32rm
-    8541825U,	// BLCI32rr
-    551720577U,	// BLCI64rm
-    8541825U,	// BLCI64rr
-    551685875U,	// BLCIC32rm
-    8539891U,	// BLCIC32rr
-    551718643U,	// BLCIC64rm
-    8539891U,	// BLCIC64rr
-    551687959U,	// BLCMSK32rm
-    8541975U,	// BLCMSK32rr
-    551720727U,	// BLCMSK64rm
-    8541975U,	// BLCMSK64rr
-    551690789U,	// BLCS32rm
-    8544805U,	// BLCS32rr
-    551723557U,	// BLCS64rm
-    8544805U,	// BLCS64rr
-    568676845U,	// BLENDPDrmi
-    839225837U,	// BLENDPDrri
-    568681521U,	// BLENDPSrmi
-    839230513U,	// BLENDPSrri
-    8573645U,	// BLENDVPDrm0
-    8442573U,	// BLENDVPDrr0
-    8578415U,	// BLENDVPSrm0
-    8447343U,	// BLENDVPSrr0
-    551688381U,	// BLSFILL32rm
-    8542397U,	// BLSFILL32rr
-    551721149U,	// BLSFILL64rm
-    8542397U,	// BLSFILL64rr
-    551688317U,	// BLSI32rm
-    8542333U,	// BLSI32rr
-    551722705U,	// BLSI64rm
-    8543953U,	// BLSI64rr
-    551685882U,	// BLSIC32rm
-    8539898U,	// BLSIC32rr
-    551718650U,	// BLSIC64rm
-    8539898U,	// BLSIC64rr
-    551688337U,	// BLSMSK32rm
-    8542353U,	// BLSMSK32rr
-    551722721U,	// BLSMSK64rm
-    8543969U,	// BLSMSK64rr
-    551688682U,	// BLSR32rm
-    8542698U,	// BLSR32rr
-    551722968U,	// BLSR64rm
-    8544216U,	// BLSR64rr
-    380973U,	// BOUNDS16rm
-    551686189U,	// BOUNDS32rm
-    387471U,	// BSF16rm
-    8546703U,	// BSF16rr
-    551688273U,	// BSF32rm
-    8542289U,	// BSF32rr
-    551722661U,	// BSF64rm
-    8543909U,	// BSF64rr
-    387793U,	// BSR16rm
-    8547025U,	// BSR16rr
-    551688676U,	// BSR32rm
-    8542692U,	// BSR32rr
-    551722962U,	// BSR64rm
-    8544210U,	// BSR64rr
-    22832U,	// BSWAP32r
-    24416U,	// BSWAP64r
-    4238280U,	// BT16mi8
-    4238280U,	// BT16mr
-    8547272U,	// BT16ri8
-    8547272U,	// BT16rr
-    12622473U,	// BT32mi8
-    12622473U,	// BT32mr
-    8542857U,	// BT32ri8
-    8542857U,	// BT32rr
-    18915429U,	// BT64mi8
-    18915429U,	// BT64mr
-    8544357U,	// BT64ri8
-    8544357U,	// BT64rr
-    4237549U,	// BTC16mi8
-    4237549U,	// BTC16mr
-    8546541U,	// BTC16ri8
-    8546541U,	// BTC16rr
-    12621691U,	// BTC32mi8
-    12621691U,	// BTC32mr
-    8542075U,	// BTC32ri8
-    8542075U,	// BTC32rr
-    18914540U,	// BTC64mi8
-    18914540U,	// BTC64mr
-    8543468U,	// BTC64ri8
-    8543468U,	// BTC64rr
-    4238048U,	// BTR16mi8
-    4238048U,	// BTR16mr
-    8547040U,	// BTR16ri8
-    8547040U,	// BTR16rr
-    12622321U,	// BTR32mi8
-    12622321U,	// BTR32mr
-    8542705U,	// BTR32ri8
-    8542705U,	// BTR32rr
-    18915304U,	// BTR64mi8
-    18915304U,	// BTR64mr
-    8544232U,	// BTR64ri8
-    8544232U,	// BTR64rr
-    4238222U,	// BTS16mi8
-    4238222U,	// BTS16mr
-    8547214U,	// BTS16ri8
-    8547214U,	// BTS16rr
-    12622452U,	// BTS32mi8
-    12622452U,	// BTS32mr
-    8542836U,	// BTS32ri8
-    8542836U,	// BTS32rr
-    18915415U,	// BTS64mi8
-    18915415U,	// BTS64mr
-    8544343U,	// BTS64ri8
-    8544343U,	// BTS64rr
-    832903286U,	// BZHI32rm
-    811653238U,	// BZHI32rr
-    835002058U,	// BZHI64rm
-    811654858U,	// BZHI64rr
-    275903U,	// CALL16m
-    30143U,	// CALL16r
-    292253U,	// CALL32m
-    30109U,	// CALL32r
-    406958U,	// CALL64m
-    417534U,	// CALL64pcrel32
-    30126U,	// CALL64r
-    420360U,	// CALLpcrel16
-    415943U,	// CALLpcrel32
-    15287U,	// CBW
-    14265U,	// CDQ
-    14773U,	// CDQE
-    15058U,	// CHS_F
+    832904105U,	// BEXTR32rm
+    811654057U,	// BEXTR32rr
+    835002784U,	// BEXTR64rm
+    811655584U,	// BEXTR64rr
+    832906164U,	// BEXTRI32mi
+    811656116U,	// BEXTRI32ri
+    835003316U,	// BEXTRI64mi
+    811656116U,	// BEXTRI64ri
+    551803488U,	// BLCFILL32rm
+    8657504U,	// BLCFILL32rr
+    551836256U,	// BLCFILL64rm
+    8657504U,	// BLCFILL64rr
+    551802925U,	// BLCI32rm
+    8656941U,	// BLCI32rr
+    551835693U,	// BLCI64rm
+    8656941U,	// BLCI64rr
+    551800991U,	// BLCIC32rm
+    8655007U,	// BLCIC32rr
+    551833759U,	// BLCIC64rm
+    8655007U,	// BLCIC64rr
+    551803075U,	// BLCMSK32rm
+    8657091U,	// BLCMSK32rr
+    551835843U,	// BLCMSK64rm
+    8657091U,	// BLCMSK64rr
+    551805905U,	// BLCS32rm
+    8659921U,	// BLCS32rr
+    551838673U,	// BLCS64rm
+    8659921U,	// BLCS64rr
+    568677273U,	// BLENDPDrmi
+    839226265U,	// BLENDPDrri
+    568681949U,	// BLENDPSrmi
+    839230941U,	// BLENDPSrri
+    8524921U,	// BLENDVPDrm0
+    8443001U,	// BLENDVPDrr0
+    8529691U,	// BLENDVPSrm0
+    8447771U,	// BLENDVPSrr0
+    551803497U,	// BLSFILL32rm
+    8657513U,	// BLSFILL32rr
+    551836265U,	// BLSFILL64rm
+    8657513U,	// BLSFILL64rr
+    551803433U,	// BLSI32rm
+    8657449U,	// BLSI32rr
+    551837821U,	// BLSI64rm
+    8659069U,	// BLSI64rr
+    551800998U,	// BLSIC32rm
+    8655014U,	// BLSIC32rr
+    551833766U,	// BLSIC64rm
+    8655014U,	// BLSIC64rr
+    551803453U,	// BLSMSK32rm
+    8657469U,	// BLSMSK32rr
+    551837837U,	// BLSMSK64rm
+    8659085U,	// BLSMSK64rr
+    551803798U,	// BLSR32rm
+    8657814U,	// BLSR32rr
+    551838084U,	// BLSR64rm
+    8659332U,	// BLSR64rr
+    381401U,	// BOUNDS16rm
+    551801305U,	// BOUNDS32rm
+    387899U,	// BSF16rm
+    8661819U,	// BSF16rr
+    551803389U,	// BSF32rm
+    8657405U,	// BSF32rr
+    551837777U,	// BSF64rm
+    8659025U,	// BSF64rr
+    388221U,	// BSR16rm
+    8662141U,	// BSR16rr
+    551803792U,	// BSR32rm
+    8657808U,	// BSR32rr
+    551838078U,	// BSR64rm
+    8659326U,	// BSR64rr
+    23260U,	// BSWAP32r
+    24844U,	// BSWAP64r
+    4238708U,	// BT16mi8
+    4238708U,	// BT16mr
+    8662388U,	// BT16ri8
+    8662388U,	// BT16rr
+    12622901U,	// BT32mi8
+    12622901U,	// BT32mr
+    8657973U,	// BT32ri8
+    8657973U,	// BT32rr
+    18915857U,	// BT64mi8
+    18915857U,	// BT64mr
+    8659473U,	// BT64ri8
+    8659473U,	// BT64rr
+    4237977U,	// BTC16mi8
+    4237977U,	// BTC16mr
+    8661657U,	// BTC16ri8
+    8661657U,	// BTC16rr
+    12622119U,	// BTC32mi8
+    12622119U,	// BTC32mr
+    8657191U,	// BTC32ri8
+    8657191U,	// BTC32rr
+    18914968U,	// BTC64mi8
+    18914968U,	// BTC64mr
+    8658584U,	// BTC64ri8
+    8658584U,	// BTC64rr
+    4238476U,	// BTR16mi8
+    4238476U,	// BTR16mr
+    8662156U,	// BTR16ri8
+    8662156U,	// BTR16rr
+    12622749U,	// BTR32mi8
+    12622749U,	// BTR32mr
+    8657821U,	// BTR32ri8
+    8657821U,	// BTR32rr
+    18915732U,	// BTR64mi8
+    18915732U,	// BTR64mr
+    8659348U,	// BTR64ri8
+    8659348U,	// BTR64rr
+    4238650U,	// BTS16mi8
+    4238650U,	// BTS16mr
+    8662330U,	// BTS16ri8
+    8662330U,	// BTS16rr
+    12622880U,	// BTS32mi8
+    12622880U,	// BTS32mr
+    8657952U,	// BTS32ri8
+    8657952U,	// BTS32rr
+    18915843U,	// BTS64mi8
+    18915843U,	// BTS64mr
+    8659459U,	// BTS64ri8
+    8659459U,	// BTS64rr
+    832903714U,	// BZHI32rm
+    811653666U,	// BZHI32rr
+    835002486U,	// BZHI64rm
+    811655286U,	// BZHI64rr
+    226427U,	// CALL16m
+    29819U,	// CALL16r
+    242777U,	// CALL32m
+    29785U,	// CALL32r
+    406634U,	// CALL64m
+    417962U,	// CALL64pcrel32
+    29802U,	// CALL64r
+    420788U,	// CALLpcrel16
+    416371U,	// CALLpcrel32
+    15006U,	// CBW
+    13941U,	// CDQ
+    14480U,	// CDQE
+    14765U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    14187U,	// CLAC
-    14219U,	// CLC
-    14256U,	// CLD
-    431736U,	// CLFLUSH
-    14399U,	// CLGI
-    14409U,	// CLI
-    15165U,	// CLTS
-    14223U,	// CMC
-    8464445U,	// CMOVA16rm
-    8448061U,	// CMOVA16rr
-    551638836U,	// CMOVA32rm
-    8443700U,	// CMOVA32rr
-    551656577U,	// CMOVA64rm
-    8445057U,	// CMOVA64rr
-    8464723U,	// CMOVAE16rm
-    8448339U,	// CMOVAE16rr
-    551639014U,	// CMOVAE32rm
-    8443878U,	// CMOVAE32rr
-    551657000U,	// CMOVAE64rm
-    8445480U,	// CMOVAE64rr
-    8464558U,	// CMOVB16rm
-    8448174U,	// CMOVB16rr
-    551638873U,	// CMOVB32rm
-    8443737U,	// CMOVB32rr
-    551656628U,	// CMOVB64rm
-    8445108U,	// CMOVB64rr
-    8464732U,	// CMOVBE16rm
-    8448348U,	// CMOVBE16rr
-    551639023U,	// CMOVBE32rm
-    8443887U,	// CMOVBE32rr
-    551657009U,	// CMOVBE64rm
-    8445489U,	// CMOVBE64rr
-    35673522U,	// CMOVBE_F
+    13863U,	// CLAC
+    13895U,	// CLC
+    13932U,	// CLD
+    432164U,	// CLFLUSH
+    14106U,	// CLGI
+    14116U,	// CLI
+    14878U,	// CLTS
+    13899U,	// CMC
+    8464873U,	// CMOVA16rm
+    8448489U,	// CMOVA16rr
+    551639264U,	// CMOVA32rm
+    8444128U,	// CMOVA32rr
+    551657005U,	// CMOVA64rm
+    8445485U,	// CMOVA64rr
+    8465151U,	// CMOVAE16rm
+    8448767U,	// CMOVAE16rr
+    551639442U,	// CMOVAE32rm
+    8444306U,	// CMOVAE32rr
+    551657428U,	// CMOVAE64rm
+    8445908U,	// CMOVAE64rr
+    8464986U,	// CMOVB16rm
+    8448602U,	// CMOVB16rr
+    551639301U,	// CMOVB32rm
+    8444165U,	// CMOVB32rr
+    551657056U,	// CMOVB64rm
+    8445536U,	// CMOVB64rr
+    8465160U,	// CMOVBE16rm
+    8448776U,	// CMOVBE16rr
+    551639451U,	// CMOVBE32rm
+    8444315U,	// CMOVBE32rr
+    551657437U,	// CMOVBE64rm
+    8445917U,	// CMOVBE64rr
+    35673950U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    35671732U,	// CMOVB_F
+    35672160U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    8464768U,	// CMOVE16rm
-    8448384U,	// CMOVE16rr
-    551639113U,	// CMOVE32rm
-    8443977U,	// CMOVE32rr
-    551657117U,	// CMOVE64rm
-    8445597U,	// CMOVE64rr
-    35673644U,	// CMOVE_F
+    8465196U,	// CMOVE16rm
+    8448812U,	// CMOVE16rr
+    551639541U,	// CMOVE32rm
+    8444405U,	// CMOVE32rr
+    551657545U,	// CMOVE64rm
+    8446025U,	// CMOVE64rr
+    35674072U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    8464821U,	// CMOVG16rm
-    8448437U,	// CMOVG16rr
-    551639143U,	// CMOVG32rm
-    8444007U,	// CMOVG32rr
-    551657147U,	// CMOVG64rm
-    8445627U,	// CMOVG64rr
-    8464741U,	// CMOVGE16rm
-    8448357U,	// CMOVGE16rr
-    551639032U,	// CMOVGE32rm
-    8443896U,	// CMOVGE32rr
-    551657018U,	// CMOVGE64rm
-    8445498U,	// CMOVGE64rr
-    8464955U,	// CMOVL16rm
-    8448571U,	// CMOVL16rr
-    551639292U,	// CMOVL32rm
-    8444156U,	// CMOVL32rr
-    551657264U,	// CMOVL64rm
-    8445744U,	// CMOVL64rr
-    8464750U,	// CMOVLE16rm
-    8448366U,	// CMOVLE16rr
-    551639041U,	// CMOVLE32rm
-    8443905U,	// CMOVLE32rr
-    551657027U,	// CMOVLE64rm
-    8445507U,	// CMOVLE64rr
-    35673505U,	// CMOVNBE_F
+    8465249U,	// CMOVG16rm
+    8448865U,	// CMOVG16rr
+    551639571U,	// CMOVG32rm
+    8444435U,	// CMOVG32rr
+    551657575U,	// CMOVG64rm
+    8446055U,	// CMOVG64rr
+    8465169U,	// CMOVGE16rm
+    8448785U,	// CMOVGE16rr
+    551639460U,	// CMOVGE32rm
+    8444324U,	// CMOVGE32rr
+    551657446U,	// CMOVGE64rm
+    8445926U,	// CMOVGE64rr
+    8465383U,	// CMOVL16rm
+    8448999U,	// CMOVL16rr
+    551639720U,	// CMOVL32rm
+    8444584U,	// CMOVL32rr
+    551657692U,	// CMOVL64rm
+    8446172U,	// CMOVL64rr
+    8465178U,	// CMOVLE16rm
+    8448794U,	// CMOVLE16rr
+    551639469U,	// CMOVLE32rm
+    8444333U,	// CMOVLE32rr
+    551657455U,	// CMOVLE64rm
+    8445935U,	// CMOVLE64rr
+    35673933U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    35671439U,	// CMOVNB_F
+    35671867U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    8464759U,	// CMOVNE16rm
-    8448375U,	// CMOVNE16rr
-    551639050U,	// CMOVNE32rm
-    8443914U,	// CMOVNE32rr
-    551657036U,	// CMOVNE64rm
-    8445516U,	// CMOVNE64rr
-    35673595U,	// CMOVNE_F
+    8465187U,	// CMOVNE16rm
+    8448803U,	// CMOVNE16rr
+    551639478U,	// CMOVNE32rm
+    8444342U,	// CMOVNE32rr
+    551657464U,	// CMOVNE64rm
+    8445944U,	// CMOVNE64rr
+    35674023U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    8464985U,	// CMOVNO16rm
-    8448601U,	// CMOVNO16rr
-    551639327U,	// CMOVNO32rm
-    8444191U,	// CMOVNO32rr
-    551657295U,	// CMOVNO64rm
-    8445775U,	// CMOVNO64rr
-    8465015U,	// CMOVNP16rm
-    8448631U,	// CMOVNP16rr
-    551639389U,	// CMOVNP32rm
-    8444253U,	// CMOVNP32rr
-    551657335U,	// CMOVNP64rm
-    8445815U,	// CMOVNP64rr
-    35678161U,	// CMOVNP_F
+    8465413U,	// CMOVNO16rm
+    8449029U,	// CMOVNO16rr
+    551639755U,	// CMOVNO32rm
+    8444619U,	// CMOVNO32rr
+    551657723U,	// CMOVNO64rm
+    8446203U,	// CMOVNO64rr
+    8465443U,	// CMOVNP16rm
+    8449059U,	// CMOVNP16rr
+    551639817U,	// CMOVNP32rm
+    8444681U,	// CMOVNP32rr
+    551657763U,	// CMOVNP64rm
+    8446243U,	// CMOVNP64rr
+    35678589U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    8465261U,	// CMOVNS16rm
-    8448877U,	// CMOVNS16rr
-    551639621U,	// CMOVNS32rm
-    8444485U,	// CMOVNS32rr
-    551657512U,	// CMOVNS64rm
-    8445992U,	// CMOVNS64rr
-    8464994U,	// CMOVO16rm
-    8448610U,	// CMOVO16rr
-    551639336U,	// CMOVO32rm
-    8444200U,	// CMOVO32rr
-    551657304U,	// CMOVO64rm
-    8445784U,	// CMOVO64rr
-    8465036U,	// CMOVP16rm
-    8448652U,	// CMOVP16rr
-    551639440U,	// CMOVP32rm
-    8444304U,	// CMOVP32rr
-    551657350U,	// CMOVP64rm
-    8445830U,	// CMOVP64rr
-    35678200U,	// CMOVP_F
+    8465689U,	// CMOVNS16rm
+    8449305U,	// CMOVNS16rr
+    551640049U,	// CMOVNS32rm
+    8444913U,	// CMOVNS32rr
+    551657940U,	// CMOVNS64rm
+    8446420U,	// CMOVNS64rr
+    8465422U,	// CMOVO16rm
+    8449038U,	// CMOVO16rr
+    551639764U,	// CMOVO32rm
+    8444628U,	// CMOVO32rr
+    551657732U,	// CMOVO64rm
+    8446212U,	// CMOVO64rr
+    8465464U,	// CMOVP16rm
+    8449080U,	// CMOVP16rr
+    551639868U,	// CMOVP32rm
+    8444732U,	// CMOVP32rr
+    551657778U,	// CMOVP64rm
+    8446258U,	// CMOVP64rr
+    35678628U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    8465335U,	// CMOVS16rm
-    8448951U,	// CMOVS16rr
-    551639681U,	// CMOVS32rm
-    8444545U,	// CMOVS32rr
-    551657565U,	// CMOVS64rm
-    8446045U,	// CMOVS64rr
-    12913U,	// CMOV_FR32
-    13226U,	// CMOV_FR64
-    12480U,	// CMOV_GR16
-    12460U,	// CMOV_GR32
-    13549U,	// CMOV_GR8
-    12893U,	// CMOV_RFP32
-    13206U,	// CMOV_RFP64
-    12500U,	// CMOV_RFP80
-    12813U,	// CMOV_V16F32
-    13047U,	// CMOV_V2F64
-    13107U,	// CMOV_V2I64
-    12793U,	// CMOV_V4F32
-    13067U,	// CMOV_V4F64
-    13127U,	// CMOV_V4I64
-    12834U,	// CMOV_V8F32
-    13087U,	// CMOV_V8F64
-    13147U,	// CMOV_V8I64
-    2124394U,	// CMP16i16
-    4237930U,	// CMP16mi
-    4237930U,	// CMP16mi8
-    4237930U,	// CMP16mr
-    8546922U,	// CMP16ri
-    8546922U,	// CMP16ri8
-    387690U,	// CMP16rm
-    8546922U,	// CMP16rr
-    8546922U,	// CMP16rr_REV
-    10508607U,	// CMP32i32
-    12622143U,	// CMP32mi
-    12622143U,	// CMP32mi8
-    12622143U,	// CMP32mr
-    8542527U,	// CMP32ri
-    8542527U,	// CMP32ri8
-    551688511U,	// CMP32rm
-    8542527U,	// CMP32rr
-    8542527U,	// CMP32rr_REV
-    16801649U,	// CMP64i32
-    18915185U,	// CMP64mi32
-    18915185U,	// CMP64mi8
-    18915185U,	// CMP64mr
-    8544113U,	// CMP64ri32
-    8544113U,	// CMP64ri8
-    551722865U,	// CMP64rm
-    8544113U,	// CMP64rr
-    8544113U,	// CMP64rr_REV
-    20991384U,	// CMP8i8
-    23104920U,	// CMP8mi
-    23104920U,	// CMP8mr
-    8539544U,	// CMP8ri
-    445848U,	// CMP8rm
-    8539544U,	// CMP8rr
-    8539544U,	// CMP8rr_REV
-    1111963978U,	// CMPPDrmi
-    568676997U,	// CMPPDrmi_alt
-    1380415818U,	// CMPPDrri
-    839225989U,	// CMPPDrri_alt
-    1114061130U,	// CMPPSrmi
-    568681710U,	// CMPPSrmi_alt
-    1382512970U,	// CMPPSrri
-    839230702U,	// CMPPSrri_alt
-    1625795446U,	// CMPS16
-    1894242894U,	// CMPS32
-    2162696241U,	// CMPS64
-    2431143442U,	// CMPS8
-    2726771018U,	// CMPSDrm
-    581260362U,	// CMPSDrm_alt
-    1384610122U,	// CMPSDrr
-    839226442U,	// CMPSDrr_alt
-    2999400778U,	// CMPSSrm
-    585459421U,	// CMPSSrm_alt
-    1388804426U,	// CMPSSrr
-    839231197U,	// CMPSSrr_alt
-    560340U,	// CMPXCHG16B
-    4237731U,	// CMPXCHG16rm
-    8546723U,	// CMPXCHG16rr
-    12621917U,	// CMPXCHG32rm
-    8542301U,	// CMPXCHG32rr
-    18914993U,	// CMPXCHG64rm
-    8543921U,	// CMPXCHG64rr
-    396512U,	// CMPXCHG8B
-    23104823U,	// CMPXCHG8rm
-    8539447U,	// CMPXCHG8rr
-    578591U,	// COMISDrm
-    8541215U,	// COMISDrr
-    583347U,	// COMISSrm
-    8545971U,	// COMISSrr
-    23527U,	// COMP_FST0r
-    22208U,	// COM_FIPr
-    22151U,	// COM_FIr
-    23393U,	// COM_FST0r
-    15114U,	// COS_F
+    8465763U,	// CMOVS16rm
+    8449379U,	// CMOVS16rr
+    551640109U,	// CMOVS32rm
+    8444973U,	// CMOVS32rr
+    551657993U,	// CMOVS64rm
+    8446473U,	// CMOVS64rr
+    13119U,	// CMOV_FR32
+    13278U,	// CMOV_FR64
+    12998U,	// CMOV_GR16
+    12978U,	// CMOV_GR32
+    13297U,	// CMOV_GR8
+    13099U,	// CMOV_RFP32
+    13258U,	// CMOV_RFP64
+    13018U,	// CMOV_RFP80
+    13058U,	// CMOV_V16F32
+    13138U,	// CMOV_V2F64
+    13198U,	// CMOV_V2I64
+    13038U,	// CMOV_V4F32
+    13158U,	// CMOV_V4F64
+    13218U,	// CMOV_V4I64
+    13079U,	// CMOV_V8F32
+    13178U,	// CMOV_V8F64
+    13238U,	// CMOV_V8I64
+    2124822U,	// CMP16i16
+    4238358U,	// CMP16mi
+    4238358U,	// CMP16mi8
+    4238358U,	// CMP16mr
+    8662038U,	// CMP16ri
+    8662038U,	// CMP16ri8
+    388118U,	// CMP16rm
+    8662038U,	// CMP16rr
+    8662038U,	// CMP16rr_REV
+    10509035U,	// CMP32i32
+    12622571U,	// CMP32mi
+    12622571U,	// CMP32mi8
+    12622571U,	// CMP32mr
+    8657643U,	// CMP32ri
+    8657643U,	// CMP32ri8
+    551803627U,	// CMP32rm
+    8657643U,	// CMP32rr
+    8657643U,	// CMP32rr_REV
+    16802077U,	// CMP64i32
+    18915613U,	// CMP64mi32
+    18915613U,	// CMP64mi8
+    18915613U,	// CMP64mr
+    8659229U,	// CMP64ri32
+    8659229U,	// CMP64ri8
+    551837981U,	// CMP64rm
+    8659229U,	// CMP64rr
+    8659229U,	// CMP64rr_REV
+    20991812U,	// CMP8i8
+    23105348U,	// CMP8mi
+    23105348U,	// CMP8mr
+    8654660U,	// CMP8ri
+    446276U,	// CMP8rm
+    8654660U,	// CMP8rr
+    8654660U,	// CMP8rr_REV
+    1111963685U,	// CMPPDrmi
+    568677425U,	// CMPPDrmi_alt
+    1380415525U,	// CMPPDrri
+    839226417U,	// CMPPDrri_alt
+    1114060837U,	// CMPPSrmi
+    568682138U,	// CMPPSrmi_alt
+    1382512677U,	// CMPPSrri
+    839231130U,	// CMPPSrri_alt
+    1625788350U,	// CMPSB
+    1921464357U,	// CMPSDrm
+    581260790U,	// CMPSDrm_alt
+    1384609829U,	// CMPSDrr
+    839226870U,	// CMPSDrr_alt
+    2162678778U,	// CMPSL
+    2431132125U,	// CMPSQ
+    2730965029U,	// CMPSSrm
+    585459849U,	// CMPSSrm_alt
+    1388804133U,	// CMPSSrr
+    839231625U,	// CMPSSrr_alt
+    2968022306U,	// CMPSW
+    560768U,	// CMPXCHG16B
+    4238159U,	// CMPXCHG16rm
+    8661839U,	// CMPXCHG16rr
+    12622345U,	// CMPXCHG32rm
+    8657417U,	// CMPXCHG32rr
+    18915421U,	// CMPXCHG64rm
+    8659037U,	// CMPXCHG64rr
+    396940U,	// CMPXCHG8B
+    23105251U,	// CMPXCHG8rm
+    8654563U,	// CMPXCHG8rr
+    579019U,	// COMISDrm
+    8656331U,	// COMISDrr
+    583775U,	// COMISSrm
+    8661087U,	// COMISSrr
+    23955U,	// COMP_FST0r
+    22636U,	// COM_FIPr
+    22579U,	// COM_FIr
+    23821U,	// COM_FST0r
+    14827U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    14250U,	// CPUID32
-    14250U,	// CPUID64
-    14648U,	// CQO
-    6367263U,	// CRC32r32m16
-    283203366U,	// CRC32r32m32
-    117964U,	// CRC32r32m8
-    6350879U,	// CRC32r32r16
-    6346534U,	// CRC32r32r32
-    6343884U,	// CRC32r32r8
-    283221090U,	// CRC32r64m64
-    117964U,	// CRC32r64m8
-    6347874U,	// CRC32r64r64
-    6343884U,	// CRC32r64r8
-    14848U,	// CS_PREFIX
-    551719159U,	// CVTDQ2PDrm
-    8540407U,	// CVTDQ2PDrr
-    320334U,	// CVTDQ2PSrm
-    8545102U,	// CVTDQ2PSrr
-    580863U,	// CVTPD2DQrm
-    8543487U,	// CVTPD2DQrr
-    582434U,	// CVTPD2PSrm
-    8545058U,	// CVTPD2PSrr
-    580895U,	// CVTPS2DQrm
-    8543519U,	// CVTPS2DQrr
-    594178U,	// CVTPS2PDrm
-    8540418U,	// CVTPS2PDrr
-    595702U,	// CVTSD2SI64rm
-    8541942U,	// CVTSD2SI64rr
-    595702U,	// CVTSD2SIrm
-    8541942U,	// CVTSD2SIrr
-    599597U,	// CVTSD2SSrm
-    8545837U,	// CVTSD2SSrr
-    551722459U,	// CVTSI2SD64rm
-    8543707U,	// CVTSI2SD64rr
-    551688142U,	// CVTSI2SDrm
-    8542158U,	// CVTSI2SDrr
-    551723065U,	// CVTSI2SS64rm
-    8544313U,	// CVTSI2SS64rr
-    551688790U,	// CVTSI2SSrm
-    8542806U,	// CVTSI2SSrr
-    611217U,	// CVTSS2SDrm
-    8541073U,	// CVTSS2SDrr
-    612109U,	// CVTSS2SI64rm
-    8541965U,	// CVTSS2SI64rr
-    612109U,	// CVTSS2SIrm
-    8541965U,	// CVTSS2SIrr
-    580851U,	// CVTTPD2DQrm
-    8543475U,	// CVTTPD2DQrr
-    580883U,	// CVTTPS2DQrm
-    8543507U,	// CVTTPS2DQrr
-    595690U,	// CVTTSD2SI64rm
-    8541930U,	// CVTTSD2SI64rr
-    595690U,	// CVTTSD2SIrm
-    8541930U,	// CVTTSD2SIrr
-    612097U,	// CVTTSS2SI64rm
-    8541953U,	// CVTTSS2SI64rr
-    612097U,	// CVTTSS2SIrm
-    8541953U,	// CVTTSS2SIrr
-    14274U,	// CWD
-    14563U,	// CWDE
-    14122U,	// DAA
-    14832U,	// DAS
-    14003U,	// DATA16_PREFIX
-    272609U,	// DEC16m
-    26849U,	// DEC16r
-    26849U,	// DEC32_16r
-    22383U,	// DEC32_32r
-    284527U,	// DEC32m
-    22383U,	// DEC32r
-    272609U,	// DEC64_16m
-    26849U,	// DEC64_16r
-    284527U,	// DEC64_32m
-    22383U,	// DEC64_32r
-    400608U,	// DEC64m
-    23776U,	// DEC64r
-    429319U,	// DEC8m
-    19719U,	// DEC8r
-    273527U,	// DIV16m
-    27767U,	// DIV16r
-    285440U,	// DIV32m
-    23296U,	// DIV32r
-    401680U,	// DIV64m
-    24848U,	// DIV64r
-    429742U,	// DIV8m
-    20142U,	// DIV8r
-    8573656U,	// DIVPDrm
-    8442584U,	// DIVPDrr
-    8578426U,	// DIVPSrm
-    8447354U,	// DIVPSrr
-    239023U,	// DIVR_F32m
-    252421U,	// DIVR_F64m
-    271799U,	// DIVR_FI16m
-    285197U,	// DIVR_FI32m
-    23643U,	// DIVR_FPrST0
-    25103U,	// DIVR_FST0r
+    13926U,	// CPUID32
+    13926U,	// CPUID64
+    14355U,	// CQO
+    6367691U,	// CRC32r32m16
+    283203794U,	// CRC32r32m32
+    118392U,	// CRC32r32m8
+    6351307U,	// CRC32r32r16
+    6346962U,	// CRC32r32r32
+    6344312U,	// CRC32r32r8
+    283221518U,	// CRC32r64m64
+    118392U,	// CRC32r64m8
+    6348302U,	// CRC32r64r64
+    6344312U,	// CRC32r64r8
+    551834275U,	// CVTDQ2PDrm
+    8655523U,	// CVTDQ2PDrr
+    320762U,	// CVTDQ2PSrm
+    8660218U,	// CVTDQ2PSrr
+    581291U,	// CVTPD2DQrm
+    8658603U,	// CVTPD2DQrr
+    582862U,	// CVTPD2PSrm
+    8660174U,	// CVTPD2PSrr
+    581323U,	// CVTPS2DQrm
+    8658635U,	// CVTPS2DQrr
+    594606U,	// CVTPS2PDrm
+    8655534U,	// CVTPS2PDrr
+    596130U,	// CVTSD2SI64rm
+    8657058U,	// CVTSD2SI64rr
+    596130U,	// CVTSD2SIrm
+    8657058U,	// CVTSD2SIrr
+    600025U,	// CVTSD2SSrm
+    8660953U,	// CVTSD2SSrr
+    551837575U,	// CVTSI2SD64rm
+    8658823U,	// CVTSI2SD64rr
+    551803258U,	// CVTSI2SDrm
+    8657274U,	// CVTSI2SDrr
+    551838181U,	// CVTSI2SS64rm
+    8659429U,	// CVTSI2SS64rr
+    551803906U,	// CVTSI2SSrm
+    8657922U,	// CVTSI2SSrr
+    611645U,	// CVTSS2SDrm
+    8656189U,	// CVTSS2SDrr
+    612537U,	// CVTSS2SI64rm
+    8657081U,	// CVTSS2SI64rr
+    612537U,	// CVTSS2SIrm
+    8657081U,	// CVTSS2SIrr
+    581279U,	// CVTTPD2DQrm
+    8658591U,	// CVTTPD2DQrr
+    581311U,	// CVTTPS2DQrm
+    8658623U,	// CVTTPS2DQrr
+    596118U,	// CVTTSD2SI64rm
+    8657046U,	// CVTTSD2SI64rr
+    596118U,	// CVTTSD2SIrm
+    8657046U,	// CVTTSD2SIrr
+    612525U,	// CVTTSS2SI64rm
+    8657069U,	// CVTTSS2SI64rr
+    612525U,	// CVTTSS2SIrm
+    8657069U,	// CVTTSS2SIrr
+    13950U,	// CWD
+    14270U,	// CWDE
+    13798U,	// DAA
+    14539U,	// DAS
+    13679U,	// DATA16_PREFIX
+    223885U,	// DEC16m
+    27277U,	// DEC16r
+    27277U,	// DEC32_16r
+    22811U,	// DEC32_32r
+    235803U,	// DEC32m
+    22811U,	// DEC32r
+    223885U,	// DEC64_16m
+    27277U,	// DEC64_16r
+    235803U,	// DEC64_32m
+    22811U,	// DEC64_32r
+    401036U,	// DEC64m
+    24204U,	// DEC64r
+    429747U,	// DEC8m
+    20147U,	// DEC8r
+    224803U,	// DIV16m
+    28195U,	// DIV16r
+    236716U,	// DIV32m
+    23724U,	// DIV32r
+    402108U,	// DIV64m
+    25276U,	// DIV64r
+    430170U,	// DIV8m
+    20570U,	// DIV8r
+    8524932U,	// DIVPDrm
+    8443012U,	// DIVPDrr
+    8529702U,	// DIVPSrm
+    8447782U,	// DIVPSrr
+    190299U,	// DIVR_F32m
+    203697U,	// DIVR_F64m
+    223075U,	// DIVR_FI16m
+    236473U,	// DIVR_FI32m
+    24071U,	// DIVR_FPrST0
+    25531U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -754,21 +711,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    27987U,	// DIVR_FrST0
-    551752818U,	// DIVSDrm
-    551752818U,	// DIVSDrm_Int
-    8442994U,	// DIVSDrr
-    8442994U,	// DIVSDrr_Int
-    551773967U,	// DIVSSrm
-    551773967U,	// DIVSSrm_Int
-    8447759U,	// DIVSSrr
-    8447759U,	// DIVSSrr_Int
-    239426U,	// DIV_F32m
-    252671U,	// DIV_F64m
-    272201U,	// DIV_FI16m
-    285446U,	// DIV_FI32m
-    23568U,	// DIV_FPrST0
-    26624U,	// DIV_FST0r
+    28415U,	// DIVR_FrST0
+    551704094U,	// DIVSDrm
+    551704094U,	// DIVSDrm_Int
+    8443422U,	// DIVSDrr
+    8443422U,	// DIVSDrr_Int
+    551725243U,	// DIVSSrm
+    551725243U,	// DIVSSrm_Int
+    8448187U,	// DIVSSrr
+    8448187U,	// DIVSSrr_Int
+    190702U,	// DIV_F32m
+    203947U,	// DIV_F64m
+    223477U,	// DIV_FI16m
+    236722U,	// DIV_FI32m
+    23996U,	// DIV_FPrST0
+    27052U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -783,64 +740,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    27972U,	// DIV_FrST0
-    568676990U,	// DPPDrmi
-    839225982U,	// DPPDrri
-    568681703U,	// DPPSrmi
-    839230695U,	// DPPSrri
-    14868U,	// DS_PREFIX
-    28797U,	// EH_RETURN
-    28797U,	// EH_RETURN64
-    13812U,	// EH_SjLj_LongJmp32
-    13916U,	// EH_SjLj_LongJmp64
-    13831U,	// EH_SjLj_SetJmp32
-    13935U,	// EH_SjLj_SetJmp64
-    416843U,	// EH_SjLj_Setup
-    283140543U,	// ENTER
-    14906U,	// ES_PREFIX
-    3271894289U,	// EXTRACTPSmr
-    811656465U,	// EXTRACTPSrr
-    6348789U,	// EXTRQ
-    839229429U,	// EXTRQI
-    13793U,	// F2XM1
-    8546823U,	// FARCALL16i
-    636350U,	// FARCALL16m
-    8542406U,	// FARCALL32i
-    636316U,	// FARCALL32m
-    636333U,	// FARCALL64
-    8546928U,	// FARJMP16i
-    636359U,	// FARJMP16m
-    8542533U,	// FARJMP32i
-    636325U,	// FARJMP32m
-    636342U,	// FARJMP64
-    233432U,	// FBLDm
-    236574U,	// FBSTPm
-    238170U,	// FCOM32m
-    252164U,	// FCOM64m
-    238771U,	// FCOMP32m
-    252236U,	// FCOMP64m
-    14680U,	// FCOMPP
-    14695U,	// FDECSTP
-    15108U,	// FEMMS
-    21947U,	// FFREE
-    270945U,	// FICOM16m
-    284939U,	// FICOM32m
-    271547U,	// FICOMP16m
-    285012U,	// FICOMP32m
-    14703U,	// FINCSTP
-    272602U,	// FLDCW16m
-    239622U,	// FLDENVm
-    14286U,	// FLDL2E
-    15170U,	// FLDL2T
-    13897U,	// FLDLG2
-    13904U,	// FLDLN2
-    14413U,	// FLDPI
-    15511U,	// FNCLEX
-    15191U,	// FNINIT
-    14675U,	// FNOP
-    272627U,	// FNSTCW16m
-    15310U,	// FNSTSW16r
-    240532U,	// FNSTSWm
+    28400U,	// DIV_FrST0
+    568677418U,	// DPPDrmi
+    839226410U,	// DPPDrri
+    568682131U,	// DPPSrmi
+    839231123U,	// DPPSrri
+    29225U,	// EH_RETURN
+    29225U,	// EH_RETURN64
+    13488U,	// EH_SjLj_LongJmp32
+    13592U,	// EH_SjLj_LongJmp64
+    13507U,	// EH_SjLj_SetJmp32
+    13611U,	// EH_SjLj_SetJmp64
+    417271U,	// EH_SjLj_Setup
+    14815U,	// ENCLS
+    14940U,	// ENCLU
+    283140971U,	// ENTER
+    3271894717U,	// EXTRACTPSmr
+    811656893U,	// EXTRACTPSrr
+    6349217U,	// EXTRQ
+    839229857U,	// EXTRQI
+    13469U,	// F2XM1
+    8661939U,	// FARCALL16i
+    636026U,	// FARCALL16m
+    8657522U,	// FARCALL32i
+    635992U,	// FARCALL32m
+    636009U,	// FARCALL64
+    8662044U,	// FARJMP16i
+    636035U,	// FARJMP16m
+    8657649U,	// FARJMP32i
+    636001U,	// FARJMP32m
+    636018U,	// FARJMP64
+    184708U,	// FBLDm
+    187850U,	// FBSTPm
+    189446U,	// FCOM32m
+    203440U,	// FCOM64m
+    190047U,	// FCOMP32m
+    203512U,	// FCOMP64m
+    14387U,	// FCOMPP
+    14402U,	// FDECSTP
+    14821U,	// FEMMS
+    22375U,	// FFREE
+    222221U,	// FICOM16m
+    236215U,	// FICOM32m
+    222823U,	// FICOMP16m
+    236288U,	// FICOMP32m
+    14410U,	// FINCSTP
+    223878U,	// FLDCW16m
+    190898U,	// FLDENVm
+    13962U,	// FLDL2E
+    14883U,	// FLDL2T
+    13573U,	// FLDLG2
+    13580U,	// FLDLN2
+    14120U,	// FLDPI
+    15230U,	// FNCLEX
+    14904U,	// FNINIT
+    14382U,	// FNOP
+    223903U,	// FNSTCW16m
+    15029U,	// FNSTSW16r
+    191808U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -850,71 +807,68 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    14598U,	// FPATAN
-    14581U,	// FPREM
-    13786U,	// FPREM1
-    14605U,	// FPTAN
-    15202U,	// FRNDINT
-    238038U,	// FRSTORm
-    235036U,	// FSAVEm
-    14314U,	// FSCALE
-    14587U,	// FSETPM
-    15119U,	// FSINCOS
-    239630U,	// FSTENVm
-    14944U,	// FS_PREFIX
-    14576U,	// FXAM
-    631262U,	// FXRSTOR
-    630722U,	// FXRSTOR64
-    628260U,	// FXSAVE
-    630420U,	// FXSAVE64
-    15177U,	// FXTRACT
-    15304U,	// FYL2X
-    13799U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
-    8573549U,	// FsANDNPDrm
-    8442477U,	// FsANDNPDrr
-    8578254U,	// FsANDNPSrm
-    8447182U,	// FsANDNPSrr
-    8573413U,	// FsANDPDrm
-    8442341U,	// FsANDPDrr
-    8578089U,	// FsANDPSrm
-    8447017U,	// FsANDPSrr
+    14305U,	// FPATAN
+    14288U,	// FPREM
+    13462U,	// FPREM1
+    14312U,	// FPTAN
+    14915U,	// FRNDINT
+    189314U,	// FRSTORm
+    186312U,	// FSAVEm
+    13990U,	// FSCALE
+    14294U,	// FSETPM
+    14832U,	// FSINCOS
+    190906U,	// FSTENVm
+    14283U,	// FXAM
+    631690U,	// FXRSTOR
+    631150U,	// FXRSTOR64
+    628688U,	// FXSAVE
+    630848U,	// FXSAVE64
+    14890U,	// FXTRACT
+    15023U,	// FYL2X
+    13475U,	// FYL2XP1
+    8524825U,	// FsANDNPDrm
+    8442905U,	// FsANDNPDrr
+    8529530U,	// FsANDNPSrm
+    8447610U,	// FsANDNPSrr
+    8524689U,	// FsANDPDrm
+    8442769U,	// FsANDPDrr
+    8529365U,	// FsANDPSrm
+    8447445U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    577891U,	// FsMOVAPDrm
-    582575U,	// FsMOVAPSrm
-    8573593U,	// FsORPDrm
-    8442521U,	// FsORPDrr
-    8578306U,	// FsORPSrm
-    8447234U,	// FsORPSrr
-    577890U,	// FsVMOVAPDrm
-    582574U,	// FsVMOVAPSrm
-    8573600U,	// FsXORPDrm
-    8442528U,	// FsXORPDrr
-    8578313U,	// FsXORPSrm
-    8447241U,	// FsXORPSrr
-    14207U,	// GETSEC
-    15001U,	// GS_PREFIX
-    8573375U,	// HADDPDrm
-    8442303U,	// HADDPDrr
-    8578051U,	// HADDPSrm
-    8446979U,	// HADDPSrr
-    15198U,	// HLT
-    8573324U,	// HSUBPDrm
-    8442252U,	// HSUBPDrr
-    8578000U,	// HSUBPSrm
-    8446928U,	// HSUBPSrr
-    273526U,	// IDIV16m
-    27766U,	// IDIV16r
-    285447U,	// IDIV32m
-    23303U,	// IDIV32r
-    401679U,	// IDIV64m
-    24847U,	// IDIV64r
-    429741U,	// IDIV8m
-    20141U,	// IDIV8r
-    270912U,	// ILD_F16m
-    284598U,	// ILD_F32m
-    399526U,	// ILD_F64m
+    578319U,	// FsMOVAPDrm
+    583003U,	// FsMOVAPSrm
+    8524869U,	// FsORPDrm
+    8442949U,	// FsORPDrr
+    8529582U,	// FsORPSrm
+    8447662U,	// FsORPSrr
+    578318U,	// FsVMOVAPDrm
+    583002U,	// FsVMOVAPSrm
+    8524876U,	// FsXORPDrm
+    8442956U,	// FsXORPDrr
+    8529589U,	// FsXORPSrm
+    8447669U,	// FsXORPSrr
+    13883U,	// GETSEC
+    8524651U,	// HADDPDrm
+    8442731U,	// HADDPDrr
+    8529327U,	// HADDPSrm
+    8447407U,	// HADDPSrr
+    14911U,	// HLT
+    8524600U,	// HSUBPDrm
+    8442680U,	// HSUBPDrr
+    8529276U,	// HSUBPSrm
+    8447356U,	// HSUBPSrr
+    224802U,	// IDIV16m
+    28194U,	// IDIV16r
+    236723U,	// IDIV32m
+    23731U,	// IDIV32r
+    402107U,	// IDIV64m
+    25275U,	// IDIV64r
+    430169U,	// IDIV8m
+    20569U,	// IDIV8r
+    222188U,	// ILD_F16m
+    235874U,	// ILD_F32m
+    399954U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -924,79 +878,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    272948U,	// IMUL16m
-    27188U,	// IMUL16r
-    8464948U,	// IMUL16rm
-    52767284U,	// IMUL16rmi
-    52767284U,	// IMUL16rmi8
-    8448564U,	// IMUL16rr
-    811657780U,	// IMUL16rri
-    811657780U,	// IMUL16rri8
-    284917U,	// IMUL32m
-    22773U,	// IMUL32r
-    551639285U,	// IMUL32rm
-    832903413U,	// IMUL32rmi
-    832903413U,	// IMUL32rmi8
-    8444149U,	// IMUL32rr
-    811653365U,	// IMUL32rri
-    811653365U,	// IMUL32rri8
-    401193U,	// IMUL64m
-    24361U,	// IMUL64r
-    551657257U,	// IMUL64rm
-    835002153U,	// IMUL64rmi32
-    835002153U,	// IMUL64rmi8
-    8445737U,	// IMUL64rr
-    811654953U,	// IMUL64rri32
-    811654953U,	// IMUL64rri8
-    429426U,	// IMUL8m
-    19826U,	// IMUL8r
-    503759U,	// IN16
-    2124372U,	// IN16ri
-    15321U,	// IN16rr
-    520132U,	// IN32
-    10508570U,	// IN32ri
-    15400U,	// IN32rr
-    552889U,	// IN8
-    20991370U,	// IN8ri
-    14443U,	// IN8rr
-    272615U,	// INC16m
-    26855U,	// INC16r
-    26855U,	// INC32_16r
-    22389U,	// INC32_32r
-    284533U,	// INC32m
-    22389U,	// INC32r
-    272615U,	// INC64_16m
-    26855U,	// INC64_16r
-    284533U,	// INC64_32m
-    22389U,	// INC64_32r
-    400614U,	// INC64m
-    23782U,	// INC64r
-    429325U,	// INC8m
-    19725U,	// INC8r
-    585458983U,	// INSERTPSrm
-    839230759U,	// INSERTPSrr
-    6349016U,	// INSERTQ
-    839508184U,	// INSERTQI
-    26464U,	// INT
-    13807U,	// INT1
-    13911U,	// INT3
-    14643U,	// INTO
-    14281U,	// INVD
-    321381U,	// INVEPT32
-    321381U,	// INVEPT64
-    431672U,	// INVLPG
-    15381U,	// INVLPGA32
-    15449U,	// INVLPGA64
-    315334U,	// INVPCID32
-    315334U,	// INVPCID64
-    315343U,	// INVVPID32
-    315343U,	// INVVPID64
-    15292U,	// IRET16
-    14534U,	// IRET32
-    14744U,	// IRET64
-    271708U,	// ISTT_FP16m
-    285063U,	// ISTT_FP32m
-    399581U,	// ISTT_FP64m
+    224224U,	// IMUL16m
+    27616U,	// IMUL16r
+    8465376U,	// IMUL16rm
+    52767712U,	// IMUL16rmi
+    52767712U,	// IMUL16rmi8
+    8448992U,	// IMUL16rr
+    811658208U,	// IMUL16rri
+    811658208U,	// IMUL16rri8
+    236193U,	// IMUL32m
+    23201U,	// IMUL32r
+    551639713U,	// IMUL32rm
+    832903841U,	// IMUL32rmi
+    832903841U,	// IMUL32rmi8
+    8444577U,	// IMUL32rr
+    811653793U,	// IMUL32rri
+    811653793U,	// IMUL32rri8
+    401621U,	// IMUL64m
+    24789U,	// IMUL64r
+    551657685U,	// IMUL64rm
+    835002581U,	// IMUL64rmi32
+    835002581U,	// IMUL64rmi8
+    8446165U,	// IMUL64rr
+    811655381U,	// IMUL64rri32
+    811655381U,	// IMUL64rri8
+    429854U,	// IMUL8m
+    20254U,	// IMUL8r
+    2124800U,	// IN16ri
+    15040U,	// IN16rr
+    10508998U,	// IN32ri
+    15119U,	// IN32rr
+    20991798U,	// IN8ri
+    14150U,	// IN8rr
+    223891U,	// INC16m
+    27283U,	// INC16r
+    27283U,	// INC32_16r
+    22817U,	// INC32_32r
+    235809U,	// INC32m
+    22817U,	// INC32r
+    223891U,	// INC64_16m
+    27283U,	// INC64_16r
+    235809U,	// INC64_32m
+    22817U,	// INC64_32r
+    401042U,	// INC64m
+    24210U,	// INC64r
+    429753U,	// INC8m
+    20153U,	// INC8r
+    504165U,	// INSB
+    585459411U,	// INSERTPSrm
+    839231187U,	// INSERTPSrr
+    6349444U,	// INSERTQ
+    839508612U,	// INSERTQI
+    520560U,	// INSL
+    553339U,	// INSW
+    26892U,	// INT
+    13483U,	// INT1
+    13587U,	// INT3
+    14350U,	// INTO
+    13957U,	// INVD
+    321809U,	// INVEPT32
+    321809U,	// INVEPT64
+    432100U,	// INVLPG
+    15100U,	// INVLPGA32
+    15168U,	// INVLPGA64
+    315762U,	// INVPCID32
+    315762U,	// INVPCID64
+    315771U,	// INVVPID32
+    315771U,	// INVVPID64
+    15011U,	// IRET16
+    14241U,	// IRET32
+    14451U,	// IRET64
+    222984U,	// ISTT_FP16m
+    236339U,	// ISTT_FP32m
+    400009U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -1006,11 +960,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    272187U,	// IST_F16m
-    285418U,	// IST_F32m
-    271700U,	// IST_FP16m
-    285055U,	// IST_FP32m
-    399572U,	// IST_FP64m
+    223463U,	// IST_F16m
+    236694U,	// IST_F32m
+    222976U,	// IST_FP16m
+    236331U,	// IST_FP32m
+    400000U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1020,213 +974,246 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    2726771018U,	// Int_CMPSDrm
-    1384610122U,	// Int_CMPSDrr
-    2999400778U,	// Int_CMPSSrm
-    1388804426U,	// Int_CMPSSrr
-    578591U,	// Int_COMISDrm
-    8541215U,	// Int_COMISDrr
-    583347U,	// Int_COMISSrm
-    8545971U,	// Int_COMISSrr
-    551757357U,	// Int_CVTSD2SSrm
-    8447533U,	// Int_CVTSD2SSrr
-    551656923U,	// Int_CVTSI2SD64rm
-    8445403U,	// Int_CVTSI2SD64rr
-    551638990U,	// Int_CVTSI2SDrm
-    8443854U,	// Int_CVTSI2SDrr
-    551657529U,	// Int_CVTSI2SS64rm
-    8446009U,	// Int_CVTSI2SS64rr
-    551639638U,	// Int_CVTSI2SSrm
-    8444502U,	// Int_CVTSI2SSrr
-    551768977U,	// Int_CVTSS2SDrm
-    8442769U,	// Int_CVTSS2SDrr
-    595690U,	// Int_CVTTSD2SI64rm
-    8541930U,	// Int_CVTTSD2SI64rr
-    595690U,	// Int_CVTTSD2SIrm
-    8541930U,	// Int_CVTTSD2SIrr
-    612097U,	// Int_CVTTSS2SI64rm
-    8541953U,	// Int_CVTTSS2SI64rr
-    612097U,	// Int_CVTTSS2SIrm
-    8541953U,	// Int_CVTTSS2SIrr
-    14091U,	// Int_MemBarrier
-    578590U,	// Int_UCOMISDrm
-    8541214U,	// Int_UCOMISDrr
-    583346U,	// Int_UCOMISSrm
-    8545970U,	// Int_UCOMISSrr
-    2726967630U,	// Int_VCMPSDrm
-    1384806734U,	// Int_VCMPSDrr
-    2999597390U,	// Int_VCMPSSrm
-    1389001038U,	// Int_VCMPSSrr
-    578599U,	// Int_VCOMISDZrm
-    8541223U,	// Int_VCOMISDZrr
-    578599U,	// Int_VCOMISDrm
-    8541223U,	// Int_VCOMISDrr
-    583355U,	// Int_VCOMISSZrm
-    8545979U,	// Int_VCOMISSZrr
-    583355U,	// Int_VCOMISSrm
-    8545979U,	// Int_VCOMISSrr
-    283321900U,	// Int_VCVTSD2SSrm
-    811656748U,	// Int_VCVTSD2SSrr
-    283221466U,	// Int_VCVTSI2SD64Zrm
-    811654618U,	// Int_VCVTSI2SD64Zrr
-    283221466U,	// Int_VCVTSI2SD64rm
-    811654618U,	// Int_VCVTSI2SD64rr
-    283203533U,	// Int_VCVTSI2SDZrm
-    811653069U,	// Int_VCVTSI2SDZrr
-    283203533U,	// Int_VCVTSI2SDrm
-    811653069U,	// Int_VCVTSI2SDrr
-    283222072U,	// Int_VCVTSI2SS64Zrm
-    811655224U,	// Int_VCVTSI2SS64Zrr
-    283222072U,	// Int_VCVTSI2SS64rm
-    811655224U,	// Int_VCVTSI2SS64rr
-    283204181U,	// Int_VCVTSI2SSZrm
-    811653717U,	// Int_VCVTSI2SSZrr
-    283204181U,	// Int_VCVTSI2SSrm
-    811653717U,	// Int_VCVTSI2SSrr
-    283333520U,	// Int_VCVTSS2SDrm
-    811651984U,	// Int_VCVTSS2SDrr
-    591019U,	// Int_VCVTTSD2SI64Zrm
-    8537259U,	// Int_VCVTTSD2SI64Zrr
-    595689U,	// Int_VCVTTSD2SI64rm
-    8541929U,	// Int_VCVTTSD2SI64rr
-    591019U,	// Int_VCVTTSD2SIZrm
-    8537259U,	// Int_VCVTTSD2SIZrr
-    595689U,	// Int_VCVTTSD2SIrm
-    8541929U,	// Int_VCVTTSD2SIrr
-    591069U,	// Int_VCVTTSD2USI64Zrm
-    8537309U,	// Int_VCVTTSD2USI64Zrr
-    591069U,	// Int_VCVTTSD2USIZrm
-    8537309U,	// Int_VCVTTSD2USIZrr
-    607428U,	// Int_VCVTTSS2SI64Zrm
-    8537284U,	// Int_VCVTTSS2SI64Zrr
-    612096U,	// Int_VCVTTSS2SI64rm
-    8541952U,	// Int_VCVTTSS2SI64rr
-    607428U,	// Int_VCVTTSS2SIZrm
-    8537284U,	// Int_VCVTTSS2SIZrr
-    612096U,	// Int_VCVTTSS2SIrm
-    8541952U,	// Int_VCVTTSS2SIrr
-    607480U,	// Int_VCVTTSS2USI64Zrm
-    8537336U,	// Int_VCVTTSS2USI64Zrr
-    607480U,	// Int_VCVTTSS2USIZrm
-    8537336U,	// Int_VCVTTSS2USIZrr
-    283221478U,	// Int_VCVTUSI2SD64Zrm
-    811654630U,	// Int_VCVTUSI2SD64Zrr
-    283203545U,	// Int_VCVTUSI2SDZrm
-    811653081U,	// Int_VCVTUSI2SDZrr
-    283222084U,	// Int_VCVTUSI2SS64Zrm
-    811655236U,	// Int_VCVTUSI2SS64Zrr
-    283204193U,	// Int_VCVTUSI2SSZrm
-    811653729U,	// Int_VCVTUSI2SSZrr
-    578589U,	// Int_VUCOMISDZrm
-    8541213U,	// Int_VUCOMISDZrr
-    578589U,	// Int_VUCOMISDrm
-    8541213U,	// Int_VUCOMISDrr
-    583345U,	// Int_VUCOMISSZrm
-    8545969U,	// Int_VUCOMISSZrr
-    583345U,	// Int_VUCOMISSrm
-    8545969U,	// Int_VUCOMISSrr
-    415120U,	// JAE_1
-    415120U,	// JAE_2
-    415120U,	// JAE_4
-    412833U,	// JA_1
-    412833U,	// JA_2
-    412833U,	// JA_4
-    415132U,	// JBE_1
-    415132U,	// JBE_2
-    415132U,	// JBE_4
-    413001U,	// JB_1
-    413001U,	// JB_2
-    413001U,	// JB_4
-    421118U,	// JCXZ
-    421111U,	// JECXZ_32
-    421111U,	// JECXZ_64
-    415191U,	// JE_1
-    415191U,	// JE_2
-    415191U,	// JE_4
-    415170U,	// JGE_1
-    415170U,	// JGE_2
-    415170U,	// JGE_4
-    415284U,	// JG_1
-    415284U,	// JG_2
-    415284U,	// JG_4
-    415195U,	// JLE_1
-    415195U,	// JLE_2
-    415195U,	// JLE_4
-    415885U,	// JL_1
-    415885U,	// JL_2
-    415885U,	// JL_4
-    275912U,	// JMP16m
-    30152U,	// JMP16r
-    292262U,	// JMP32m
-    30118U,	// JMP32r
-    406967U,	// JMP64m
-    30135U,	// JMP64r
-    416738U,	// JMP_1
-    416738U,	// JMP_2
-    416738U,	// JMP_4
-    415207U,	// JNE_1
-    415207U,	// JNE_2
-    415207U,	// JNE_4
-    416688U,	// JNO_1
-    416688U,	// JNO_2
-    416688U,	// JNO_4
-    416758U,	// JNP_1
-    416758U,	// JNP_2
-    416758U,	// JNP_4
-    418409U,	// JNS_1
-    418409U,	// JNS_2
-    418409U,	// JNS_4
-    416684U,	// JO_1
-    416684U,	// JO_2
-    416684U,	// JO_4
-    416727U,	// JP_1
-    416727U,	// JP_2
-    416727U,	// JP_4
-    421124U,	// JRCXZ
-    418375U,	// JS_1
-    418375U,	// JS_2
-    418375U,	// JS_4
-    811649723U,	// KANDNWrr
-    811649671U,	// KANDWrr
-    8538907U,	// KMOVWkk
-    379675U,	// KMOVWkm
-    8538907U,	// KMOVWkr
-    4229915U,	// KMOVWmk
-    8538907U,	// KMOVWrk
-    8538888U,	// KNOTWrr
-    8538896U,	// KORTESTWrr
-    811649765U,	// KORWrr
+    1921464357U,	// Int_CMPSDrm
+    1384609829U,	// Int_CMPSDrr
+    2730965029U,	// Int_CMPSSrm
+    1388804133U,	// Int_CMPSSrr
+    579019U,	// Int_COMISDrm
+    8656331U,	// Int_COMISDrr
+    583775U,	// Int_COMISSrm
+    8661087U,	// Int_COMISSrr
+    551708633U,	// Int_CVTSD2SSrm
+    8447961U,	// Int_CVTSD2SSrr
+    551657351U,	// Int_CVTSI2SD64rm
+    8445831U,	// Int_CVTSI2SD64rr
+    551639418U,	// Int_CVTSI2SDrm
+    8444282U,	// Int_CVTSI2SDrr
+    551657957U,	// Int_CVTSI2SS64rm
+    8446437U,	// Int_CVTSI2SS64rr
+    551640066U,	// Int_CVTSI2SSrm
+    8444930U,	// Int_CVTSI2SSrr
+    551720253U,	// Int_CVTSS2SDrm
+    8443197U,	// Int_CVTSS2SDrr
+    596118U,	// Int_CVTTSD2SI64rm
+    8657046U,	// Int_CVTTSD2SI64rr
+    596118U,	// Int_CVTTSD2SIrm
+    8657046U,	// Int_CVTTSD2SIrr
+    612525U,	// Int_CVTTSS2SI64rm
+    8657069U,	// Int_CVTTSS2SI64rr
+    612525U,	// Int_CVTTSS2SIrm
+    8657069U,	// Int_CVTTSS2SIrr
+    13767U,	// Int_MemBarrier
+    579018U,	// Int_UCOMISDrm
+    8656330U,	// Int_UCOMISDrr
+    583774U,	// Int_UCOMISSrm
+    8661086U,	// Int_UCOMISSrr
+    1921660969U,	// Int_VCMPSDrm
+    1384806441U,	// Int_VCMPSDrr
+    2731161641U,	// Int_VCMPSSrm
+    1389000745U,	// Int_VCMPSSrr
+    579027U,	// Int_VCOMISDZrm
+    8656339U,	// Int_VCOMISDZrr
+    579027U,	// Int_VCOMISDrm
+    8656339U,	// Int_VCOMISDrr
+    583783U,	// Int_VCOMISSZrm
+    8661095U,	// Int_VCOMISSZrr
+    583783U,	// Int_VCOMISSrm
+    8661095U,	// Int_VCOMISSrr
+    283273176U,	// Int_VCVTSD2SSrm
+    811657176U,	// Int_VCVTSD2SSrr
+    283221894U,	// Int_VCVTSI2SD64Zrm
+    811655046U,	// Int_VCVTSI2SD64Zrr
+    283221894U,	// Int_VCVTSI2SD64rm
+    811655046U,	// Int_VCVTSI2SD64rr
+    283203961U,	// Int_VCVTSI2SDZrm
+    811653497U,	// Int_VCVTSI2SDZrr
+    283203961U,	// Int_VCVTSI2SDrm
+    811653497U,	// Int_VCVTSI2SDrr
+    283222500U,	// Int_VCVTSI2SS64Zrm
+    811655652U,	// Int_VCVTSI2SS64Zrr
+    283222500U,	// Int_VCVTSI2SS64rm
+    811655652U,	// Int_VCVTSI2SS64rr
+    283204609U,	// Int_VCVTSI2SSZrm
+    811654145U,	// Int_VCVTSI2SSZrr
+    283204609U,	// Int_VCVTSI2SSrm
+    811654145U,	// Int_VCVTSI2SSrr
+    283284796U,	// Int_VCVTSS2SDrm
+    811652412U,	// Int_VCVTSS2SDrr
+    591246U,	// Int_VCVTTSD2SI64Zrm
+    8652174U,	// Int_VCVTTSD2SI64Zrr
+    596117U,	// Int_VCVTTSD2SI64rm
+    8657045U,	// Int_VCVTTSD2SI64rr
+    591246U,	// Int_VCVTTSD2SIZrm
+    8652174U,	// Int_VCVTTSD2SIZrr
+    596117U,	// Int_VCVTTSD2SIrm
+    8657045U,	// Int_VCVTTSD2SIrr
+    591296U,	// Int_VCVTTSD2USI64Zrm
+    8652224U,	// Int_VCVTTSD2USI64Zrr
+    591296U,	// Int_VCVTTSD2USIZrm
+    8652224U,	// Int_VCVTTSD2USIZrr
+    607655U,	// Int_VCVTTSS2SI64Zrm
+    8652199U,	// Int_VCVTTSS2SI64Zrr
+    612524U,	// Int_VCVTTSS2SI64rm
+    8657068U,	// Int_VCVTTSS2SI64rr
+    607655U,	// Int_VCVTTSS2SIZrm
+    8652199U,	// Int_VCVTTSS2SIZrr
+    612524U,	// Int_VCVTTSS2SIrm
+    8657068U,	// Int_VCVTTSS2SIrr
+    607707U,	// Int_VCVTTSS2USI64Zrm
+    8652251U,	// Int_VCVTTSS2USI64Zrr
+    607707U,	// Int_VCVTTSS2USIZrm
+    8652251U,	// Int_VCVTTSS2USIZrr
+    283221906U,	// Int_VCVTUSI2SD64Zrm
+    811655058U,	// Int_VCVTUSI2SD64Zrr
+    283203973U,	// Int_VCVTUSI2SDZrm
+    811653509U,	// Int_VCVTUSI2SDZrr
+    283222512U,	// Int_VCVTUSI2SS64Zrm
+    811655664U,	// Int_VCVTUSI2SS64Zrr
+    283204621U,	// Int_VCVTUSI2SSZrm
+    811654157U,	// Int_VCVTUSI2SSZrr
+    579017U,	// Int_VUCOMISDZrm
+    8656329U,	// Int_VUCOMISDZrr
+    579017U,	// Int_VUCOMISDrm
+    8656329U,	// Int_VUCOMISDrr
+    583773U,	// Int_VUCOMISSZrm
+    8661085U,	// Int_VUCOMISSZrr
+    583773U,	// Int_VUCOMISSrm
+    8661085U,	// Int_VUCOMISSrr
+    415548U,	// JAE_1
+    415548U,	// JAE_2
+    415548U,	// JAE_4
+    413261U,	// JA_1
+    413261U,	// JA_2
+    413261U,	// JA_4
+    415560U,	// JBE_1
+    415560U,	// JBE_2
+    415560U,	// JBE_4
+    413429U,	// JB_1
+    413429U,	// JB_2
+    413429U,	// JB_4
+    421546U,	// JCXZ
+    421539U,	// JECXZ_32
+    421539U,	// JECXZ_64
+    415619U,	// JE_1
+    415619U,	// JE_2
+    415619U,	// JE_4
+    415598U,	// JGE_1
+    415598U,	// JGE_2
+    415598U,	// JGE_4
+    415712U,	// JG_1
+    415712U,	// JG_2
+    415712U,	// JG_4
+    415623U,	// JLE_1
+    415623U,	// JLE_2
+    415623U,	// JLE_4
+    416313U,	// JL_1
+    416313U,	// JL_2
+    416313U,	// JL_4
+    226436U,	// JMP16m
+    29828U,	// JMP16r
+    242786U,	// JMP32m
+    29794U,	// JMP32r
+    406643U,	// JMP64m
+    29811U,	// JMP64r
+    417166U,	// JMP_1
+    417166U,	// JMP_2
+    417166U,	// JMP_4
+    415635U,	// JNE_1
+    415635U,	// JNE_2
+    415635U,	// JNE_4
+    417116U,	// JNO_1
+    417116U,	// JNO_2
+    417116U,	// JNO_4
+    417186U,	// JNP_1
+    417186U,	// JNP_2
+    417186U,	// JNP_4
+    418837U,	// JNS_1
+    418837U,	// JNS_2
+    418837U,	// JNS_4
+    417112U,	// JO_1
+    417112U,	// JO_2
+    417112U,	// JO_4
+    417155U,	// JP_1
+    417155U,	// JP_2
+    417155U,	// JP_4
+    421552U,	// JRCXZ
+    418803U,	// JS_1
+    418803U,	// JS_2
+    418803U,	// JS_4
+    811647013U,	// KANDBrr
+    811647227U,	// KANDDrr
+    811647054U,	// KANDNBrr
+    811647353U,	// KANDNDrr
+    811649018U,	// KANDNQrr
+    811650108U,	// KANDNWrr
+    811648768U,	// KANDQrr
+    811650056U,	// KANDWrr
+    8650904U,	// KMOVBkk
+    442520U,	// KMOVBkm
+    8650904U,	// KMOVBkr
+    23101592U,	// KMOVBmk
+    8650904U,	// KMOVBrk
+    8652130U,	// KMOVDkk
+    551798114U,	// KMOVDkm
+    8652130U,	// KMOVDkr
+    12617058U,	// KMOVDmk
+    8652130U,	// KMOVDrk
+    8653045U,	// KMOVQkk
+    551831797U,	// KMOVQkm
+    8653045U,	// KMOVQkr
+    18909429U,	// KMOVQmk
+    8653045U,	// KMOVQrk
+    8653980U,	// KMOVWkk
+    380060U,	// KMOVWkm
+    8653980U,	// KMOVWkr
+    4230300U,	// KMOVWmk
+    8653980U,	// KMOVWrk
+    8650896U,	// KNOTBrr
+    8652057U,	// KNOTDrr
+    8652972U,	// KNOTQrr
+    8653961U,	// KNOTWrr
+    811647096U,	// KORBrr
+    811648042U,	// KORDrr
+    811649074U,	// KORQrr
+    8653969U,	// KORTESTWrr
+    811650150U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    811649712U,	// KSHIFTLWri
-    811649789U,	// KSHIFTRWri
-    811649660U,	// KUNPCKBWrr
-    811649772U,	// KXNORWrr
-    811649781U,	// KXORWrr
-    14380U,	// LAHF
-    387742U,	// LAR16rm
-    8546974U,	// LAR16rr
-    383407U,	// LAR32rm
-    8542639U,	// LAR32rr
-    384932U,	// LAR64rm
-    8544164U,	// LAR64rr
-    4237731U,	// LCMPXCHG16
-    560340U,	// LCMPXCHG16B
-    12621917U,	// LCMPXCHG32
-    18914993U,	// LCMPXCHG64
-    23104823U,	// LCMPXCHG8
-    396512U,	// LCMPXCHG8B
-    321499U,	// LDDQUrm
-    287221U,	// LDMXCSR
-    699205U,	// LDS16rm
-    694822U,	// LDS32rm
-    15518U,	// LD_F0
-    13781U,	// LD_F1
-    238138U,	// LD_F32m
-    251817U,	// LD_F64m
-    714577U,	// LD_F80m
+    811650097U,	// KSHIFTLWri
+    811650174U,	// KSHIFTRWri
+    811650045U,	// KUNPCKBWrr
+    811647103U,	// KXNORBrr
+    811648049U,	// KXNORDrr
+    811649081U,	// KXNORQrr
+    811650157U,	// KXNORWrr
+    811647112U,	// KXORBrr
+    811648066U,	// KXORDrr
+    811649098U,	// KXORQrr
+    811650166U,	// KXORWrr
+    14087U,	// LAHF
+    388170U,	// LAR16rm
+    8662090U,	// LAR16rr
+    383835U,	// LAR32rm
+    8657755U,	// LAR32rr
+    385360U,	// LAR64rm
+    8659280U,	// LAR64rr
+    4238159U,	// LCMPXCHG16
+    560768U,	// LCMPXCHG16B
+    12622345U,	// LCMPXCHG32
+    18915421U,	// LCMPXCHG64
+    23105251U,	// LCMPXCHG8
+    396940U,	// LCMPXCHG8B
+    321927U,	// LDDQUrm
+    238497U,	// LDMXCSR
+    699633U,	// LDS16rm
+    695250U,	// LDS32rm
+    15237U,	// LD_F0
+    13457U,	// LD_F1
+    189414U,	// LD_F32m
+    203093U,	// LD_F64m
+    715005U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1239,582 +1226,583 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20446U,	// LD_Frr
-    551692327U,	// LEA16r
-    551687982U,	// LEA32r
-    551687982U,	// LEA64_32r
-    551722099U,	// LEA64r
-    14367U,	// LEAVE
-    14367U,	// LEAVE64
-    699218U,	// LES16rm
-    694835U,	// LES32rm
-    14293U,	// LFENCE
-    699224U,	// LFS16rm
-    694841U,	// LFS32rm
-    696348U,	// LFS64rm
-    633805U,	// LGDT16m
-    629390U,	// LGDT32m
-    630890U,	// LGDT64m
-    699230U,	// LGS16rm
-    694847U,	// LGS32rm
-    696354U,	// LGS64rm
-    633819U,	// LIDT16m
-    629404U,	// LIDT32m
-    630904U,	// LIDT64m
-    273385U,	// LLDT16m
-    27625U,	// LLDT16r
-    273549U,	// LMSW16m
-    27789U,	// LMSW16r
-    4237566U,	// LOCK_ADD16mi
-    4237566U,	// LOCK_ADD16mi8
-    4237566U,	// LOCK_ADD16mr
-    12621707U,	// LOCK_ADD32mi
-    12621707U,	// LOCK_ADD32mi8
-    12621707U,	// LOCK_ADD32mr
-    18914622U,	// LOCK_ADD64mi32
-    18914622U,	// LOCK_ADD64mi8
-    18914622U,	// LOCK_ADD64mr
-    23104789U,	// LOCK_ADD8mi
-    23104789U,	// LOCK_ADD8mr
-    4237606U,	// LOCK_AND16mi
-    4237606U,	// LOCK_AND16mi8
-    4237606U,	// LOCK_AND16mr
-    12621760U,	// LOCK_AND32mi
-    12621760U,	// LOCK_AND32mi8
-    12621760U,	// LOCK_AND32mr
-    18914715U,	// LOCK_AND64mi32
-    18914715U,	// LOCK_AND64mi8
-    18914715U,	// LOCK_AND64mr
-    23104802U,	// LOCK_AND8mi
-    23104802U,	// LOCK_AND8mr
-    272609U,	// LOCK_DEC16m
-    284527U,	// LOCK_DEC32m
-    400608U,	// LOCK_DEC64m
-    429319U,	// LOCK_DEC8m
-    272615U,	// LOCK_INC16m
-    284533U,	// LOCK_INC32m
-    400614U,	// LOCK_INC64m
-    429325U,	// LOCK_INC8m
-    4238022U,	// LOCK_OR16mi
-    4238022U,	// LOCK_OR16mi8
-    4238022U,	// LOCK_OR16mr
-    12622297U,	// LOCK_OR32mi
-    12622297U,	// LOCK_OR32mi8
-    12622297U,	// LOCK_OR32mr
-    18915261U,	// LOCK_OR64mi32
-    18915261U,	// LOCK_OR64mi8
-    18915261U,	// LOCK_OR64mr
-    23104955U,	// LOCK_OR8mi
-    23104955U,	// LOCK_OR8mr
-    14438U,	// LOCK_PREFIX
-    4237472U,	// LOCK_SUB16mi
-    4237472U,	// LOCK_SUB16mi8
-    4237472U,	// LOCK_SUB16mr
-    12621643U,	// LOCK_SUB32mi
-    12621643U,	// LOCK_SUB32mi8
-    12621643U,	// LOCK_SUB32mr
-    18914478U,	// LOCK_SUB64mi32
-    18914478U,	// LOCK_SUB64mi8
-    18914478U,	// LOCK_SUB64mr
-    23104763U,	// LOCK_SUB8mi
-    23104763U,	// LOCK_SUB8mr
-    4238027U,	// LOCK_XOR16mi
-    4238027U,	// LOCK_XOR16mi8
-    4238027U,	// LOCK_XOR16mr
-    12622302U,	// LOCK_XOR32mi
-    12622302U,	// LOCK_XOR32mi8
-    12622302U,	// LOCK_XOR32mr
-    18915276U,	// LOCK_XOR64mi32
-    18915276U,	// LOCK_XOR64mi8
-    18915276U,	// LOCK_XOR64mr
-    23104960U,	// LOCK_XOR8mi
-    23104960U,	// LOCK_XOR8mr
-    21696002U,	// LODSB
-    11229740U,	// LODSL
-    761877U,	// LODSQ
-    2878283U,	// LODSW
-    416770U,	// LOOP
-    415236U,	// LOOPE
-    415212U,	// LOOPNE
-    23217U,	// LRETIL
-    24717U,	// LRETIQ
-    27639U,	// LRETIW
-    14540U,	// LRETL
-    14750U,	// LRETQ
-    15298U,	// LRETW
-    387630U,	// LSL16rm
-    8546862U,	// LSL16rr
-    551688423U,	// LSL32rm
-    8542439U,	// LSL32rr
-    551722779U,	// LSL64rm
-    8544027U,	// LSL64rr
-    699272U,	// LSS16rm
-    694894U,	// LSS32rm
-    696401U,	// LSS64rm
-    273126U,	// LTRm
-    27366U,	// LTRr
-    54683916U,	// LXADD16
-    56776601U,	// LXADD32
-    58875204U,	// LXADD64
-    60968219U,	// LXADD8
-    388113U,	// LZCNT16rm
-    8547345U,	// LZCNT16rr
-    551688903U,	// LZCNT32rm
-    8542919U,	// LZCNT32rr
-    551723175U,	// LZCNT64rm
-    8544423U,	// LZCNT64rr
-    8546275U,	// MASKMOVDQU
-    8546275U,	// MASKMOVDQU64
-    8573676U,	// MAXCPDrm
-    8442604U,	// MAXCPDrr
-    8578446U,	// MAXCPSrm
-    8447374U,	// MAXCPSrr
-    551752835U,	// MAXCSDrm
-    8443011U,	// MAXCSDrr
-    551773983U,	// MAXCSSrm
-    8447775U,	// MAXCSSrr
-    8573676U,	// MAXPDrm
-    8442604U,	// MAXPDrr
-    8578446U,	// MAXPSrm
-    8447374U,	// MAXPSrr
-    551752835U,	// MAXSDrm
-    551752835U,	// MAXSDrm_Int
-    8443011U,	// MAXSDrr
-    8443011U,	// MAXSDrr_Int
-    551773983U,	// MAXSSrm
-    551773983U,	// MAXSSrm_Int
-    8447775U,	// MAXSSrr
-    8447775U,	// MAXSSrr_Int
-    14300U,	// MFENCE
-    8573558U,	// MINCPDrm
-    8442486U,	// MINCPDrr
-    8578263U,	// MINCPSrm
-    8447191U,	// MINCPSrr
-    551752762U,	// MINCSDrm
-    8442938U,	// MINCSDrr
-    551773901U,	// MINCSSrm
-    8447693U,	// MINCSSrr
-    8573558U,	// MINPDrm
-    8442486U,	// MINPDrr
-    8578263U,	// MINPSrm
-    8447191U,	// MINPSrr
-    551752762U,	// MINSDrm
-    551752762U,	// MINSDrm_Int
-    8442938U,	// MINSDrr
-    8442938U,	// MINSDrr_Int
-    551773901U,	// MINSSrm
-    551773901U,	// MINSSrm_Int
-    8447693U,	// MINSSrr
-    8447693U,	// MINSSrr_Int
-    579233U,	// MMX_CVTPD2PIirm
-    8541857U,	// MMX_CVTPD2PIirr
-    551719136U,	// MMX_CVTPI2PDirm
-    8540384U,	// MMX_CVTPI2PDirr
-    551658295U,	// MMX_CVTPI2PSirm
-    8446775U,	// MMX_CVTPI2PSirr
-    595638U,	// MMX_CVTPS2PIirm
-    8541878U,	// MMX_CVTPS2PIirr
-    579222U,	// MMX_CVTTPD2PIirm
-    8541846U,	// MMX_CVTTPD2PIirr
-    595627U,	// MMX_CVTTPS2PIirm
-    8541867U,	// MMX_CVTTPS2PIirr
-    15109U,	// MMX_EMMS
-    8544554U,	// MMX_MASKMOVQ
-    8544554U,	// MMX_MASKMOVQ64
-    8541440U,	// MMX_MOVD64from64rr
-    8541440U,	// MMX_MOVD64grr
-    12621056U,	// MMX_MOVD64mr
-    551687424U,	// MMX_MOVD64rm
-    8541440U,	// MMX_MOVD64rr
-    8541440U,	// MMX_MOVD64to64rr
-    8543338U,	// MMX_MOVDQ2Qrr
-    8543338U,	// MMX_MOVFR642Qrr
-    18915511U,	// MMX_MOVNTQmr
-    8543497U,	// MMX_MOVQ2DQrr
-    8543497U,	// MMX_MOVQ2FR64rr
-    18915630U,	// MMX_MOVQ64mr
-    551723310U,	// MMX_MOVQ64rm
-    8544558U,	// MMX_MOVQ64rr
-    551718368U,	// MMX_PABSBrm64
-    8539616U,	// MMX_PABSBrr64
-    551719890U,	// MMX_PABSDrm64
-    8541138U,	// MMX_PABSDrr64
-    551725827U,	// MMX_PABSWrm64
-    8547075U,	// MMX_PABSWrr64
-    551659838U,	// MMX_PACKSSDWirm
-    8448318U,	// MMX_PACKSSDWirr
-    551653053U,	// MMX_PACKSSWBirm
-    8441533U,	// MMX_PACKSSWBirr
-    551653064U,	// MMX_PACKUSWBirm
-    8441544U,	// MMX_PACKUSWBirr
-    551652628U,	// MMX_PADDBirm
-    8441108U,	// MMX_PADDBirr
-    551653245U,	// MMX_PADDDirm
-    8441725U,	// MMX_PADDDirr
-    551656765U,	// MMX_PADDQirm
-    8445245U,	// MMX_PADDQirr
-    551652858U,	// MMX_PADDSBirm
-    8441338U,	// MMX_PADDSBirr
-    551660349U,	// MMX_PADDSWirm
-    8448829U,	// MMX_PADDSWirr
-    551652907U,	// MMX_PADDUSBirm
-    8441387U,	// MMX_PADDUSBirr
-    551660462U,	// MMX_PADDUSWirm
-    8448942U,	// MMX_PADDUSWirr
-    551659781U,	// MMX_PADDWirm
-    8448261U,	// MMX_PADDWirr
-    864379335U,	// MMX_PALIGNR64irm
-    839229895U,	// MMX_PALIGNR64irr
-    551656335U,	// MMX_PANDNirm
-    8444815U,	// MMX_PANDNirr
-    551653406U,	// MMX_PANDirm
-    8441886U,	// MMX_PANDirr
-    551652674U,	// MMX_PAVGBirm
-    8441154U,	// MMX_PAVGBirr
-    551659950U,	// MMX_PAVGWirm
-    8448430U,	// MMX_PAVGWirr
-    551652767U,	// MMX_PCMPEQBirm
-    8441247U,	// MMX_PCMPEQBirr
-    551654141U,	// MMX_PCMPEQDirm
-    8442621U,	// MMX_PCMPEQDirr
-    551660181U,	// MMX_PCMPEQWirm
-    8448661U,	// MMX_PCMPEQWirr
-    551652948U,	// MMX_PCMPGTBirm
-    8441428U,	// MMX_PCMPGTBirr
-    551654556U,	// MMX_PCMPGTDirm
-    8443036U,	// MMX_PCMPGTDirr
-    551660543U,	// MMX_PCMPGTWirm
-    8449023U,	// MMX_PCMPGTWirr
-    811657971U,	// MMX_PEXTRWirri
-    551660339U,	// MMX_PHADDSWrm64
-    8448819U,	// MMX_PHADDSWrr64
-    551659772U,	// MMX_PHADDWrm64
-    8448252U,	// MMX_PHADDWrr64
-    551653236U,	// MMX_PHADDrm64
-    8441716U,	// MMX_PHADDrr64
-    551653190U,	// MMX_PHSUBDrm64
-    8441670U,	// MMX_PHSUBDrr64
-    551660320U,	// MMX_PHSUBSWrm64
-    8448800U,	// MMX_PHSUBSWrr64
-    551659678U,	// MMX_PHSUBWrm64
-    8448158U,	// MMX_PHSUBWrr64
-    860187352U,	// MMX_PINSRWirmi
-    839232216U,	// MMX_PINSRWirri
-    551660308U,	// MMX_PMADDUBSWrm64
-    8448788U,	// MMX_PMADDUBSWrr64
-    551654690U,	// MMX_PMADDWDirm
-    8443170U,	// MMX_PMADDWDirr
-    551660480U,	// MMX_PMAXSWirm
-    8448960U,	// MMX_PMAXSWirr
-    551653018U,	// MMX_PMAXUBirm
-    8441498U,	// MMX_PMAXUBirr
-    551660389U,	// MMX_PMINSWirm
-    8448869U,	// MMX_PMINSWirr
-    551653002U,	// MMX_PMINUBirm
-    8441482U,	// MMX_PMINUBirr
-    8539470U,	// MMX_PMOVMSKBrr
-    551660414U,	// MMX_PMULHRSWrm64
-    8448894U,	// MMX_PMULHRSWrr64
-    551660613U,	// MMX_PMULHUWirm
-    8449093U,	// MMX_PMULHUWirr
-    551659987U,	// MMX_PMULHWirm
-    8448467U,	// MMX_PMULHWirr
-    551660056U,	// MMX_PMULLWirm
-    8448536U,	// MMX_PMULLWirr
-    551656969U,	// MMX_PMULUDQirm
-    8445449U,	// MMX_PMULUDQirr
-    551657937U,	// MMX_PORirm
-    8446417U,	// MMX_PORirr
-    551659607U,	// MMX_PSADBWirm
-    8448087U,	// MMX_PSADBWirr
-    551652649U,	// MMX_PSHUFBrm64
-    8441129U,	// MMX_PSHUFBrr64
-    835004821U,	// MMX_PSHUFWmi
-    811657621U,	// MMX_PSHUFWri
-    551652738U,	// MMX_PSIGNBrm64
-    8441218U,	// MMX_PSIGNBrr64
-    551653413U,	// MMX_PSIGNDrm64
-    8441893U,	// MMX_PSIGNDrr64
-    551660108U,	// MMX_PSIGNWrm64
-    8448588U,	// MMX_PSIGNWrr64
-    8441836U,	// MMX_PSLLDri
-    551653356U,	// MMX_PSLLDrm
-    8441836U,	// MMX_PSLLDrr
-    8445702U,	// MMX_PSLLQri
-    551657222U,	// MMX_PSLLQrm
-    8445702U,	// MMX_PSLLQrr
-    8448528U,	// MMX_PSLLWri
-    551660048U,	// MMX_PSLLWrm
-    8448528U,	// MMX_PSLLWrr
-    8441641U,	// MMX_PSRADri
-    551653161U,	// MMX_PSRADrm
-    8441641U,	// MMX_PSRADrr
-    8448054U,	// MMX_PSRAWri
-    551659574U,	// MMX_PSRAWrm
-    8448054U,	// MMX_PSRAWrr
-    8441853U,	// MMX_PSRLDri
-    551653373U,	// MMX_PSRLDrm
-    8441853U,	// MMX_PSRLDrr
-    8445716U,	// MMX_PSRLQri
-    551657236U,	// MMX_PSRLQrm
-    8445716U,	// MMX_PSRLQrr
-    8448551U,	// MMX_PSRLWri
-    551660071U,	// MMX_PSRLWrm
-    8448551U,	// MMX_PSRLWrr
-    551652602U,	// MMX_PSUBBirm
-    8441082U,	// MMX_PSUBBirr
-    551653199U,	// MMX_PSUBDirm
-    8441679U,	// MMX_PSUBDirr
-    551656621U,	// MMX_PSUBQirm
-    8445101U,	// MMX_PSUBQirr
-    551652849U,	// MMX_PSUBSBirm
-    8441329U,	// MMX_PSUBSBirr
-    551660330U,	// MMX_PSUBSWirm
-    8448810U,	// MMX_PSUBSWirr
-    551652897U,	// MMX_PSUBUSBirm
-    8441377U,	// MMX_PSUBUSBirr
-    551660452U,	// MMX_PSUBUSWirm
-    8448932U,	// MMX_PSUBUSWirr
-    551659687U,	// MMX_PSUBWirm
-    8448167U,	// MMX_PSUBWirr
-    551659635U,	// MMX_PUNPCKHBWirm
-    8448115U,	// MMX_PUNPCKHBWirr
-    551656799U,	// MMX_PUNPCKHDQirm
-    8445279U,	// MMX_PUNPCKHDQirr
-    551654700U,	// MMX_PUNPCKHWDirm
-    8443180U,	// MMX_PUNPCKHWDirr
-    551659647U,	// MMX_PUNPCKLBWirm
-    8448127U,	// MMX_PUNPCKLBWirr
-    551656818U,	// MMX_PUNPCKLDQirm
-    8445298U,	// MMX_PUNPCKLDQirr
-    551654712U,	// MMX_PUNPCKLWDirm
-    8443192U,	// MMX_PUNPCKLWDirr
-    551657960U,	// MMX_PXORirm
-    8446440U,	// MMX_PXORirr
+    20874U,	// LD_Frr
+    387539U,	// LEA16r
+    551803098U,	// LEA32r
+    551803098U,	// LEA64_32r
+    551837215U,	// LEA64r
+    14074U,	// LEAVE
+    14074U,	// LEAVE64
+    699646U,	// LES16rm
+    695263U,	// LES32rm
+    13969U,	// LFENCE
+    699652U,	// LFS16rm
+    695269U,	// LFS32rm
+    696776U,	// LFS64rm
+    634233U,	// LGDT16m
+    629818U,	// LGDT32m
+    631318U,	// LGDT64m
+    699658U,	// LGS16rm
+    695275U,	// LGS32rm
+    696782U,	// LGS64rm
+    634247U,	// LIDT16m
+    629832U,	// LIDT32m
+    631332U,	// LIDT64m
+    224661U,	// LLDT16m
+    28053U,	// LLDT16r
+    224825U,	// LMSW16m
+    28217U,	// LMSW16r
+    4237994U,	// LOCK_ADD16mi
+    4237994U,	// LOCK_ADD16mi8
+    4237994U,	// LOCK_ADD16mr
+    12622135U,	// LOCK_ADD32mi
+    12622135U,	// LOCK_ADD32mi8
+    12622135U,	// LOCK_ADD32mr
+    18915050U,	// LOCK_ADD64mi32
+    18915050U,	// LOCK_ADD64mi8
+    18915050U,	// LOCK_ADD64mr
+    23105217U,	// LOCK_ADD8mi
+    23105217U,	// LOCK_ADD8mr
+    4238034U,	// LOCK_AND16mi
+    4238034U,	// LOCK_AND16mi8
+    4238034U,	// LOCK_AND16mr
+    12622188U,	// LOCK_AND32mi
+    12622188U,	// LOCK_AND32mi8
+    12622188U,	// LOCK_AND32mr
+    18915143U,	// LOCK_AND64mi32
+    18915143U,	// LOCK_AND64mi8
+    18915143U,	// LOCK_AND64mr
+    23105230U,	// LOCK_AND8mi
+    23105230U,	// LOCK_AND8mr
+    223885U,	// LOCK_DEC16m
+    235803U,	// LOCK_DEC32m
+    401036U,	// LOCK_DEC64m
+    429747U,	// LOCK_DEC8m
+    223891U,	// LOCK_INC16m
+    235809U,	// LOCK_INC32m
+    401042U,	// LOCK_INC64m
+    429753U,	// LOCK_INC8m
+    4238450U,	// LOCK_OR16mi
+    4238450U,	// LOCK_OR16mi8
+    4238450U,	// LOCK_OR16mr
+    12622725U,	// LOCK_OR32mi
+    12622725U,	// LOCK_OR32mi8
+    12622725U,	// LOCK_OR32mr
+    18915689U,	// LOCK_OR64mi32
+    18915689U,	// LOCK_OR64mi8
+    18915689U,	// LOCK_OR64mr
+    23105383U,	// LOCK_OR8mi
+    23105383U,	// LOCK_OR8mr
+    14145U,	// LOCK_PREFIX
+    4237900U,	// LOCK_SUB16mi
+    4237900U,	// LOCK_SUB16mi8
+    4237900U,	// LOCK_SUB16mr
+    12622071U,	// LOCK_SUB32mi
+    12622071U,	// LOCK_SUB32mi8
+    12622071U,	// LOCK_SUB32mr
+    18914906U,	// LOCK_SUB64mi32
+    18914906U,	// LOCK_SUB64mi8
+    18914906U,	// LOCK_SUB64mr
+    23105191U,	// LOCK_SUB8mi
+    23105191U,	// LOCK_SUB8mr
+    4238455U,	// LOCK_XOR16mi
+    4238455U,	// LOCK_XOR16mi8
+    4238455U,	// LOCK_XOR16mr
+    12622730U,	// LOCK_XOR32mi
+    12622730U,	// LOCK_XOR32mi8
+    12622730U,	// LOCK_XOR32mr
+    18915704U,	// LOCK_XOR64mi32
+    18915704U,	// LOCK_XOR64mi8
+    18915704U,	// LOCK_XOR64mr
+    23105388U,	// LOCK_XOR8mi
+    23105388U,	// LOCK_XOR8mr
+    21696430U,	// LODSB
+    11230168U,	// LODSL
+    762305U,	// LODSQ
+    2878711U,	// LODSW
+    417198U,	// LOOP
+    415664U,	// LOOPE
+    415640U,	// LOOPNE
+    23645U,	// LRETIL
+    25145U,	// LRETIQ
+    28067U,	// LRETIW
+    14247U,	// LRETL
+    14457U,	// LRETQ
+    15017U,	// LRETW
+    388058U,	// LSL16rm
+    8661978U,	// LSL16rr
+    551803539U,	// LSL32rm
+    8657555U,	// LSL32rr
+    551837895U,	// LSL64rm
+    8659143U,	// LSL64rr
+    699700U,	// LSS16rm
+    695322U,	// LSS32rm
+    696829U,	// LSS64rm
+    224402U,	// LTRm
+    27794U,	// LTRr
+    54799032U,	// LXADD16
+    56891717U,	// LXADD32
+    58990320U,	// LXADD64
+    61083335U,	// LXADD8
+    388541U,	// LZCNT16rm
+    8662461U,	// LZCNT16rr
+    551804019U,	// LZCNT32rm
+    8658035U,	// LZCNT32rr
+    551838291U,	// LZCNT64rm
+    8659539U,	// LZCNT64rr
+    8661391U,	// MASKMOVDQU
+    8661391U,	// MASKMOVDQU64
+    8524952U,	// MAXCPDrm
+    8443032U,	// MAXCPDrr
+    8529722U,	// MAXCPSrm
+    8447802U,	// MAXCPSrr
+    551704111U,	// MAXCSDrm
+    8443439U,	// MAXCSDrr
+    551725259U,	// MAXCSSrm
+    8448203U,	// MAXCSSrr
+    8524952U,	// MAXPDrm
+    8443032U,	// MAXPDrr
+    8529722U,	// MAXPSrm
+    8447802U,	// MAXPSrr
+    551704111U,	// MAXSDrm
+    551704111U,	// MAXSDrm_Int
+    8443439U,	// MAXSDrr
+    8443439U,	// MAXSDrr_Int
+    551725259U,	// MAXSSrm
+    551725259U,	// MAXSSrm_Int
+    8448203U,	// MAXSSrr
+    8448203U,	// MAXSSrr_Int
+    13976U,	// MFENCE
+    8524834U,	// MINCPDrm
+    8442914U,	// MINCPDrr
+    8529539U,	// MINCPSrm
+    8447619U,	// MINCPSrr
+    551704038U,	// MINCSDrm
+    8443366U,	// MINCSDrr
+    551725177U,	// MINCSSrm
+    8448121U,	// MINCSSrr
+    8524834U,	// MINPDrm
+    8442914U,	// MINPDrr
+    8529539U,	// MINPSrm
+    8447619U,	// MINPSrr
+    551704038U,	// MINSDrm
+    551704038U,	// MINSDrm_Int
+    8443366U,	// MINSDrr
+    8443366U,	// MINSDrr_Int
+    551725177U,	// MINSSrm
+    551725177U,	// MINSSrm_Int
+    8448121U,	// MINSSrr
+    8448121U,	// MINSSrr_Int
+    579661U,	// MMX_CVTPD2PIirm
+    8656973U,	// MMX_CVTPD2PIirr
+    551834252U,	// MMX_CVTPI2PDirm
+    8655500U,	// MMX_CVTPI2PDirr
+    551658723U,	// MMX_CVTPI2PSirm
+    8447203U,	// MMX_CVTPI2PSirr
+    596066U,	// MMX_CVTPS2PIirm
+    8656994U,	// MMX_CVTPS2PIirr
+    579650U,	// MMX_CVTTPD2PIirm
+    8656962U,	// MMX_CVTTPD2PIirr
+    596055U,	// MMX_CVTTPS2PIirm
+    8656983U,	// MMX_CVTTPS2PIirr
+    14822U,	// MMX_EMMS
+    8659670U,	// MMX_MASKMOVQ
+    8659670U,	// MMX_MASKMOVQ64
+    8656556U,	// MMX_MOVD64from64rr
+    8656556U,	// MMX_MOVD64grr
+    12621484U,	// MMX_MOVD64mr
+    551802540U,	// MMX_MOVD64rm
+    8656556U,	// MMX_MOVD64rr
+    8656556U,	// MMX_MOVD64to64rr
+    8658454U,	// MMX_MOVDQ2Qrr
+    8658454U,	// MMX_MOVFR642Qrr
+    18915939U,	// MMX_MOVNTQmr
+    8658613U,	// MMX_MOVQ2DQrr
+    8658613U,	// MMX_MOVQ2FR64rr
+    18916058U,	// MMX_MOVQ64mr
+    551838426U,	// MMX_MOVQ64rm
+    8659674U,	// MMX_MOVQ64rr
+    8659674U,	// MMX_MOVQ64rr_REV
+    551833484U,	// MMX_PABSBrm64
+    8654732U,	// MMX_PABSBrr64
+    551835006U,	// MMX_PABSDrm64
+    8656254U,	// MMX_PABSDrr64
+    551840943U,	// MMX_PABSWrm64
+    8662191U,	// MMX_PABSWrr64
+    551660266U,	// MMX_PACKSSDWirm
+    8448746U,	// MMX_PACKSSDWirr
+    551653481U,	// MMX_PACKSSWBirm
+    8441961U,	// MMX_PACKSSWBirr
+    551653492U,	// MMX_PACKUSWBirm
+    8441972U,	// MMX_PACKUSWBirr
+    551653056U,	// MMX_PADDBirm
+    8441536U,	// MMX_PADDBirr
+    551653673U,	// MMX_PADDDirm
+    8442153U,	// MMX_PADDDirr
+    551657193U,	// MMX_PADDQirm
+    8445673U,	// MMX_PADDQirr
+    551653286U,	// MMX_PADDSBirm
+    8441766U,	// MMX_PADDSBirr
+    551660777U,	// MMX_PADDSWirm
+    8449257U,	// MMX_PADDSWirr
+    551653335U,	// MMX_PADDUSBirm
+    8441815U,	// MMX_PADDUSBirr
+    551660890U,	// MMX_PADDUSWirm
+    8449370U,	// MMX_PADDUSWirr
+    551660209U,	// MMX_PADDWirm
+    8448689U,	// MMX_PADDWirr
+    864379763U,	// MMX_PALIGNR64irm
+    839230323U,	// MMX_PALIGNR64irr
+    551656763U,	// MMX_PANDNirm
+    8445243U,	// MMX_PANDNirr
+    551653834U,	// MMX_PANDirm
+    8442314U,	// MMX_PANDirr
+    551653102U,	// MMX_PAVGBirm
+    8441582U,	// MMX_PAVGBirr
+    551660378U,	// MMX_PAVGWirm
+    8448858U,	// MMX_PAVGWirr
+    551653195U,	// MMX_PCMPEQBirm
+    8441675U,	// MMX_PCMPEQBirr
+    551654569U,	// MMX_PCMPEQDirm
+    8443049U,	// MMX_PCMPEQDirr
+    551660609U,	// MMX_PCMPEQWirm
+    8449089U,	// MMX_PCMPEQWirr
+    551653376U,	// MMX_PCMPGTBirm
+    8441856U,	// MMX_PCMPGTBirr
+    551654984U,	// MMX_PCMPGTDirm
+    8443464U,	// MMX_PCMPGTDirr
+    551660971U,	// MMX_PCMPGTWirm
+    8449451U,	// MMX_PCMPGTWirr
+    811658399U,	// MMX_PEXTRWirri
+    551660767U,	// MMX_PHADDSWrm64
+    8449247U,	// MMX_PHADDSWrr64
+    551660200U,	// MMX_PHADDWrm64
+    8448680U,	// MMX_PHADDWrr64
+    551653664U,	// MMX_PHADDrm64
+    8442144U,	// MMX_PHADDrr64
+    551653618U,	// MMX_PHSUBDrm64
+    8442098U,	// MMX_PHSUBDrr64
+    551660748U,	// MMX_PHSUBSWrm64
+    8449228U,	// MMX_PHSUBSWrr64
+    551660106U,	// MMX_PHSUBWrm64
+    8448586U,	// MMX_PHSUBWrr64
+    860187780U,	// MMX_PINSRWirmi
+    839232644U,	// MMX_PINSRWirri
+    551660736U,	// MMX_PMADDUBSWrm64
+    8449216U,	// MMX_PMADDUBSWrr64
+    551655118U,	// MMX_PMADDWDirm
+    8443598U,	// MMX_PMADDWDirr
+    551660908U,	// MMX_PMAXSWirm
+    8449388U,	// MMX_PMAXSWirr
+    551653446U,	// MMX_PMAXUBirm
+    8441926U,	// MMX_PMAXUBirr
+    551660817U,	// MMX_PMINSWirm
+    8449297U,	// MMX_PMINSWirr
+    551653430U,	// MMX_PMINUBirm
+    8441910U,	// MMX_PMINUBirr
+    8654586U,	// MMX_PMOVMSKBrr
+    551660842U,	// MMX_PMULHRSWrm64
+    8449322U,	// MMX_PMULHRSWrr64
+    551661041U,	// MMX_PMULHUWirm
+    8449521U,	// MMX_PMULHUWirr
+    551660415U,	// MMX_PMULHWirm
+    8448895U,	// MMX_PMULHWirr
+    551660484U,	// MMX_PMULLWirm
+    8448964U,	// MMX_PMULLWirr
+    551657397U,	// MMX_PMULUDQirm
+    8445877U,	// MMX_PMULUDQirr
+    551658365U,	// MMX_PORirm
+    8446845U,	// MMX_PORirr
+    551660035U,	// MMX_PSADBWirm
+    8448515U,	// MMX_PSADBWirr
+    551653077U,	// MMX_PSHUFBrm64
+    8441557U,	// MMX_PSHUFBrr64
+    835005249U,	// MMX_PSHUFWmi
+    811658049U,	// MMX_PSHUFWri
+    551653166U,	// MMX_PSIGNBrm64
+    8441646U,	// MMX_PSIGNBrr64
+    551653841U,	// MMX_PSIGNDrm64
+    8442321U,	// MMX_PSIGNDrr64
+    551660536U,	// MMX_PSIGNWrm64
+    8449016U,	// MMX_PSIGNWrr64
+    8442264U,	// MMX_PSLLDri
+    551653784U,	// MMX_PSLLDrm
+    8442264U,	// MMX_PSLLDrr
+    8446130U,	// MMX_PSLLQri
+    551657650U,	// MMX_PSLLQrm
+    8446130U,	// MMX_PSLLQrr
+    8448956U,	// MMX_PSLLWri
+    551660476U,	// MMX_PSLLWrm
+    8448956U,	// MMX_PSLLWrr
+    8442069U,	// MMX_PSRADri
+    551653589U,	// MMX_PSRADrm
+    8442069U,	// MMX_PSRADrr
+    8448482U,	// MMX_PSRAWri
+    551660002U,	// MMX_PSRAWrm
+    8448482U,	// MMX_PSRAWrr
+    8442281U,	// MMX_PSRLDri
+    551653801U,	// MMX_PSRLDrm
+    8442281U,	// MMX_PSRLDrr
+    8446144U,	// MMX_PSRLQri
+    551657664U,	// MMX_PSRLQrm
+    8446144U,	// MMX_PSRLQrr
+    8448979U,	// MMX_PSRLWri
+    551660499U,	// MMX_PSRLWrm
+    8448979U,	// MMX_PSRLWrr
+    551653030U,	// MMX_PSUBBirm
+    8441510U,	// MMX_PSUBBirr
+    551653627U,	// MMX_PSUBDirm
+    8442107U,	// MMX_PSUBDirr
+    551657049U,	// MMX_PSUBQirm
+    8445529U,	// MMX_PSUBQirr
+    551653277U,	// MMX_PSUBSBirm
+    8441757U,	// MMX_PSUBSBirr
+    551660758U,	// MMX_PSUBSWirm
+    8449238U,	// MMX_PSUBSWirr
+    551653325U,	// MMX_PSUBUSBirm
+    8441805U,	// MMX_PSUBUSBirr
+    551660880U,	// MMX_PSUBUSWirm
+    8449360U,	// MMX_PSUBUSWirr
+    551660115U,	// MMX_PSUBWirm
+    8448595U,	// MMX_PSUBWirr
+    551660063U,	// MMX_PUNPCKHBWirm
+    8448543U,	// MMX_PUNPCKHBWirr
+    551657227U,	// MMX_PUNPCKHDQirm
+    8445707U,	// MMX_PUNPCKHDQirr
+    551655128U,	// MMX_PUNPCKHWDirm
+    8443608U,	// MMX_PUNPCKHWDirr
+    551660075U,	// MMX_PUNPCKLBWirm
+    8448555U,	// MMX_PUNPCKLBWirr
+    551657246U,	// MMX_PUNPCKLDQirm
+    8445726U,	// MMX_PUNPCKLDQirr
+    551655140U,	// MMX_PUNPCKLWDirm
+    8443620U,	// MMX_PUNPCKLWDirr
+    551658388U,	// MMX_PXORirm
+    8446868U,	// MMX_PXORirr
     0U,	// MONITOR
-    14798U,	// MONITORrrr
-    14568U,	// MONTMUL
+    14505U,	// MONITORrrr
+    14275U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    798558U,	// MOV16ao16
-    798558U,	// MOV16ao16_16
-    4238461U,	// MOV16mi
-    4238461U,	// MOV16mr
-    4238461U,	// MOV16ms
-    2894973U,	// MOV16o16a
-    2894973U,	// MOV16o16a_16
-    8547453U,	// MOV16ri
-    8547453U,	// MOV16ri_alt
-    388221U,	// MOV16rm
-    8547453U,	// MOV16rr
-    8547453U,	// MOV16rr_REV
-    8547453U,	// MOV16rs
-    388221U,	// MOV16sm
-    8547453U,	// MOV16sr
-    814993U,	// MOV32ao32
-    814993U,	// MOV32ao32_16
-    8542990U,	// MOV32cr
-    8542990U,	// MOV32dr
-    12622606U,	// MOV32mi
-    12622606U,	// MOV32mr
-    12622606U,	// MOV32ms
-    11295502U,	// MOV32o32a
-    11295502U,	// MOV32o32a_16
+    798986U,	// MOV16ao16
+    798986U,	// MOV16ao16_16
+    4238889U,	// MOV16mi
+    4238889U,	// MOV16mr
+    4238889U,	// MOV16ms
+    2895401U,	// MOV16o16a
+    2895401U,	// MOV16o16a_16
+    8662569U,	// MOV16ri
+    8662569U,	// MOV16ri_alt
+    388649U,	// MOV16rm
+    8662569U,	// MOV16rr
+    8662569U,	// MOV16rr_REV
+    8662569U,	// MOV16rs
+    388649U,	// MOV16sm
+    8662569U,	// MOV16sr
+    815421U,	// MOV32ao32
+    815421U,	// MOV32ao32_16
+    8658106U,	// MOV32cr
+    8658106U,	// MOV32dr
+    12623034U,	// MOV32mi
+    12623034U,	// MOV32mr
+    12623034U,	// MOV32ms
+    11295930U,	// MOV32o32a
+    11295930U,	// MOV32o32a_16
     0U,	// MOV32r0
-    8542990U,	// MOV32rc
-    8542990U,	// MOV32rd
-    8542990U,	// MOV32ri
+    8658106U,	// MOV32rc
+    8658106U,	// MOV32rd
+    8658106U,	// MOV32ri
     0U,	// MOV32ri64
-    8542990U,	// MOV32ri_alt
-    551688974U,	// MOV32rm
-    8542990U,	// MOV32rr
-    8542990U,	// MOV32rr_REV
-    8542990U,	// MOV32rs
-    551688974U,	// MOV32sm
-    8542990U,	// MOV32sr
-    798521U,	// MOV64ao16
-    814953U,	// MOV64ao32
-    831389U,	// MOV64ao64
-    847201U,	// MOV64ao8
-    8544558U,	// MOV64cr
-    8544558U,	// MOV64dr
-    18915630U,	// MOV64mi32
-    18915630U,	// MOV64mr
-    18915630U,	// MOV64ms
-    2894602U,	// MOV64o16a
-    11295261U,	// MOV64o32a
-    17604620U,	// MOV64o64a
-    21810663U,	// MOV64o8a
-    8544558U,	// MOV64rc
-    8544558U,	// MOV64rd
-    8544268U,	// MOV64ri
-    8544558U,	// MOV64ri32
-    551723310U,	// MOV64rm
-    8544558U,	// MOV64rr
-    8544558U,	// MOV64rr_REV
-    8544558U,	// MOV64rs
-    551723310U,	// MOV64sm
-    8544558U,	// MOV64sr
-    8541440U,	// MOV64toPQIrr
-    551723310U,	// MOV64toSDrm
-    8541440U,	// MOV64toSDrr
-    847238U,	// MOV8ao8
-    847238U,	// MOV8ao8_16
-    23105206U,	// MOV8mi
-    23105206U,	// MOV8mr
-    3512766134U,	// MOV8mr_NOREX
-    21810870U,	// MOV8o8a
-    21810870U,	// MOV8o8a_16
-    8539830U,	// MOV8ri
-    8539830U,	// MOV8ri_alt
-    446134U,	// MOV8rm
-    63360694U,	// MOV8rm_NOREX
-    8539830U,	// MOV8rr
-    3498200758U,	// MOV8rr_NOREX
-    8539830U,	// MOV8rr_REV
-    65048931U,	// MOVAPDmr
-    577891U,	// MOVAPDrm
-    8540515U,	// MOVAPDrr
-    8540515U,	// MOVAPDrr_REV
-    65053615U,	// MOVAPSmr
-    582575U,	// MOVAPSrm
-    8545199U,	// MOVAPSrr
-    8545199U,	// MOVAPSrr_REV
-    4237661U,	// MOVBE16mr
-    387421U,	// MOVBE16rm
-    12621808U,	// MOVBE32mr
-    551688176U,	// MOVBE32rm
-    18914866U,	// MOVBE64mr
-    551722546U,	// MOVBE64rm
-    597036U,	// MOVDDUPrm
-    8543276U,	// MOVDDUPrr
-    551687424U,	// MOVDI2PDIrm
-    8541440U,	// MOVDI2PDIrr
-    551687424U,	// MOVDI2SSrm
-    8541440U,	// MOVDI2SSrr
-    67144881U,	// MOVDQAmr
-    314545U,	// MOVDQArm
-    8539313U,	// MOVDQArr
-    8539313U,	// MOVDQArr_REV
-    67151847U,	// MOVDQUmr
-    321511U,	// MOVDQUrm
-    8546279U,	// MOVDQUrr
-    8546279U,	// MOVDQUrr_REV
-    8447107U,	// MOVHLPSrr
-    69243425U,	// MOVHPDmr
-    551752225U,	// MOVHPDrm
-    69248111U,	// MOVHPSmr
-    551756911U,	// MOVHPSrm
-    8447077U,	// MOVLHPSrr
-    69243475U,	// MOVLPDmr
-    551752275U,	// MOVLPDrm
-    69248171U,	// MOVLPSmr
-    551756971U,	// MOVLPSrm
-    8540714U,	// MOVMSKPDrr
-    8545400U,	// MOVMSKPSrr
-    314534U,	// MOVNTDQArm
-    65052148U,	// MOVNTDQmr
-    18915032U,	// MOVNTI_64mr
-    12621956U,	// MOVNTImr
-    65049256U,	// MOVNTPDmr
-    65053981U,	// MOVNTPSmr
-    69243985U,	// MOVNTSD
-    71345892U,	// MOVNTSS
+    8658106U,	// MOV32ri_alt
+    551804090U,	// MOV32rm
+    8658106U,	// MOV32rr
+    8658106U,	// MOV32rr_REV
+    8658106U,	// MOV32rs
+    551804090U,	// MOV32sm
+    8658106U,	// MOV32sr
+    798949U,	// MOV64ao16
+    815381U,	// MOV64ao32
+    831817U,	// MOV64ao64
+    847629U,	// MOV64ao8
+    8659674U,	// MOV64cr
+    8659674U,	// MOV64dr
+    18916058U,	// MOV64mi32
+    18916058U,	// MOV64mr
+    18916058U,	// MOV64ms
+    2895030U,	// MOV64o16a
+    11295689U,	// MOV64o32a
+    17605048U,	// MOV64o64a
+    21811091U,	// MOV64o8a
+    8659674U,	// MOV64rc
+    8659674U,	// MOV64rd
+    8659384U,	// MOV64ri
+    8659674U,	// MOV64ri32
+    551838426U,	// MOV64rm
+    8659674U,	// MOV64rr
+    8659674U,	// MOV64rr_REV
+    8659674U,	// MOV64rs
+    551838426U,	// MOV64sm
+    8659674U,	// MOV64sr
+    8656556U,	// MOV64toPQIrr
+    551838426U,	// MOV64toSDrm
+    8656556U,	// MOV64toSDrr
+    847666U,	// MOV8ao8
+    847666U,	// MOV8ao8_16
+    23105634U,	// MOV8mi
+    23105634U,	// MOV8mr
+    3512766562U,	// MOV8mr_NOREX
+    21811298U,	// MOV8o8a
+    21811298U,	// MOV8o8a_16
+    8654946U,	// MOV8ri
+    8654946U,	// MOV8ri_alt
+    446562U,	// MOV8rm
+    63361122U,	// MOV8rm_NOREX
+    8654946U,	// MOV8rr
+    3498315874U,	// MOV8rr_NOREX
+    8654946U,	// MOV8rr_REV
+    65049359U,	// MOVAPDmr
+    578319U,	// MOVAPDrm
+    8655631U,	// MOVAPDrr
+    8655631U,	// MOVAPDrr_REV
+    65054043U,	// MOVAPSmr
+    583003U,	// MOVAPSrm
+    8660315U,	// MOVAPSrr
+    8660315U,	// MOVAPSrr_REV
+    4238089U,	// MOVBE16mr
+    387849U,	// MOVBE16rm
+    12622236U,	// MOVBE32mr
+    551803292U,	// MOVBE32rm
+    18915294U,	// MOVBE64mr
+    551837662U,	// MOVBE64rm
+    597464U,	// MOVDDUPrm
+    8658392U,	// MOVDDUPrr
+    551802540U,	// MOVDI2PDIrm
+    8656556U,	// MOVDI2PDIrr
+    551802540U,	// MOVDI2SSrm
+    8656556U,	// MOVDI2SSrr
+    67145309U,	// MOVDQAmr
+    314973U,	// MOVDQArm
+    8654429U,	// MOVDQArr
+    8654429U,	// MOVDQArr_REV
+    67152275U,	// MOVDQUmr
+    321939U,	// MOVDQUrm
+    8661395U,	// MOVDQUrr
+    8661395U,	// MOVDQUrr_REV
+    8447535U,	// MOVHLPSrr
+    69243853U,	// MOVHPDmr
+    551703501U,	// MOVHPDrm
+    69248539U,	// MOVHPSmr
+    551708187U,	// MOVHPSrm
+    8447505U,	// MOVLHPSrr
+    69243903U,	// MOVLPDmr
+    551703551U,	// MOVLPDrm
+    69248599U,	// MOVLPSmr
+    551708247U,	// MOVLPSrm
+    8655830U,	// MOVMSKPDrr
+    8660516U,	// MOVMSKPSrr
+    314962U,	// MOVNTDQArm
+    65052576U,	// MOVNTDQmr
+    18915460U,	// MOVNTI_64mr
+    12622384U,	// MOVNTImr
+    65049684U,	// MOVNTPDmr
+    65054409U,	// MOVNTPSmr
+    69244413U,	// MOVNTSD
+    71346320U,	// MOVNTSS
     0U,	// MOVPC32r
-    12621056U,	// MOVPDI2DImr
-    8541440U,	// MOVPDI2DIrr
-    18915630U,	// MOVPQI2QImr
-    8544558U,	// MOVPQI2QIrr
-    8541440U,	// MOVPQIto64rr
-    551723310U,	// MOVQI2PQIrm
-    855613U,	// MOVSB
-    69244026U,	// MOVSDmr
-    595066U,	// MOVSDrm
-    8443002U,	// MOVSDrr
-    8443002U,	// MOVSDrr_REV
-    18915630U,	// MOVSDto64mr
-    8541440U,	// MOVSDto64rr
-    580662U,	// MOVSHDUPrm
-    8543286U,	// MOVSHDUPrr
-    875138U,	// MOVSL
-    580673U,	// MOVSLDUPrm
-    8543297U,	// MOVSLDUPrr
-    893022U,	// MOVSQ
-    12621056U,	// MOVSS2DImr
-    8541440U,	// MOVSS2DIrr
-    71345943U,	// MOVSSmr
-    616215U,	// MOVSSrm
-    8447767U,	// MOVSSrr
-    8447767U,	// MOVSSrr_REV
-    912312U,	// MOVSW
-    452746U,	// MOVSX16rm8
-    8546442U,	// MOVSX16rr8
-    383771U,	// MOVSX32rm16
-    448322U,	// MOVSX32rm8
-    8543003U,	// MOVSX32rr16
-    8542018U,	// MOVSX32rr8
-    385356U,	// MOVSX64rm16
-    551690017U,	// MOVSX64rm32
-    449689U,	// MOVSX64rm8
-    8544588U,	// MOVSX64rr16
-    8544033U,	// MOVSX64rr32
-    8543385U,	// MOVSX64rr8
-    65049284U,	// MOVUPDmr
-    578244U,	// MOVUPDrm
-    8540868U,	// MOVUPDrr
-    8540868U,	// MOVUPDrr_REV
-    65054054U,	// MOVUPSmr
-    583014U,	// MOVUPSrm
-    8545638U,	// MOVUPSrr
-    8545638U,	// MOVUPSrr_REV
-    319790U,	// MOVZPQILo2PQIrm
-    8544558U,	// MOVZPQILo2PQIrr
-    551723310U,	// MOVZQI2PQIrm
-    8541440U,	// MOVZQI2PQIrr
-    452812U,	// MOVZX16rm8
-    8546508U,	// MOVZX16rr8
-    448353U,	// MOVZX32_NOREXrm8
-    8542049U,	// MOVZX32_NOREXrr8
-    383779U,	// MOVZX32rm16
-    448353U,	// MOVZX32rm8
-    8543011U,	// MOVZX32rr16
-    8542049U,	// MOVZX32rr8
-    385397U,	// MOVZX64rm16_Q
-    449746U,	// MOVZX64rm8_Q
-    8544629U,	// MOVZX64rr16_Q
-    8543442U,	// MOVZX64rr8_Q
-    610625622U,	// MPSADBWrmi
-    839231574U,	// MPSADBWrri
-    272949U,	// MUL16m
-    27189U,	// MUL16r
-    284910U,	// MUL32m
-    22766U,	// MUL32r
-    401194U,	// MUL64m
-    24362U,	// MUL64r
-    429427U,	// MUL8m
-    19827U,	// MUL8r
-    8573515U,	// MULPDrm
-    8442443U,	// MULPDrr
-    8578211U,	// MULPSrm
-    8447139U,	// MULPSrr
-    551752753U,	// MULSDrm
-    551752753U,	// MULSDrm_Int
-    8442929U,	// MULSDrr
-    8442929U,	// MULSDrr_Int
-    551773893U,	// MULSSrm
-    551773893U,	// MULSSrm_Int
-    8447685U,	// MULSSrr
-    8447685U,	// MULSSrr_Int
-    283204409U,	// MULX32rm
-    811653945U,	// MULX32rr
-    283222411U,	// MULX64rm
-    811655563U,	// MULX64rr
-    238155U,	// MUL_F32m
-    252141U,	// MUL_F64m
-    270930U,	// MUL_FI16m
-    284916U,	// MUL_FI32m
-    23515U,	// MUL_FPrST0
-    23289U,	// MUL_FST0r
+    12621484U,	// MOVPDI2DImr
+    8656556U,	// MOVPDI2DIrr
+    18916058U,	// MOVPQI2QImr
+    8659674U,	// MOVPQI2QIrr
+    8656556U,	// MOVPQIto64rr
+    551838426U,	// MOVQI2PQIrm
+    856041U,	// MOVSB
+    69244454U,	// MOVSDmr
+    595494U,	// MOVSDrm
+    8443430U,	// MOVSDrr
+    8443430U,	// MOVSDrr_REV
+    18916058U,	// MOVSDto64mr
+    8656556U,	// MOVSDto64rr
+    581090U,	// MOVSHDUPrm
+    8658402U,	// MOVSHDUPrr
+    875566U,	// MOVSL
+    581101U,	// MOVSLDUPrm
+    8658413U,	// MOVSLDUPrr
+    893450U,	// MOVSQ
+    12621484U,	// MOVSS2DImr
+    8656556U,	// MOVSS2DIrr
+    71346371U,	// MOVSSmr
+    616643U,	// MOVSSrm
+    8448195U,	// MOVSSrr
+    8448195U,	// MOVSSrr_REV
+    912740U,	// MOVSW
+    453174U,	// MOVSX16rm8
+    8661558U,	// MOVSX16rr8
+    384199U,	// MOVSX32rm16
+    448750U,	// MOVSX32rm8
+    8658119U,	// MOVSX32rr16
+    8657134U,	// MOVSX32rr8
+    385784U,	// MOVSX64rm16
+    551805133U,	// MOVSX64rm32
+    450117U,	// MOVSX64rm8
+    8659704U,	// MOVSX64rr16
+    8659149U,	// MOVSX64rr32
+    8658501U,	// MOVSX64rr8
+    65049712U,	// MOVUPDmr
+    578672U,	// MOVUPDrm
+    8655984U,	// MOVUPDrr
+    8655984U,	// MOVUPDrr_REV
+    65054482U,	// MOVUPSmr
+    583442U,	// MOVUPSrm
+    8660754U,	// MOVUPSrr
+    8660754U,	// MOVUPSrr_REV
+    320218U,	// MOVZPQILo2PQIrm
+    8659674U,	// MOVZPQILo2PQIrr
+    551838426U,	// MOVZQI2PQIrm
+    8656556U,	// MOVZQI2PQIrr
+    453240U,	// MOVZX16rm8
+    8661624U,	// MOVZX16rr8
+    448781U,	// MOVZX32_NOREXrm8
+    8657165U,	// MOVZX32_NOREXrr8
+    384207U,	// MOVZX32rm16
+    448781U,	// MOVZX32rm8
+    8658127U,	// MOVZX32rr16
+    8657165U,	// MOVZX32rr8
+    385825U,	// MOVZX64rm16_Q
+    450174U,	// MOVZX64rm8_Q
+    8659745U,	// MOVZX64rr16_Q
+    8658558U,	// MOVZX64rr8_Q
+    610626050U,	// MPSADBWrmi
+    839232002U,	// MPSADBWrri
+    224225U,	// MUL16m
+    27617U,	// MUL16r
+    236186U,	// MUL32m
+    23194U,	// MUL32r
+    401622U,	// MUL64m
+    24790U,	// MUL64r
+    429855U,	// MUL8m
+    20255U,	// MUL8r
+    8524791U,	// MULPDrm
+    8442871U,	// MULPDrr
+    8529487U,	// MULPSrm
+    8447567U,	// MULPSrr
+    551704029U,	// MULSDrm
+    551704029U,	// MULSDrm_Int
+    8443357U,	// MULSDrr
+    8443357U,	// MULSDrr_Int
+    551725169U,	// MULSSrm
+    551725169U,	// MULSSrm_Int
+    8448113U,	// MULSSrr
+    8448113U,	// MULSSrr_Int
+    283204837U,	// MULX32rm
+    811654373U,	// MULX32rr
+    283222839U,	// MULX64rm
+    811655991U,	// MULX64rr
+    189431U,	// MUL_F32m
+    203417U,	// MUL_F64m
+    222206U,	// MUL_FI16m
+    236192U,	// MUL_FI32m
+    23943U,	// MUL_FPrST0
+    23717U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1829,946 +1817,953 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    27943U,	// MUL_FrST0
-    15185U,	// MWAITrr
-    272797U,	// NEG16m
-    27037U,	// NEG16r
-    284759U,	// NEG32m
-    22615U,	// NEG32r
-    401067U,	// NEG64m
-    24235U,	// NEG64r
-    429361U,	// NEG8m
-    19761U,	// NEG8r
-    14676U,	// NOOP
-    273024U,	// NOOP18_16m4
-    273024U,	// NOOP18_16m5
-    273024U,	// NOOP18_16m6
-    273024U,	// NOOP18_16m7
-    27264U,	// NOOP18_16r4
-    27264U,	// NOOP18_16r5
-    27264U,	// NOOP18_16r6
-    27264U,	// NOOP18_16r7
-    285030U,	// NOOP18_m4
-    285030U,	// NOOP18_m5
-    285030U,	// NOOP18_m6
-    285030U,	// NOOP18_m7
-    22886U,	// NOOP18_r4
-    22886U,	// NOOP18_r5
-    22886U,	// NOOP18_r6
-    22886U,	// NOOP18_r7
-    285030U,	// NOOPL
-    285030U,	// NOOPL_19
-    285030U,	// NOOPL_1a
-    285030U,	// NOOPL_1b
-    285030U,	// NOOPL_1c
-    285030U,	// NOOPL_1d
-    285030U,	// NOOPL_1e
-    273024U,	// NOOPW
-    273024U,	// NOOPW_19
-    273024U,	// NOOPW_1a
-    273024U,	// NOOPW_1b
-    273024U,	// NOOPW_1c
-    273024U,	// NOOPW_1d
-    273024U,	// NOOPW_1e
-    273441U,	// NOT16m
-    27681U,	// NOT16r
-    285399U,	// NOT32m
-    23255U,	// NOT32r
-    401599U,	// NOT64m
-    24767U,	// NOT64r
-    429661U,	// NOT8m
-    20061U,	// NOT8r
-    2124486U,	// OR16i16
-    4238022U,	// OR16mi
-    4238022U,	// OR16mi8
-    4238022U,	// OR16mr
-    6351558U,	// OR16ri
-    6351558U,	// OR16ri8
-    6367942U,	// OR16rm
-    6351558U,	// OR16rr
-    8448710U,	// OR16rr_REV
-    10508761U,	// OR32i32
-    12622297U,	// OR32mi
-    12622297U,	// OR32mi8
-    12622297U,	// OR32mr
-    12622297U,	// OR32mrLocked
-    6347225U,	// OR32ri
-    6347225U,	// OR32ri8
-    283204057U,	// OR32rm
-    6347225U,	// OR32rr
-    8444377U,	// OR32rr_REV
-    16801725U,	// OR64i32
-    18915261U,	// OR64mi32
-    18915261U,	// OR64mi8
-    18915261U,	// OR64mr
-    6348733U,	// OR64ri32
-    6348733U,	// OR64ri8
-    283221949U,	// OR64rm
-    6348733U,	// OR64rr
-    8445885U,	// OR64rr_REV
-    20991419U,	// OR8i8
-    23104955U,	// OR8mi
-    23104955U,	// OR8mr
-    6344123U,	// OR8ri
-    6344123U,	// OR8ri8
-    118203U,	// OR8rm
-    6344123U,	// OR8rr
-    8441275U,	// OR8rr_REV
-    8573593U,	// ORPDrm
-    8442521U,	// ORPDrr
-    8578306U,	// ORPSrm
-    8447234U,	// ORPSrr
-    28499U,	// OUT16ir
-    15482U,	// OUT16rr
-    28549U,	// OUT32ir
-    15496U,	// OUT32rr
-    28027U,	// OUT8ir
-    15468U,	// OUT8rr
-    76221977U,	// OUTSB
-    76241530U,	// OUTSL
-    76278684U,	// OUTSW
-    314848U,	// PABSBrm128
-    8539616U,	// PABSBrr128
-    316370U,	// PABSDrm128
-    8541138U,	// PABSDrr128
-    322307U,	// PABSWrm128
-    8547075U,	// PABSWrr128
-    8694078U,	// PACKSSDWrm
-    8448318U,	// PACKSSDWrr
-    8687293U,	// PACKSSWBrm
-    8441533U,	// PACKSSWBrr
-    8694089U,	// PACKUSDWrm
-    8448329U,	// PACKUSDWrr
-    8687304U,	// PACKUSWBrm
-    8441544U,	// PACKUSWBrr
-    8686868U,	// PADDBrm
-    8441108U,	// PADDBrr
-    8687485U,	// PADDDrm
-    8441725U,	// PADDDrr
-    8691005U,	// PADDQrm
-    8445245U,	// PADDQrr
-    8687098U,	// PADDSBrm
-    8441338U,	// PADDSBrr
-    8694589U,	// PADDSWrm
-    8448829U,	// PADDSWrr
-    8687147U,	// PADDUSBrm
-    8441387U,	// PADDUSBrr
-    8694702U,	// PADDUSWrm
-    8448942U,	// PADDUSWrr
-    8694021U,	// PADDWrm
-    8448261U,	// PADDWrr
-    610623943U,	// PALIGNR128rm
-    839229895U,	// PALIGNR128rr
-    8690575U,	// PANDNrm
-    8444815U,	// PANDNrr
-    8687646U,	// PANDrm
-    8441886U,	// PANDrr
-    14361U,	// PAUSE
-    8686914U,	// PAVGBrm
-    8441154U,	// PAVGBrr
-    551652916U,	// PAVGUSBrm
-    8441396U,	// PAVGUSBrr
-    8694190U,	// PAVGWrm
-    8448430U,	// PAVGWrr
-    8687267U,	// PBLENDVBrm0
-    8441507U,	// PBLENDVBrr0
-    610625837U,	// PBLENDWrmi
-    839231789U,	// PBLENDWrri
-    610622908U,	// PCLMULQDQrm
-    839228860U,	// PCLMULQDQrr
-    8687007U,	// PCMPEQBrm
-    8441247U,	// PCMPEQBrr
-    8688381U,	// PCMPEQDrm
-    8442621U,	// PCMPEQDrr
-    8691599U,	// PCMPEQQrm
-    8445839U,	// PCMPEQQrr
-    8694421U,	// PCMPEQWrm
-    8448661U,	// PCMPEQWrr
+    28371U,	// MUL_FrST0
+    14898U,	// MWAITrr
+    224073U,	// NEG16m
+    27465U,	// NEG16r
+    236035U,	// NEG32m
+    23043U,	// NEG32r
+    401495U,	// NEG64m
+    24663U,	// NEG64r
+    429789U,	// NEG8m
+    20189U,	// NEG8r
+    14383U,	// NOOP
+    224300U,	// NOOP18_16m4
+    224300U,	// NOOP18_16m5
+    224300U,	// NOOP18_16m6
+    224300U,	// NOOP18_16m7
+    27692U,	// NOOP18_16r4
+    27692U,	// NOOP18_16r5
+    27692U,	// NOOP18_16r6
+    27692U,	// NOOP18_16r7
+    236306U,	// NOOP18_m4
+    236306U,	// NOOP18_m5
+    236306U,	// NOOP18_m6
+    236306U,	// NOOP18_m7
+    23314U,	// NOOP18_r4
+    23314U,	// NOOP18_r5
+    23314U,	// NOOP18_r6
+    23314U,	// NOOP18_r7
+    236306U,	// NOOPL
+    236306U,	// NOOPL_19
+    236306U,	// NOOPL_1a
+    236306U,	// NOOPL_1b
+    236306U,	// NOOPL_1c
+    236306U,	// NOOPL_1d
+    236306U,	// NOOPL_1e
+    224300U,	// NOOPW
+    224300U,	// NOOPW_19
+    224300U,	// NOOPW_1a
+    224300U,	// NOOPW_1b
+    224300U,	// NOOPW_1c
+    224300U,	// NOOPW_1d
+    224300U,	// NOOPW_1e
+    224717U,	// NOT16m
+    28109U,	// NOT16r
+    236675U,	// NOT32m
+    23683U,	// NOT32r
+    402027U,	// NOT64m
+    25195U,	// NOT64r
+    430089U,	// NOT8m
+    20489U,	// NOT8r
+    2124914U,	// OR16i16
+    4238450U,	// OR16mi
+    4238450U,	// OR16mi8
+    4238450U,	// OR16mr
+    6351986U,	// OR16ri
+    6351986U,	// OR16ri8
+    6368370U,	// OR16rm
+    6351986U,	// OR16rr
+    8449138U,	// OR16rr_REV
+    10509189U,	// OR32i32
+    12622725U,	// OR32mi
+    12622725U,	// OR32mi8
+    12622725U,	// OR32mr
+    12622725U,	// OR32mrLocked
+    6347653U,	// OR32ri
+    6347653U,	// OR32ri8
+    283204485U,	// OR32rm
+    6347653U,	// OR32rr
+    8444805U,	// OR32rr_REV
+    16802153U,	// OR64i32
+    18915689U,	// OR64mi32
+    18915689U,	// OR64mi8
+    18915689U,	// OR64mr
+    6349161U,	// OR64ri32
+    6349161U,	// OR64ri8
+    283222377U,	// OR64rm
+    6349161U,	// OR64rr
+    8446313U,	// OR64rr_REV
+    20991847U,	// OR8i8
+    23105383U,	// OR8mi
+    23105383U,	// OR8mr
+    6344551U,	// OR8ri
+    6344551U,	// OR8ri8
+    118631U,	// OR8rm
+    6344551U,	// OR8rr
+    8441703U,	// OR8rr_REV
+    8524869U,	// ORPDrm
+    8442949U,	// ORPDrr
+    8529582U,	// ORPSrm
+    8447662U,	// ORPSrr
+    28927U,	// OUT16ir
+    15201U,	// OUT16rr
+    28977U,	// OUT32ir
+    15215U,	// OUT32rr
+    28455U,	// OUT8ir
+    15187U,	// OUT8rr
+    76222405U,	// OUTSB
+    76241958U,	// OUTSL
+    76279112U,	// OUTSW
+    315276U,	// PABSBrm128
+    8654732U,	// PABSBrr128
+    316798U,	// PABSDrm128
+    8656254U,	// PABSDrr128
+    322735U,	// PABSWrm128
+    8662191U,	// PABSWrr128
+    8694506U,	// PACKSSDWrm
+    8448746U,	// PACKSSDWrr
+    8687721U,	// PACKSSWBrm
+    8441961U,	// PACKSSWBrr
+    8694517U,	// PACKUSDWrm
+    8448757U,	// PACKUSDWrr
+    8687732U,	// PACKUSWBrm
+    8441972U,	// PACKUSWBrr
+    8687296U,	// PADDBrm
+    8441536U,	// PADDBrr
+    8687913U,	// PADDDrm
+    8442153U,	// PADDDrr
+    8691433U,	// PADDQrm
+    8445673U,	// PADDQrr
+    8687526U,	// PADDSBrm
+    8441766U,	// PADDSBrr
+    8695017U,	// PADDSWrm
+    8449257U,	// PADDSWrr
+    8687575U,	// PADDUSBrm
+    8441815U,	// PADDUSBrr
+    8695130U,	// PADDUSWrm
+    8449370U,	// PADDUSWrr
+    8694449U,	// PADDWrm
+    8448689U,	// PADDWrr
+    610624371U,	// PALIGNR128rm
+    839230323U,	// PALIGNR128rr
+    8691003U,	// PANDNrm
+    8445243U,	// PANDNrr
+    8688074U,	// PANDrm
+    8442314U,	// PANDrr
+    14037U,	// PAUSE
+    8687342U,	// PAVGBrm
+    8441582U,	// PAVGBrr
+    551653344U,	// PAVGUSBrm
+    8441824U,	// PAVGUSBrr
+    8694618U,	// PAVGWrm
+    8448858U,	// PAVGWrr
+    8687695U,	// PBLENDVBrm0
+    8441935U,	// PBLENDVBrr0
+    610626265U,	// PBLENDWrmi
+    839232217U,	// PBLENDWrri
+    610623336U,	// PCLMULQDQrm
+    839229288U,	// PCLMULQDQrr
+    8687435U,	// PCMPEQBrm
+    8441675U,	// PCMPEQBrr
+    8688809U,	// PCMPEQDrm
+    8443049U,	// PCMPEQDrr
+    8692027U,	// PCMPEQQrm
+    8446267U,	// PCMPEQQrr
+    8694849U,	// PCMPEQWrm
+    8449089U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    25499346U,	// PCMPESTRIrm
-    811652818U,	// PCMPESTRIrr
+    25499774U,	// PCMPESTRIrm
+    811653246U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    25500535U,	// PCMPESTRM128rm
-    811654007U,	// PCMPESTRM128rr
-    8687188U,	// PCMPGTBrm
-    8441428U,	// PCMPGTBrr
-    8688796U,	// PCMPGTDrm
-    8443036U,	// PCMPGTDrr
-    8691861U,	// PCMPGTQrm
-    8446101U,	// PCMPGTQrr
-    8694783U,	// PCMPGTWrm
-    8449023U,	// PCMPGTWrr
+    25500963U,	// PCMPESTRM128rm
+    811654435U,	// PCMPESTRM128rr
+    8687616U,	// PCMPGTBrm
+    8441856U,	// PCMPGTBrr
+    8689224U,	// PCMPGTDrm
+    8443464U,	// PCMPGTDrr
+    8692289U,	// PCMPGTQrm
+    8446529U,	// PCMPGTQrr
+    8695211U,	// PCMPGTWrm
+    8449451U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    25499358U,	// PCMPISTRIrm
-    811652830U,	// PCMPISTRIrr
+    25499786U,	// PCMPISTRIrm
+    811653258U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    25500547U,	// PCMPISTRM128rm
-    811654019U,	// PCMPISTRM128rr
-    283203896U,	// PDEP32rm
-    811653432U,	// PDEP32rr
-    283221864U,	// PDEP64rm
-    811655016U,	// PDEP64rr
-    283204337U,	// PEXT32rm
-    811653873U,	// PEXT32rr
-    283222262U,	// PEXT64rm
-    811655414U,	// PEXT64rr
-    3808759248U,	// PEXTRBmr
-    811650512U,	// PEXTRBrr
-    4077196060U,	// PEXTRDmr
-    811651868U,	// PEXTRDrr
-    50667517U,	// PEXTRQmr
-    811655165U,	// PEXTRQrr
-    319105779U,	// PEXTRWmr
-    811657971U,	// PEXTRWri
-    811657971U,	// PEXTRWrr_REV
-    551718847U,	// PF2IDrm
-    8540095U,	// PF2IDrr
-    551725538U,	// PF2IWrm
-    8546786U,	// PF2IWrr
-    551653074U,	// PFACCrm
-    8441554U,	// PFACCrr
-    551653228U,	// PFADDrm
-    8441708U,	// PFADDrr
-    551657045U,	// PFCMPEQrm
-    8445525U,	// PFCMPEQrr
-    551654855U,	// PFCMPGErm
-    8443335U,	// PFCMPGErr
-    551659351U,	// PFCMPGTrm
-    8447831U,	// PFCMPGTrr
-    551660710U,	// PFMAXrm
-    8449190U,	// PFMAXrr
-    551656350U,	// PFMINrm
-    8444830U,	// PFMINrr
-    551656184U,	// PFMULrm
-    8444664U,	// PFMULrr
-    551653081U,	// PFNACCrm
-    8441561U,	// PFNACCrr
-    551653089U,	// PFPNACCrm
-    8441569U,	// PFPNACCrr
-    551652177U,	// PFRCPIT1rm
-    8440657U,	// PFRCPIT1rr
-    551652255U,	// PFRCPIT2rm
-    8440735U,	// PFRCPIT2rr
-    551721929U,	// PFRCPrm
-    8543177U,	// PFRCPrr
-    551652187U,	// PFRSQIT1rm
-    8440667U,	// PFRSQIT1rr
-    551724934U,	// PFRSQRTrm
-    8546182U,	// PFRSQRTrr
-    551657911U,	// PFSUBRrm
-    8446391U,	// PFSUBRrr
-    551653010U,	// PFSUBrm
-    8441490U,	// PFSUBrr
-    8687476U,	// PHADDDrm
-    8441716U,	// PHADDDrr
-    8694579U,	// PHADDSWrm128
-    8448819U,	// PHADDSWrr128
-    8694012U,	// PHADDWrm
-    8448252U,	// PHADDWrr
-    322657U,	// PHMINPOSUWrm128
-    8547425U,	// PHMINPOSUWrr128
-    8687430U,	// PHSUBDrm
-    8441670U,	// PHSUBDrr
-    8694560U,	// PHSUBSWrm128
-    8448800U,	// PHSUBSWrr128
-    8693918U,	// PHSUBWrm
-    8448158U,	// PHSUBWrr
-    551718831U,	// PI2FDrm
-    8540079U,	// PI2FDrr
-    551725448U,	// PI2FWrm
-    8546696U,	// PI2FWrr
-    866471367U,	// PINSRBrm
-    839224775U,	// PINSRBrr
-    862278419U,	// PINSRDrm
-    839226131U,	// PINSRDrr
-    864378848U,	// PINSRQrm
-    839229408U,	// PINSRQrr
-    860187352U,	// PINSRWrmi
-    839232216U,	// PINSRWrri
-    8694548U,	// PMADDUBSWrm128
-    8448788U,	// PMADDUBSWrr128
-    8688930U,	// PMADDWDrm
-    8443170U,	// PMADDWDrr
-    8687173U,	// PMAXSBrm
-    8441413U,	// PMAXSBrr
-    8688770U,	// PMAXSDrm
-    8443010U,	// PMAXSDrr
-    8694720U,	// PMAXSWrm
-    8448960U,	// PMAXSWrr
-    8687258U,	// PMAXUBrm
-    8441498U,	// PMAXUBrr
-    8688855U,	// PMAXUDrm
-    8443095U,	// PMAXUDrr
-    8694894U,	// PMAXUWrm
-    8449134U,	// PMAXUWrr
-    8687114U,	// PMINSBrm
-    8441354U,	// PMINSBrr
-    8688697U,	// PMINSDrm
-    8442937U,	// PMINSDrr
-    8694629U,	// PMINSWrm
-    8448869U,	// PMINSWrr
-    8687242U,	// PMINUBrm
-    8441482U,	// PMINUBrr
-    8688837U,	// PMINUDrm
-    8443077U,	// PMINUDrr
-    8694872U,	// PMINUWrm
-    8449112U,	// PMINUWrr
-    8539470U,	// PMOVMSKBrr
-    551685975U,	// PMOVSXBDrm
-    8539991U,	// PMOVSXBDrr
-    384189U,	// PMOVSXBQrm
-    8543421U,	// PMOVSXBQrr
-    551725239U,	// PMOVSXBWrm
-    8546487U,	// PMOVSXBWrr
-    551722515U,	// PMOVSXDQrm
-    8543763U,	// PMOVSXDQrr
-    551720315U,	// PMOVSXWDrm
-    8541563U,	// PMOVSXWDrr
-    551690592U,	// PMOVSXWQrm
-    8544608U,	// PMOVSXWQrr
-    551685986U,	// PMOVZXBDrm
-    8540002U,	// PMOVZXBDrr
-    384200U,	// PMOVZXBQrm
-    8543432U,	// PMOVZXBQrr
-    551725250U,	// PMOVZXBWrm
-    8546498U,	// PMOVZXBWrr
-    551722526U,	// PMOVZXDQrm
-    8543774U,	// PMOVZXDQrr
-    551720326U,	// PMOVZXWDrm
-    8541574U,	// PMOVZXWDrr
-    551690603U,	// PMOVZXWQrm
-    8544619U,	// PMOVZXWQrr
-    8691088U,	// PMULDQrm
-    8445328U,	// PMULDQrr
-    8694654U,	// PMULHRSWrm128
-    8448894U,	// PMULHRSWrr128
-    551660214U,	// PMULHRWrm
-    8448694U,	// PMULHRWrr
-    8694853U,	// PMULHUWrm
-    8449093U,	// PMULHUWrr
-    8694227U,	// PMULHWrm
-    8448467U,	// PMULHWrr
-    8687604U,	// PMULLDrm
-    8441844U,	// PMULLDrr
-    8694296U,	// PMULLWrm
-    8448536U,	// PMULLWrr
-    8691209U,	// PMULUDQrm
-    8445449U,	// PMULUDQrr
-    27270U,	// POP16r
-    273030U,	// POP16rmm
-    27270U,	// POP16rmr
-    22892U,	// POP32r
-    285036U,	// POP32rmm
-    22892U,	// POP32rmr
-    24448U,	// POP64r
-    401280U,	// POP64rmm
-    24448U,	// POP64rmr
-    15248U,	// POPA16
-    14463U,	// POPA32
-    388104U,	// POPCNT16rm
-    8547336U,	// POPCNT16rr
-    551688894U,	// POPCNT32rm
-    8542910U,	// POPCNT32rr
-    551723166U,	// POPCNT64rm
-    8544414U,	// POPCNT64rr
-    14890U,	// POPDS16
-    14871U,	// POPDS32
-    14928U,	// POPES16
-    14909U,	// POPES32
-    15261U,	// POPF16
-    14476U,	// POPF32
-    14718U,	// POPF64
-    14985U,	// POPFS16
-    14947U,	// POPFS32
-    14966U,	// POPFS64
-    15042U,	// POPGS16
-    15004U,	// POPGS32
-    15023U,	// POPGS64
-    15156U,	// POPSS16
-    15137U,	// POPSS32
-    8692177U,	// PORrm
-    8446417U,	// PORrr
-    431686U,	// PREFETCH
-    429247U,	// PREFETCHNTA
-    428835U,	// PREFETCHT0
-    428869U,	// PREFETCHT1
-    428947U,	// PREFETCHT2
-    436669U,	// PREFETCHW
-    8693847U,	// PSADBWrm
-    8448087U,	// PSADBWrr
-    8686889U,	// PSHUFBrm
-    8441129U,	// PSHUFBrr
-    25497527U,	// PSHUFDmi
-    811650999U,	// PSHUFDri
-    25504201U,	// PSHUFHWmi
-    811657673U,	// PSHUFHWri
-    25504246U,	// PSHUFLWmi
-    811657718U,	// PSHUFLWri
-    8686978U,	// PSIGNBrm
-    8441218U,	// PSIGNBrr
-    8687653U,	// PSIGNDrm
-    8441893U,	// PSIGNDrr
-    8694348U,	// PSIGNWrm
-    8448588U,	// PSIGNWrr
-    8445310U,	// PSLLDQri
-    8441836U,	// PSLLDri
-    8687596U,	// PSLLDrm
-    8441836U,	// PSLLDrr
-    8445702U,	// PSLLQri
-    8691462U,	// PSLLQrm
-    8445702U,	// PSLLQrr
-    8448528U,	// PSLLWri
-    8694288U,	// PSLLWrm
-    8448528U,	// PSLLWrr
-    8441641U,	// PSRADri
-    8687401U,	// PSRADrm
-    8441641U,	// PSRADrr
-    8448054U,	// PSRAWri
-    8693814U,	// PSRAWrm
-    8448054U,	// PSRAWrr
-    8445319U,	// PSRLDQri
-    8441853U,	// PSRLDri
-    8687613U,	// PSRLDrm
-    8441853U,	// PSRLDrr
-    8445716U,	// PSRLQri
-    8691476U,	// PSRLQrm
-    8445716U,	// PSRLQrr
-    8448551U,	// PSRLWri
-    8694311U,	// PSRLWrm
-    8448551U,	// PSRLWrr
-    8686842U,	// PSUBBrm
-    8441082U,	// PSUBBrr
-    8687439U,	// PSUBDrm
-    8441679U,	// PSUBDrr
-    8690861U,	// PSUBQrm
-    8445101U,	// PSUBQrr
-    8687089U,	// PSUBSBrm
-    8441329U,	// PSUBSBrr
-    8694570U,	// PSUBSWrm
-    8448810U,	// PSUBSWrr
-    8687137U,	// PSUBUSBrm
-    8441377U,	// PSUBUSBrr
-    8694692U,	// PSUBUSWrm
-    8448932U,	// PSUBUSWrr
-    8693927U,	// PSUBWrm
-    8448167U,	// PSUBWrr
-    551719275U,	// PSWAPDrm
-    8540523U,	// PSWAPDrr
-    583594U,	// PTESTrm
-    8546218U,	// PTESTrr
-    8693875U,	// PUNPCKHBWrm
-    8448115U,	// PUNPCKHBWrr
-    8691039U,	// PUNPCKHDQrm
-    8445279U,	// PUNPCKHDQrr
-    8691106U,	// PUNPCKHQDQrm
-    8445346U,	// PUNPCKHQDQrr
-    8688940U,	// PUNPCKHWDrm
-    8443180U,	// PUNPCKHWDrr
-    8693887U,	// PUNPCKLBWrm
-    8448127U,	// PUNPCKLBWrr
-    8691058U,	// PUNPCKLDQrm
-    8445298U,	// PUNPCKLDQrr
-    8691119U,	// PUNPCKLQDQrm
-    8445359U,	// PUNPCKLQDQrr
-    8688952U,	// PUNPCKLWDrm
-    8443192U,	// PUNPCKLWDrr
-    27099U,	// PUSH16i8
-    27099U,	// PUSH16r
-    272859U,	// PUSH16rmm
-    27099U,	// PUSH16rmr
-    22639U,	// PUSH32i8
-    22639U,	// PUSH32r
-    284783U,	// PUSH32rmm
-    22639U,	// PUSH32rmr
-    27099U,	// PUSH64i16
-    24259U,	// PUSH64i32
-    24259U,	// PUSH64i8
-    24259U,	// PUSH64r
-    401091U,	// PUSH64rmm
-    24259U,	// PUSH64rmr
-    15241U,	// PUSHA16
-    14456U,	// PUSHA32
-    14851U,	// PUSHCS16
-    14841U,	// PUSHCS32
-    14880U,	// PUSHDS16
-    14861U,	// PUSHDS32
-    14918U,	// PUSHES16
-    14899U,	// PUSHES32
-    15254U,	// PUSHF16
-    14469U,	// PUSHF32
-    14711U,	// PUSHF64
-    14975U,	// PUSHFS16
-    14937U,	// PUSHFS32
-    14956U,	// PUSHFS64
-    15032U,	// PUSHGS16
-    14994U,	// PUSHGS32
-    15013U,	// PUSHGS64
-    15146U,	// PUSHSS16
-    15127U,	// PUSHSS32
-    27099U,	// PUSHi16
-    22639U,	// PUSHi32
-    8692200U,	// PXORrm
-    8446440U,	// PXORrr
-    272879U,	// RCL16m1
-    274156U,	// RCL16mCL
-    4237807U,	// RCL16mi
-    27119U,	// RCL16r1
-    28396U,	// RCL16rCL
-    8448495U,	// RCL16ri
-    284832U,	// RCL32m1
-    290316U,	// RCL32mCL
-    12621984U,	// RCL32mi
-    22688U,	// RCL32r1
-    28172U,	// RCL32rCL
-    8444064U,	// RCL32ri
-    401136U,	// RCL64m1
-    405116U,	// RCL64mCL
-    18915056U,	// RCL64mi
-    24304U,	// RCL64r1
-    28284U,	// RCL64rCL
-    8445680U,	// RCL64ri
-    429406U,	// RCL8m1
-    437660U,	// RCL8mCL
-    23104862U,	// RCL8mi
-    19806U,	// RCL8r1
-    28060U,	// RCL8rCL
-    8441182U,	// RCL8ri
-    582879U,	// RCPPSm
-    582879U,	// RCPPSm_Int
-    8545503U,	// RCPPSr
-    8545503U,	// RCPPSr_Int
-    616149U,	// RCPSSm
-    551773909U,	// RCPSSm_Int
-    8546005U,	// RCPSSr
-    8447701U,	// RCPSSr_Int
-    273066U,	// RCR16m1
-    274200U,	// RCR16mCL
-    4237994U,	// RCR16mi
-    27306U,	// RCR16r1
-    28440U,	// RCR16rCL
-    8448682U,	// RCR16ri
-    285132U,	// RCR32m1
-    290360U,	// RCR32mCL
-    12622284U,	// RCR32mi
-    22988U,	// RCR32r1
-    28216U,	// RCR32rCL
-    8444364U,	// RCR32ri
-    401328U,	// RCR64m1
-    405160U,	// RCR64mCL
-    18915248U,	// RCR64mi
-    24496U,	// RCR64r1
-    28328U,	// RCR64rCL
-    8445872U,	// RCR64ri
-    429486U,	// RCR8m1
-    437704U,	// RCR8mCL
-    23104942U,	// RCR8mi
-    19886U,	// RCR8r1
-    28104U,	// RCR8rCL
-    8441262U,	// RCR8ri
-    22547U,	// RDFSBASE
-    24158U,	// RDFSBASE64
-    22569U,	// RDGSBASE
-    24180U,	// RDGSBASE64
-    14806U,	// RDMSR
-    14227U,	// RDPMC
-    26915U,	// RDRAND16r
-    22461U,	// RDRAND32r
-    23960U,	// RDRAND64r
-    26899U,	// RDSEED16r
-    22432U,	// RDSEED32r
-    23893U,	// RDSEED64r
-    14240U,	// RDTSC
-    14653U,	// RDTSCP
-    13660U,	// RELEASE_MOV16mr
-    13660U,	// RELEASE_MOV32mr
-    13660U,	// RELEASE_MOV64mr
-    13660U,	// RELEASE_MOV8mr
-    14330U,	// REPNE_PREFIX
-    14171U,	// REP_MOVSB_32
-    14171U,	// REP_MOVSB_64
-    14524U,	// REP_MOVSD_32
-    14524U,	// REP_MOVSD_64
-    14734U,	// REP_MOVSQ_64
-    15277U,	// REP_MOVSW_32
-    15277U,	// REP_MOVSW_64
-    14660U,	// REP_PREFIX
-    14161U,	// REP_STOSB_32
-    14161U,	// REP_STOSB_64
-    14514U,	// REP_STOSD_32
-    14514U,	// REP_STOSD_64
-    14724U,	// REP_STOSQ_64
-    15267U,	// REP_STOSW_32
-    15267U,	// REP_STOSW_64
-    23218U,	// RETIL
-    24718U,	// RETIQ
-    27640U,	// RETIW
-    14535U,	// RETL
-    14745U,	// RETQ
-    15293U,	// RETW
-    13997U,	// REX64_PREFIX
-    272928U,	// ROL16m1
-    274178U,	// ROL16mCL
-    4237856U,	// ROL16mi
-    27168U,	// ROL16r1
-    28418U,	// ROL16rCL
-    8448544U,	// ROL16ri
-    284878U,	// ROL32m1
-    290338U,	// ROL32mCL
-    12622030U,	// ROL32mi
-    22734U,	// ROL32r1
-    28194U,	// ROL32rCL
-    8444110U,	// ROL32ri
-    401165U,	// ROL64m1
-    405138U,	// ROL64mCL
-    18915085U,	// ROL64mi
-    24333U,	// ROL64r1
-    28306U,	// ROL64rCL
-    8445709U,	// ROL64ri
-    429420U,	// ROL8m1
-    437682U,	// ROL8mCL
-    23104876U,	// ROL8mi
-    19820U,	// ROL8r1
-    28082U,	// ROL8rCL
-    8441196U,	// ROL8ri
-    273093U,	// ROR16m1
-    274222U,	// ROR16mCL
-    4238021U,	// ROR16mi
-    27333U,	// ROR16r1
-    28462U,	// ROR16rCL
-    8448709U,	// ROR16ri
-    285144U,	// ROR32m1
-    290382U,	// ROR32mCL
-    12622296U,	// ROR32mi
-    23000U,	// ROR32r1
-    28238U,	// ROR32rCL
-    8444376U,	// ROR32ri
-    401340U,	// ROR64m1
-    405182U,	// ROR64mCL
-    18915260U,	// ROR64mi
-    24508U,	// ROR64r1
-    28350U,	// ROR64rCL
-    8445884U,	// ROR64ri
-    429498U,	// ROR8m1
-    437726U,	// ROR8mCL
-    23104954U,	// ROR8mi
-    19898U,	// ROR8r1
-    28126U,	// ROR8rCL
-    8441274U,	// ROR8ri
-    832904021U,	// RORX32mi
-    811653973U,	// RORX32ri
-    835002791U,	// RORX64mi
-    811655591U,	// RORX64ri
-    77926903U,	// ROUNDPDm
-    811651575U,	// ROUNDPDr
-    77931579U,	// ROUNDPSm
-    811656251U,	// ROUNDPSr
-    581260308U,	// ROUNDSDm
-    839226388U,	// ROUNDSDr
-    839226388U,	// ROUNDSDr_Int
-    585459368U,	// ROUNDSSm
-    839231144U,	// ROUNDSSr
-    839231144U,	// ROUNDSSr_Int
-    14594U,	// RSM
-    582962U,	// RSQRTPSm
-    582962U,	// RSQRTPSm_Int
-    8545586U,	// RSQRTPSr
-    8545586U,	// RSQRTPSr_Int
-    616174U,	// RSQRTSSm
-    551773934U,	// RSQRTSSm_Int
-    8546030U,	// RSQRTSSr
-    8447726U,	// RSQRTSSr_Int
-    14385U,	// SAHF
-    272873U,	// SAL16m1
-    274145U,	// SAL16mCL
-    4237801U,	// SAL16mi
-    27113U,	// SAL16r1
-    28385U,	// SAL16rCL
-    8448489U,	// SAL16ri
-    284826U,	// SAL32m1
-    290305U,	// SAL32mCL
-    12621978U,	// SAL32mi
-    22682U,	// SAL32r1
-    28161U,	// SAL32rCL
-    8444058U,	// SAL32ri
-    401130U,	// SAL64m1
-    405105U,	// SAL64mCL
-    18915050U,	// SAL64mi
-    24298U,	// SAL64r1
-    28273U,	// SAL64rCL
-    8445674U,	// SAL64ri
-    429400U,	// SAL8m1
-    437649U,	// SAL8mCL
-    23104856U,	// SAL8mi
-    19800U,	// SAL8r1
-    28049U,	// SAL8rCL
-    8441176U,	// SAL8ri
-    14214U,	// SALC
-    273060U,	// SAR16m1
-    274189U,	// SAR16mCL
-    4237988U,	// SAR16mi
-    27300U,	// SAR16r1
-    28429U,	// SAR16rCL
-    8448676U,	// SAR16ri
-    285109U,	// SAR32m1
-    290349U,	// SAR32mCL
-    12622261U,	// SAR32mi
-    22965U,	// SAR32r1
-    28205U,	// SAR32rCL
-    8444341U,	// SAR32ri
-    401322U,	// SAR64m1
-    405149U,	// SAR64mCL
-    18915242U,	// SAR64mi
-    24490U,	// SAR64r1
-    28317U,	// SAR64rCL
-    8445866U,	// SAR64ri
-    429480U,	// SAR8m1
-    437693U,	// SAR8mCL
-    23104936U,	// SAR8mi
-    19880U,	// SAR8r1
-    28093U,	// SAR8rCL
-    8441256U,	// SAR8ri
-    832904007U,	// SARX32rm
-    811653959U,	// SARX32rr
-    835002777U,	// SARX64rm
-    811655577U,	// SARX64rr
-    2123845U,	// SBB16i16
-    4237381U,	// SBB16mi
-    4237381U,	// SBB16mi8
-    4237381U,	// SBB16mr
-    6350917U,	// SBB16ri
-    6350917U,	// SBB16ri8
-    6367301U,	// SBB16rm
-    6350917U,	// SBB16rr
-    8448069U,	// SBB16rr_REV
-    10508092U,	// SBB32i32
-    12621628U,	// SBB32mi
-    12621628U,	// SBB32mi8
-    12621628U,	// SBB32mr
-    6346556U,	// SBB32ri
-    6346556U,	// SBB32ri8
-    283203388U,	// SBB32rm
-    6346556U,	// SBB32rr
-    8443708U,	// SBB32rr_REV
-    16800905U,	// SBB64i32
-    18914441U,	// SBB64mi32
-    18914441U,	// SBB64mi8
-    18914441U,	// SBB64mr
-    6347913U,	// SBB64ri32
-    6347913U,	// SBB64ri8
-    283221129U,	// SBB64rm
-    6347913U,	// SBB64rr
-    8445065U,	// SBB64rr_REV
-    20991219U,	// SBB8i8
-    23104755U,	// SBB8mi
-    23104755U,	// SBB8mr
-    6343923U,	// SBB8ri
-    118003U,	// SBB8rm
-    6343923U,	// SBB8rr
-    8441075U,	// SBB8rr_REV
-    2599675U,	// SCAS16
-    11000342U,	// SCAS32
-    17309701U,	// SCAS64
-    21515736U,	// SCAS8
-    15063U,	// SEG_ALLOCA_32
-    15063U,	// SEG_ALLOCA_64
-    431509U,	// SETAEm
-    21909U,	// SETAEr
-    429241U,	// SETAm
-    19641U,	// SETAr
-    431531U,	// SETBEm
-    21931U,	// SETBEr
+    25500975U,	// PCMPISTRM128rm
+    811654447U,	// PCMPISTRM128rr
+    283204324U,	// PDEP32rm
+    811653860U,	// PDEP32rr
+    283222292U,	// PDEP64rm
+    811655444U,	// PDEP64rr
+    283204765U,	// PEXT32rm
+    811654301U,	// PEXT32rr
+    283222690U,	// PEXT64rm
+    811655842U,	// PEXT64rr
+    3808759676U,	// PEXTRBmr
+    811650940U,	// PEXTRBrr
+    4077196488U,	// PEXTRDmr
+    811652296U,	// PEXTRDrr
+    50667945U,	// PEXTRQmr
+    811655593U,	// PEXTRQrr
+    319106207U,	// PEXTRWmr
+    811658399U,	// PEXTRWri
+    811658399U,	// PEXTRWrr_REV
+    551833963U,	// PF2IDrm
+    8655211U,	// PF2IDrr
+    551840654U,	// PF2IWrm
+    8661902U,	// PF2IWrr
+    551653502U,	// PFACCrm
+    8441982U,	// PFACCrr
+    551653656U,	// PFADDrm
+    8442136U,	// PFADDrr
+    551657473U,	// PFCMPEQrm
+    8445953U,	// PFCMPEQrr
+    551655283U,	// PFCMPGErm
+    8443763U,	// PFCMPGErr
+    551659779U,	// PFCMPGTrm
+    8448259U,	// PFCMPGTrr
+    551661138U,	// PFMAXrm
+    8449618U,	// PFMAXrr
+    551656778U,	// PFMINrm
+    8445258U,	// PFMINrr
+    551656612U,	// PFMULrm
+    8445092U,	// PFMULrr
+    551653509U,	// PFNACCrm
+    8441989U,	// PFNACCrr
+    551653517U,	// PFPNACCrm
+    8441997U,	// PFPNACCrr
+    551652562U,	// PFRCPIT1rm
+    8441042U,	// PFRCPIT1rr
+    551652651U,	// PFRCPIT2rm
+    8441131U,	// PFRCPIT2rr
+    551837045U,	// PFRCPrm
+    8658293U,	// PFRCPrr
+    551652572U,	// PFRSQIT1rm
+    8441052U,	// PFRSQIT1rr
+    551840050U,	// PFRSQRTrm
+    8661298U,	// PFRSQRTrr
+    551658339U,	// PFSUBRrm
+    8446819U,	// PFSUBRrr
+    551653438U,	// PFSUBrm
+    8441918U,	// PFSUBrr
+    8687904U,	// PHADDDrm
+    8442144U,	// PHADDDrr
+    8695007U,	// PHADDSWrm128
+    8449247U,	// PHADDSWrr128
+    8694440U,	// PHADDWrm
+    8448680U,	// PHADDWrr
+    323085U,	// PHMINPOSUWrm128
+    8662541U,	// PHMINPOSUWrr128
+    8687858U,	// PHSUBDrm
+    8442098U,	// PHSUBDrr
+    8694988U,	// PHSUBSWrm128
+    8449228U,	// PHSUBSWrr128
+    8694346U,	// PHSUBWrm
+    8448586U,	// PHSUBWrr
+    551833947U,	// PI2FDrm
+    8655195U,	// PI2FDrr
+    551840564U,	// PI2FWrm
+    8661812U,	// PI2FWrr
+    866471795U,	// PINSRBrm
+    839225203U,	// PINSRBrr
+    862278847U,	// PINSRDrm
+    839226559U,	// PINSRDrr
+    864379276U,	// PINSRQrm
+    839229836U,	// PINSRQrr
+    860187780U,	// PINSRWrmi
+    839232644U,	// PINSRWrri
+    8694976U,	// PMADDUBSWrm128
+    8449216U,	// PMADDUBSWrr128
+    8689358U,	// PMADDWDrm
+    8443598U,	// PMADDWDrr
+    8687601U,	// PMAXSBrm
+    8441841U,	// PMAXSBrr
+    8689198U,	// PMAXSDrm
+    8443438U,	// PMAXSDrr
+    8695148U,	// PMAXSWrm
+    8449388U,	// PMAXSWrr
+    8687686U,	// PMAXUBrm
+    8441926U,	// PMAXUBrr
+    8689283U,	// PMAXUDrm
+    8443523U,	// PMAXUDrr
+    8695322U,	// PMAXUWrm
+    8449562U,	// PMAXUWrr
+    8687542U,	// PMINSBrm
+    8441782U,	// PMINSBrr
+    8689125U,	// PMINSDrm
+    8443365U,	// PMINSDrr
+    8695057U,	// PMINSWrm
+    8449297U,	// PMINSWrr
+    8687670U,	// PMINUBrm
+    8441910U,	// PMINUBrr
+    8689265U,	// PMINUDrm
+    8443505U,	// PMINUDrr
+    8695300U,	// PMINUWrm
+    8449540U,	// PMINUWrr
+    8654586U,	// PMOVMSKBrr
+    551801091U,	// PMOVSXBDrm
+    8655107U,	// PMOVSXBDrr
+    384617U,	// PMOVSXBQrm
+    8658537U,	// PMOVSXBQrr
+    551840355U,	// PMOVSXBWrm
+    8661603U,	// PMOVSXBWrr
+    551837631U,	// PMOVSXDQrm
+    8658879U,	// PMOVSXDQrr
+    551835431U,	// PMOVSXWDrm
+    8656679U,	// PMOVSXWDrr
+    551805708U,	// PMOVSXWQrm
+    8659724U,	// PMOVSXWQrr
+    551801102U,	// PMOVZXBDrm
+    8655118U,	// PMOVZXBDrr
+    384628U,	// PMOVZXBQrm
+    8658548U,	// PMOVZXBQrr
+    551840366U,	// PMOVZXBWrm
+    8661614U,	// PMOVZXBWrr
+    551837642U,	// PMOVZXDQrm
+    8658890U,	// PMOVZXDQrr
+    551835442U,	// PMOVZXWDrm
+    8656690U,	// PMOVZXWDrr
+    551805719U,	// PMOVZXWQrm
+    8659735U,	// PMOVZXWQrr
+    8691516U,	// PMULDQrm
+    8445756U,	// PMULDQrr
+    8695082U,	// PMULHRSWrm128
+    8449322U,	// PMULHRSWrr128
+    551660642U,	// PMULHRWrm
+    8449122U,	// PMULHRWrr
+    8695281U,	// PMULHUWrm
+    8449521U,	// PMULHUWrr
+    8694655U,	// PMULHWrm
+    8448895U,	// PMULHWrr
+    8688032U,	// PMULLDrm
+    8442272U,	// PMULLDrr
+    8694724U,	// PMULLWrm
+    8448964U,	// PMULLWrr
+    8691637U,	// PMULUDQrm
+    8445877U,	// PMULUDQrr
+    27698U,	// POP16r
+    224306U,	// POP16rmm
+    27698U,	// POP16rmr
+    23320U,	// POP32r
+    236312U,	// POP32rmm
+    23320U,	// POP32rmr
+    24876U,	// POP64r
+    401708U,	// POP64rmm
+    24876U,	// POP64rmr
+    14967U,	// POPA16
+    14170U,	// POPA32
+    388532U,	// POPCNT16rm
+    8662452U,	// POPCNT16rr
+    551804010U,	// POPCNT32rm
+    8658026U,	// POPCNT32rr
+    551838282U,	// POPCNT64rm
+    8659530U,	// POPCNT64rr
+    14597U,	// POPDS16
+    14578U,	// POPDS32
+    14635U,	// POPES16
+    14616U,	// POPES32
+    14980U,	// POPF16
+    14183U,	// POPF32
+    14425U,	// POPF64
+    14692U,	// POPFS16
+    14654U,	// POPFS32
+    14673U,	// POPFS64
+    14749U,	// POPGS16
+    14711U,	// POPGS32
+    14730U,	// POPGS64
+    14869U,	// POPSS16
+    14850U,	// POPSS32
+    8692605U,	// PORrm
+    8446845U,	// PORrr
+    432114U,	// PREFETCH
+    429675U,	// PREFETCHNTA
+    429220U,	// PREFETCHT0
+    429254U,	// PREFETCHT1
+    429343U,	// PREFETCHT2
+    437097U,	// PREFETCHW
+    8694275U,	// PSADBWrm
+    8448515U,	// PSADBWrr
+    8687317U,	// PSHUFBrm
+    8441557U,	// PSHUFBrr
+    25497955U,	// PSHUFDmi
+    811651427U,	// PSHUFDri
+    25504629U,	// PSHUFHWmi
+    811658101U,	// PSHUFHWri
+    25504674U,	// PSHUFLWmi
+    811658146U,	// PSHUFLWri
+    8687406U,	// PSIGNBrm
+    8441646U,	// PSIGNBrr
+    8688081U,	// PSIGNDrm
+    8442321U,	// PSIGNDrr
+    8694776U,	// PSIGNWrm
+    8449016U,	// PSIGNWrr
+    8445738U,	// PSLLDQri
+    8442264U,	// PSLLDri
+    8688024U,	// PSLLDrm
+    8442264U,	// PSLLDrr
+    8446130U,	// PSLLQri
+    8691890U,	// PSLLQrm
+    8446130U,	// PSLLQrr
+    8448956U,	// PSLLWri
+    8694716U,	// PSLLWrm
+    8448956U,	// PSLLWrr
+    8442069U,	// PSRADri
+    8687829U,	// PSRADrm
+    8442069U,	// PSRADrr
+    8448482U,	// PSRAWri
+    8694242U,	// PSRAWrm
+    8448482U,	// PSRAWrr
+    8445747U,	// PSRLDQri
+    8442281U,	// PSRLDri
+    8688041U,	// PSRLDrm
+    8442281U,	// PSRLDrr
+    8446144U,	// PSRLQri
+    8691904U,	// PSRLQrm
+    8446144U,	// PSRLQrr
+    8448979U,	// PSRLWri
+    8694739U,	// PSRLWrm
+    8448979U,	// PSRLWrr
+    8687270U,	// PSUBBrm
+    8441510U,	// PSUBBrr
+    8687867U,	// PSUBDrm
+    8442107U,	// PSUBDrr
+    8691289U,	// PSUBQrm
+    8445529U,	// PSUBQrr
+    8687517U,	// PSUBSBrm
+    8441757U,	// PSUBSBrr
+    8694998U,	// PSUBSWrm
+    8449238U,	// PSUBSWrr
+    8687565U,	// PSUBUSBrm
+    8441805U,	// PSUBUSBrr
+    8695120U,	// PSUBUSWrm
+    8449360U,	// PSUBUSWrr
+    8694355U,	// PSUBWrm
+    8448595U,	// PSUBWrr
+    551834391U,	// PSWAPDrm
+    8655639U,	// PSWAPDrr
+    584022U,	// PTESTrm
+    8661334U,	// PTESTrr
+    8694303U,	// PUNPCKHBWrm
+    8448543U,	// PUNPCKHBWrr
+    8691467U,	// PUNPCKHDQrm
+    8445707U,	// PUNPCKHDQrr
+    8691534U,	// PUNPCKHQDQrm
+    8445774U,	// PUNPCKHQDQrr
+    8689368U,	// PUNPCKHWDrm
+    8443608U,	// PUNPCKHWDrr
+    8694315U,	// PUNPCKLBWrm
+    8448555U,	// PUNPCKLBWrr
+    8691486U,	// PUNPCKLDQrm
+    8445726U,	// PUNPCKLDQrr
+    8691547U,	// PUNPCKLQDQrm
+    8445787U,	// PUNPCKLQDQrr
+    8689380U,	// PUNPCKLWDrm
+    8443620U,	// PUNPCKLWDrr
+    27527U,	// PUSH16i8
+    27527U,	// PUSH16r
+    224135U,	// PUSH16rmm
+    27527U,	// PUSH16rmr
+    23067U,	// PUSH32i8
+    23067U,	// PUSH32r
+    236059U,	// PUSH32rmm
+    23067U,	// PUSH32rmr
+    27527U,	// PUSH64i16
+    24687U,	// PUSH64i32
+    24687U,	// PUSH64i8
+    24687U,	// PUSH64r
+    401519U,	// PUSH64rmm
+    24687U,	// PUSH64rmr
+    14960U,	// PUSHA16
+    14163U,	// PUSHA32
+    14558U,	// PUSHCS16
+    14548U,	// PUSHCS32
+    14587U,	// PUSHDS16
+    14568U,	// PUSHDS32
+    14625U,	// PUSHES16
+    14606U,	// PUSHES32
+    14973U,	// PUSHF16
+    14176U,	// PUSHF32
+    14418U,	// PUSHF64
+    14682U,	// PUSHFS16
+    14644U,	// PUSHFS32
+    14663U,	// PUSHFS64
+    14739U,	// PUSHGS16
+    14701U,	// PUSHGS32
+    14720U,	// PUSHGS64
+    14859U,	// PUSHSS16
+    14840U,	// PUSHSS32
+    27527U,	// PUSHi16
+    23067U,	// PUSHi32
+    8692628U,	// PXORrm
+    8446868U,	// PXORrr
+    224155U,	// RCL16m1
+    225432U,	// RCL16mCL
+    4238235U,	// RCL16mi
+    27547U,	// RCL16r1
+    28824U,	// RCL16rCL
+    8448923U,	// RCL16ri
+    236108U,	// RCL32m1
+    241592U,	// RCL32mCL
+    12622412U,	// RCL32mi
+    23116U,	// RCL32r1
+    28600U,	// RCL32rCL
+    8444492U,	// RCL32ri
+    401564U,	// RCL64m1
+    405544U,	// RCL64mCL
+    18915484U,	// RCL64mi
+    24732U,	// RCL64r1
+    28712U,	// RCL64rCL
+    8446108U,	// RCL64ri
+    429834U,	// RCL8m1
+    438088U,	// RCL8mCL
+    23105290U,	// RCL8mi
+    20234U,	// RCL8r1
+    28488U,	// RCL8rCL
+    8441610U,	// RCL8ri
+    583307U,	// RCPPSm
+    583307U,	// RCPPSm_Int
+    8660619U,	// RCPPSr
+    8660619U,	// RCPPSr_Int
+    616577U,	// RCPSSm
+    551725185U,	// RCPSSm_Int
+    8661121U,	// RCPSSr
+    8448129U,	// RCPSSr_Int
+    224342U,	// RCR16m1
+    225476U,	// RCR16mCL
+    4238422U,	// RCR16mi
+    27734U,	// RCR16r1
+    28868U,	// RCR16rCL
+    8449110U,	// RCR16ri
+    236408U,	// RCR32m1
+    241636U,	// RCR32mCL
+    12622712U,	// RCR32mi
+    23416U,	// RCR32r1
+    28644U,	// RCR32rCL
+    8444792U,	// RCR32ri
+    401756U,	// RCR64m1
+    405588U,	// RCR64mCL
+    18915676U,	// RCR64mi
+    24924U,	// RCR64r1
+    28756U,	// RCR64rCL
+    8446300U,	// RCR64ri
+    429914U,	// RCR8m1
+    438132U,	// RCR8mCL
+    23105370U,	// RCR8mi
+    20314U,	// RCR8r1
+    28532U,	// RCR8rCL
+    8441690U,	// RCR8ri
+    22975U,	// RDFSBASE
+    24586U,	// RDFSBASE64
+    22997U,	// RDGSBASE
+    24608U,	// RDGSBASE64
+    14513U,	// RDMSR
+    13903U,	// RDPMC
+    27343U,	// RDRAND16r
+    22889U,	// RDRAND32r
+    24388U,	// RDRAND64r
+    27327U,	// RDSEED16r
+    22860U,	// RDSEED32r
+    24321U,	// RDSEED64r
+    13916U,	// RDTSC
+    14360U,	// RDTSCP
+    13336U,	// RELEASE_MOV16mr
+    13336U,	// RELEASE_MOV32mr
+    13336U,	// RELEASE_MOV64mr
+    13336U,	// RELEASE_MOV8mr
+    14006U,	// REPNE_PREFIX
+    13847U,	// REP_MOVSB_32
+    13847U,	// REP_MOVSB_64
+    14231U,	// REP_MOVSD_32
+    14231U,	// REP_MOVSD_64
+    14441U,	// REP_MOVSQ_64
+    14996U,	// REP_MOVSW_32
+    14996U,	// REP_MOVSW_64
+    14367U,	// REP_PREFIX
+    13837U,	// REP_STOSB_32
+    13837U,	// REP_STOSB_64
+    14221U,	// REP_STOSD_32
+    14221U,	// REP_STOSD_64
+    14431U,	// REP_STOSQ_64
+    14986U,	// REP_STOSW_32
+    14986U,	// REP_STOSW_64
+    23646U,	// RETIL
+    25146U,	// RETIQ
+    28068U,	// RETIW
+    14242U,	// RETL
+    14452U,	// RETQ
+    15012U,	// RETW
+    13673U,	// REX64_PREFIX
+    224204U,	// ROL16m1
+    225454U,	// ROL16mCL
+    4238284U,	// ROL16mi
+    27596U,	// ROL16r1
+    28846U,	// ROL16rCL
+    8448972U,	// ROL16ri
+    236154U,	// ROL32m1
+    241614U,	// ROL32mCL
+    12622458U,	// ROL32mi
+    23162U,	// ROL32r1
+    28622U,	// ROL32rCL
+    8444538U,	// ROL32ri
+    401593U,	// ROL64m1
+    405566U,	// ROL64mCL
+    18915513U,	// ROL64mi
+    24761U,	// ROL64r1
+    28734U,	// ROL64rCL
+    8446137U,	// ROL64ri
+    429848U,	// ROL8m1
+    438110U,	// ROL8mCL
+    23105304U,	// ROL8mi
+    20248U,	// ROL8r1
+    28510U,	// ROL8rCL
+    8441624U,	// ROL8ri
+    224369U,	// ROR16m1
+    225498U,	// ROR16mCL
+    4238449U,	// ROR16mi
+    27761U,	// ROR16r1
+    28890U,	// ROR16rCL
+    8449137U,	// ROR16ri
+    236420U,	// ROR32m1
+    241658U,	// ROR32mCL
+    12622724U,	// ROR32mi
+    23428U,	// ROR32r1
+    28666U,	// ROR32rCL
+    8444804U,	// ROR32ri
+    401768U,	// ROR64m1
+    405610U,	// ROR64mCL
+    18915688U,	// ROR64mi
+    24936U,	// ROR64r1
+    28778U,	// ROR64rCL
+    8446312U,	// ROR64ri
+    429926U,	// ROR8m1
+    438154U,	// ROR8mCL
+    23105382U,	// ROR8mi
+    20326U,	// ROR8r1
+    28554U,	// ROR8rCL
+    8441702U,	// ROR8ri
+    832904449U,	// RORX32mi
+    811654401U,	// RORX32ri
+    835003219U,	// RORX64mi
+    811656019U,	// RORX64ri
+    77927331U,	// ROUNDPDm
+    811652003U,	// ROUNDPDr
+    77932007U,	// ROUNDPSm
+    811656679U,	// ROUNDPSr
+    581260736U,	// ROUNDSDm
+    839226816U,	// ROUNDSDr
+    839226816U,	// ROUNDSDr_Int
+    585459796U,	// ROUNDSSm
+    839231572U,	// ROUNDSSr
+    839231572U,	// ROUNDSSr_Int
+    14301U,	// RSM
+    583390U,	// RSQRTPSm
+    583390U,	// RSQRTPSm_Int
+    8660702U,	// RSQRTPSr
+    8660702U,	// RSQRTPSr_Int
+    616602U,	// RSQRTSSm
+    551725210U,	// RSQRTSSm_Int
+    8661146U,	// RSQRTSSr
+    8448154U,	// RSQRTSSr_Int
+    14092U,	// SAHF
+    224149U,	// SAL16m1
+    225421U,	// SAL16mCL
+    4238229U,	// SAL16mi
+    27541U,	// SAL16r1
+    28813U,	// SAL16rCL
+    8448917U,	// SAL16ri
+    236102U,	// SAL32m1
+    241581U,	// SAL32mCL
+    12622406U,	// SAL32mi
+    23110U,	// SAL32r1
+    28589U,	// SAL32rCL
+    8444486U,	// SAL32ri
+    401558U,	// SAL64m1
+    405533U,	// SAL64mCL
+    18915478U,	// SAL64mi
+    24726U,	// SAL64r1
+    28701U,	// SAL64rCL
+    8446102U,	// SAL64ri
+    429828U,	// SAL8m1
+    438077U,	// SAL8mCL
+    23105284U,	// SAL8mi
+    20228U,	// SAL8r1
+    28477U,	// SAL8rCL
+    8441604U,	// SAL8ri
+    13890U,	// SALC
+    224336U,	// SAR16m1
+    225465U,	// SAR16mCL
+    4238416U,	// SAR16mi
+    27728U,	// SAR16r1
+    28857U,	// SAR16rCL
+    8449104U,	// SAR16ri
+    236385U,	// SAR32m1
+    241625U,	// SAR32mCL
+    12622689U,	// SAR32mi
+    23393U,	// SAR32r1
+    28633U,	// SAR32rCL
+    8444769U,	// SAR32ri
+    401750U,	// SAR64m1
+    405577U,	// SAR64mCL
+    18915670U,	// SAR64mi
+    24918U,	// SAR64r1
+    28745U,	// SAR64rCL
+    8446294U,	// SAR64ri
+    429908U,	// SAR8m1
+    438121U,	// SAR8mCL
+    23105364U,	// SAR8mi
+    20308U,	// SAR8r1
+    28521U,	// SAR8rCL
+    8441684U,	// SAR8ri
+    832904435U,	// SARX32rm
+    811654387U,	// SARX32rr
+    835003205U,	// SARX64rm
+    811656005U,	// SARX64rr
+    2124273U,	// SBB16i16
+    4237809U,	// SBB16mi
+    4237809U,	// SBB16mi8
+    4237809U,	// SBB16mr
+    6351345U,	// SBB16ri
+    6351345U,	// SBB16ri8
+    6367729U,	// SBB16rm
+    6351345U,	// SBB16rr
+    8448497U,	// SBB16rr_REV
+    10508520U,	// SBB32i32
+    12622056U,	// SBB32mi
+    12622056U,	// SBB32mi8
+    12622056U,	// SBB32mr
+    6346984U,	// SBB32ri
+    6346984U,	// SBB32ri8
+    283203816U,	// SBB32rm
+    6346984U,	// SBB32rr
+    8444136U,	// SBB32rr_REV
+    16801333U,	// SBB64i32
+    18914869U,	// SBB64mi32
+    18914869U,	// SBB64mi8
+    18914869U,	// SBB64mr
+    6348341U,	// SBB64ri32
+    6348341U,	// SBB64ri8
+    283221557U,	// SBB64rm
+    6348341U,	// SBB64rr
+    8445493U,	// SBB64rr_REV
+    20991647U,	// SBB8i8
+    23105183U,	// SBB8mi
+    23105183U,	// SBB8mr
+    6344351U,	// SBB8ri
+    118431U,	// SBB8rm
+    6344351U,	// SBB8rr
+    8441503U,	// SBB8rr_REV
+    21467012U,	// SCASB
+    11000770U,	// SCASL
+    17310129U,	// SCASQ
+    2649255U,	// SCASW
+    14770U,	// SEG_ALLOCA_32
+    14770U,	// SEG_ALLOCA_64
+    14057U,	// SEH_EndPrologue
+    14043U,	// SEH_Epilogue
+    29303U,	// SEH_PushFrame
+    29348U,	// SEH_PushReg
+    283144854U,	// SEH_SaveReg
+    283144768U,	// SEH_SaveXMM
+    283144839U,	// SEH_SetFrame
+    29286U,	// SEH_StackAlloc
+    431937U,	// SETAEm
+    22337U,	// SETAEr
+    429669U,	// SETAm
+    20069U,	// SETAr
+    431959U,	// SETBEm
+    22359U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    429645U,	// SETBm
-    20045U,	// SETBr
-    431627U,	// SETEm
-    22027U,	// SETEr
-    431568U,	// SETGEm
-    21968U,	// SETGEr
-    431680U,	// SETGm
-    22080U,	// SETGr
-    431584U,	// SETLEm
-    21984U,	// SETLEr
-    432824U,	// SETLm
-    23224U,	// SETLr
-    431604U,	// SETNEm
-    22004U,	// SETNEr
-    433077U,	// SETNOm
-    23477U,	// SETNOr
-    433147U,	// SETNPm
-    23547U,	// SETNPr
-    434798U,	// SETNSm
-    25198U,	// SETNSr
-    433084U,	// SETOm
-    23484U,	// SETOr
-    433176U,	// SETPm
-    23576U,	// SETPr
-    436015U,	// SETSm
-    26415U,	// SETSr
-    14307U,	// SFENCE
-    633812U,	// SGDT16m
-    629397U,	// SGDT32m
-    630897U,	// SGDT64m
-    8686383U,	// SHA1MSG1rm
-    8440623U,	// SHA1MSG1rr
-    8686448U,	// SHA1MSG2rm
-    8440688U,	// SHA1MSG2rr
-    8689169U,	// SHA1NEXTErm
-    8443409U,	// SHA1NEXTErr
-    610618292U,	// SHA1RNDS4rmi
-    839224244U,	// SHA1RNDS4rri
-    8686393U,	// SHA256MSG1rm
-    8440633U,	// SHA256MSG1rr
-    8686458U,	// SHA256MSG2rm
-    8440698U,	// SHA256MSG2rr
-    8686470U,	// SHA256RNDS2rm
-    8440710U,	// SHA256RNDS2rr
-    272897U,	// SHL16m1
-    274167U,	// SHL16mCL
-    4237825U,	// SHL16mi
-    27137U,	// SHL16r1
-    28407U,	// SHL16rCL
-    8448513U,	// SHL16ri
-    284846U,	// SHL32m1
-    290327U,	// SHL32mCL
-    12621998U,	// SHL32mi
-    22702U,	// SHL32r1
-    28183U,	// SHL32rCL
-    8444078U,	// SHL32ri
-    401144U,	// SHL64m1
-    405127U,	// SHL64mCL
-    18915064U,	// SHL64mi
-    24312U,	// SHL64r1
-    28295U,	// SHL64rCL
-    8445688U,	// SHL64ri
-    429414U,	// SHL8m1
-    437671U,	// SHL8mCL
-    23104870U,	// SHL8mi
-    19814U,	// SHL8r1
-    28071U,	// SHL8rCL
-    8441190U,	// SHL8ri
-    4239049U,	// SHLD16mrCL
-    319105308U,	// SHLD16mri8
-    8449737U,	// SHLD16rrCL
-    839231772U,	// SHLD16rri8
-    12627433U,	// SHLD32mrCL
-    4077197231U,	// SHLD32mri8
-    8449513U,	// SHLD32rrCL
-    839227311U,	// SHLD32rri8
-    18919001U,	// SHLD64mrCL
-    50666858U,	// SHLD64mri8
-    8449625U,	// SHLD64rrCL
-    839228778U,	// SHLD64rri8
-    832903986U,	// SHLX32rm
-    811653938U,	// SHLX32rr
-    835002756U,	// SHLX64rm
-    811655556U,	// SHLX64rr
-    273087U,	// SHR16m1
-    274211U,	// SHR16mCL
-    4238015U,	// SHR16mi
-    27327U,	// SHR16r1
-    28451U,	// SHR16rCL
-    8448703U,	// SHR16ri
-    285138U,	// SHR32m1
-    290371U,	// SHR32mCL
-    12622290U,	// SHR32mi
-    22994U,	// SHR32r1
-    28227U,	// SHR32rCL
-    8444370U,	// SHR32ri
-    401334U,	// SHR64m1
-    405171U,	// SHR64mCL
-    18915254U,	// SHR64mi
-    24502U,	// SHR64r1
-    28339U,	// SHR64rCL
-    8445878U,	// SHR64ri
-    429492U,	// SHR8m1
-    437715U,	// SHR8mCL
-    23104948U,	// SHR8mi
-    19892U,	// SHR8r1
-    28115U,	// SHR8rCL
-    8441268U,	// SHR8ri
-    4239061U,	// SHRD16mrCL
-    319105334U,	// SHRD16mri8
-    8449749U,	// SHRD16rrCL
-    839231798U,	// SHRD16rri8
-    12627445U,	// SHRD32mrCL
-    4077197254U,	// SHRD32mri8
-    8449525U,	// SHRD32rrCL
-    839227334U,	// SHRD32rri8
-    18919013U,	// SHRD64mrCL
-    50666963U,	// SHRD64mri8
-    8449637U,	// SHRD64rrCL
-    839228883U,	// SHRD64rri8
-    832904014U,	// SHRX32rm
-    811653966U,	// SHRX32rr
-    835002784U,	// SHRX64rm
-    811655584U,	// SHRX64rr
-    568676877U,	// SHUFPDrmi
-    839225869U,	// SHUFPDrri
-    568681553U,	// SHUFPSrmi
-    839230545U,	// SHUFPSrri
-    633826U,	// SIDT16m
-    629411U,	// SIDT32m
-    630911U,	// SIDT64m
-    14611U,	// SIN_F
+    430073U,	// SETBm
+    20473U,	// SETBr
+    432055U,	// SETEm
+    22455U,	// SETEr
+    431996U,	// SETGEm
+    22396U,	// SETGEr
+    432108U,	// SETGm
+    22508U,	// SETGr
+    432012U,	// SETLEm
+    22412U,	// SETLEr
+    433252U,	// SETLm
+    23652U,	// SETLr
+    432032U,	// SETNEm
+    22432U,	// SETNEr
+    433505U,	// SETNOm
+    23905U,	// SETNOr
+    433575U,	// SETNPm
+    23975U,	// SETNPr
+    435226U,	// SETNSm
+    25626U,	// SETNSr
+    433512U,	// SETOm
+    23912U,	// SETOr
+    433604U,	// SETPm
+    24004U,	// SETPr
+    436443U,	// SETSm
+    26843U,	// SETSr
+    13983U,	// SFENCE
+    634240U,	// SGDT16m
+    629825U,	// SGDT32m
+    631325U,	// SGDT64m
+    8686768U,	// SHA1MSG1rm
+    8441008U,	// SHA1MSG1rr
+    8686844U,	// SHA1MSG2rm
+    8441084U,	// SHA1MSG2rr
+    8689597U,	// SHA1NEXTErm
+    8443837U,	// SHA1NEXTErr
+    610618699U,	// SHA1RNDS4rmi
+    839224651U,	// SHA1RNDS4rri
+    8686778U,	// SHA256MSG1rm
+    8441018U,	// SHA256MSG1rr
+    8686854U,	// SHA256MSG2rm
+    8441094U,	// SHA256MSG2rr
+    8686866U,	// SHA256RNDS2rm
+    8441106U,	// SHA256RNDS2rr
+    224173U,	// SHL16m1
+    225443U,	// SHL16mCL
+    4238253U,	// SHL16mi
+    27565U,	// SHL16r1
+    28835U,	// SHL16rCL
+    8448941U,	// SHL16ri
+    236122U,	// SHL32m1
+    241603U,	// SHL32mCL
+    12622426U,	// SHL32mi
+    23130U,	// SHL32r1
+    28611U,	// SHL32rCL
+    8444506U,	// SHL32ri
+    401572U,	// SHL64m1
+    405555U,	// SHL64mCL
+    18915492U,	// SHL64mi
+    24740U,	// SHL64r1
+    28723U,	// SHL64rCL
+    8446116U,	// SHL64ri
+    429842U,	// SHL8m1
+    438099U,	// SHL8mCL
+    23105298U,	// SHL8mi
+    20242U,	// SHL8r1
+    28499U,	// SHL8rCL
+    8441618U,	// SHL8ri
+    4239477U,	// SHLD16mrCL
+    319105736U,	// SHLD16mri8
+    8450165U,	// SHLD16rrCL
+    839232200U,	// SHLD16rri8
+    12627861U,	// SHLD32mrCL
+    4077197659U,	// SHLD32mri8
+    8449941U,	// SHLD32rrCL
+    839227739U,	// SHLD32rri8
+    18919429U,	// SHLD64mrCL
+    50667286U,	// SHLD64mri8
+    8450053U,	// SHLD64rrCL
+    839229206U,	// SHLD64rri8
+    832904414U,	// SHLX32rm
+    811654366U,	// SHLX32rr
+    835003184U,	// SHLX64rm
+    811655984U,	// SHLX64rr
+    224363U,	// SHR16m1
+    225487U,	// SHR16mCL
+    4238443U,	// SHR16mi
+    27755U,	// SHR16r1
+    28879U,	// SHR16rCL
+    8449131U,	// SHR16ri
+    236414U,	// SHR32m1
+    241647U,	// SHR32mCL
+    12622718U,	// SHR32mi
+    23422U,	// SHR32r1
+    28655U,	// SHR32rCL
+    8444798U,	// SHR32ri
+    401762U,	// SHR64m1
+    405599U,	// SHR64mCL
+    18915682U,	// SHR64mi
+    24930U,	// SHR64r1
+    28767U,	// SHR64rCL
+    8446306U,	// SHR64ri
+    429920U,	// SHR8m1
+    438143U,	// SHR8mCL
+    23105376U,	// SHR8mi
+    20320U,	// SHR8r1
+    28543U,	// SHR8rCL
+    8441696U,	// SHR8ri
+    4239489U,	// SHRD16mrCL
+    319105762U,	// SHRD16mri8
+    8450177U,	// SHRD16rrCL
+    839232226U,	// SHRD16rri8
+    12627873U,	// SHRD32mrCL
+    4077197682U,	// SHRD32mri8
+    8449953U,	// SHRD32rrCL
+    839227762U,	// SHRD32rri8
+    18919441U,	// SHRD64mrCL
+    50667391U,	// SHRD64mri8
+    8450065U,	// SHRD64rrCL
+    839229311U,	// SHRD64rri8
+    832904442U,	// SHRX32rm
+    811654394U,	// SHRX32rr
+    835003212U,	// SHRX64rm
+    811656012U,	// SHRX64rr
+    568677305U,	// SHUFPDrmi
+    839226297U,	// SHUFPDrri
+    568681981U,	// SHUFPSrmi
+    839230973U,	// SHUFPSrri
+    634254U,	// SIDT16m
+    629839U,	// SIDT32m
+    631339U,	// SIDT64m
+    14318U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    15369U,	// SKINIT
-    273392U,	// SLDT16m
-    27632U,	// SLDT16r
-    23210U,	// SLDT32r
-    270470U,	// SLDT64m
-    24710U,	// SLDT64r
-    273556U,	// SMSW16m
-    27796U,	// SMSW16r
-    23316U,	// SMSW32r
-    24901U,	// SMSW64r
-    578226U,	// SQRTPDm
-    8540850U,	// SQRTPDr
-    582963U,	// SQRTPSm
-    8545587U,	// SQRTPSr
-    595035U,	// SQRTSDm
-    595035U,	// SQRTSDm_Int
-    8541275U,	// SQRTSDr
-    8541275U,	// SQRTSDr_Int
-    616175U,	// SQRTSSm
-    616175U,	// SQRTSSm_Int
-    8546031U,	// SQRTSSr
-    8546031U,	// SQRTSSr_Int
-    15210U,	// SQRT_F
+    15088U,	// SKINIT
+    224668U,	// SLDT16m
+    28060U,	// SLDT16r
+    23638U,	// SLDT32r
+    221746U,	// SLDT64m
+    25138U,	// SLDT64r
+    224832U,	// SMSW16m
+    28224U,	// SMSW16r
+    23744U,	// SMSW32r
+    25329U,	// SMSW64r
+    578654U,	// SQRTPDm
+    8655966U,	// SQRTPDr
+    583391U,	// SQRTPSm
+    8660703U,	// SQRTPSr
+    595463U,	// SQRTSDm
+    595463U,	// SQRTSDm_Int
+    8656391U,	// SQRTSDr
+    8656391U,	// SQRTSDr_Int
+    616603U,	// SQRTSSm
+    616603U,	// SQRTSSm_Int
+    8661147U,	// SQRTSSr
+    8661147U,	// SQRTSSr_Int
+    14923U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    15134U,	// SS_PREFIX
-    14192U,	// STAC
-    14246U,	// STC
-    14270U,	// STD
-    14404U,	// STGI
-    14419U,	// STI
-    287231U,	// STMXCSR
-    552303U,	// STOSB
-    520056U,	// STOSL
-    536492U,	// STOSQ
-    503623U,	// STOSW
-    27372U,	// STR16r
-    23031U,	// STR32r
-    24558U,	// STR64r
-    273132U,	// STRm
-    239413U,	// ST_F32m
-    252644U,	// ST_F64m
-    238925U,	// ST_FP32m
-    252280U,	// ST_FP64m
-    714615U,	// ST_FP80m
-    13681U,	// ST_FPNCE
-    13704U,	// ST_FPr0r7
-    23589U,	// ST_FPrr
+    13868U,	// STAC
+    13922U,	// STC
+    13946U,	// STD
+    14111U,	// STGI
+    14126U,	// STI
+    238507U,	// STMXCSR
+    503579U,	// STOSB
+    520484U,	// STOSL
+    536920U,	// STOSQ
+    553203U,	// STOSW
+    27800U,	// STR16r
+    23459U,	// STR32r
+    24986U,	// STR64r
+    224408U,	// STRm
+    190689U,	// ST_F32m
+    203920U,	// ST_F64m
+    190201U,	// ST_FP32m
+    203556U,	// ST_FP64m
+    715043U,	// ST_FP80m
+    13357U,	// ST_FPNCE
+    13380U,	// ST_FPr0r7
+    24017U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2780,52 +2775,52 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    26545U,	// ST_Frr
-    2123936U,	// SUB16i16
-    4237472U,	// SUB16mi
-    4237472U,	// SUB16mi8
-    4237472U,	// SUB16mr
-    6351008U,	// SUB16ri
-    6351008U,	// SUB16ri8
-    6367392U,	// SUB16rm
-    6351008U,	// SUB16rr
-    8448160U,	// SUB16rr_REV
-    10508107U,	// SUB32i32
-    12621643U,	// SUB32mi
-    12621643U,	// SUB32mi8
-    12621643U,	// SUB32mr
-    6346571U,	// SUB32ri
-    6346571U,	// SUB32ri8
-    283203403U,	// SUB32rm
-    6346571U,	// SUB32rr
-    8443723U,	// SUB32rr_REV
-    16800942U,	// SUB64i32
-    18914478U,	// SUB64mi32
-    18914478U,	// SUB64mi8
-    18914478U,	// SUB64mr
-    6347950U,	// SUB64ri32
-    6347950U,	// SUB64ri8
-    283221166U,	// SUB64rm
-    6347950U,	// SUB64rr
-    8445102U,	// SUB64rr_REV
-    20991227U,	// SUB8i8
-    23104763U,	// SUB8mi
-    23104763U,	// SUB8mr
-    6343931U,	// SUB8ri
-    6343931U,	// SUB8ri8
-    118011U,	// SUB8rm
-    6343931U,	// SUB8rr
-    8441083U,	// SUB8rr_REV
-    8573305U,	// SUBPDrm
-    8442233U,	// SUBPDrr
-    8577981U,	// SUBPSrm
-    8446909U,	// SUBPSrr
-    239006U,	// SUBR_F32m
-    252347U,	// SUBR_F64m
-    271782U,	// SUBR_FI16m
-    285123U,	// SUBR_FI32m
-    23490U,	// SUBR_FPrST0
-    25016U,	// SUBR_FST0r
+    26973U,	// ST_Frr
+    2124364U,	// SUB16i16
+    4237900U,	// SUB16mi
+    4237900U,	// SUB16mi8
+    4237900U,	// SUB16mr
+    6351436U,	// SUB16ri
+    6351436U,	// SUB16ri8
+    6367820U,	// SUB16rm
+    6351436U,	// SUB16rr
+    8448588U,	// SUB16rr_REV
+    10508535U,	// SUB32i32
+    12622071U,	// SUB32mi
+    12622071U,	// SUB32mi8
+    12622071U,	// SUB32mr
+    6346999U,	// SUB32ri
+    6346999U,	// SUB32ri8
+    283203831U,	// SUB32rm
+    6346999U,	// SUB32rr
+    8444151U,	// SUB32rr_REV
+    16801370U,	// SUB64i32
+    18914906U,	// SUB64mi32
+    18914906U,	// SUB64mi8
+    18914906U,	// SUB64mr
+    6348378U,	// SUB64ri32
+    6348378U,	// SUB64ri8
+    283221594U,	// SUB64rm
+    6348378U,	// SUB64rr
+    8445530U,	// SUB64rr_REV
+    20991655U,	// SUB8i8
+    23105191U,	// SUB8mi
+    23105191U,	// SUB8mr
+    6344359U,	// SUB8ri
+    6344359U,	// SUB8ri8
+    118439U,	// SUB8rm
+    6344359U,	// SUB8rr
+    8441511U,	// SUB8rr_REV
+    8524581U,	// SUBPDrm
+    8442661U,	// SUBPDrr
+    8529257U,	// SUBPSrm
+    8447337U,	// SUBPSrr
+    190282U,	// SUBR_F32m
+    203623U,	// SUBR_F64m
+    223058U,	// SUBR_FI16m
+    236399U,	// SUBR_FI32m
+    23918U,	// SUBR_FPrST0
+    25444U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2837,21 +2832,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    27915U,	// SUBR_FrST0
-    551752668U,	// SUBSDrm
-    551752668U,	// SUBSDrm_Int
-    8442844U,	// SUBSDrr
-    8442844U,	// SUBSDrr_Int
-    551773808U,	// SUBSSrm
-    551773808U,	// SUBSSrm_Int
-    8447600U,	// SUBSSrr
-    8447600U,	// SUBSSrr_Int
-    238102U,	// SUB_F32m
-    251722U,	// SUB_F64m
-    270877U,	// SUB_FI16m
-    284497U,	// SUB_FI32m
-    23560U,	// SUB_FPrST0
-    20115U,	// SUB_FST0r
+    28343U,	// SUBR_FrST0
+    551703944U,	// SUBSDrm
+    551703944U,	// SUBSDrm_Int
+    8443272U,	// SUBSDrr
+    8443272U,	// SUBSDrr_Int
+    551725084U,	// SUBSSrm
+    551725084U,	// SUBSSrm_Int
+    8448028U,	// SUBSSrr
+    8448028U,	// SUBSSrr_Int
+    189378U,	// SUB_F32m
+    202998U,	// SUB_F64m
+    222153U,	// SUB_FI16m
+    235773U,	// SUB_FI32m
+    23988U,	// SUB_FPrST0
+    20543U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2866,2611 +2861,3425 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    27957U,	// SUB_FrST0
-    15051U,	// SWAPGS
-    14497U,	// SYSCALL
-    14789U,	// SYSENTER
-    14554U,	// SYSEXIT
-    14764U,	// SYSEXIT64
-    14546U,	// SYSRET
-    14756U,	// SYSRET64
-    551685889U,	// T1MSKC32rm
-    8539905U,	// T1MSKC32rr
-    551718657U,	// T1MSKC64rm
-    8539905U,	// T1MSKC64rr
-    80108514U,	// TAILJMPd
-    80108514U,	// TAILJMPd64
-    79984038U,	// TAILJMPm
-    80098743U,	// TAILJMPm64
+    28385U,	// SUB_FrST0
+    14758U,	// SWAPGS
+    14204U,	// SYSCALL
+    14496U,	// SYSENTER
+    14261U,	// SYSEXIT
+    14471U,	// SYSEXIT64
+    14253U,	// SYSRET
+    14463U,	// SYSRET64
+    551801005U,	// T1MSKC32rm
+    8655021U,	// T1MSKC32rr
+    551833773U,	// T1MSKC64rm
+    8655021U,	// T1MSKC64rr
+    80108942U,	// TAILJMPd
+    80108942U,	// TAILJMPd64
+    79934562U,	// TAILJMPm
+    80098419U,	// TAILJMPm64
     0U,	// TAILJMPr
-    79721911U,	// TAILJMPr64
+    79721587U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    2124861U,	// TEST16i16
-    4238397U,	// TEST16mi
-    4238397U,	// TEST16mi_alt
-    8547389U,	// TEST16ri
-    8547389U,	// TEST16ri_alt
-    388157U,	// TEST16rm
-    8547389U,	// TEST16rr
-    10509021U,	// TEST32i32
-    12622557U,	// TEST32mi
-    12622557U,	// TEST32mi_alt
-    8542941U,	// TEST32ri
-    8542941U,	// TEST32ri_alt
-    551688925U,	// TEST32rm
-    8542941U,	// TEST32rr
-    16802031U,	// TEST64i32
-    18915567U,	// TEST64mi32
-    18915567U,	// TEST64mi32_alt
-    8544495U,	// TEST64ri32
-    8544495U,	// TEST64ri32_alt
-    551723247U,	// TEST64rm
-    8544495U,	// TEST64rr
-    20991609U,	// TEST8i8
-    23105145U,	// TEST8mi
-    23105145U,	// TEST8mi_alt
-    8539769U,	// TEST8ri
+    2125289U,	// TEST16i16
+    4238825U,	// TEST16mi
+    4238825U,	// TEST16mi_alt
+    8662505U,	// TEST16ri
+    8662505U,	// TEST16ri_alt
+    4238825U,	// TEST16rm
+    8662505U,	// TEST16rr
+    10509449U,	// TEST32i32
+    12622985U,	// TEST32mi
+    12622985U,	// TEST32mi_alt
+    8658057U,	// TEST32ri
+    8658057U,	// TEST32ri_alt
+    12622985U,	// TEST32rm
+    8658057U,	// TEST32rr
+    16802459U,	// TEST64i32
+    18915995U,	// TEST64mi32
+    18915995U,	// TEST64mi32_alt
+    8659611U,	// TEST64ri32
+    8659611U,	// TEST64ri32_alt
+    18915995U,	// TEST64rm
+    8659611U,	// TEST64rr
+    20992037U,	// TEST8i8
+    23105573U,	// TEST8mi
+    23105573U,	// TEST8mi_alt
+    8654885U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    8539769U,	// TEST8ri_alt
-    446073U,	// TEST8rm
-    8539769U,	// TEST8rr
-    13849U,	// TLSCall_32
-    13953U,	// TLSCall_64
-    13862U,	// TLS_addr32
-    13966U,	// TLS_addr64
-    13875U,	// TLS_base_addr32
-    13979U,	// TLS_base_addr64
-    13893U,	// TRAP
-    15222U,	// TST_F
+    8654885U,	// TEST8ri_alt
+    23105573U,	// TEST8rm
+    8654885U,	// TEST8rr
+    13525U,	// TLSCall_32
+    13629U,	// TLSCall_64
+    13538U,	// TLS_addr32
+    13642U,	// TLS_addr64
+    13551U,	// TLS_base_addr32
+    13655U,	// TLS_base_addr64
+    13569U,	// TRAP
+    14935U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    388121U,	// TZCNT16rm
-    8547353U,	// TZCNT16rr
-    551688911U,	// TZCNT32rm
-    8542927U,	// TZCNT32rr
-    551723183U,	// TZCNT64rm
-    8544431U,	// TZCNT64rr
-    551687967U,	// TZMSK32rm
-    8541983U,	// TZMSK32rr
-    551720735U,	// TZMSK64rm
-    8541983U,	// TZMSK64rr
-    594974U,	// UCOMISDrm
-    8541214U,	// UCOMISDrr
-    616114U,	// UCOMISSrm
-    8545970U,	// UCOMISSrr
-    22216U,	// UCOM_FIPr
-    22158U,	// UCOM_FIr
-    14687U,	// UCOM_FPPr
-    23534U,	// UCOM_FPr
+    388549U,	// TZCNT16rm
+    8662469U,	// TZCNT16rr
+    551804027U,	// TZCNT32rm
+    8658043U,	// TZCNT32rr
+    551838299U,	// TZCNT64rm
+    8659547U,	// TZCNT64rr
+    551803083U,	// TZMSK32rm
+    8657099U,	// TZMSK32rr
+    551835851U,	// TZMSK64rm
+    8657099U,	// TZMSK64rr
+    595402U,	// UCOMISDrm
+    8656330U,	// UCOMISDrr
+    616542U,	// UCOMISSrm
+    8661086U,	// UCOMISSrr
+    22644U,	// UCOM_FIPr
+    22586U,	// UCOM_FIr
+    14394U,	// UCOM_FPPr
+    23962U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    23399U,	// UCOM_Fr
-    14126U,	// UD2B
-    8573462U,	// UNPCKHPDrm
-    8442390U,	// UNPCKHPDrr
-    8578138U,	// UNPCKHPSrm
-    8447066U,	// UNPCKHPSrr
-    8573504U,	// UNPCKLPDrm
-    8442432U,	// UNPCKLPDrr
-    8578200U,	// UNPCKLPSrm
-    8447128U,	// UNPCKLPSrr
-    551579762U,	// VAARG_64
-    922076U,	// VADDPDYrm
-    811651548U,	// VADDPDYrr
-    934520U,	// VADDPDZrm
-    350421624U,	// VADDPDZrmb
-    811647608U,	// VADDPDZrr
-    811782620U,	// VADDPDrm
-    811651548U,	// VADDPDrr
-    926752U,	// VADDPSYrm
-    811656224U,	// VADDPSYrr
-    936252U,	// VADDPSZrm
-    352536892U,	// VADDPSZrmb
-    811649340U,	// VADDPSZrr
-    811787296U,	// VADDPSrm
-    811656224U,	// VADDPSrr
-    283317259U,	// VADDSDZrm
-    811652107U,	// VADDSDZrr
-    283317259U,	// VADDSDrm
-    283317259U,	// VADDSDrm_Int
-    811652107U,	// VADDSDrr
-    811652107U,	// VADDSDrr_Int
-    283338399U,	// VADDSSZrm
-    811656863U,	// VADDSSZrr
-    283338399U,	// VADDSSrm
-    283338399U,	// VADDSSrm_Int
-    811656863U,	// VADDSSrr
-    811656863U,	// VADDSSrr_Int
-    921984U,	// VADDSUBPDYrm
-    811651456U,	// VADDSUBPDYrr
-    811782528U,	// VADDSUBPDrm
-    811651456U,	// VADDSUBPDrr
-    926660U,	// VADDSUBPSYrm
-    811656132U,	// VADDSUBPSYrr
-    811787204U,	// VADDSUBPSrm
-    811656132U,	// VADDSUBPSrr
-    811902863U,	// VAESDECLASTrm
-    811657103U,	// VAESDECLASTrr
-    811896554U,	// VAESDECrm
-    811650794U,	// VAESDECrr
-    811902876U,	// VAESENCLASTrm
-    811657116U,	// VAESENCLASTrr
-    811896594U,	// VAESENCrm
-    811650834U,	// VAESENCrr
-    315145U,	// VAESIMCrm
-    8539913U,	// VAESIMCrr
-    25503670U,	// VAESKEYGENASSIST128rm
-    811657142U,	// VAESKEYGENASSIST128rr
-    354763062U,	// VALIGNDrmi
-    839221558U,	// VALIGNDrri
-    354764569U,	// VALIGNQrmi
-    839223065U,	// VALIGNQrri
-    922220U,	// VANDNPDYrm
-    811651692U,	// VANDNPDYrr
-    811782764U,	// VANDNPDrm
-    811651692U,	// VANDNPDrr
-    926925U,	// VANDNPSYrm
-    811656397U,	// VANDNPSYrr
-    811787469U,	// VANDNPSrm
-    811656397U,	// VANDNPSrr
-    922084U,	// VANDPDYrm
-    811651556U,	// VANDPDYrr
-    811782628U,	// VANDPDrm
-    811651556U,	// VANDPDrr
-    926760U,	// VANDPSYrm
-    811656232U,	// VANDPSYrr
-    811787304U,	// VANDPSrm
-    811656232U,	// VANDPSrr
-    283144340U,	// VASTART_SAVE_XMM_REGS
-    839811785U,	// VBLENDMPDZrm
-    839221961U,	// VBLENDMPDZrr
-    839813517U,	// VBLENDMPSZrm
-    839223693U,	// VBLENDMPSZrr
-    88429036U,	// VBLENDPDYrmi
-    839225836U,	// VBLENDPDYrri
-    300241388U,	// VBLENDPDrmi
-    839225836U,	// VBLENDPDrri
-    88433712U,	// VBLENDPSYrmi
-    839230512U,	// VBLENDPSYrri
-    300246064U,	// VBLENDPSrmi
-    839230512U,	// VBLENDPSrri
-    88429260U,	// VBLENDVPDYrm
-    839226060U,	// VBLENDVPDYrr
-    300241612U,	// VBLENDVPDrm
-    839226060U,	// VBLENDVPDrr
-    88434030U,	// VBLENDVPSYrm
-    839230830U,	// VBLENDVPSYrr
-    300246382U,	// VBLENDVPSrm
-    839230830U,	// VBLENDVPSrr
-    576602U,	// VBROADCASTF128
-    314513U,	// VBROADCASTI128
-    595043U,	// VBROADCASTSDYrm
-    8541283U,	// VBROADCASTSDYrr
-    590859U,	// VBROADCASTSDZrm
-    8537099U,	// VBROADCASTSDZrr
-    616192U,	// VBROADCASTSSYrm
-    8546048U,	// VBROADCASTSSYrr
-    608868U,	// VBROADCASTSSZrm
-    8538724U,	// VBROADCASTSSZrr
-    616192U,	// VBROADCASTSSrm
-    8546048U,	// VBROADCASTSSrr
-    843725134U,	// VCMPPDYrmi
-    88429188U,	// VCMPPDYrmi_alt
-    1380612430U,	// VCMPPDYrri
-    839225988U,	// VCMPPDYrri_alt
-    1164589390U,	// VCMPPDZrmi
-    92619496U,	// VCMPPDZrmi_alt
-    1433041230U,	// VCMPPDZrri
-    839221992U,	// VCMPPDZrri_alt
-    95058254U,	// VCMPPDZrrib
-    1112160590U,	// VCMPPDrmi
-    300241540U,	// VCMPPDrmi_alt
-    1380612430U,	// VCMPPDrri
-    839225988U,	// VCMPPDrri_alt
-    845822286U,	// VCMPPSYrmi
-    88433901U,	// VCMPPSYrmi_alt
-    1382709582U,	// VCMPPSYrri
-    839230701U,	// VCMPPSYrri_alt
-    1170880846U,	// VCMPPSZrmi
-    92621228U,	// VCMPPSZrmi_alt
-    1439332686U,	// VCMPPSZrri
-    839223724U,	// VCMPPSZrri_alt
-    99252558U,	// VCMPPSZrrib
-    1114257742U,	// VCMPPSrmi
-    300246253U,	// VCMPPSrmi_alt
-    1382709582U,	// VCMPPSrri
-    839230701U,	// VCMPPSrri_alt
-    2726967630U,	// VCMPSDZrm
-    312824905U,	// VCMPSDZrmi_alt
-    1384806734U,	// VCMPSDZrr
-    839226441U,	// VCMPSDZrri_alt
-    2726967630U,	// VCMPSDrm
-    312824905U,	// VCMPSDrm_alt
-    1384806734U,	// VCMPSDrr
-    839226441U,	// VCMPSDrr_alt
-    2999597390U,	// VCMPSSZrm
-    317023964U,	// VCMPSSZrmi_alt
-    1389001038U,	// VCMPSSZrr
-    839231196U,	// VCMPSSZrri_alt
-    2999597390U,	// VCMPSSrm
-    317023964U,	// VCMPSSrm_alt
-    1389001038U,	// VCMPSSrr
-    839231196U,	// VCMPSSrr_alt
-    578599U,	// VCOMISDZrm
-    8541223U,	// VCOMISDZrr
-    578599U,	// VCOMISDrm
-    8541223U,	// VCOMISDrr
-    583355U,	// VCOMISSZrm
-    8545979U,	// VCOMISSZrr
-    583355U,	// VCOMISSrm
-    8545979U,	// VCOMISSrr
-    315638U,	// VCVTDQ2PDYrm
-    8540406U,	// VCVTDQ2PDYrr
-    967080U,	// VCVTDQ2PDZrm
-    8536488U,	// VCVTDQ2PDZrr
-    551719158U,	// VCVTDQ2PDrm
-    8540406U,	// VCVTDQ2PDrr
-    975693U,	// VCVTDQ2PSYrm
-    8545101U,	// VCVTDQ2PSYrr
-    985208U,	// VCVTDQ2PSZrm
-    8538232U,	// VCVTDQ2PSZrr
-    1001592U,	// VCVTDQ2PSZrrb
-    320333U,	// VCVTDQ2PSrm
-    8545101U,	// VCVTDQ2PSrr
-    584890U,	// VCVTPD2DQXrm
-    1027295U,	// VCVTPD2DQYrm
-    8547551U,	// VCVTPD2DQYrr
-    1033675U,	// VCVTPD2DQZrm
-    8537547U,	// VCVTPD2DQZrr
-    1000907U,	// VCVTPD2DQZrrb
-    8543486U,	// VCVTPD2DQrr
-    584902U,	// VCVTPD2PSXrm
-    1027307U,	// VCVTPD2PSYrm
-    8547563U,	// VCVTPD2PSYrr
-    1034336U,	// VCVTPD2PSZrm
-    8538208U,	// VCVTPD2PSZrr
-    1001568U,	// VCVTPD2PSZrrb
-    8545057U,	// VCVTPD2PSrr
-    1033862U,	// VCVTPD2UDQZrm
-    8537734U,	// VCVTPD2UDQZrr
-    1001094U,	// VCVTPD2UDQZrrb
-    582444U,	// VCVTPH2PSYrm
-    8545068U,	// VCVTPH2PSYrr
-    1024812U,	// VCVTPH2PSZrm
-    8545068U,	// VCVTPH2PSZrr
-    598828U,	// VCVTPH2PSrm
-    8545068U,	// VCVTPH2PSrr
-    1023262U,	// VCVTPS2DQYrm
-    8543518U,	// VCVTPS2DQYrr
-    1033700U,	// VCVTPS2DQZrm
-    8537572U,	// VCVTPS2DQZrr
-    1000932U,	// VCVTPS2DQZrrb
-    580894U,	// VCVTPS2DQrm
-    8543518U,	// VCVTPS2DQrr
-    577793U,	// VCVTPS2PDYrm
-    8540417U,	// VCVTPS2PDYrr
-    1016257U,	// VCVTPS2PDZrm
-    8536513U,	// VCVTPS2PDZrr
-    594177U,	// VCVTPS2PDrm
-    8540417U,	// VCVTPS2PDrr
-    1392842326U,	// VCVTPS2PHYmr
-    811652694U,	// VCVTPS2PHYrr
-    1661273247U,	// VCVTPS2PHZmr
-    811648159U,	// VCVTPS2PHZrr
-    1929713238U,	// VCVTPS2PHmr
-    811652694U,	// VCVTPS2PHrr
-    1033889U,	// VCVTPS2UDQZrm
-    8537761U,	// VCVTPS2UDQZrr
-    1001121U,	// VCVTPS2UDQZrrb
-    591032U,	// VCVTSD2SI64Zrm
-    8537272U,	// VCVTSD2SI64Zrr
-    595701U,	// VCVTSD2SI64rm
-    8541941U,	// VCVTSD2SI64rr
-    591032U,	// VCVTSD2SIZrm
-    8537272U,	// VCVTSD2SIZrr
-    595701U,	// VCVTSD2SIrm
-    8541941U,	// VCVTSD2SIrr
-    283321900U,	// VCVTSD2SSZrm
-    811656748U,	// VCVTSD2SSZrr
-    283321900U,	// VCVTSD2SSrm
-    811656748U,	// VCVTSD2SSrr
-    591083U,	// VCVTSD2USI64Zrm
-    8537323U,	// VCVTSD2USI64Zrr
-    591083U,	// VCVTSD2USIZrm
-    8537323U,	// VCVTSD2USIZrr
-    283221466U,	// VCVTSI2SD64rm
-    811654618U,	// VCVTSI2SD64rr
-    283198739U,	// VCVTSI2SDZrm
-    811648275U,	// VCVTSI2SDZrr
-    283203533U,	// VCVTSI2SDrm
-    811653069U,	// VCVTSI2SDrr
-    283222072U,	// VCVTSI2SS64rm
-    811655224U,	// VCVTSI2SS64rr
-    283198766U,	// VCVTSI2SSZrm
-    811648302U,	// VCVTSI2SSZrr
-    283204181U,	// VCVTSI2SSrm
-    811653717U,	// VCVTSI2SSrr
-    283215453U,	// VCVTSI642SDZrm
-    811648605U,	// VCVTSI642SDZrr
-    283215725U,	// VCVTSI642SSZrm
-    811648877U,	// VCVTSI642SSZrr
-    283333520U,	// VCVTSS2SDZrm
-    811651984U,	// VCVTSS2SDZrr
-    283333520U,	// VCVTSS2SDrm
-    811651984U,	// VCVTSS2SDrr
-    607441U,	// VCVTSS2SI64Zrm
-    8537297U,	// VCVTSS2SI64Zrr
-    612108U,	// VCVTSS2SI64rm
-    8541964U,	// VCVTSS2SI64rr
-    607441U,	// VCVTSS2SIZrm
-    8537297U,	// VCVTSS2SIZrr
-    612108U,	// VCVTSS2SIrm
-    8541964U,	// VCVTSS2SIrr
-    607494U,	// VCVTSS2USI64Zrm
-    8537350U,	// VCVTSS2USI64Zrr
-    607494U,	// VCVTSS2USIZrm
-    8537350U,	// VCVTSS2USIZrr
-    584877U,	// VCVTTPD2DQXrm
-    1027282U,	// VCVTTPD2DQYrm
-    8547538U,	// VCVTTPD2DQYrr
-    1033662U,	// VCVTTPD2DQZrm
-    8537534U,	// VCVTTPD2DQZrr
-    8543474U,	// VCVTTPD2DQrr
-    1033848U,	// VCVTTPD2UDQZrm
-    8537720U,	// VCVTTPD2UDQZrr
-    1023250U,	// VCVTTPS2DQYrm
-    8543506U,	// VCVTTPS2DQYrr
-    1033687U,	// VCVTTPS2DQZrm
-    8537559U,	// VCVTTPS2DQZrr
-    580882U,	// VCVTTPS2DQrm
-    8543506U,	// VCVTTPS2DQrr
-    1033875U,	// VCVTTPS2UDQZrm
-    8537747U,	// VCVTTPS2UDQZrr
-    591019U,	// VCVTTSD2SI64Zrm
-    8537259U,	// VCVTTSD2SI64Zrr
-    595689U,	// VCVTTSD2SI64rm
-    8541929U,	// VCVTTSD2SI64rr
-    591019U,	// VCVTTSD2SIZrm
-    8537259U,	// VCVTTSD2SIZrr
-    595689U,	// VCVTTSD2SIrm
-    8541929U,	// VCVTTSD2SIrr
-    591069U,	// VCVTTSD2USI64Zrm
-    8537309U,	// VCVTTSD2USI64Zrr
-    591069U,	// VCVTTSD2USIZrm
-    8537309U,	// VCVTTSD2USIZrr
-    607428U,	// VCVTTSS2SI64Zrm
-    8537284U,	// VCVTTSS2SI64Zrr
-    612096U,	// VCVTTSS2SI64rm
-    8541952U,	// VCVTTSS2SI64rr
-    607428U,	// VCVTTSS2SIZrm
-    8537284U,	// VCVTTSS2SIZrr
-    612096U,	// VCVTTSS2SIrm
-    8541952U,	// VCVTTSS2SIrr
-    607480U,	// VCVTTSS2USI64Zrm
-    8537336U,	// VCVTTSS2USI64Zrr
-    607480U,	// VCVTTSS2USIZrm
-    8537336U,	// VCVTTSS2USIZrr
-    1016244U,	// VCVTUDQ2PDZrm
-    8536500U,	// VCVTUDQ2PDZrr
-    1034372U,	// VCVTUDQ2PSZrm
-    8538244U,	// VCVTUDQ2PSZrr
-    1001604U,	// VCVTUDQ2PSZrrb
-    283198752U,	// VCVTUSI2SDZrm
-    811648288U,	// VCVTUSI2SDZrr
-    283198779U,	// VCVTUSI2SSZrm
-    811648315U,	// VCVTUSI2SSZrr
-    283215466U,	// VCVTUSI642SDZrm
-    811648618U,	// VCVTUSI642SDZrr
-    283215738U,	// VCVTUSI642SSZrm
-    811648890U,	// VCVTUSI642SSZrr
-    922327U,	// VDIVPDYrm
-    811651799U,	// VDIVPDYrr
-    934678U,	// VDIVPDZrm
-    350421782U,	// VDIVPDZrmb
-    811647766U,	// VDIVPDZrr
-    811782871U,	// VDIVPDrm
-    811651799U,	// VDIVPDrr
-    927097U,	// VDIVPSYrm
-    811656569U,	// VDIVPSYrr
-    936410U,	// VDIVPSZrm
-    352537050U,	// VDIVPSZrmb
-    811649498U,	// VDIVPSZrr
-    811787641U,	// VDIVPSrm
-    811656569U,	// VDIVPSrr
-    283317361U,	// VDIVSDZrm
-    811652209U,	// VDIVSDZrr
-    283317361U,	// VDIVSDrm
-    283317361U,	// VDIVSDrm_Int
-    811652209U,	// VDIVSDrr
-    811652209U,	// VDIVSDrr_Int
-    283338510U,	// VDIVSSZrm
-    811656974U,	// VDIVSSZrr
-    283338510U,	// VDIVSSrm
-    283338510U,	// VDIVSSrm_Int
-    811656974U,	// VDIVSSrr
-    811656974U,	// VDIVSSrr_Int
-    300241533U,	// VDPPDrmi
-    839225981U,	// VDPPDrri
-    101016806U,	// VDPPSYrmi
-    839230694U,	// VDPPSYrri
-    300246246U,	// VDPPSrmi
-    839230694U,	// VDPPSrri
-    270830U,	// VERRm
-    25070U,	// VERRr
-    273072U,	// VERWm
-    27312U,	// VERWr
-    1392839743U,	// VEXTRACTF128mr
-    811650111U,	// VEXTRACTF128rr
-    1392839615U,	// VEXTRACTF32x4mr
-    811649983U,	// VEXTRACTF32x4rr
-    1661275129U,	// VEXTRACTF64x4mr
-    811650041U,	// VEXTRACTF64x4rr
-    2198146166U,	// VEXTRACTI128mr
-    811650166U,	// VEXTRACTI128rr
-    2198146012U,	// VEXTRACTI32x4mr
-    811650012U,	// VEXTRACTI32x4rr
-    2466581526U,	// VEXTRACTI64x4mr
-    811650070U,	// VEXTRACTI64x4rr
-    3271894288U,	// VEXTRACTPSmr
-    811656464U,	// VEXTRACTPSrr
-    3271894288U,	// VEXTRACTPSzmr
-    811656464U,	// VEXTRACTPSzrr
-    839811455U,	// VFMADD132PDZm
-    1425015167U,	// VFMADD132PDZmb
-    839813187U,	// VFMADD132PSZm
-    1427130435U,	// VFMADD132PSZmb
-    839811608U,	// VFMADD213PDZm
-    1425015320U,	// VFMADD213PDZmb
-    839221784U,	// VFMADD213PDZr
-    839813340U,	// VFMADD213PSZm
-    1427130588U,	// VFMADD213PSZmb
-    839223516U,	// VFMADD213PSZr
-    300241351U,	// VFMADDPD4mr
-    88428999U,	// VFMADDPD4mrY
-    812683719U,	// VFMADDPD4rm
-    812700103U,	// VFMADDPD4rmY
-    839225799U,	// VFMADDPD4rr
-    839225799U,	// VFMADDPD4rrY
-    839225799U,	// VFMADDPD4rrY_REV
-    839225799U,	// VFMADDPD4rr_REV
-    9474245U,	// VFMADDPDr132m
-    9490629U,	// VFMADDPDr132mY
-    839225541U,	// VFMADDPDr132r
-    839225541U,	// VFMADDPDr132rY
-    9474375U,	// VFMADDPDr213m
-    9490759U,	// VFMADDPDr213mY
-    839225671U,	// VFMADDPDr213r
-    839225671U,	// VFMADDPDr213rY
-    9474159U,	// VFMADDPDr231m
-    9490543U,	// VFMADDPDr231mY
-    839225455U,	// VFMADDPDr231r
-    839225455U,	// VFMADDPDr231rY
-    300246027U,	// VFMADDPS4mr
-    88433675U,	// VFMADDPS4mrY
-    812688395U,	// VFMADDPS4rm
-    812704779U,	// VFMADDPS4rmY
-    839230475U,	// VFMADDPS4rr
-    839230475U,	// VFMADDPS4rrY
-    839230475U,	// VFMADDPS4rrY_REV
-    839230475U,	// VFMADDPS4rr_REV
-    9478918U,	// VFMADDPSr132m
-    9495302U,	// VFMADDPSr132mY
-    839230214U,	// VFMADDPSr132r
-    839230214U,	// VFMADDPSr132rY
-    9479059U,	// VFMADDPSr213m
-    9495443U,	// VFMADDPSr213mY
-    839230355U,	// VFMADDPSr213r
-    839230355U,	// VFMADDPSr213rY
-    9478832U,	// VFMADDPSr231m
-    9495216U,	// VFMADDPSr231mY
-    839230128U,	// VFMADDPSr231r
-    839230128U,	// VFMADDPSr231rY
-    312824822U,	// VFMADDSD4mr
-    312824822U,	// VFMADDSD4mr_Int
-    1357911030U,	// VFMADDSD4rm
-    1357911030U,	// VFMADDSD4rm_Int
-    839226358U,	// VFMADDSD4rr
-    839226358U,	// VFMADDSD4rr_Int
-    839226358U,	// VFMADDSD4rr_REV
-    9470877U,	// VFMADDSDZm
-    839222173U,	// VFMADDSDZr
-    1357910901U,	// VFMADDSDr132m
-    839226229U,	// VFMADDSDr132r
-    1357910966U,	// VFMADDSDr213m
-    839226294U,	// VFMADDSDr213r
-    1357910847U,	// VFMADDSDr231m
-    839226175U,	// VFMADDSDr231r
-    317023882U,	// VFMADDSS4mr
-    317023882U,	// VFMADDSS4mr_Int
-    1357932170U,	// VFMADDSS4rm
-    1357932170U,	// VFMADDSS4rm_Int
-    839231114U,	// VFMADDSS4rr
-    839231114U,	// VFMADDSS4rr_Int
-    839231114U,	// VFMADDSS4rr_REV
-    9472521U,	// VFMADDSSZm
-    839223817U,	// VFMADDSSZr
-    1357932049U,	// VFMADDSSr132m
-    839230993U,	// VFMADDSSr132r
-    1357932114U,	// VFMADDSSr213m
-    839231058U,	// VFMADDSSr213r
-    1357931995U,	// VFMADDSSr231m
-    839230939U,	// VFMADDSSr231r
-    839811392U,	// VFMADDSUB132PDZm
-    1425015104U,	// VFMADDSUB132PDZmb
-    839813124U,	// VFMADDSUB132PSZm
-    1427130372U,	// VFMADDSUB132PSZmb
-    839811545U,	// VFMADDSUB213PDZm
-    1425015257U,	// VFMADDSUB213PDZmb
-    839221721U,	// VFMADDSUB213PDZr
-    839813277U,	// VFMADDSUB213PSZm
-    1427130525U,	// VFMADDSUB213PSZmb
-    839223453U,	// VFMADDSUB213PSZr
-    300241267U,	// VFMADDSUBPD4mr
-    88428915U,	// VFMADDSUBPD4mrY
-    812683635U,	// VFMADDSUBPD4rm
-    812700019U,	// VFMADDSUBPD4rmY
-    839225715U,	// VFMADDSUBPD4rr
-    839225715U,	// VFMADDSUBPD4rrY
-    839225715U,	// VFMADDSUBPD4rrY_REV
-    839225715U,	// VFMADDSUBPD4rr_REV
-    9474186U,	// VFMADDSUBPDr132m
-    9490570U,	// VFMADDSUBPDr132mY
-    839225482U,	// VFMADDSUBPDr132r
-    839225482U,	// VFMADDSUBPDr132rY
-    9474316U,	// VFMADDSUBPDr213m
-    9490700U,	// VFMADDSUBPDr213mY
-    839225612U,	// VFMADDSUBPDr213r
-    839225612U,	// VFMADDSUBPDr213rY
-    9474100U,	// VFMADDSUBPDr231m
-    9490484U,	// VFMADDSUBPDr231mY
-    839225396U,	// VFMADDSUBPDr231r
-    839225396U,	// VFMADDSUBPDr231rY
-    300245943U,	// VFMADDSUBPS4mr
-    88433591U,	// VFMADDSUBPS4mrY
-    812688311U,	// VFMADDSUBPS4rm
-    812704695U,	// VFMADDSUBPS4rmY
-    839230391U,	// VFMADDSUBPS4rr
-    839230391U,	// VFMADDSUBPS4rrY
-    839230391U,	// VFMADDSUBPS4rrY_REV
-    839230391U,	// VFMADDSUBPS4rr_REV
-    9478859U,	// VFMADDSUBPSr132m
-    9495243U,	// VFMADDSUBPSr132mY
-    839230155U,	// VFMADDSUBPSr132r
-    839230155U,	// VFMADDSUBPSr132rY
-    9479000U,	// VFMADDSUBPSr213m
-    9495384U,	// VFMADDSUBPSr213mY
-    839230296U,	// VFMADDSUBPSr213r
-    839230296U,	// VFMADDSUBPSr213rY
-    9478773U,	// VFMADDSUBPSr231m
-    9495157U,	// VFMADDSUBPSr231mY
-    839230069U,	// VFMADDSUBPSr231r
-    839230069U,	// VFMADDSUBPSr231rY
-    839811409U,	// VFMSUB132PDZm
-    1425015121U,	// VFMSUB132PDZmb
-    839813141U,	// VFMSUB132PSZm
-    1427130389U,	// VFMSUB132PSZmb
-    839811562U,	// VFMSUB213PDZm
-    1425015274U,	// VFMSUB213PDZmb
-    839221738U,	// VFMSUB213PDZr
-    839813294U,	// VFMSUB213PSZm
-    1427130542U,	// VFMSUB213PSZmb
-    839223470U,	// VFMSUB213PSZr
-    839811438U,	// VFMSUBADD132PDZm
-    1425015150U,	// VFMSUBADD132PDZmb
-    839813170U,	// VFMSUBADD132PSZm
-    1427130418U,	// VFMSUBADD132PSZmb
-    839811591U,	// VFMSUBADD213PDZm
-    1425015303U,	// VFMSUBADD213PDZmb
-    839221767U,	// VFMSUBADD213PDZr
-    839813323U,	// VFMSUBADD213PSZm
-    1427130571U,	// VFMSUBADD213PSZmb
-    839223499U,	// VFMSUBADD213PSZr
-    300241329U,	// VFMSUBADDPD4mr
-    88428977U,	// VFMSUBADDPD4mrY
-    812683697U,	// VFMSUBADDPD4rm
-    812700081U,	// VFMSUBADDPD4rmY
-    839225777U,	// VFMSUBADDPD4rr
-    839225777U,	// VFMSUBADDPD4rrY
-    839225777U,	// VFMSUBADDPD4rrY_REV
-    839225777U,	// VFMSUBADDPD4rr_REV
-    9474229U,	// VFMSUBADDPDr132m
-    9490613U,	// VFMSUBADDPDr132mY
-    839225525U,	// VFMSUBADDPDr132r
-    839225525U,	// VFMSUBADDPDr132rY
-    9474359U,	// VFMSUBADDPDr213m
-    9490743U,	// VFMSUBADDPDr213mY
-    839225655U,	// VFMSUBADDPDr213r
-    839225655U,	// VFMSUBADDPDr213rY
-    9474143U,	// VFMSUBADDPDr231m
-    9490527U,	// VFMSUBADDPDr231mY
-    839225439U,	// VFMSUBADDPDr231r
-    839225439U,	// VFMSUBADDPDr231rY
-    300246005U,	// VFMSUBADDPS4mr
-    88433653U,	// VFMSUBADDPS4mrY
-    812688373U,	// VFMSUBADDPS4rm
-    812704757U,	// VFMSUBADDPS4rmY
-    839230453U,	// VFMSUBADDPS4rr
-    839230453U,	// VFMSUBADDPS4rrY
-    839230453U,	// VFMSUBADDPS4rrY_REV
-    839230453U,	// VFMSUBADDPS4rr_REV
-    9478902U,	// VFMSUBADDPSr132m
-    9495286U,	// VFMSUBADDPSr132mY
-    839230198U,	// VFMSUBADDPSr132r
-    839230198U,	// VFMSUBADDPSr132rY
-    9479043U,	// VFMSUBADDPSr213m
-    9495427U,	// VFMSUBADDPSr213mY
-    839230339U,	// VFMSUBADDPSr213r
-    839230339U,	// VFMSUBADDPSr213rY
-    9478816U,	// VFMSUBADDPSr231m
-    9495200U,	// VFMSUBADDPSr231mY
-    839230112U,	// VFMSUBADDPSr231r
-    839230112U,	// VFMSUBADDPSr231rY
-    300241300U,	// VFMSUBPD4mr
-    88428948U,	// VFMSUBPD4mrY
-    812683668U,	// VFMSUBPD4rm
-    812700052U,	// VFMSUBPD4rmY
-    839225748U,	// VFMSUBPD4rr
-    839225748U,	// VFMSUBPD4rrY
-    839225748U,	// VFMSUBPD4rrY_REV
-    839225748U,	// VFMSUBPD4rr_REV
-    9474202U,	// VFMSUBPDr132m
-    9490586U,	// VFMSUBPDr132mY
-    839225498U,	// VFMSUBPDr132r
-    839225498U,	// VFMSUBPDr132rY
-    9474332U,	// VFMSUBPDr213m
-    9490716U,	// VFMSUBPDr213mY
-    839225628U,	// VFMSUBPDr213r
-    839225628U,	// VFMSUBPDr213rY
-    9474116U,	// VFMSUBPDr231m
-    9490500U,	// VFMSUBPDr231mY
-    839225412U,	// VFMSUBPDr231r
-    839225412U,	// VFMSUBPDr231rY
-    300245976U,	// VFMSUBPS4mr
-    88433624U,	// VFMSUBPS4mrY
-    812688344U,	// VFMSUBPS4rm
-    812704728U,	// VFMSUBPS4rmY
-    839230424U,	// VFMSUBPS4rr
-    839230424U,	// VFMSUBPS4rrY
-    839230424U,	// VFMSUBPS4rrY_REV
-    839230424U,	// VFMSUBPS4rr_REV
-    9478875U,	// VFMSUBPSr132m
-    9495259U,	// VFMSUBPSr132mY
-    839230171U,	// VFMSUBPSr132r
-    839230171U,	// VFMSUBPSr132rY
-    9479016U,	// VFMSUBPSr213m
-    9495400U,	// VFMSUBPSr213mY
-    839230312U,	// VFMSUBPSr213r
-    839230312U,	// VFMSUBPSr213rY
-    9478789U,	// VFMSUBPSr231m
-    9495173U,	// VFMSUBPSr231mY
-    839230085U,	// VFMSUBPSr231r
-    839230085U,	// VFMSUBPSr231rY
-    312824793U,	// VFMSUBSD4mr
-    312824793U,	// VFMSUBSD4mr_Int
-    1357911001U,	// VFMSUBSD4rm
-    1357911001U,	// VFMSUBSD4rm_Int
-    839226329U,	// VFMSUBSD4rr
-    839226329U,	// VFMSUBSD4rr_Int
-    839226329U,	// VFMSUBSD4rr_REV
-    9470848U,	// VFMSUBSDZm
-    839222144U,	// VFMSUBSDZr
-    1357910874U,	// VFMSUBSDr132m
-    839226202U,	// VFMSUBSDr132r
-    1357910939U,	// VFMSUBSDr213m
-    839226267U,	// VFMSUBSDr213r
-    1357910820U,	// VFMSUBSDr231m
-    839226148U,	// VFMSUBSDr231r
-    317023853U,	// VFMSUBSS4mr
-    317023853U,	// VFMSUBSS4mr_Int
-    1357932141U,	// VFMSUBSS4rm
-    1357932141U,	// VFMSUBSS4rm_Int
-    839231085U,	// VFMSUBSS4rr
-    839231085U,	// VFMSUBSS4rr_Int
-    839231085U,	// VFMSUBSS4rr_REV
-    9472492U,	// VFMSUBSSZm
-    839223788U,	// VFMSUBSSZr
-    1357932022U,	// VFMSUBSSr132m
-    839230966U,	// VFMSUBSSr132r
-    1357932087U,	// VFMSUBSSr213m
-    839231031U,	// VFMSUBSSr213r
-    1357931968U,	// VFMSUBSSr231m
-    839230912U,	// VFMSUBSSr231r
-    839811469U,	// VFNMADD132PDZm
-    1425015181U,	// VFNMADD132PDZmb
-    839813201U,	// VFNMADD132PSZm
-    1427130449U,	// VFNMADD132PSZmb
-    839811622U,	// VFNMADD213PDZm
-    1425015334U,	// VFNMADD213PDZmb
-    839221798U,	// VFNMADD213PDZr
-    839813354U,	// VFNMADD213PSZm
-    1427130602U,	// VFNMADD213PSZmb
-    839223530U,	// VFNMADD213PSZr
-    300241361U,	// VFNMADDPD4mr
-    88429009U,	// VFNMADDPD4mrY
-    812683729U,	// VFNMADDPD4rm
-    812700113U,	// VFNMADDPD4rmY
-    839225809U,	// VFNMADDPD4rr
-    839225809U,	// VFNMADDPD4rrY
-    839225809U,	// VFNMADDPD4rrY_REV
-    839225809U,	// VFNMADDPD4rr_REV
-    9474258U,	// VFNMADDPDr132m
-    9490642U,	// VFNMADDPDr132mY
-    839225554U,	// VFNMADDPDr132r
-    839225554U,	// VFNMADDPDr132rY
-    9474388U,	// VFNMADDPDr213m
-    9490772U,	// VFNMADDPDr213mY
-    839225684U,	// VFNMADDPDr213r
-    839225684U,	// VFNMADDPDr213rY
-    9474172U,	// VFNMADDPDr231m
-    9490556U,	// VFNMADDPDr231mY
-    839225468U,	// VFNMADDPDr231r
-    839225468U,	// VFNMADDPDr231rY
-    300246037U,	// VFNMADDPS4mr
-    88433685U,	// VFNMADDPS4mrY
-    812688405U,	// VFNMADDPS4rm
-    812704789U,	// VFNMADDPS4rmY
-    839230485U,	// VFNMADDPS4rr
-    839230485U,	// VFNMADDPS4rrY
-    839230485U,	// VFNMADDPS4rrY_REV
-    839230485U,	// VFNMADDPS4rr_REV
-    9478931U,	// VFNMADDPSr132m
-    9495315U,	// VFNMADDPSr132mY
-    839230227U,	// VFNMADDPSr132r
-    839230227U,	// VFNMADDPSr132rY
-    9479072U,	// VFNMADDPSr213m
-    9495456U,	// VFNMADDPSr213mY
-    839230368U,	// VFNMADDPSr213r
-    839230368U,	// VFNMADDPSr213rY
-    9478845U,	// VFNMADDPSr231m
-    9495229U,	// VFNMADDPSr231mY
-    839230141U,	// VFNMADDPSr231r
-    839230141U,	// VFNMADDPSr231rY
-    312824832U,	// VFNMADDSD4mr
-    312824832U,	// VFNMADDSD4mr_Int
-    1357911040U,	// VFNMADDSD4rm
-    1357911040U,	// VFNMADDSD4rm_Int
-    839226368U,	// VFNMADDSD4rr
-    839226368U,	// VFNMADDSD4rr_Int
-    839226368U,	// VFNMADDSD4rr_REV
-    9470891U,	// VFNMADDSDZm
-    839222187U,	// VFNMADDSDZr
-    1357910914U,	// VFNMADDSDr132m
-    839226242U,	// VFNMADDSDr132r
-    1357910979U,	// VFNMADDSDr213m
-    839226307U,	// VFNMADDSDr213r
-    1357910860U,	// VFNMADDSDr231m
-    839226188U,	// VFNMADDSDr231r
-    317023892U,	// VFNMADDSS4mr
-    317023892U,	// VFNMADDSS4mr_Int
-    1357932180U,	// VFNMADDSS4rm
-    1357932180U,	// VFNMADDSS4rm_Int
-    839231124U,	// VFNMADDSS4rr
-    839231124U,	// VFNMADDSS4rr_Int
-    839231124U,	// VFNMADDSS4rr_REV
-    9472535U,	// VFNMADDSSZm
-    839223831U,	// VFNMADDSSZr
-    1357932062U,	// VFNMADDSSr132m
-    839231006U,	// VFNMADDSSr132r
-    1357932127U,	// VFNMADDSSr213m
-    839231071U,	// VFNMADDSSr213r
-    1357932008U,	// VFNMADDSSr231m
-    839230952U,	// VFNMADDSSr231r
-    839811423U,	// VFNMSUB132PDZm
-    1425015135U,	// VFNMSUB132PDZmb
-    839813155U,	// VFNMSUB132PSZm
-    1427130403U,	// VFNMSUB132PSZmb
-    839811576U,	// VFNMSUB213PDZm
-    1425015288U,	// VFNMSUB213PDZmb
-    839221752U,	// VFNMSUB213PDZr
-    839813308U,	// VFNMSUB213PSZm
-    1427130556U,	// VFNMSUB213PSZmb
-    839223484U,	// VFNMSUB213PSZr
-    300241310U,	// VFNMSUBPD4mr
-    88428958U,	// VFNMSUBPD4mrY
-    812683678U,	// VFNMSUBPD4rm
-    812700062U,	// VFNMSUBPD4rmY
-    839225758U,	// VFNMSUBPD4rr
-    839225758U,	// VFNMSUBPD4rrY
-    839225758U,	// VFNMSUBPD4rrY_REV
-    839225758U,	// VFNMSUBPD4rr_REV
-    9474215U,	// VFNMSUBPDr132m
-    9490599U,	// VFNMSUBPDr132mY
-    839225511U,	// VFNMSUBPDr132r
-    839225511U,	// VFNMSUBPDr132rY
-    9474345U,	// VFNMSUBPDr213m
-    9490729U,	// VFNMSUBPDr213mY
-    839225641U,	// VFNMSUBPDr213r
-    839225641U,	// VFNMSUBPDr213rY
-    9474129U,	// VFNMSUBPDr231m
-    9490513U,	// VFNMSUBPDr231mY
-    839225425U,	// VFNMSUBPDr231r
-    839225425U,	// VFNMSUBPDr231rY
-    300245986U,	// VFNMSUBPS4mr
-    88433634U,	// VFNMSUBPS4mrY
-    812688354U,	// VFNMSUBPS4rm
-    812704738U,	// VFNMSUBPS4rmY
-    839230434U,	// VFNMSUBPS4rr
-    839230434U,	// VFNMSUBPS4rrY
-    839230434U,	// VFNMSUBPS4rrY_REV
-    839230434U,	// VFNMSUBPS4rr_REV
-    9478888U,	// VFNMSUBPSr132m
-    9495272U,	// VFNMSUBPSr132mY
-    839230184U,	// VFNMSUBPSr132r
-    839230184U,	// VFNMSUBPSr132rY
-    9479029U,	// VFNMSUBPSr213m
-    9495413U,	// VFNMSUBPSr213mY
-    839230325U,	// VFNMSUBPSr213r
-    839230325U,	// VFNMSUBPSr213rY
-    9478802U,	// VFNMSUBPSr231m
-    9495186U,	// VFNMSUBPSr231mY
-    839230098U,	// VFNMSUBPSr231r
-    839230098U,	// VFNMSUBPSr231rY
-    312824803U,	// VFNMSUBSD4mr
-    312824803U,	// VFNMSUBSD4mr_Int
-    1357911011U,	// VFNMSUBSD4rm
-    1357911011U,	// VFNMSUBSD4rm_Int
-    839226339U,	// VFNMSUBSD4rr
-    839226339U,	// VFNMSUBSD4rr_Int
-    839226339U,	// VFNMSUBSD4rr_REV
-    9470862U,	// VFNMSUBSDZm
-    839222158U,	// VFNMSUBSDZr
-    1357910887U,	// VFNMSUBSDr132m
-    839226215U,	// VFNMSUBSDr132r
-    1357910952U,	// VFNMSUBSDr213m
-    839226280U,	// VFNMSUBSDr213r
-    1357910833U,	// VFNMSUBSDr231m
-    839226161U,	// VFNMSUBSDr231r
-    317023863U,	// VFNMSUBSS4mr
-    317023863U,	// VFNMSUBSS4mr_Int
-    1357932151U,	// VFNMSUBSS4rm
-    1357932151U,	// VFNMSUBSS4rm_Int
-    839231095U,	// VFNMSUBSS4rr
-    839231095U,	// VFNMSUBSS4rr_Int
-    839231095U,	// VFNMSUBSS4rr_REV
-    9472506U,	// VFNMSUBSSZm
-    839223802U,	// VFNMSUBSSZr
-    1357932035U,	// VFNMSUBSSr132m
-    839230979U,	// VFNMSUBSSr132r
-    1357932100U,	// VFNMSUBSSr213m
-    839231044U,	// VFNMSUBSSr213r
-    1357931981U,	// VFNMSUBSSr231m
-    839230925U,	// VFNMSUBSSr231r
-    578291U,	// VFRCZPDrm
-    1020659U,	// VFRCZPDrmY
-    8540915U,	// VFRCZPDrr
-    8540915U,	// VFRCZPDrrY
-    583061U,	// VFRCZPSrm
-    1025429U,	// VFRCZPSrmY
-    8545685U,	// VFRCZPSrr
-    8545685U,	// VFRCZPSrrY
-    595090U,	// VFRCZSDrm
-    8541330U,	// VFRCZSDrr
-    616230U,	// VFRCZSSrm
-    8546086U,	// VFRCZSSrr
-    811782764U,	// VFsANDNPDrm
-    811651692U,	// VFsANDNPDrr
-    811787469U,	// VFsANDNPSrm
-    811656397U,	// VFsANDNPSrr
-    811782628U,	// VFsANDPDrm
-    811651556U,	// VFsANDPDrr
-    811787304U,	// VFsANDPSrm
-    811656232U,	// VFsANDPSrr
-    811782808U,	// VFsORPDrm
-    811651736U,	// VFsORPDrr
-    811787521U,	// VFsORPSrm
-    811656449U,	// VFsORPSrr
-    811782815U,	// VFsXORPDrm
-    811651743U,	// VFsXORPDrr
-    811787528U,	// VFsXORPSrm
-    811656456U,	// VFsXORPSrr
-    103879168U,	// VGATHERDPDYrm
-    1131137U,	// VGATHERDPDZrm
-    103879168U,	// VGATHERDPDrm
-    105980996U,	// VGATHERDPSYrm
-    1149253U,	// VGATHERDPSZrm
-    105980996U,	// VGATHERDPSrm
-    103879308U,	// VGATHERQPDYrm
-    1131249U,	// VGATHERQPDZrm
-    103879308U,	// VGATHERQPDrm
-    105981173U,	// VGATHERQPSYrm
-    1132981U,	// VGATHERQPSZrm
-    105981173U,	// VGATHERQPSrm
-    922046U,	// VHADDPDYrm
-    811651518U,	// VHADDPDYrr
-    811782590U,	// VHADDPDrm
-    811651518U,	// VHADDPDrr
-    926722U,	// VHADDPSYrm
-    811656194U,	// VHADDPSYrr
-    811787266U,	// VHADDPSrm
-    811656194U,	// VHADDPSrr
-    921995U,	// VHSUBPDYrm
-    811651467U,	// VHSUBPDYrr
-    811782539U,	// VHSUBPDrm
-    811651467U,	// VHSUBPDrr
-    926671U,	// VHSUBPSYrm
-    811656143U,	// VHSUBPSYrr
-    811787215U,	// VHSUBPSrm
-    811656143U,	// VHSUBPSrr
-    300239949U,	// VINSERTF128rm
-    839224397U,	// VINSERTF128rr
-    300239822U,	// VINSERTF32x4rm
-    839224270U,	// VINSERTF32x4rr
-    101010440U,	// VINSERTF64x4rm
-    839224328U,	// VINSERTF64x4rr
-    342183044U,	// VINSERTI128rm
-    839224452U,	// VINSERTI128rr
-    342182891U,	// VINSERTI32x4rm
-    839224299U,	// VINSERTI32x4rr
-    101010469U,	// VINSERTI64x4rm
-    839224357U,	// VINSERTI64x4rr
-    317023526U,	// VINSERTPSrm
-    839230758U,	// VINSERTPSrr
-    317023526U,	// VINSERTPSzrm
-    839230758U,	// VINSERTPSzrr
-    976858U,	// VLDDQUYrm
-    321498U,	// VLDDQUrm
-    287220U,	// VLDMXCSR
-    8546274U,	// VMASKMOVDQU
-    8546274U,	// VMASKMOVDQU64
-    1661276895U,	// VMASKMOVPDYmr
-    922335U,	// VMASKMOVPDYrm
-    1392841439U,	// VMASKMOVPDmr
-    811782879U,	// VMASKMOVPDrm
-    1661281665U,	// VMASKMOVPSYmr
-    927105U,	// VMASKMOVPSYrm
-    1392846209U,	// VMASKMOVPSmr
-    811787649U,	// VMASKMOVPSrm
-    922347U,	// VMAXCPDYrm
-    811651819U,	// VMAXCPDYrr
-    811782891U,	// VMAXCPDrm
-    811651819U,	// VMAXCPDrr
-    927117U,	// VMAXCPSYrm
-    811656589U,	// VMAXCPSYrr
-    811787661U,	// VMAXCPSrm
-    811656589U,	// VMAXCPSrr
-    283317386U,	// VMAXCSDrm
-    811652234U,	// VMAXCSDrr
-    283338526U,	// VMAXCSSrm
-    811656990U,	// VMAXCSSrr
-    922347U,	// VMAXPDYrm
-    811651819U,	// VMAXPDYrr
-    934687U,	// VMAXPDZrm
-    350421791U,	// VMAXPDZrmb
-    811647775U,	// VMAXPDZrr
-    811782891U,	// VMAXPDrm
-    811651819U,	// VMAXPDrr
-    927117U,	// VMAXPSYrm
-    811656589U,	// VMAXPSYrr
-    936419U,	// VMAXPSZrm
-    352537059U,	// VMAXPSZrmb
-    811649507U,	// VMAXPSZrr
-    811787661U,	// VMAXPSrm
-    811656589U,	// VMAXPSrr
-    283317386U,	// VMAXSDZrm
-    811652234U,	// VMAXSDZrr
-    283317386U,	// VMAXSDrm
-    283317386U,	// VMAXSDrm_Int
-    811652234U,	// VMAXSDrr
-    811652234U,	// VMAXSDrr_Int
-    283338526U,	// VMAXSSZrm
-    811656990U,	// VMAXSSZrr
-    283338526U,	// VMAXSSrm
-    283338526U,	// VMAXSSrm_Int
-    811656990U,	// VMAXSSrr
-    811656990U,	// VMAXSSrr_Int
-    14490U,	// VMCALL
-    401838U,	// VMCLEARm
-    14233U,	// VMFUNC
-    922229U,	// VMINCPDYrm
-    811651701U,	// VMINCPDYrr
-    811782773U,	// VMINCPDrm
-    811651701U,	// VMINCPDrr
-    926934U,	// VMINCPSYrm
-    811656406U,	// VMINCPSYrr
-    811787478U,	// VMINCPSrm
-    811656406U,	// VMINCPSrr
-    283317313U,	// VMINCSDrm
-    811652161U,	// VMINCSDrr
-    283338444U,	// VMINCSSrm
-    811656908U,	// VMINCSSrr
-    922229U,	// VMINPDYrm
-    811651701U,	// VMINPDYrr
-    934623U,	// VMINPDZrm
-    350421727U,	// VMINPDZrmb
-    811647711U,	// VMINPDZrr
-    811782773U,	// VMINPDrm
-    811651701U,	// VMINPDrr
-    926934U,	// VMINPSYrm
-    811656406U,	// VMINPSYrr
-    936355U,	// VMINPSZrm
-    352536995U,	// VMINPSZrmb
-    811649443U,	// VMINPSZrr
-    811787478U,	// VMINPSrm
-    811656406U,	// VMINPSrr
-    283317313U,	// VMINSDZrm
-    811652161U,	// VMINSDZrr
-    283317313U,	// VMINSDrm
-    283317313U,	// VMINSDrm_Int
-    811652161U,	// VMINSDrr
-    811652161U,	// VMINSDrr_Int
-    283338444U,	// VMINSSZrm
-    811656908U,	// VMINSSZrr
-    283338444U,	// VMINSSrm
-    283338444U,	// VMINSSrm_Int
-    811656908U,	// VMINSSrr
-    811656908U,	// VMINSSrr_Int
-    14390U,	// VMLAUNCH
-    15334U,	// VMLOAD32
-    15414U,	// VMLOAD64
-    14482U,	// VMMCALL
-    8544564U,	// VMOV64toPQIZrr
-    8544564U,	// VMOV64toPQIrr
-    8544564U,	// VMOV64toSDZrr
-    551723316U,	// VMOV64toSDrm
-    8544564U,	// VMOV64toSDrr
-    106991970U,	// VMOVAPDYmr
-    1020258U,	// VMOVAPDYrm
-    8540514U,	// VMOVAPDYrr
-    8540514U,	// VMOVAPDYrr_REV
-    109089122U,	// VMOVAPDZmr
-    1032805U,	// VMOVAPDZrm
-    2693694053U,	// VMOVAPDZrmk
-    8536677U,	// VMOVAPDZrr
-    2693104229U,	// VMOVAPDZrrk
-    65048930U,	// VMOVAPDmr
-    577890U,	// VMOVAPDrm
-    8540514U,	// VMOVAPDrr
-    8540514U,	// VMOVAPDrr_REV
-    106996654U,	// VMOVAPSYmr
-    1024942U,	// VMOVAPSYrm
-    8545198U,	// VMOVAPSYrr
-    8545198U,	// VMOVAPSYrr_REV
-    109093806U,	// VMOVAPSZmr
-    1034537U,	// VMOVAPSZrm
-    2693695785U,	// VMOVAPSZrmk
-    8538409U,	// VMOVAPSZrr
-    2693105961U,	// VMOVAPSZrrk
-    65053614U,	// VMOVAPSmr
-    582574U,	// VMOVAPSrm
-    8545198U,	// VMOVAPSrr
-    8545198U,	// VMOVAPSrr_REV
-    1023019U,	// VMOVDDUPYrm
-    8543275U,	// VMOVDDUPYrr
-    1033545U,	// VMOVDDUPZrm
-    8537417U,	// VMOVDDUPZrr
-    597035U,	// VMOVDDUPrm
-    8543275U,	// VMOVDDUPrr
-    551687430U,	// VMOVDI2PDIZrm
-    8541446U,	// VMOVDI2PDIZrr
-    551687430U,	// VMOVDI2PDIrm
-    8541446U,	// VMOVDI2PDIrr
-    551687430U,	// VMOVDI2SSZrm
-    8541446U,	// VMOVDI2SSZrr
-    551687430U,	// VMOVDI2SSrm
-    8541446U,	// VMOVDI2SSrr
-    111184741U,	// VMOVDQA32mr
-    985957U,	// VMOVDQA32rm
-    8538981U,	// VMOVDQA32rr
-    111184809U,	// VMOVDQA64mr
-    986025U,	// VMOVDQA64rm
-    8539049U,	// VMOVDQA64rr
-    113282224U,	// VMOVDQAYmr
-    969904U,	// VMOVDQAYrm
-    8539312U,	// VMOVDQAYrr
-    8539312U,	// VMOVDQAYrr_REV
-    67144880U,	// VMOVDQAmr
-    314544U,	// VMOVDQArm
-    8539312U,	// VMOVDQArr
-    8539312U,	// VMOVDQArr_REV
-    111181825U,	// VMOVDQU32mr
-    983041U,	// VMOVDQU32rm
-    2693906433U,	// VMOVDQU32rmk
-    8536065U,	// VMOVDQU32rr
-    2693103617U,	// VMOVDQU32rrk
-    2692792321U,	// VMOVDQU32rrkz
-    111181837U,	// VMOVDQU64mr
-    983053U,	// VMOVDQU64rm
-    2693906445U,	// VMOVDQU64rmk
-    8536077U,	// VMOVDQU64rr
-    2693103629U,	// VMOVDQU64rrk
-    2692792333U,	// VMOVDQU64rrkz
-    113289199U,	// VMOVDQUYmr
-    976879U,	// VMOVDQUYrm
-    8546287U,	// VMOVDQUYrr
-    8546287U,	// VMOVDQUYrr_REV
-    67151855U,	// VMOVDQUmr
-    321519U,	// VMOVDQUrm
-    8546287U,	// VMOVDQUrr
-    8546287U,	// VMOVDQUrr_REV
-    811656322U,	// VMOVHLPSZrr
-    811656322U,	// VMOVHLPSrr
-    69243424U,	// VMOVHPDmr
-    283316768U,	// VMOVHPDrm
-    69248110U,	// VMOVHPSmr
-    283321454U,	// VMOVHPSrm
-    811656292U,	// VMOVLHPSZrr
-    811656292U,	// VMOVLHPSrr
-    69243474U,	// VMOVLPDmr
-    283316818U,	// VMOVLPDrm
-    69248170U,	// VMOVLPSmr
-    283321514U,	// VMOVLPSrm
-    8540713U,	// VMOVMSKPDYrr
-    8540713U,	// VMOVMSKPDrr
-    8545399U,	// VMOVMSKPSYrr
-    8545399U,	// VMOVMSKPSrr
-    969893U,	// VMOVNTDQAYrm
-    314533U,	// VMOVNTDQArm
-    106995187U,	// VMOVNTDQYmr
-    65052147U,	// VMOVNTDQmr
-    106992295U,	// VMOVNTPDYmr
-    65049255U,	// VMOVNTPDmr
-    106997020U,	// VMOVNTPSYmr
-    65053980U,	// VMOVNTPSmr
-    12621062U,	// VMOVPDI2DIZmr
-    8541446U,	// VMOVPDI2DIZrr
-    12621062U,	// VMOVPDI2DImr
-    8541446U,	// VMOVPDI2DIrr
-    18915636U,	// VMOVPQI2QImr
-    8544564U,	// VMOVPQI2QIrr
-    18915636U,	// VMOVPQIto64Zmr
-    8544564U,	// VMOVPQIto64Zrr
-    8544564U,	// VMOVPQIto64rr
-    551723316U,	// VMOVQI2PQIZrm
-    551723316U,	// VMOVQI2PQIrm
-    69239834U,	// VMOVSDZmr
-    590874U,	// VMOVSDZrm
-    811648026U,	// VMOVSDZrr
-    811652217U,	// VMOVSDZrr_REV
-    2693383194U,	// VMOVSDZrrk
-    69244025U,	// VMOVSDmr
-    595065U,	// VMOVSDrm
-    811652217U,	// VMOVSDrr
-    811652217U,	// VMOVSDrr_REV
-    18915636U,	// VMOVSDto64Zmr
-    8544564U,	// VMOVSDto64Zrr
-    18915636U,	// VMOVSDto64mr
-    8544564U,	// VMOVSDto64rr
-    1023029U,	// VMOVSHDUPYrm
-    8543285U,	// VMOVSHDUPYrr
-    1033556U,	// VMOVSHDUPZrm
-    8537428U,	// VMOVSHDUPZrr
-    580661U,	// VMOVSHDUPrm
-    8543285U,	// VMOVSHDUPrr
-    1023040U,	// VMOVSLDUPYrm
-    8543296U,	// VMOVSLDUPYrr
-    1033568U,	// VMOVSLDUPZrm
-    8537440U,	// VMOVSLDUPZrr
-    580672U,	// VMOVSLDUPrm
-    8543296U,	// VMOVSLDUPrr
-    12621062U,	// VMOVSS2DIZmr
-    8541446U,	// VMOVSS2DIZrr
-    12621062U,	// VMOVSS2DImr
-    8541446U,	// VMOVSS2DIrr
-    71338611U,	// VMOVSSZmr
-    608883U,	// VMOVSSZrm
-    811649651U,	// VMOVSSZrr
-    811656982U,	// VMOVSSZrr_REV
-    2693384819U,	// VMOVSSZrrk
-    71345942U,	// VMOVSSmr
-    616214U,	// VMOVSSrm
-    811656982U,	// VMOVSSrr
-    811656982U,	// VMOVSSrr_REV
-    106992323U,	// VMOVUPDYmr
-    1020611U,	// VMOVUPDYrm
-    8540867U,	// VMOVUPDYrr
-    8540867U,	// VMOVUPDYrr_REV
-    109089475U,	// VMOVUPDZmr
-    1032972U,	// VMOVUPDZrm
-    2693694220U,	// VMOVUPDZrmk
-    8536844U,	// VMOVUPDZrr
-    2693104396U,	// VMOVUPDZrrk
-    65049283U,	// VMOVUPDmr
-    578243U,	// VMOVUPDrm
-    8540867U,	// VMOVUPDrr
-    8540867U,	// VMOVUPDrr_REV
-    106997093U,	// VMOVUPSYmr
-    1025381U,	// VMOVUPSYrm
-    8545637U,	// VMOVUPSYrr
-    8545637U,	// VMOVUPSYrr_REV
-    109094245U,	// VMOVUPSZmr
-    1034704U,	// VMOVUPSZrm
-    2693695952U,	// VMOVUPSZrmk
-    8538576U,	// VMOVUPSZrr
-    2693106128U,	// VMOVUPSZrrk
-    65054053U,	// VMOVUPSmr
-    583013U,	// VMOVUPSrm
-    8545637U,	// VMOVUPSrr
-    8545637U,	// VMOVUPSrr_REV
-    319796U,	// VMOVZPQILo2PQIZrm
-    8544564U,	// VMOVZPQILo2PQIZrr
-    319796U,	// VMOVZPQILo2PQIrm
-    8544564U,	// VMOVZPQILo2PQIrr
-    551723316U,	// VMOVZQI2PQIrm
-    8544564U,	// VMOVZQI2PQIrr
-    101017685U,	// VMPSADBWYrmi
-    839231573U,	// VMPSADBWYrri
-    342190165U,	// VMPSADBWrmi
-    839231573U,	// VMPSADBWrri
-    397316U,	// VMPTRLDm
-    403400U,	// VMPTRSTm
-    12621697U,	// VMREAD32rm
-    8542081U,	// VMREAD32rr
-    18914601U,	// VMREAD64rm
-    8543529U,	// VMREAD64rr
-    14321U,	// VMRESUME
-    15358U,	// VMRUN32
-    15438U,	// VMRUN64
-    15346U,	// VMSAVE32
-    15426U,	// VMSAVE64
-    922186U,	// VMULPDYrm
-    811651658U,	// VMULPDYrr
-    934592U,	// VMULPDZrm
-    350421696U,	// VMULPDZrmb
-    811647680U,	// VMULPDZrr
-    811782730U,	// VMULPDrm
-    811651658U,	// VMULPDrr
-    926882U,	// VMULPSYrm
-    811656354U,	// VMULPSYrr
-    936324U,	// VMULPSZrm
-    352536964U,	// VMULPSZrmb
-    811649412U,	// VMULPSZrr
-    811787426U,	// VMULPSrm
-    811656354U,	// VMULPSrr
-    283317296U,	// VMULSDZrm
-    811652144U,	// VMULSDZrr
-    283317296U,	// VMULSDrm
-    283317296U,	// VMULSDrm_Int
-    811652144U,	// VMULSDrr
-    811652144U,	// VMULSDrr_Int
-    283338436U,	// VMULSSZrm
-    811656900U,	// VMULSSZrr
-    283338436U,	// VMULSSrm
-    283338436U,	// VMULSSrm_Int
-    811656900U,	// VMULSSrr
-    811656900U,	// VMULSSrr_Int
-    551688255U,	// VMWRITE32rm
-    8542271U,	// VMWRITE32rr
-    551722634U,	// VMWRITE64rm
-    8543882U,	// VMWRITE64rr
-    14373U,	// VMXOFF
-    400293U,	// VMXON
-    922264U,	// VORPDYrm
-    811651736U,	// VORPDYrr
-    811782808U,	// VORPDrm
-    811651736U,	// VORPDrr
-    926977U,	// VORPSYrm
-    811656449U,	// VORPSYrr
-    811787521U,	// VORPSrm
-    811656449U,	// VORPSrr
-    314847U,	// VPABSBrm128
-    970207U,	// VPABSBrm256
-    8539615U,	// VPABSBrr128
-    8539615U,	// VPABSBrr256
-    984042U,	// VPABSDrm
-    316369U,	// VPABSDrm128
-    971729U,	// VPABSDrm256
-    8537066U,	// VPABSDrr
-    8541137U,	// VPABSDrr128
-    8541137U,	// VPABSDrr256
-    984922U,	// VPABSQrm
-    8537946U,	// VPABSQrr
-    322306U,	// VPABSWrm128
-    977666U,	// VPABSWrm256
-    8547074U,	// VPABSWrr128
-    8547074U,	// VPABSWrr256
-    1190205U,	// VPACKSSDWYrm
-    811657533U,	// VPACKSSDWYrr
-    811903293U,	// VPACKSSDWrm
-    811657533U,	// VPACKSSDWrr
-    1183420U,	// VPACKSSWBYrm
-    811650748U,	// VPACKSSWBYrr
-    811896508U,	// VPACKSSWBrm
-    811650748U,	// VPACKSSWBrr
-    1190216U,	// VPACKUSDWYrm
-    811657544U,	// VPACKUSDWYrr
-    811903304U,	// VPACKUSDWrm
-    811657544U,	// VPACKUSDWrr
-    1183431U,	// VPACKUSWBYrm
-    811650759U,	// VPACKUSWBYrr
-    811896519U,	// VPACKUSWBrm
-    811650759U,	// VPACKUSWBrr
-    1182995U,	// VPADDBYrm
-    811650323U,	// VPADDBYrr
-    811896083U,	// VPADDBrm
-    811650323U,	// VPADDBrr
-    1183612U,	// VPADDDYrm
-    811650940U,	// VPADDDYrr
-    812794029U,	// VPADDDZrm
-    352403629U,	// VPADDDZrmb
-    811647149U,	// VPADDDZrr
-    811896700U,	// VPADDDrm
-    811650940U,	// VPADDDrr
-    1187132U,	// VPADDQYrm
-    811654460U,	// VPADDQYrr
-    812795376U,	// VPADDQZrm
-    350324208U,	// VPADDQZrmb
-    811648496U,	// VPADDQZrr
-    811900220U,	// VPADDQrm
-    811654460U,	// VPADDQrr
-    1183225U,	// VPADDSBYrm
-    811650553U,	// VPADDSBYrr
-    811896313U,	// VPADDSBrm
-    811650553U,	// VPADDSBrr
-    1190716U,	// VPADDSWYrm
-    811658044U,	// VPADDSWYrr
-    811903804U,	// VPADDSWrm
-    811658044U,	// VPADDSWrr
-    1183274U,	// VPADDUSBYrm
-    811650602U,	// VPADDUSBYrr
-    811896362U,	// VPADDUSBrm
-    811650602U,	// VPADDUSBrr
-    1190829U,	// VPADDUSWYrm
-    811658157U,	// VPADDUSWYrr
-    811903917U,	// VPADDUSWrm
-    811658157U,	// VPADDUSWrr
-    1190148U,	// VPADDWYrm
-    811657476U,	// VPADDWYrr
-    811903236U,	// VPADDWrm
-    811657476U,	// VPADDWrr
-    342188486U,	// VPALIGNR128rm
-    839229894U,	// VPALIGNR128rr
-    101016006U,	// VPALIGNR256rm
-    839229894U,	// VPALIGNR256rr
-    812794038U,	// VPANDDZrm
-    352403638U,	// VPANDDZrmb
-    811647158U,	// VPANDDZrr
-    812794156U,	// VPANDNDZrm
-    352403756U,	// VPANDNDZrmb
-    811647276U,	// VPANDNDZrr
-    812795663U,	// VPANDNQZrm
-    350324495U,	// VPANDNQZrmb
-    811648783U,	// VPANDNQZrr
-    1186702U,	// VPANDNYrm
-    811654030U,	// VPANDNYrr
-    811899790U,	// VPANDNrm
-    811654030U,	// VPANDNrr
-    812795421U,	// VPANDQZrm
-    350324253U,	// VPANDQZrmb
-    811648541U,	// VPANDQZrr
-    1183773U,	// VPANDYrm
-    811651101U,	// VPANDYrr
-    811896861U,	// VPANDrm
-    811651101U,	// VPANDrr
-    1183041U,	// VPAVGBYrm
-    811650369U,	// VPAVGBYrr
-    811896129U,	// VPAVGBrm
-    811650369U,	// VPAVGBrr
-    1190317U,	// VPAVGWYrm
-    811657645U,	// VPAVGWYrr
-    811903405U,	// VPAVGWrm
-    811657645U,	// VPAVGWrr
-    101011332U,	// VPBLENDDYrmi
-    839225220U,	// VPBLENDDYrri
-    342183812U,	// VPBLENDDrmi
-    839225220U,	// VPBLENDDrri
-    839811328U,	// VPBLENDMDZrm
-    839221504U,	// VPBLENDMDZrr
-    839812835U,	// VPBLENDMQZrm
-    839223011U,	// VPBLENDMQZrr
-    101011106U,	// VPBLENDVBYrm
-    839224994U,	// VPBLENDVBYrr
-    342183586U,	// VPBLENDVBrm
-    839224994U,	// VPBLENDVBrr
-    101017900U,	// VPBLENDWYrmi
-    839231788U,	// VPBLENDWYrri
-    342190380U,	// VPBLENDWrmi
-    839231788U,	// VPBLENDWrri
-    446059U,	// VPBROADCASTBYrm
-    8539755U,	// VPBROADCASTBYrr
-    446059U,	// VPBROADCASTBrm
-    8539755U,	// VPBROADCASTBrr
-    551687341U,	// VPBROADCASTDYrm
-    8541357U,	// VPBROADCASTDYrr
-    551633990U,	// VPBROADCASTDZkrm
-    2692793414U,	// VPBROADCASTDZkrr
-    551683142U,	// VPBROADCASTDZrm
-    8537158U,	// VPBROADCASTDZrr
-    2692793414U,	// VPBROADCASTDrZkrr
-    8537158U,	// VPBROADCASTDrZrr
-    551687341U,	// VPBROADCASTDrm
-    8541357U,	// VPBROADCASTDrr
-    8537452U,	// VPBROADCASTMB2Qrr
-    8536177U,	// VPBROADCASTMW2Drr
-    551723233U,	// VPBROADCASTQYrm
-    8544481U,	// VPBROADCASTQYrr
-    551651243U,	// VPBROADCASTQZkrm
-    2692794283U,	// VPBROADCASTQZkrr
-    551716779U,	// VPBROADCASTQZrm
-    8538027U,	// VPBROADCASTQZrr
-    2692794283U,	// VPBROADCASTQrZkrr
-    8538027U,	// VPBROADCASTQrZrr
-    551723233U,	// VPBROADCASTQrm
-    8544481U,	// VPBROADCASTQrr
-    388143U,	// VPBROADCASTWYrm
-    8547375U,	// VPBROADCASTWYrr
-    388143U,	// VPBROADCASTWrm
-    8547375U,	// VPBROADCASTWrr
-    342187451U,	// VPCLMULQDQrm
-    839228859U,	// VPCLMULQDQrr
-    342190103U,	// VPCMOVmr
-    88434711U,	// VPCMOVmrY
-    812820503U,	// VPCMOVrm
-    1239063U,	// VPCMOVrmY
-    839231511U,	// VPCMOVrr
-    839231511U,	// VPCMOVrrY
-    3068803400U,	// VPCMPDZrmi
-    354767451U,	// VPCMPDZrmi_alt
-    1458207048U,	// VPCMPDZrri
-    839225947U,	// VPCMPDZrri_alt
-    1183134U,	// VPCMPEQBYrm
-    811650462U,	// VPCMPEQBYrr
-    811896222U,	// VPCMPEQBrm
-    811650462U,	// VPCMPEQBrr
-    1184508U,	// VPCMPEQDYrm
-    811651836U,	// VPCMPEQDYrr
-    812794664U,	// VPCMPEQDZrm
-    811647784U,	// VPCMPEQDZrr
-    811897596U,	// VPCMPEQDrm
-    811651836U,	// VPCMPEQDrr
-    1187726U,	// VPCMPEQQYrm
-    811655054U,	// VPCMPEQQYrr
-    812795683U,	// VPCMPEQQZrm
-    811648803U,	// VPCMPEQQZrr
-    811900814U,	// VPCMPEQQrm
-    811655054U,	// VPCMPEQQrr
-    1190548U,	// VPCMPEQWYrm
-    811657876U,	// VPCMPEQWYrr
-    811903636U,	// VPCMPEQWrm
-    811657876U,	// VPCMPEQWrr
+    23827U,	// UCOM_Fr
+    13802U,	// UD2B
+    8524738U,	// UNPCKHPDrm
+    8442818U,	// UNPCKHPDrr
+    8529414U,	// UNPCKHPSrm
+    8447494U,	// UNPCKHPSrr
+    8524780U,	// UNPCKLPDrm
+    8442860U,	// UNPCKLPDrr
+    8529476U,	// UNPCKLPSrm
+    8447556U,	// UNPCKLPSrr
+    551580190U,	// VAARG_64
+    812520328U,	// VADDPDYrm
+    811651976U,	// VADDPDYrr
+    812532486U,	// VADDPDZrm
+    350372614U,	// VADDPDZrmb
+    1424917254U,	// VADDPDZrmbk
+    1424917254U,	// VADDPDZrmbkz
+    839832456U,	// VADDPDZrmk
+    839832456U,	// VADDPDZrmkz
+    811647750U,	// VADDPDZrr
+    839222022U,	// VADDPDZrrk
+    839222022U,	// VADDPDZrrkz
+    811733896U,	// VADDPDrm
+    811651976U,	// VADDPDrr
+    812525004U,	// VADDPSYrm
+    811656652U,	// VADDPSYrr
+    812534405U,	// VADDPSZrm
+    352488069U,	// VADDPSZrmb
+    1427049093U,	// VADDPSZrmbk
+    1427049093U,	// VADDPSZrmbkz
+    839837132U,	// VADDPSZrmk
+    839837132U,	// VADDPSZrmkz
+    811649669U,	// VADDPSZrr
+    839223941U,	// VADDPSZrrk
+    839223941U,	// VADDPSZrrkz
+    811738572U,	// VADDPSrm
+    811656652U,	// VADDPSrr
+    283268535U,	// VADDSDZrm
+    811652535U,	// VADDSDZrr
+    283268535U,	// VADDSDrm
+    283268535U,	// VADDSDrm_Int
+    811652535U,	// VADDSDrr
+    811652535U,	// VADDSDrr_Int
+    283289675U,	// VADDSSZrm
+    811657291U,	// VADDSSZrr
+    283289675U,	// VADDSSrm
+    283289675U,	// VADDSSrm_Int
+    811657291U,	// VADDSSrr
+    811657291U,	// VADDSSrr_Int
+    812520236U,	// VADDSUBPDYrm
+    811651884U,	// VADDSUBPDYrr
+    811733804U,	// VADDSUBPDrm
+    811651884U,	// VADDSUBPDrr
+    812524912U,	// VADDSUBPSYrm
+    811656560U,	// VADDSUBPSYrr
+    811738480U,	// VADDSUBPSrm
+    811656560U,	// VADDSUBPSrr
+    811903291U,	// VAESDECLASTrm
+    811657531U,	// VAESDECLASTrr
+    811896982U,	// VAESDECrm
+    811651222U,	// VAESDECrr
+    811903304U,	// VAESENCLASTrm
+    811657544U,	// VAESENCLASTrr
+    811897022U,	// VAESENCrm
+    811651262U,	// VAESENCrr
+    315573U,	// VAESIMCrm
+    8655029U,	// VAESIMCrr
+    25504098U,	// VAESKEYGENASSIST128rm
+    811657570U,	// VAESKEYGENASSIST128rr
+    354763148U,	// VALIGNDrmi
+    839221644U,	// VALIGNDrri
+    88113548U,	// VALIGNDrrik
+    839500172U,	// VALIGNDrrikz
+    354764813U,	// VALIGNQrmi
+    839223309U,	// VALIGNQrri
+    88115213U,	// VALIGNQrrik
+    839501837U,	// VALIGNQrrikz
+    812520472U,	// VANDNPDYrm
+    811652120U,	// VANDNPDYrr
+    811734040U,	// VANDNPDrm
+    811652120U,	// VANDNPDrr
+    812525177U,	// VANDNPSYrm
+    811656825U,	// VANDNPSYrr
+    811738745U,	// VANDNPSrm
+    811656825U,	// VANDNPSrr
+    812520336U,	// VANDPDYrm
+    811651984U,	// VANDPDYrr
+    811733904U,	// VANDPDrm
+    811651984U,	// VANDPDrr
+    812525012U,	// VANDPSYrm
+    811656660U,	// VANDPSYrr
+    811738580U,	// VANDPSrm
+    811656660U,	// VANDPSrr
+    283144782U,	// VASTART_SAVE_XMM_REGS
+    839828311U,	// VBLENDMPDZrm
+    839222103U,	// VBLENDMPDZrr
+    839830230U,	// VBLENDMPSZrm
+    839224022U,	// VBLENDMPSZrr
+    90526616U,	// VBLENDPDYrmi
+    839226264U,	// VBLENDPDYrri
+    300241816U,	// VBLENDPDrmi
+    839226264U,	// VBLENDPDrri
+    90531292U,	// VBLENDPSYrmi
+    839230940U,	// VBLENDPSYrri
+    300246492U,	// VBLENDPSrmi
+    839230940U,	// VBLENDPSrri
+    90526840U,	// VBLENDVPDYrm
+    839226488U,	// VBLENDVPDYrr
+    300242040U,	// VBLENDVPDrm
+    839226488U,	// VBLENDVPDrr
+    90531610U,	// VBLENDVPSYrm
+    839231258U,	// VBLENDVPSYrr
+    300246810U,	// VBLENDVPSrm
+    839231258U,	// VBLENDVPSrr
+    577020U,	// VBROADCASTF128
+    314931U,	// VBROADCASTI128
+    813989889U,	// VBROADCASTI32X4krm
+    311297U,	// VBROADCASTI32X4rm
+    814694419U,	// VBROADCASTI64X4krm
+    1015827U,	// VBROADCASTI64X4rm
+    595471U,	// VBROADCASTSDYrm
+    8656399U,	// VBROADCASTSDYrr
+    591070U,	// VBROADCASTSDZrm
+    8651998U,	// VBROADCASTSDZrr
+    616620U,	// VBROADCASTSSYrm
+    8661164U,	// VBROADCASTSSYrr
+    609253U,	// VBROADCASTSSZrm
+    8653797U,	// VBROADCASTSSZrr
+    616620U,	// VBROADCASTSSrm
+    8661164U,	// VBROADCASTSSrr
+    1112160297U,	// VCMPPDYrmi
+    90526768U,	// VCMPPDYrmi_alt
+    1380612137U,	// VCMPPDYrri
+    839226416U,	// VCMPPDYrri_alt
+    1435121705U,	// VCMPPDZrmi
+    94716790U,	// VCMPPDZrmi_alt
+    1435138089U,	// VCMPPDZrri
+    839222134U,	// VCMPPDZrri_alt
+    97155113U,	// VCMPPDZrrib
+    1112160297U,	// VCMPPDrmi
+    300241968U,	// VCMPPDrmi_alt
+    1380612137U,	// VCMPPDrri
+    839226416U,	// VCMPPDrri_alt
+    1114257449U,	// VCMPPSYrmi
+    90531481U,	// VCMPPSYrmi_alt
+    1382709289U,	// VCMPPSYrri
+    839231129U,	// VCMPPSYrri_alt
+    1441413161U,	// VCMPPSZrmi
+    94718709U,	// VCMPPSZrmi_alt
+    1441429545U,	// VCMPPSZrri
+    839224053U,	// VCMPPSZrri_alt
+    101349417U,	// VCMPPSZrrib
+    1114257449U,	// VCMPPSrmi
+    300246681U,	// VCMPPSrmi_alt
+    1382709289U,	// VCMPPSrri
+    839231129U,	// VCMPPSrri_alt
+    1921660969U,	// VCMPSDZrm
+    312825333U,	// VCMPSDZrmi_alt
+    1384806441U,	// VCMPSDZrr
+    839226869U,	// VCMPSDZrri_alt
+    1921660969U,	// VCMPSDrm
+    312825333U,	// VCMPSDrm_alt
+    1384806441U,	// VCMPSDrr
+    839226869U,	// VCMPSDrr_alt
+    2731161641U,	// VCMPSSZrm
+    317024392U,	// VCMPSSZrmi_alt
+    1389000745U,	// VCMPSSZrr
+    839231624U,	// VCMPSSZrri_alt
+    2731161641U,	// VCMPSSrm
+    317024392U,	// VCMPSSrm_alt
+    1389000745U,	// VCMPSSrr
+    839231624U,	// VCMPSSrr_alt
+    579027U,	// VCOMISDZrm
+    8656339U,	// VCOMISDZrr
+    579027U,	// VCOMISDrm
+    8656339U,	// VCOMISDrr
+    583783U,	// VCOMISSZrm
+    8661095U,	// VCOMISSZrr
+    583783U,	// VCOMISSrm
+    8661095U,	// VCOMISSrr
+    316066U,	// VCVTDQ2PDYrm
+    8655522U,	// VCVTDQ2PDYrr
+    1016318U,	// VCVTDQ2PDZrm
+    8651262U,	// VCVTDQ2PDZrr
+    551834274U,	// VCVTDQ2PDrm
+    8655522U,	// VCVTDQ2PDrr
+    1025273U,	// VCVTDQ2PSYrm
+    8660217U,	// VCVTDQ2PSYrr
+    1034633U,	// VCVTDQ2PSZrm
+    8653193U,	// VCVTDQ2PSZrr
+    1051017U,	// VCVTDQ2PSZrrb
+    320761U,	// VCVTDQ2PSrm
+    8660217U,	// VCVTDQ2PSrr
+    585318U,	// VCVTPD2DQXrm
+    1076875U,	// VCVTPD2DQYrm
+    8662667U,	// VCVTPD2DQYrr
+    1083054U,	// VCVTPD2DQZrm
+    8652462U,	// VCVTPD2DQZrr
+    1050286U,	// VCVTPD2DQZrrb
+    8658602U,	// VCVTPD2DQrr
+    585330U,	// VCVTPD2PSXrm
+    1076887U,	// VCVTPD2PSYrm
+    8662679U,	// VCVTPD2PSYrr
+    1083761U,	// VCVTPD2PSZrm
+    8653169U,	// VCVTPD2PSZrr
+    1050993U,	// VCVTPD2PSZrrb
+    8660173U,	// VCVTPD2PSrr
+    1083249U,	// VCVTPD2UDQZrm
+    8652657U,	// VCVTPD2UDQZrr
+    1050481U,	// VCVTPD2UDQZrrb
+    582872U,	// VCVTPH2PSYrm
+    8660184U,	// VCVTPH2PSYrr
+    1074392U,	// VCVTPH2PSZrm
+    8660184U,	// VCVTPH2PSZrr
+    599256U,	// VCVTPH2PSrm
+    8660184U,	// VCVTPH2PSrr
+    1072842U,	// VCVTPS2DQYrm
+    8658634U,	// VCVTPS2DQYrr
+    1083079U,	// VCVTPS2DQZrm
+    8652487U,	// VCVTPS2DQZrr
+    1050311U,	// VCVTPS2DQZrrb
+    581322U,	// VCVTPS2DQrm
+    8658634U,	// VCVTPS2DQrr
+    578221U,	// VCVTPS2PDYrm
+    8655533U,	// VCVTPS2PDYrr
+    1065495U,	// VCVTPS2PDZrm
+    8651287U,	// VCVTPS2PDZrr
+    594605U,	// VCVTPS2PDrm
+    8655533U,	// VCVTPS2PDrr
+    1661278210U,	// VCVTPS2PHYmr
+    811653122U,	// VCVTPS2PHYrr
+    1929708930U,	// VCVTPS2PHZmr
+    811648386U,	// VCVTPS2PHZrr
+    2198149122U,	// VCVTPS2PHmr
+    811653122U,	// VCVTPS2PHrr
+    1083276U,	// VCVTPS2UDQZrm
+    8652684U,	// VCVTPS2UDQZrr
+    1050508U,	// VCVTPS2UDQZrrb
+    591259U,	// VCVTSD2SI64Zrm
+    8652187U,	// VCVTSD2SI64Zrr
+    596129U,	// VCVTSD2SI64rm
+    8657057U,	// VCVTSD2SI64rr
+    591259U,	// VCVTSD2SIZrm
+    8652187U,	// VCVTSD2SIZrr
+    596129U,	// VCVTSD2SIrm
+    8657057U,	// VCVTSD2SIrr
+    283273176U,	// VCVTSD2SSZrm
+    811657176U,	// VCVTSD2SSZrr
+    283273176U,	// VCVTSD2SSrm
+    811657176U,	// VCVTSD2SSrr
+    591310U,	// VCVTSD2USI64Zrm
+    8652238U,	// VCVTSD2USI64Zrr
+    591310U,	// VCVTSD2USIZrm
+    8652238U,	// VCVTSD2USIZrr
+    283221894U,	// VCVTSI2SD64rm
+    811655046U,	// VCVTSI2SD64rr
+    283198966U,	// VCVTSI2SDZrm
+    811648502U,	// VCVTSI2SDZrr
+    283203961U,	// VCVTSI2SDrm
+    811653497U,	// VCVTSI2SDrr
+    283222500U,	// VCVTSI2SS64rm
+    811655652U,	// VCVTSI2SS64rr
+    283198993U,	// VCVTSI2SSZrm
+    811648529U,	// VCVTSI2SSZrr
+    283204609U,	// VCVTSI2SSrm
+    811654145U,	// VCVTSI2SSrr
+    283215688U,	// VCVTSI642SDZrm
+    811648840U,	// VCVTSI642SDZrr
+    283215982U,	// VCVTSI642SSZrm
+    811649134U,	// VCVTSI642SSZrr
+    283284796U,	// VCVTSS2SDZrm
+    811652412U,	// VCVTSS2SDZrr
+    283284796U,	// VCVTSS2SDrm
+    811652412U,	// VCVTSS2SDrr
+    607668U,	// VCVTSS2SI64Zrm
+    8652212U,	// VCVTSS2SI64Zrr
+    612536U,	// VCVTSS2SI64rm
+    8657080U,	// VCVTSS2SI64rr
+    607668U,	// VCVTSS2SIZrm
+    8652212U,	// VCVTSS2SIZrr
+    612536U,	// VCVTSS2SIrm
+    8657080U,	// VCVTSS2SIrr
+    607721U,	// VCVTSS2USI64Zrm
+    8652265U,	// VCVTSS2USI64Zrr
+    607721U,	// VCVTSS2USIZrm
+    8652265U,	// VCVTSS2USIZrr
+    585305U,	// VCVTTPD2DQXrm
+    1076862U,	// VCVTTPD2DQYrm
+    8662654U,	// VCVTTPD2DQYrr
+    1083041U,	// VCVTTPD2DQZrm
+    8652449U,	// VCVTTPD2DQZrr
+    8658590U,	// VCVTTPD2DQrr
+    1083235U,	// VCVTTPD2UDQZrm
+    8652643U,	// VCVTTPD2UDQZrr
+    1072830U,	// VCVTTPS2DQYrm
+    8658622U,	// VCVTTPS2DQYrr
+    1083066U,	// VCVTTPS2DQZrm
+    8652474U,	// VCVTTPS2DQZrr
+    581310U,	// VCVTTPS2DQrm
+    8658622U,	// VCVTTPS2DQrr
+    1083262U,	// VCVTTPS2UDQZrm
+    8652670U,	// VCVTTPS2UDQZrr
+    591246U,	// VCVTTSD2SI64Zrm
+    8652174U,	// VCVTTSD2SI64Zrr
+    596117U,	// VCVTTSD2SI64rm
+    8657045U,	// VCVTTSD2SI64rr
+    591246U,	// VCVTTSD2SIZrm
+    8652174U,	// VCVTTSD2SIZrr
+    596117U,	// VCVTTSD2SIrm
+    8657045U,	// VCVTTSD2SIrr
+    591296U,	// VCVTTSD2USI64Zrm
+    8652224U,	// VCVTTSD2USI64Zrr
+    591296U,	// VCVTTSD2USIZrm
+    8652224U,	// VCVTTSD2USIZrr
+    607655U,	// VCVTTSS2SI64Zrm
+    8652199U,	// VCVTTSS2SI64Zrr
+    612524U,	// VCVTTSS2SI64rm
+    8657068U,	// VCVTTSS2SI64rr
+    607655U,	// VCVTTSS2SIZrm
+    8652199U,	// VCVTTSS2SIZrr
+    612524U,	// VCVTTSS2SIrm
+    8657068U,	// VCVTTSS2SIrr
+    607707U,	// VCVTTSS2USI64Zrm
+    8652251U,	// VCVTTSS2USI64Zrr
+    607707U,	// VCVTTSS2USIZrm
+    8652251U,	// VCVTTSS2USIZrr
+    1065482U,	// VCVTUDQ2PDZrm
+    8651274U,	// VCVTUDQ2PDZrr
+    1083797U,	// VCVTUDQ2PSZrm
+    8653205U,	// VCVTUDQ2PSZrr
+    1051029U,	// VCVTUDQ2PSZrrb
+    283198979U,	// VCVTUSI2SDZrm
+    811648515U,	// VCVTUSI2SDZrr
+    283199006U,	// VCVTUSI2SSZrm
+    811648542U,	// VCVTUSI2SSZrr
+    283215701U,	// VCVTUSI642SDZrm
+    811648853U,	// VCVTUSI642SDZrr
+    283215995U,	// VCVTUSI642SSZrm
+    811649147U,	// VCVTUSI642SSZrr
+    812520579U,	// VDIVPDYrm
+    811652227U,	// VDIVPDYrr
+    812532700U,	// VDIVPDZrm
+    350372828U,	// VDIVPDZrmb
+    1424917468U,	// VDIVPDZrmbk
+    1424917468U,	// VDIVPDZrmbkz
+    839832707U,	// VDIVPDZrmk
+    839832707U,	// VDIVPDZrmkz
+    811647964U,	// VDIVPDZrr
+    839222236U,	// VDIVPDZrrk
+    839222236U,	// VDIVPDZrrkz
+    811734147U,	// VDIVPDrm
+    811652227U,	// VDIVPDrr
+    812525349U,	// VDIVPSYrm
+    811656997U,	// VDIVPSYrr
+    812534619U,	// VDIVPSZrm
+    352488283U,	// VDIVPSZrmb
+    1427049307U,	// VDIVPSZrmbk
+    1427049307U,	// VDIVPSZrmbkz
+    839837477U,	// VDIVPSZrmk
+    839837477U,	// VDIVPSZrmkz
+    811649883U,	// VDIVPSZrr
+    839224155U,	// VDIVPSZrrk
+    839224155U,	// VDIVPSZrrkz
+    811738917U,	// VDIVPSrm
+    811656997U,	// VDIVPSrr
+    283268637U,	// VDIVSDZrm
+    811652637U,	// VDIVSDZrr
+    283268637U,	// VDIVSDrm
+    283268637U,	// VDIVSDrm_Int
+    811652637U,	// VDIVSDrr
+    811652637U,	// VDIVSDrr_Int
+    283289786U,	// VDIVSSZrm
+    811657402U,	// VDIVSSZrr
+    283289786U,	// VDIVSSrm
+    283289786U,	// VDIVSSrm_Int
+    811657402U,	// VDIVSSrr
+    811657402U,	// VDIVSSrr_Int
+    300241961U,	// VDPPDrmi
+    839226409U,	// VDPPDrri
+    103114386U,	// VDPPSYrmi
+    839231122U,	// VDPPSYrri
+    300246674U,	// VDPPSrmi
+    839231122U,	// VDPPSrri
+    222106U,	// VERRm
+    25498U,	// VERRr
+    224348U,	// VERWm
+    27740U,	// VERWr
+    1661275617U,	// VEXTRACTF128mr
+    811650529U,	// VEXTRACTF128rr
+    1661275478U,	// VEXTRACTF32x4mr
+    811650390U,	// VEXTRACTF32x4rr
+    1929710992U,	// VEXTRACTF64x4mr
+    811650448U,	// VEXTRACTF64x4rr
+    2466582040U,	// VEXTRACTI128mr
+    811650584U,	// VEXTRACTI128rr
+    2466581875U,	// VEXTRACTI32x4mr
+    811650419U,	// VEXTRACTI32x4rr
+    2735017389U,	// VEXTRACTI64x4mr
+    811650477U,	// VEXTRACTI64x4rr
+    3271894716U,	// VEXTRACTPSmr
+    811656892U,	// VEXTRACTPSrr
+    3271894716U,	// VEXTRACTPSzmr
+    811656892U,	// VEXTRACTPSzrr
+    839827925U,	// VFMADD132PDZm
+    1424916949U,	// VFMADD132PDZmb
+    839829844U,	// VFMADD132PSZm
+    1427048788U,	// VFMADD132PSZmb
+    839828078U,	// VFMADD213PDZm
+    1424917102U,	// VFMADD213PDZmb
+    839221870U,	// VFMADD213PDZr
+    814334574U,	// VFMADD213PDZrk
+    814334574U,	// VFMADD213PDZrkz
+    839829997U,	// VFMADD213PSZm
+    1427048941U,	// VFMADD213PSZmb
+    839223789U,	// VFMADD213PSZr
+    814336493U,	// VFMADD213PSZrk
+    814336493U,	// VFMADD213PSZrkz
+    300241779U,	// VFMADDPD4mr
+    90526579U,	// VFMADDPD4mrY
+    839963507U,	// VFMADDPD4rm
+    839979891U,	// VFMADDPD4rmY
+    839226227U,	// VFMADDPD4rr
+    839226227U,	// VFMADDPD4rrY
+    839226227U,	// VFMADDPD4rrY_REV
+    839226227U,	// VFMADDPD4rr_REV
+    839963249U,	// VFMADDPDr132m
+    839979633U,	// VFMADDPDr132mY
+    839225969U,	// VFMADDPDr132r
+    839225969U,	// VFMADDPDr132rY
+    839963379U,	// VFMADDPDr213m
+    839979763U,	// VFMADDPDr213mY
+    839226099U,	// VFMADDPDr213r
+    839226099U,	// VFMADDPDr213rY
+    839963163U,	// VFMADDPDr231m
+    839979547U,	// VFMADDPDr231mY
+    839225883U,	// VFMADDPDr231r
+    839225883U,	// VFMADDPDr231rY
+    300246455U,	// VFMADDPS4mr
+    90531255U,	// VFMADDPS4mrY
+    839968183U,	// VFMADDPS4rm
+    839984567U,	// VFMADDPS4rmY
+    839230903U,	// VFMADDPS4rr
+    839230903U,	// VFMADDPS4rrY
+    839230903U,	// VFMADDPS4rrY_REV
+    839230903U,	// VFMADDPS4rr_REV
+    839967922U,	// VFMADDPSr132m
+    839984306U,	// VFMADDPSr132mY
+    839230642U,	// VFMADDPSr132r
+    839230642U,	// VFMADDPSr132rY
+    839968063U,	// VFMADDPSr213m
+    839984447U,	// VFMADDPSr213mY
+    839230783U,	// VFMADDPSr213r
+    839230783U,	// VFMADDPSr213rY
+    839967836U,	// VFMADDPSr231m
+    839984220U,	// VFMADDPSr231mY
+    839230556U,	// VFMADDPSr231r
+    839230556U,	// VFMADDPSr231rY
+    312825250U,	// VFMADDSD4mr
+    312825250U,	// VFMADDSD4mr_Int
+    1357813154U,	// VFMADDSD4rm
+    1357813154U,	// VFMADDSD4rm_Int
+    839226786U,	// VFMADDSD4rr
+    839226786U,	// VFMADDSD4rr_Int
+    839226786U,	// VFMADDSD4rr_REV
+    839959664U,	// VFMADDSDZm
+    839222384U,	// VFMADDSDZr
+    1357813025U,	// VFMADDSDr132m
+    839226657U,	// VFMADDSDr132r
+    1357813090U,	// VFMADDSDr213m
+    839226722U,	// VFMADDSDr213r
+    1357812971U,	// VFMADDSDr231m
+    839226603U,	// VFMADDSDr231r
+    317024310U,	// VFMADDSS4mr
+    317024310U,	// VFMADDSS4mr_Int
+    1357850678U,	// VFMADDSS4rm
+    1357850678U,	// VFMADDSS4rm_Int
+    839231542U,	// VFMADDSS4rr
+    839231542U,	// VFMADDSS4rr_Int
+    839231542U,	// VFMADDSS4rr_REV
+    839961482U,	// VFMADDSSZm
+    839224202U,	// VFMADDSSZr
+    1357850557U,	// VFMADDSSr132m
+    839231421U,	// VFMADDSSr132r
+    1357850622U,	// VFMADDSSr213m
+    839231486U,	// VFMADDSSr213r
+    1357850503U,	// VFMADDSSr231m
+    839231367U,	// VFMADDSSr231r
+    839827862U,	// VFMADDSUB132PDZm
+    1424916886U,	// VFMADDSUB132PDZmb
+    839829781U,	// VFMADDSUB132PSZm
+    1427048725U,	// VFMADDSUB132PSZmb
+    839828015U,	// VFMADDSUB213PDZm
+    1424917039U,	// VFMADDSUB213PDZmb
+    839221807U,	// VFMADDSUB213PDZr
+    814334511U,	// VFMADDSUB213PDZrk
+    814334511U,	// VFMADDSUB213PDZrkz
+    839829934U,	// VFMADDSUB213PSZm
+    1427048878U,	// VFMADDSUB213PSZmb
+    839223726U,	// VFMADDSUB213PSZr
+    814336430U,	// VFMADDSUB213PSZrk
+    814336430U,	// VFMADDSUB213PSZrkz
+    300241695U,	// VFMADDSUBPD4mr
+    90526495U,	// VFMADDSUBPD4mrY
+    839963423U,	// VFMADDSUBPD4rm
+    839979807U,	// VFMADDSUBPD4rmY
+    839226143U,	// VFMADDSUBPD4rr
+    839226143U,	// VFMADDSUBPD4rrY
+    839226143U,	// VFMADDSUBPD4rrY_REV
+    839226143U,	// VFMADDSUBPD4rr_REV
+    839963190U,	// VFMADDSUBPDr132m
+    839979574U,	// VFMADDSUBPDr132mY
+    839225910U,	// VFMADDSUBPDr132r
+    839225910U,	// VFMADDSUBPDr132rY
+    839963320U,	// VFMADDSUBPDr213m
+    839979704U,	// VFMADDSUBPDr213mY
+    839226040U,	// VFMADDSUBPDr213r
+    839226040U,	// VFMADDSUBPDr213rY
+    839963104U,	// VFMADDSUBPDr231m
+    839979488U,	// VFMADDSUBPDr231mY
+    839225824U,	// VFMADDSUBPDr231r
+    839225824U,	// VFMADDSUBPDr231rY
+    300246371U,	// VFMADDSUBPS4mr
+    90531171U,	// VFMADDSUBPS4mrY
+    839968099U,	// VFMADDSUBPS4rm
+    839984483U,	// VFMADDSUBPS4rmY
+    839230819U,	// VFMADDSUBPS4rr
+    839230819U,	// VFMADDSUBPS4rrY
+    839230819U,	// VFMADDSUBPS4rrY_REV
+    839230819U,	// VFMADDSUBPS4rr_REV
+    839967863U,	// VFMADDSUBPSr132m
+    839984247U,	// VFMADDSUBPSr132mY
+    839230583U,	// VFMADDSUBPSr132r
+    839230583U,	// VFMADDSUBPSr132rY
+    839968004U,	// VFMADDSUBPSr213m
+    839984388U,	// VFMADDSUBPSr213mY
+    839230724U,	// VFMADDSUBPSr213r
+    839230724U,	// VFMADDSUBPSr213rY
+    839967777U,	// VFMADDSUBPSr231m
+    839984161U,	// VFMADDSUBPSr231mY
+    839230497U,	// VFMADDSUBPSr231r
+    839230497U,	// VFMADDSUBPSr231rY
+    839827879U,	// VFMSUB132PDZm
+    1424916903U,	// VFMSUB132PDZmb
+    839829798U,	// VFMSUB132PSZm
+    1427048742U,	// VFMSUB132PSZmb
+    839828032U,	// VFMSUB213PDZm
+    1424917056U,	// VFMSUB213PDZmb
+    839221824U,	// VFMSUB213PDZr
+    814334528U,	// VFMSUB213PDZrk
+    814334528U,	// VFMSUB213PDZrkz
+    839829951U,	// VFMSUB213PSZm
+    1427048895U,	// VFMSUB213PSZmb
+    839223743U,	// VFMSUB213PSZr
+    814336447U,	// VFMSUB213PSZrk
+    814336447U,	// VFMSUB213PSZrkz
+    839827908U,	// VFMSUBADD132PDZm
+    1424916932U,	// VFMSUBADD132PDZmb
+    839829827U,	// VFMSUBADD132PSZm
+    1427048771U,	// VFMSUBADD132PSZmb
+    839828061U,	// VFMSUBADD213PDZm
+    1424917085U,	// VFMSUBADD213PDZmb
+    839221853U,	// VFMSUBADD213PDZr
+    814334557U,	// VFMSUBADD213PDZrk
+    814334557U,	// VFMSUBADD213PDZrkz
+    839829980U,	// VFMSUBADD213PSZm
+    1427048924U,	// VFMSUBADD213PSZmb
+    839223772U,	// VFMSUBADD213PSZr
+    814336476U,	// VFMSUBADD213PSZrk
+    814336476U,	// VFMSUBADD213PSZrkz
+    300241757U,	// VFMSUBADDPD4mr
+    90526557U,	// VFMSUBADDPD4mrY
+    839963485U,	// VFMSUBADDPD4rm
+    839979869U,	// VFMSUBADDPD4rmY
+    839226205U,	// VFMSUBADDPD4rr
+    839226205U,	// VFMSUBADDPD4rrY
+    839226205U,	// VFMSUBADDPD4rrY_REV
+    839226205U,	// VFMSUBADDPD4rr_REV
+    839963233U,	// VFMSUBADDPDr132m
+    839979617U,	// VFMSUBADDPDr132mY
+    839225953U,	// VFMSUBADDPDr132r
+    839225953U,	// VFMSUBADDPDr132rY
+    839963363U,	// VFMSUBADDPDr213m
+    839979747U,	// VFMSUBADDPDr213mY
+    839226083U,	// VFMSUBADDPDr213r
+    839226083U,	// VFMSUBADDPDr213rY
+    839963147U,	// VFMSUBADDPDr231m
+    839979531U,	// VFMSUBADDPDr231mY
+    839225867U,	// VFMSUBADDPDr231r
+    839225867U,	// VFMSUBADDPDr231rY
+    300246433U,	// VFMSUBADDPS4mr
+    90531233U,	// VFMSUBADDPS4mrY
+    839968161U,	// VFMSUBADDPS4rm
+    839984545U,	// VFMSUBADDPS4rmY
+    839230881U,	// VFMSUBADDPS4rr
+    839230881U,	// VFMSUBADDPS4rrY
+    839230881U,	// VFMSUBADDPS4rrY_REV
+    839230881U,	// VFMSUBADDPS4rr_REV
+    839967906U,	// VFMSUBADDPSr132m
+    839984290U,	// VFMSUBADDPSr132mY
+    839230626U,	// VFMSUBADDPSr132r
+    839230626U,	// VFMSUBADDPSr132rY
+    839968047U,	// VFMSUBADDPSr213m
+    839984431U,	// VFMSUBADDPSr213mY
+    839230767U,	// VFMSUBADDPSr213r
+    839230767U,	// VFMSUBADDPSr213rY
+    839967820U,	// VFMSUBADDPSr231m
+    839984204U,	// VFMSUBADDPSr231mY
+    839230540U,	// VFMSUBADDPSr231r
+    839230540U,	// VFMSUBADDPSr231rY
+    300241728U,	// VFMSUBPD4mr
+    90526528U,	// VFMSUBPD4mrY
+    839963456U,	// VFMSUBPD4rm
+    839979840U,	// VFMSUBPD4rmY
+    839226176U,	// VFMSUBPD4rr
+    839226176U,	// VFMSUBPD4rrY
+    839226176U,	// VFMSUBPD4rrY_REV
+    839226176U,	// VFMSUBPD4rr_REV
+    839963206U,	// VFMSUBPDr132m
+    839979590U,	// VFMSUBPDr132mY
+    839225926U,	// VFMSUBPDr132r
+    839225926U,	// VFMSUBPDr132rY
+    839963336U,	// VFMSUBPDr213m
+    839979720U,	// VFMSUBPDr213mY
+    839226056U,	// VFMSUBPDr213r
+    839226056U,	// VFMSUBPDr213rY
+    839963120U,	// VFMSUBPDr231m
+    839979504U,	// VFMSUBPDr231mY
+    839225840U,	// VFMSUBPDr231r
+    839225840U,	// VFMSUBPDr231rY
+    300246404U,	// VFMSUBPS4mr
+    90531204U,	// VFMSUBPS4mrY
+    839968132U,	// VFMSUBPS4rm
+    839984516U,	// VFMSUBPS4rmY
+    839230852U,	// VFMSUBPS4rr
+    839230852U,	// VFMSUBPS4rrY
+    839230852U,	// VFMSUBPS4rrY_REV
+    839230852U,	// VFMSUBPS4rr_REV
+    839967879U,	// VFMSUBPSr132m
+    839984263U,	// VFMSUBPSr132mY
+    839230599U,	// VFMSUBPSr132r
+    839230599U,	// VFMSUBPSr132rY
+    839968020U,	// VFMSUBPSr213m
+    839984404U,	// VFMSUBPSr213mY
+    839230740U,	// VFMSUBPSr213r
+    839230740U,	// VFMSUBPSr213rY
+    839967793U,	// VFMSUBPSr231m
+    839984177U,	// VFMSUBPSr231mY
+    839230513U,	// VFMSUBPSr231r
+    839230513U,	// VFMSUBPSr231rY
+    312825221U,	// VFMSUBSD4mr
+    312825221U,	// VFMSUBSD4mr_Int
+    1357813125U,	// VFMSUBSD4rm
+    1357813125U,	// VFMSUBSD4rm_Int
+    839226757U,	// VFMSUBSD4rr
+    839226757U,	// VFMSUBSD4rr_Int
+    839226757U,	// VFMSUBSD4rr_REV
+    839959635U,	// VFMSUBSDZm
+    839222355U,	// VFMSUBSDZr
+    1357812998U,	// VFMSUBSDr132m
+    839226630U,	// VFMSUBSDr132r
+    1357813063U,	// VFMSUBSDr213m
+    839226695U,	// VFMSUBSDr213r
+    1357812944U,	// VFMSUBSDr231m
+    839226576U,	// VFMSUBSDr231r
+    317024281U,	// VFMSUBSS4mr
+    317024281U,	// VFMSUBSS4mr_Int
+    1357850649U,	// VFMSUBSS4rm
+    1357850649U,	// VFMSUBSS4rm_Int
+    839231513U,	// VFMSUBSS4rr
+    839231513U,	// VFMSUBSS4rr_Int
+    839231513U,	// VFMSUBSS4rr_REV
+    839961453U,	// VFMSUBSSZm
+    839224173U,	// VFMSUBSSZr
+    1357850530U,	// VFMSUBSSr132m
+    839231394U,	// VFMSUBSSr132r
+    1357850595U,	// VFMSUBSSr213m
+    839231459U,	// VFMSUBSSr213r
+    1357850476U,	// VFMSUBSSr231m
+    839231340U,	// VFMSUBSSr231r
+    839827939U,	// VFNMADD132PDZm
+    1424916963U,	// VFNMADD132PDZmb
+    839829858U,	// VFNMADD132PSZm
+    1427048802U,	// VFNMADD132PSZmb
+    839828092U,	// VFNMADD213PDZm
+    1424917116U,	// VFNMADD213PDZmb
+    839221884U,	// VFNMADD213PDZr
+    814334588U,	// VFNMADD213PDZrk
+    814334588U,	// VFNMADD213PDZrkz
+    839830011U,	// VFNMADD213PSZm
+    1427048955U,	// VFNMADD213PSZmb
+    839223803U,	// VFNMADD213PSZr
+    814336507U,	// VFNMADD213PSZrk
+    814336507U,	// VFNMADD213PSZrkz
+    300241789U,	// VFNMADDPD4mr
+    90526589U,	// VFNMADDPD4mrY
+    839963517U,	// VFNMADDPD4rm
+    839979901U,	// VFNMADDPD4rmY
+    839226237U,	// VFNMADDPD4rr
+    839226237U,	// VFNMADDPD4rrY
+    839226237U,	// VFNMADDPD4rrY_REV
+    839226237U,	// VFNMADDPD4rr_REV
+    839963262U,	// VFNMADDPDr132m
+    839979646U,	// VFNMADDPDr132mY
+    839225982U,	// VFNMADDPDr132r
+    839225982U,	// VFNMADDPDr132rY
+    839963392U,	// VFNMADDPDr213m
+    839979776U,	// VFNMADDPDr213mY
+    839226112U,	// VFNMADDPDr213r
+    839226112U,	// VFNMADDPDr213rY
+    839963176U,	// VFNMADDPDr231m
+    839979560U,	// VFNMADDPDr231mY
+    839225896U,	// VFNMADDPDr231r
+    839225896U,	// VFNMADDPDr231rY
+    300246465U,	// VFNMADDPS4mr
+    90531265U,	// VFNMADDPS4mrY
+    839968193U,	// VFNMADDPS4rm
+    839984577U,	// VFNMADDPS4rmY
+    839230913U,	// VFNMADDPS4rr
+    839230913U,	// VFNMADDPS4rrY
+    839230913U,	// VFNMADDPS4rrY_REV
+    839230913U,	// VFNMADDPS4rr_REV
+    839967935U,	// VFNMADDPSr132m
+    839984319U,	// VFNMADDPSr132mY
+    839230655U,	// VFNMADDPSr132r
+    839230655U,	// VFNMADDPSr132rY
+    839968076U,	// VFNMADDPSr213m
+    839984460U,	// VFNMADDPSr213mY
+    839230796U,	// VFNMADDPSr213r
+    839230796U,	// VFNMADDPSr213rY
+    839967849U,	// VFNMADDPSr231m
+    839984233U,	// VFNMADDPSr231mY
+    839230569U,	// VFNMADDPSr231r
+    839230569U,	// VFNMADDPSr231rY
+    312825260U,	// VFNMADDSD4mr
+    312825260U,	// VFNMADDSD4mr_Int
+    1357813164U,	// VFNMADDSD4rm
+    1357813164U,	// VFNMADDSD4rm_Int
+    839226796U,	// VFNMADDSD4rr
+    839226796U,	// VFNMADDSD4rr_Int
+    839226796U,	// VFNMADDSD4rr_REV
+    839959678U,	// VFNMADDSDZm
+    839222398U,	// VFNMADDSDZr
+    1357813038U,	// VFNMADDSDr132m
+    839226670U,	// VFNMADDSDr132r
+    1357813103U,	// VFNMADDSDr213m
+    839226735U,	// VFNMADDSDr213r
+    1357812984U,	// VFNMADDSDr231m
+    839226616U,	// VFNMADDSDr231r
+    317024320U,	// VFNMADDSS4mr
+    317024320U,	// VFNMADDSS4mr_Int
+    1357850688U,	// VFNMADDSS4rm
+    1357850688U,	// VFNMADDSS4rm_Int
+    839231552U,	// VFNMADDSS4rr
+    839231552U,	// VFNMADDSS4rr_Int
+    839231552U,	// VFNMADDSS4rr_REV
+    839961496U,	// VFNMADDSSZm
+    839224216U,	// VFNMADDSSZr
+    1357850570U,	// VFNMADDSSr132m
+    839231434U,	// VFNMADDSSr132r
+    1357850635U,	// VFNMADDSSr213m
+    839231499U,	// VFNMADDSSr213r
+    1357850516U,	// VFNMADDSSr231m
+    839231380U,	// VFNMADDSSr231r
+    839827893U,	// VFNMSUB132PDZm
+    1424916917U,	// VFNMSUB132PDZmb
+    839829812U,	// VFNMSUB132PSZm
+    1427048756U,	// VFNMSUB132PSZmb
+    839828046U,	// VFNMSUB213PDZm
+    1424917070U,	// VFNMSUB213PDZmb
+    839221838U,	// VFNMSUB213PDZr
+    814334542U,	// VFNMSUB213PDZrk
+    814334542U,	// VFNMSUB213PDZrkz
+    839829965U,	// VFNMSUB213PSZm
+    1427048909U,	// VFNMSUB213PSZmb
+    839223757U,	// VFNMSUB213PSZr
+    814336461U,	// VFNMSUB213PSZrk
+    814336461U,	// VFNMSUB213PSZrkz
+    300241738U,	// VFNMSUBPD4mr
+    90526538U,	// VFNMSUBPD4mrY
+    839963466U,	// VFNMSUBPD4rm
+    839979850U,	// VFNMSUBPD4rmY
+    839226186U,	// VFNMSUBPD4rr
+    839226186U,	// VFNMSUBPD4rrY
+    839226186U,	// VFNMSUBPD4rrY_REV
+    839226186U,	// VFNMSUBPD4rr_REV
+    839963219U,	// VFNMSUBPDr132m
+    839979603U,	// VFNMSUBPDr132mY
+    839225939U,	// VFNMSUBPDr132r
+    839225939U,	// VFNMSUBPDr132rY
+    839963349U,	// VFNMSUBPDr213m
+    839979733U,	// VFNMSUBPDr213mY
+    839226069U,	// VFNMSUBPDr213r
+    839226069U,	// VFNMSUBPDr213rY
+    839963133U,	// VFNMSUBPDr231m
+    839979517U,	// VFNMSUBPDr231mY
+    839225853U,	// VFNMSUBPDr231r
+    839225853U,	// VFNMSUBPDr231rY
+    300246414U,	// VFNMSUBPS4mr
+    90531214U,	// VFNMSUBPS4mrY
+    839968142U,	// VFNMSUBPS4rm
+    839984526U,	// VFNMSUBPS4rmY
+    839230862U,	// VFNMSUBPS4rr
+    839230862U,	// VFNMSUBPS4rrY
+    839230862U,	// VFNMSUBPS4rrY_REV
+    839230862U,	// VFNMSUBPS4rr_REV
+    839967892U,	// VFNMSUBPSr132m
+    839984276U,	// VFNMSUBPSr132mY
+    839230612U,	// VFNMSUBPSr132r
+    839230612U,	// VFNMSUBPSr132rY
+    839968033U,	// VFNMSUBPSr213m
+    839984417U,	// VFNMSUBPSr213mY
+    839230753U,	// VFNMSUBPSr213r
+    839230753U,	// VFNMSUBPSr213rY
+    839967806U,	// VFNMSUBPSr231m
+    839984190U,	// VFNMSUBPSr231mY
+    839230526U,	// VFNMSUBPSr231r
+    839230526U,	// VFNMSUBPSr231rY
+    312825231U,	// VFNMSUBSD4mr
+    312825231U,	// VFNMSUBSD4mr_Int
+    1357813135U,	// VFNMSUBSD4rm
+    1357813135U,	// VFNMSUBSD4rm_Int
+    839226767U,	// VFNMSUBSD4rr
+    839226767U,	// VFNMSUBSD4rr_Int
+    839226767U,	// VFNMSUBSD4rr_REV
+    839959649U,	// VFNMSUBSDZm
+    839222369U,	// VFNMSUBSDZr
+    1357813011U,	// VFNMSUBSDr132m
+    839226643U,	// VFNMSUBSDr132r
+    1357813076U,	// VFNMSUBSDr213m
+    839226708U,	// VFNMSUBSDr213r
+    1357812957U,	// VFNMSUBSDr231m
+    839226589U,	// VFNMSUBSDr231r
+    317024291U,	// VFNMSUBSS4mr
+    317024291U,	// VFNMSUBSS4mr_Int
+    1357850659U,	// VFNMSUBSS4rm
+    1357850659U,	// VFNMSUBSS4rm_Int
+    839231523U,	// VFNMSUBSS4rr
+    839231523U,	// VFNMSUBSS4rr_Int
+    839231523U,	// VFNMSUBSS4rr_REV
+    839961467U,	// VFNMSUBSSZm
+    839224187U,	// VFNMSUBSSZr
+    1357850543U,	// VFNMSUBSSr132m
+    839231407U,	// VFNMSUBSSr132r
+    1357850608U,	// VFNMSUBSSr213m
+    839231472U,	// VFNMSUBSSr213r
+    1357850489U,	// VFNMSUBSSr231m
+    839231353U,	// VFNMSUBSSr231r
+    578719U,	// VFRCZPDrm
+    1070239U,	// VFRCZPDrmY
+    8656031U,	// VFRCZPDrr
+    8656031U,	// VFRCZPDrrY
+    583489U,	// VFRCZPSrm
+    1075009U,	// VFRCZPSrmY
+    8660801U,	// VFRCZPSrr
+    8660801U,	// VFRCZPSrrY
+    595518U,	// VFRCZSDrm
+    8656446U,	// VFRCZSDrr
+    616658U,	// VFRCZSSrm
+    8661202U,	// VFRCZSSrr
+    811734040U,	// VFsANDNPDrm
+    811652120U,	// VFsANDNPDrr
+    811738745U,	// VFsANDNPSrm
+    811656825U,	// VFsANDNPSrr
+    811733904U,	// VFsANDPDrm
+    811651984U,	// VFsANDPDrr
+    811738580U,	// VFsANDPSrm
+    811656660U,	// VFsANDPSrr
+    811734084U,	// VFsORPDrm
+    811652164U,	// VFsORPDrr
+    811738797U,	// VFsORPSrm
+    811656877U,	// VFsORPSrr
+    811734091U,	// VFsXORPDrm
+    811652171U,	// VFsXORPDrr
+    811738804U,	// VFsXORPSrm
+    811656884U,	// VFsXORPSrr
+    105993132U,	// VGATHERDPDYrm
+    552698639U,	// VGATHERDPDZrm
+    105993132U,	// VGATHERDPDrm
+    108094960U,	// VGATHERDPSYrm
+    552716942U,	// VGATHERDPSZrm
+    108094960U,	// VGATHERDPSrm
+    109298372U,	// VGATHERPF0DPDm
+    109300291U,	// VGATHERPF0DPSm
+    109331327U,	// VGATHERPF0QPDm
+    109333246U,	// VGATHERPF0QPSm
+    109298405U,	// VGATHERPF1DPDm
+    109300324U,	// VGATHERPF1DPSm
+    109331360U,	// VGATHERPF1QPDm
+    109333279U,	// VGATHERPF1QPSm
+    105993272U,	// VGATHERQPDYrm
+    552698817U,	// VGATHERQPDZrm
+    105993272U,	// VGATHERQPDrm
+    108095137U,	// VGATHERQPSYrm
+    552700736U,	// VGATHERQPSZrm
+    108095137U,	// VGATHERQPSrm
+    812520298U,	// VHADDPDYrm
+    811651946U,	// VHADDPDYrr
+    811733866U,	// VHADDPDrm
+    811651946U,	// VHADDPDrr
+    812524974U,	// VHADDPSYrm
+    811656622U,	// VHADDPSYrr
+    811738542U,	// VHADDPSrm
+    811656622U,	// VHADDPSrr
+    812520247U,	// VHSUBPDYrm
+    811651895U,	// VHSUBPDYrr
+    811733815U,	// VHSUBPDrm
+    811651895U,	// VHSUBPDrr
+    812524923U,	// VHSUBPSYrm
+    811656571U,	// VHSUBPSYrr
+    811738491U,	// VHSUBPSrm
+    811656571U,	// VHSUBPSrr
+    300240367U,	// VINSERTF128rm
+    839224815U,	// VINSERTF128rr
+    300240229U,	// VINSERTF32x4rm
+    839224677U,	// VINSERTF32x4rr
+    103107999U,	// VINSERTF64x4rm
+    839224735U,	// VINSERTF64x4rr
+    342183462U,	// VINSERTI128rm
+    839224870U,	// VINSERTI128rr
+    342183298U,	// VINSERTI32x4rm
+    839224706U,	// VINSERTI32x4rr
+    103108028U,	// VINSERTI64x4rm
+    839224764U,	// VINSERTI64x4rr
+    317023954U,	// VINSERTPSrm
+    839231186U,	// VINSERTPSrr
+    317023954U,	// VINSERTPSzrm
+    839231186U,	// VINSERTPSzrr
+    1026438U,	// VLDDQUYrm
+    321926U,	// VLDDQUrm
+    238496U,	// VLDMXCSR
+    8661390U,	// VMASKMOVDQU
+    8661390U,	// VMASKMOVDQU64
+    1929712779U,	// VMASKMOVPDYmr
+    812520587U,	// VMASKMOVPDYrm
+    1661277323U,	// VMASKMOVPDmr
+    811734155U,	// VMASKMOVPDrm
+    1929717549U,	// VMASKMOVPSYmr
+    812525357U,	// VMASKMOVPSYrm
+    1661282093U,	// VMASKMOVPSmr
+    811738925U,	// VMASKMOVPSrm
+    812520599U,	// VMAXCPDYrm
+    811652247U,	// VMAXCPDYrr
+    811734167U,	// VMAXCPDrm
+    811652247U,	// VMAXCPDrr
+    812525369U,	// VMAXCPSYrm
+    811657017U,	// VMAXCPSYrr
+    811738937U,	// VMAXCPSrm
+    811657017U,	// VMAXCPSrr
+    283268662U,	// VMAXCSDrm
+    811652662U,	// VMAXCSDrr
+    283289802U,	// VMAXCSSrm
+    811657418U,	// VMAXCSSrr
+    812520599U,	// VMAXPDYrm
+    811652247U,	// VMAXPDYrr
+    812532709U,	// VMAXPDZrm
+    350372837U,	// VMAXPDZrmb
+    1424917477U,	// VMAXPDZrmbk
+    1424917477U,	// VMAXPDZrmbkz
+    839832727U,	// VMAXPDZrmk
+    839832727U,	// VMAXPDZrmkz
+    811647973U,	// VMAXPDZrr
+    839222245U,	// VMAXPDZrrk
+    839222245U,	// VMAXPDZrrkz
+    811734167U,	// VMAXPDrm
+    811652247U,	// VMAXPDrr
+    812525369U,	// VMAXPSYrm
+    811657017U,	// VMAXPSYrr
+    812534628U,	// VMAXPSZrm
+    352488292U,	// VMAXPSZrmb
+    1427049316U,	// VMAXPSZrmbk
+    1427049316U,	// VMAXPSZrmbkz
+    839837497U,	// VMAXPSZrmk
+    839837497U,	// VMAXPSZrmkz
+    811649892U,	// VMAXPSZrr
+    839224164U,	// VMAXPSZrrk
+    839224164U,	// VMAXPSZrrkz
+    811738937U,	// VMAXPSrm
+    811657017U,	// VMAXPSrr
+    283268662U,	// VMAXSDZrm
+    811652662U,	// VMAXSDZrr
+    283268662U,	// VMAXSDrm
+    283268662U,	// VMAXSDrm_Int
+    811652662U,	// VMAXSDrr
+    811652662U,	// VMAXSDrr_Int
+    283289802U,	// VMAXSSZrm
+    811657418U,	// VMAXSSZrr
+    283289802U,	// VMAXSSrm
+    283289802U,	// VMAXSSrm_Int
+    811657418U,	// VMAXSSrr
+    811657418U,	// VMAXSSrr_Int
+    14197U,	// VMCALL
+    402266U,	// VMCLEARm
+    13909U,	// VMFUNC
+    812520481U,	// VMINCPDYrm
+    811652129U,	// VMINCPDYrr
+    811734049U,	// VMINCPDrm
+    811652129U,	// VMINCPDrr
+    812525186U,	// VMINCPSYrm
+    811656834U,	// VMINCPSYrr
+    811738754U,	// VMINCPSrm
+    811656834U,	// VMINCPSrr
+    283268589U,	// VMINCSDrm
+    811652589U,	// VMINCSDrr
+    283289720U,	// VMINCSSrm
+    811657336U,	// VMINCSSrr
+    812520481U,	// VMINPDYrm
+    811652129U,	// VMINPDYrr
+    812532589U,	// VMINPDZrm
+    350372717U,	// VMINPDZrmb
+    1424917357U,	// VMINPDZrmbk
+    1424917357U,	// VMINPDZrmbkz
+    839832609U,	// VMINPDZrmk
+    839832609U,	// VMINPDZrmkz
+    811647853U,	// VMINPDZrr
+    839222125U,	// VMINPDZrrk
+    839222125U,	// VMINPDZrrkz
+    811734049U,	// VMINPDrm
+    811652129U,	// VMINPDrr
+    812525186U,	// VMINPSYrm
+    811656834U,	// VMINPSYrr
+    812534508U,	// VMINPSZrm
+    352488172U,	// VMINPSZrmb
+    1427049196U,	// VMINPSZrmbk
+    1427049196U,	// VMINPSZrmbkz
+    839837314U,	// VMINPSZrmk
+    839837314U,	// VMINPSZrmkz
+    811649772U,	// VMINPSZrr
+    839224044U,	// VMINPSZrrk
+    839224044U,	// VMINPSZrrkz
+    811738754U,	// VMINPSrm
+    811656834U,	// VMINPSrr
+    283268589U,	// VMINSDZrm
+    811652589U,	// VMINSDZrr
+    283268589U,	// VMINSDrm
+    283268589U,	// VMINSDrm_Int
+    811652589U,	// VMINSDrr
+    811652589U,	// VMINSDrr_Int
+    283289720U,	// VMINSSZrm
+    811657336U,	// VMINSSZrr
+    283289720U,	// VMINSSrm
+    283289720U,	// VMINSSrm_Int
+    811657336U,	// VMINSSrr
+    811657336U,	// VMINSSrr_Int
+    14097U,	// VMLAUNCH
+    15053U,	// VMLOAD32
+    15133U,	// VMLOAD64
+    14189U,	// VMMCALL
+    8659680U,	// VMOV64toPQIZrr
+    8659680U,	// VMOV64toPQIrr
+    8659680U,	// VMOV64toSDZrr
+    551838432U,	// VMOV64toSDrm
+    8659680U,	// VMOV64toSDrr
+    111186702U,	// VMOVAPDYmr
+    1069838U,	// VMOVAPDYrm
+    8655630U,	// VMOVAPDYrr
+    8655630U,	// VMOVAPDYrr_REV
+    65049358U,	// VMOVAPDZ128mr
+    870650638U,	// VMOVAPDZ128mrk
+    578318U,	// VMOVAPDZ128rm
+    814797582U,	// VMOVAPDZ128rmk
+    813830926U,	// VMOVAPDZ128rmkz
+    8655630U,	// VMOVAPDZ128rr
+    8655630U,	// VMOVAPDZ128rr_alt
+    814060302U,	// VMOVAPDZ128rrk
+    814060302U,	// VMOVAPDZ128rrk_alt
+    813749006U,	// VMOVAPDZ128rrkz
+    813749006U,	// VMOVAPDZ128rrkz_alt
+    111186702U,	// VMOVAPDZ256mr
+    916787982U,	// VMOVAPDZ256mrk
+    1069838U,	// VMOVAPDZ256rm
+    814813966U,	// VMOVAPDZ256rmk
+    814617358U,	// VMOVAPDZ256rmkz
+    8655630U,	// VMOVAPDZ256rr
+    8655630U,	// VMOVAPDZ256rr_alt
+    814060302U,	// VMOVAPDZ256rrk
+    814060302U,	// VMOVAPDZ256rrk_alt
+    813749006U,	// VMOVAPDZ256rrkz
+    813749006U,	// VMOVAPDZ256rrkz_alt
+    113283854U,	// VMOVAPDZmr
+    918885134U,	// VMOVAPDZmrk
+    1086222U,	// VMOVAPDZrm
+    814666510U,	// VMOVAPDZrmk
+    814633742U,	// VMOVAPDZrmkz
+    8655630U,	// VMOVAPDZrr
+    8655630U,	// VMOVAPDZrr_alt
+    814060302U,	// VMOVAPDZrrk
+    814060302U,	// VMOVAPDZrrk_alt
+    813749006U,	// VMOVAPDZrrkz
+    813749006U,	// VMOVAPDZrrkz_alt
+    65049358U,	// VMOVAPDmr
+    578318U,	// VMOVAPDrm
+    8655630U,	// VMOVAPDrr
+    8655630U,	// VMOVAPDrr_REV
+    111191386U,	// VMOVAPSYmr
+    1074522U,	// VMOVAPSYrm
+    8660314U,	// VMOVAPSYrr
+    8660314U,	// VMOVAPSYrr_REV
+    65054042U,	// VMOVAPSZ128mr
+    870655322U,	// VMOVAPSZ128mrk
+    583002U,	// VMOVAPSZ128rm
+    814802266U,	// VMOVAPSZ128rmk
+    813835610U,	// VMOVAPSZ128rmkz
+    8660314U,	// VMOVAPSZ128rr
+    8660314U,	// VMOVAPSZ128rr_alt
+    814064986U,	// VMOVAPSZ128rrk
+    814064986U,	// VMOVAPSZ128rrk_alt
+    813753690U,	// VMOVAPSZ128rrkz
+    813753690U,	// VMOVAPSZ128rrkz_alt
+    111191386U,	// VMOVAPSZ256mr
+    916792666U,	// VMOVAPSZ256mrk
+    1074522U,	// VMOVAPSZ256rm
+    814818650U,	// VMOVAPSZ256rmk
+    814622042U,	// VMOVAPSZ256rmkz
+    8660314U,	// VMOVAPSZ256rr
+    8660314U,	// VMOVAPSZ256rr_alt
+    814064986U,	// VMOVAPSZ256rrk
+    814064986U,	// VMOVAPSZ256rrk_alt
+    813753690U,	// VMOVAPSZ256rrkz
+    813753690U,	// VMOVAPSZ256rrkz_alt
+    113288538U,	// VMOVAPSZmr
+    918889818U,	// VMOVAPSZmrk
+    1090906U,	// VMOVAPSZrm
+    814671194U,	// VMOVAPSZrmk
+    814638426U,	// VMOVAPSZrmkz
+    8660314U,	// VMOVAPSZrr
+    8660314U,	// VMOVAPSZrr_alt
+    814064986U,	// VMOVAPSZrrk
+    814064986U,	// VMOVAPSZrrk_alt
+    813753690U,	// VMOVAPSZrrkz
+    813753690U,	// VMOVAPSZrrkz_alt
+    65054042U,	// VMOVAPSmr
+    583002U,	// VMOVAPSrm
+    8660314U,	// VMOVAPSrr
+    8660314U,	// VMOVAPSrr_REV
+    1072599U,	// VMOVDDUPYrm
+    8658391U,	// VMOVDDUPYrr
+    1082924U,	// VMOVDDUPZrm
+    8652332U,	// VMOVDDUPZrr
+    597463U,	// VMOVDDUPrm
+    8658391U,	// VMOVDDUPrr
+    551802546U,	// VMOVDI2PDIZrm
+    8656562U,	// VMOVDI2PDIZrr
+    551802546U,	// VMOVDI2PDIrm
+    8656562U,	// VMOVDI2PDIrr
+    551802546U,	// VMOVDI2SSZrm
+    8656562U,	// VMOVDI2SSZrr
+    551802546U,	// VMOVDI2SSrm
+    8656562U,	// VMOVDI2SSrr
+    67144934U,	// VMOVDQA32Z128mr
+    872746214U,	// VMOVDQA32Z128mrk
+    314598U,	// VMOVDQA32Z128rm
+    814877926U,	// VMOVDQA32Z128rmk
+    813993190U,	// VMOVDQA32Z128rmkz
+    8654054U,	// VMOVDQA32Z128rr
+    8654054U,	// VMOVDQA32Z128rr_alt
+    814058726U,	// VMOVDQA32Z128rrk
+    814058726U,	// VMOVDQA32Z128rrk_alt
+    813747430U,	// VMOVDQA32Z128rrkz
+    813747430U,	// VMOVDQA32Z128rrkz_alt
+    115379430U,	// VMOVDQA32Z256mr
+    920980710U,	// VMOVDQA32Z256mrk
+    1019110U,	// VMOVDQA32Z256rm
+    814894310U,	// VMOVDQA32Z256rmk
+    814697702U,	// VMOVDQA32Z256rmkz
+    8654054U,	// VMOVDQA32Z256rr
+    8654054U,	// VMOVDQA32Z256rr_alt
+    814058726U,	// VMOVDQA32Z256rrk
+    814058726U,	// VMOVDQA32Z256rrk_alt
+    813747430U,	// VMOVDQA32Z256rrkz
+    813747430U,	// VMOVDQA32Z256rrkz_alt
+    117476582U,	// VMOVDQA32Zmr
+    923077862U,	// VMOVDQA32Zmrk
+    1035494U,	// VMOVDQA32Zrm
+    814910694U,	// VMOVDQA32Zrmk
+    814927078U,	// VMOVDQA32Zrmkz
+    8654054U,	// VMOVDQA32Zrr
+    8654054U,	// VMOVDQA32Zrr_alt
+    814058726U,	// VMOVDQA32Zrrk
+    814058726U,	// VMOVDQA32Zrrk_alt
+    813747430U,	// VMOVDQA32Zrrkz
+    813747430U,	// VMOVDQA32Zrrkz_alt
+    67145013U,	// VMOVDQA64Z128mr
+    872746293U,	// VMOVDQA64Z128mrk
+    314677U,	// VMOVDQA64Z128rm
+    814878005U,	// VMOVDQA64Z128rmk
+    813993269U,	// VMOVDQA64Z128rmkz
+    8654133U,	// VMOVDQA64Z128rr
+    8654133U,	// VMOVDQA64Z128rr_alt
+    814058805U,	// VMOVDQA64Z128rrk
+    814058805U,	// VMOVDQA64Z128rrk_alt
+    813747509U,	// VMOVDQA64Z128rrkz
+    813747509U,	// VMOVDQA64Z128rrkz_alt
+    115379509U,	// VMOVDQA64Z256mr
+    920980789U,	// VMOVDQA64Z256mrk
+    1019189U,	// VMOVDQA64Z256rm
+    814894389U,	// VMOVDQA64Z256rmk
+    814697781U,	// VMOVDQA64Z256rmkz
+    8654133U,	// VMOVDQA64Z256rr
+    8654133U,	// VMOVDQA64Z256rr_alt
+    814058805U,	// VMOVDQA64Z256rrk
+    814058805U,	// VMOVDQA64Z256rrk_alt
+    813747509U,	// VMOVDQA64Z256rrkz
+    813747509U,	// VMOVDQA64Z256rrkz_alt
+    117476661U,	// VMOVDQA64Zmr
+    923077941U,	// VMOVDQA64Zmrk
+    1035573U,	// VMOVDQA64Zrm
+    814910773U,	// VMOVDQA64Zrmk
+    814927157U,	// VMOVDQA64Zrmkz
+    8654133U,	// VMOVDQA64Zrr
+    8654133U,	// VMOVDQA64Zrr_alt
+    814058805U,	// VMOVDQA64Zrrk
+    814058805U,	// VMOVDQA64Zrrk_alt
+    813747509U,	// VMOVDQA64Zrrkz
+    813747509U,	// VMOVDQA64Zrrkz_alt
+    115379804U,	// VMOVDQAYmr
+    1019484U,	// VMOVDQAYrm
+    8654428U,	// VMOVDQAYrr
+    8654428U,	// VMOVDQAYrr_REV
+    67145308U,	// VMOVDQAmr
+    314972U,	// VMOVDQArm
+    8654428U,	// VMOVDQArr
+    8654428U,	// VMOVDQArr_REV
+    67145162U,	// VMOVDQU16Z128mr
+    872746442U,	// VMOVDQU16Z128mrk
+    314826U,	// VMOVDQU16Z128rm
+    814878154U,	// VMOVDQU16Z128rmk
+    813993418U,	// VMOVDQU16Z128rmkz
+    8654282U,	// VMOVDQU16Z128rr
+    8654282U,	// VMOVDQU16Z128rr_alt
+    814058954U,	// VMOVDQU16Z128rrk
+    814058954U,	// VMOVDQU16Z128rrk_alt
+    813747658U,	// VMOVDQU16Z128rrkz
+    813747658U,	// VMOVDQU16Z128rrkz_alt
+    115379658U,	// VMOVDQU16Z256mr
+    920980938U,	// VMOVDQU16Z256mrk
+    1019338U,	// VMOVDQU16Z256rm
+    814894538U,	// VMOVDQU16Z256rmk
+    814697930U,	// VMOVDQU16Z256rmkz
+    8654282U,	// VMOVDQU16Z256rr
+    8654282U,	// VMOVDQU16Z256rr_alt
+    814058954U,	// VMOVDQU16Z256rrk
+    814058954U,	// VMOVDQU16Z256rrk_alt
+    813747658U,	// VMOVDQU16Z256rrkz
+    813747658U,	// VMOVDQU16Z256rrkz_alt
+    117476810U,	// VMOVDQU16Zmr
+    923078090U,	// VMOVDQU16Zmrk
+    1035722U,	// VMOVDQU16Zrm
+    814910922U,	// VMOVDQU16Zrmk
+    814927306U,	// VMOVDQU16Zrmkz
+    8654282U,	// VMOVDQU16Zrr
+    8654282U,	// VMOVDQU16Zrr_alt
+    814058954U,	// VMOVDQU16Zrrk
+    814058954U,	// VMOVDQU16Zrrk_alt
+    813747658U,	// VMOVDQU16Zrrkz
+    813747658U,	// VMOVDQU16Zrrkz_alt
+    67144945U,	// VMOVDQU32Z128mr
+    872746225U,	// VMOVDQU32Z128mrk
+    314609U,	// VMOVDQU32Z128rm
+    814877937U,	// VMOVDQU32Z128rmk
+    813993201U,	// VMOVDQU32Z128rmkz
+    8654065U,	// VMOVDQU32Z128rr
+    8654065U,	// VMOVDQU32Z128rr_alt
+    814058737U,	// VMOVDQU32Z128rrk
+    814058737U,	// VMOVDQU32Z128rrk_alt
+    813747441U,	// VMOVDQU32Z128rrkz
+    813747441U,	// VMOVDQU32Z128rrkz_alt
+    115379441U,	// VMOVDQU32Z256mr
+    920980721U,	// VMOVDQU32Z256mrk
+    1019121U,	// VMOVDQU32Z256rm
+    814894321U,	// VMOVDQU32Z256rmk
+    814697713U,	// VMOVDQU32Z256rmkz
+    8654065U,	// VMOVDQU32Z256rr
+    8654065U,	// VMOVDQU32Z256rr_alt
+    814058737U,	// VMOVDQU32Z256rrk
+    814058737U,	// VMOVDQU32Z256rrk_alt
+    813747441U,	// VMOVDQU32Z256rrkz
+    813747441U,	// VMOVDQU32Z256rrkz_alt
+    117476593U,	// VMOVDQU32Zmr
+    923077873U,	// VMOVDQU32Zmrk
+    1035505U,	// VMOVDQU32Zrm
+    814910705U,	// VMOVDQU32Zrmk
+    814927089U,	// VMOVDQU32Zrmkz
+    8654065U,	// VMOVDQU32Zrr
+    8654065U,	// VMOVDQU32Zrr_alt
+    814058737U,	// VMOVDQU32Zrrk
+    814058737U,	// VMOVDQU32Zrrk_alt
+    813747441U,	// VMOVDQU32Zrrkz
+    813747441U,	// VMOVDQU32Zrrkz_alt
+    67145024U,	// VMOVDQU64Z128mr
+    872746304U,	// VMOVDQU64Z128mrk
+    314688U,	// VMOVDQU64Z128rm
+    814878016U,	// VMOVDQU64Z128rmk
+    813993280U,	// VMOVDQU64Z128rmkz
+    8654144U,	// VMOVDQU64Z128rr
+    8654144U,	// VMOVDQU64Z128rr_alt
+    814058816U,	// VMOVDQU64Z128rrk
+    814058816U,	// VMOVDQU64Z128rrk_alt
+    813747520U,	// VMOVDQU64Z128rrkz
+    813747520U,	// VMOVDQU64Z128rrkz_alt
+    115379520U,	// VMOVDQU64Z256mr
+    920980800U,	// VMOVDQU64Z256mrk
+    1019200U,	// VMOVDQU64Z256rm
+    814894400U,	// VMOVDQU64Z256rmk
+    814697792U,	// VMOVDQU64Z256rmkz
+    8654144U,	// VMOVDQU64Z256rr
+    8654144U,	// VMOVDQU64Z256rr_alt
+    814058816U,	// VMOVDQU64Z256rrk
+    814058816U,	// VMOVDQU64Z256rrk_alt
+    813747520U,	// VMOVDQU64Z256rrkz
+    813747520U,	// VMOVDQU64Z256rrkz_alt
+    117476672U,	// VMOVDQU64Zmr
+    923077952U,	// VMOVDQU64Zmrk
+    1035584U,	// VMOVDQU64Zrm
+    814910784U,	// VMOVDQU64Zrmk
+    814927168U,	// VMOVDQU64Zrmkz
+    8654144U,	// VMOVDQU64Zrr
+    8654144U,	// VMOVDQU64Zrr_alt
+    814058816U,	// VMOVDQU64Zrrk
+    814058816U,	// VMOVDQU64Zrrk_alt
+    813747520U,	// VMOVDQU64Zrrkz
+    813747520U,	// VMOVDQU64Zrrkz_alt
+    67145283U,	// VMOVDQU8Z128mr
+    872746563U,	// VMOVDQU8Z128mrk
+    314947U,	// VMOVDQU8Z128rm
+    814878275U,	// VMOVDQU8Z128rmk
+    813993539U,	// VMOVDQU8Z128rmkz
+    8654403U,	// VMOVDQU8Z128rr
+    8654403U,	// VMOVDQU8Z128rr_alt
+    814059075U,	// VMOVDQU8Z128rrk
+    814059075U,	// VMOVDQU8Z128rrk_alt
+    813747779U,	// VMOVDQU8Z128rrkz
+    813747779U,	// VMOVDQU8Z128rrkz_alt
+    115379779U,	// VMOVDQU8Z256mr
+    920981059U,	// VMOVDQU8Z256mrk
+    1019459U,	// VMOVDQU8Z256rm
+    814894659U,	// VMOVDQU8Z256rmk
+    814698051U,	// VMOVDQU8Z256rmkz
+    8654403U,	// VMOVDQU8Z256rr
+    8654403U,	// VMOVDQU8Z256rr_alt
+    814059075U,	// VMOVDQU8Z256rrk
+    814059075U,	// VMOVDQU8Z256rrk_alt
+    813747779U,	// VMOVDQU8Z256rrkz
+    813747779U,	// VMOVDQU8Z256rrkz_alt
+    117476931U,	// VMOVDQU8Zmr
+    923078211U,	// VMOVDQU8Zmrk
+    1035843U,	// VMOVDQU8Zrm
+    814911043U,	// VMOVDQU8Zrmk
+    814927427U,	// VMOVDQU8Zrmkz
+    8654403U,	// VMOVDQU8Zrr
+    8654403U,	// VMOVDQU8Zrr_alt
+    814059075U,	// VMOVDQU8Zrrk
+    814059075U,	// VMOVDQU8Zrrk_alt
+    813747779U,	// VMOVDQU8Zrrkz
+    813747779U,	// VMOVDQU8Zrrkz_alt
+    115386779U,	// VMOVDQUYmr
+    1026459U,	// VMOVDQUYrm
+    8661403U,	// VMOVDQUYrr
+    8661403U,	// VMOVDQUYrr_REV
+    67152283U,	// VMOVDQUmr
+    321947U,	// VMOVDQUrm
+    8661403U,	// VMOVDQUrr
+    8661403U,	// VMOVDQUrr_REV
+    811656750U,	// VMOVHLPSZrr
+    811656750U,	// VMOVHLPSrr
+    69243852U,	// VMOVHPDmr
+    283268044U,	// VMOVHPDrm
+    69248538U,	// VMOVHPSmr
+    283272730U,	// VMOVHPSrm
+    811656720U,	// VMOVLHPSZrr
+    811656720U,	// VMOVLHPSrr
+    69243902U,	// VMOVLPDmr
+    283268094U,	// VMOVLPDrm
+    69248598U,	// VMOVLPSmr
+    283272790U,	// VMOVLPSrm
+    8655829U,	// VMOVMSKPDYrr
+    8655829U,	// VMOVMSKPDrr
+    8660515U,	// VMOVMSKPSYrr
+    8660515U,	// VMOVMSKPSrr
+    1019473U,	// VMOVNTDQAYrm
+    314961U,	// VMOVNTDQAZ128rm
+    1019473U,	// VMOVNTDQAZ256rm
+    1035857U,	// VMOVNTDQAZrm
+    314961U,	// VMOVNTDQArm
+    111189919U,	// VMOVNTDQYmr
+    67149727U,	// VMOVNTDQZ128mr
+    115384223U,	// VMOVNTDQZ256mr
+    117481375U,	// VMOVNTDQZmr
+    65052575U,	// VMOVNTDQmr
+    111187027U,	// VMOVNTPDYmr
+    65049683U,	// VMOVNTPDZ128mr
+    111187027U,	// VMOVNTPDZ256mr
+    113284179U,	// VMOVNTPDZmr
+    65049683U,	// VMOVNTPDmr
+    111191752U,	// VMOVNTPSYmr
+    65054408U,	// VMOVNTPSZ128mr
+    111191752U,	// VMOVNTPSZ256mr
+    113288904U,	// VMOVNTPSZmr
+    65054408U,	// VMOVNTPSmr
+    12621490U,	// VMOVPDI2DIZmr
+    8656562U,	// VMOVPDI2DIZrr
+    12621490U,	// VMOVPDI2DImr
+    8656562U,	// VMOVPDI2DIrr
+    18916064U,	// VMOVPQI2QImr
+    8659680U,	// VMOVPQI2QIrr
+    18916064U,	// VMOVPQIto64Zmr
+    8659680U,	// VMOVPQIto64Zrr
+    8659680U,	// VMOVPQIto64rr
+    551838432U,	// VMOVQI2PQIZrm
+    551838432U,	// VMOVQI2PQIrm
+    69240045U,	// VMOVSDZmr
+    591085U,	// VMOVSDZrm
+    811648237U,	// VMOVSDZrr
+    811652645U,	// VMOVSDZrr_REV
+    814335213U,	// VMOVSDZrrk
+    69244453U,	// VMOVSDmr
+    595493U,	// VMOVSDrm
+    811652645U,	// VMOVSDrr
+    811652645U,	// VMOVSDrr_REV
+    18916064U,	// VMOVSDto64Zmr
+    8659680U,	// VMOVSDto64Zrr
+    18916064U,	// VMOVSDto64mr
+    8659680U,	// VMOVSDto64rr
+    1072609U,	// VMOVSHDUPYrm
+    8658401U,	// VMOVSHDUPYrr
+    1082935U,	// VMOVSHDUPZrm
+    8652343U,	// VMOVSHDUPZrr
+    581089U,	// VMOVSHDUPrm
+    8658401U,	// VMOVSHDUPrr
+    1072620U,	// VMOVSLDUPYrm
+    8658412U,	// VMOVSLDUPYrr
+    1082947U,	// VMOVSLDUPZrm
+    8652355U,	// VMOVSLDUPZrr
+    581100U,	// VMOVSLDUPrm
+    8658412U,	// VMOVSLDUPrr
+    12621490U,	// VMOVSS2DIZmr
+    8656562U,	// VMOVSS2DIZrr
+    12621490U,	// VMOVSS2DImr
+    8656562U,	// VMOVSS2DIrr
+    71338996U,	// VMOVSSZmr
+    609268U,	// VMOVSSZrm
+    811650036U,	// VMOVSSZrr
+    811657410U,	// VMOVSSZrr_REV
+    814337012U,	// VMOVSSZrrk
+    71346370U,	// VMOVSSmr
+    616642U,	// VMOVSSrm
+    811657410U,	// VMOVSSrr
+    811657410U,	// VMOVSSrr_REV
+    111187055U,	// VMOVUPDYmr
+    1070191U,	// VMOVUPDYrm
+    8655983U,	// VMOVUPDYrr
+    8655983U,	// VMOVUPDYrr_REV
+    65049711U,	// VMOVUPDZ128mr
+    870650991U,	// VMOVUPDZ128mrk
+    578671U,	// VMOVUPDZ128rm
+    814797935U,	// VMOVUPDZ128rmk
+    813831279U,	// VMOVUPDZ128rmkz
+    8655983U,	// VMOVUPDZ128rr
+    8655983U,	// VMOVUPDZ128rr_alt
+    814060655U,	// VMOVUPDZ128rrk
+    814060655U,	// VMOVUPDZ128rrk_alt
+    813749359U,	// VMOVUPDZ128rrkz
+    813749359U,	// VMOVUPDZ128rrkz_alt
+    111187055U,	// VMOVUPDZ256mr
+    916788335U,	// VMOVUPDZ256mrk
+    1070191U,	// VMOVUPDZ256rm
+    814814319U,	// VMOVUPDZ256rmk
+    814617711U,	// VMOVUPDZ256rmkz
+    8655983U,	// VMOVUPDZ256rr
+    8655983U,	// VMOVUPDZ256rr_alt
+    814060655U,	// VMOVUPDZ256rrk
+    814060655U,	// VMOVUPDZ256rrk_alt
+    813749359U,	// VMOVUPDZ256rrkz
+    813749359U,	// VMOVUPDZ256rrkz_alt
+    113284207U,	// VMOVUPDZmr
+    918885487U,	// VMOVUPDZmrk
+    1086575U,	// VMOVUPDZrm
+    814666863U,	// VMOVUPDZrmk
+    814634095U,	// VMOVUPDZrmkz
+    8655983U,	// VMOVUPDZrr
+    8655983U,	// VMOVUPDZrr_alt
+    814060655U,	// VMOVUPDZrrk
+    814060655U,	// VMOVUPDZrrk_alt
+    813749359U,	// VMOVUPDZrrkz
+    813749359U,	// VMOVUPDZrrkz_alt
+    65049711U,	// VMOVUPDmr
+    578671U,	// VMOVUPDrm
+    8655983U,	// VMOVUPDrr
+    8655983U,	// VMOVUPDrr_REV
+    111191825U,	// VMOVUPSYmr
+    1074961U,	// VMOVUPSYrm
+    8660753U,	// VMOVUPSYrr
+    8660753U,	// VMOVUPSYrr_REV
+    65054481U,	// VMOVUPSZ128mr
+    870655761U,	// VMOVUPSZ128mrk
+    583441U,	// VMOVUPSZ128rm
+    814802705U,	// VMOVUPSZ128rmk
+    813836049U,	// VMOVUPSZ128rmkz
+    8660753U,	// VMOVUPSZ128rr
+    8660753U,	// VMOVUPSZ128rr_alt
+    814065425U,	// VMOVUPSZ128rrk
+    814065425U,	// VMOVUPSZ128rrk_alt
+    813754129U,	// VMOVUPSZ128rrkz
+    813754129U,	// VMOVUPSZ128rrkz_alt
+    111191825U,	// VMOVUPSZ256mr
+    916793105U,	// VMOVUPSZ256mrk
+    1074961U,	// VMOVUPSZ256rm
+    814819089U,	// VMOVUPSZ256rmk
+    814622481U,	// VMOVUPSZ256rmkz
+    8660753U,	// VMOVUPSZ256rr
+    8660753U,	// VMOVUPSZ256rr_alt
+    814065425U,	// VMOVUPSZ256rrk
+    814065425U,	// VMOVUPSZ256rrk_alt
+    813754129U,	// VMOVUPSZ256rrkz
+    813754129U,	// VMOVUPSZ256rrkz_alt
+    113288977U,	// VMOVUPSZmr
+    918890257U,	// VMOVUPSZmrk
+    1091345U,	// VMOVUPSZrm
+    814671633U,	// VMOVUPSZrmk
+    814638865U,	// VMOVUPSZrmkz
+    8660753U,	// VMOVUPSZrr
+    8660753U,	// VMOVUPSZrr_alt
+    814065425U,	// VMOVUPSZrrk
+    814065425U,	// VMOVUPSZrrk_alt
+    813754129U,	// VMOVUPSZrrkz
+    813754129U,	// VMOVUPSZrrkz_alt
+    65054481U,	// VMOVUPSmr
+    583441U,	// VMOVUPSrm
+    8660753U,	// VMOVUPSrr
+    8660753U,	// VMOVUPSrr_REV
+    320224U,	// VMOVZPQILo2PQIZrm
+    8659680U,	// VMOVZPQILo2PQIZrr
+    320224U,	// VMOVZPQILo2PQIrm
+    8659680U,	// VMOVZPQILo2PQIrr
+    551838432U,	// VMOVZQI2PQIrm
+    8659680U,	// VMOVZQI2PQIrr
+    103115265U,	// VMPSADBWYrmi
+    839232001U,	// VMPSADBWYrri
+    342190593U,	// VMPSADBWrmi
+    839232001U,	// VMPSADBWrri
+    397744U,	// VMPTRLDm
+    403828U,	// VMPTRSTm
+    12622125U,	// VMREAD32rm
+    8657197U,	// VMREAD32rr
+    18915029U,	// VMREAD64rm
+    8658645U,	// VMREAD64rr
+    13997U,	// VMRESUME
+    15077U,	// VMRUN32
+    15157U,	// VMRUN64
+    15065U,	// VMSAVE32
+    15145U,	// VMSAVE64
+    812520438U,	// VMULPDYrm
+    811652086U,	// VMULPDYrr
+    812532558U,	// VMULPDZrm
+    350372686U,	// VMULPDZrmb
+    1424917326U,	// VMULPDZrmbk
+    1424917326U,	// VMULPDZrmbkz
+    839832566U,	// VMULPDZrmk
+    839832566U,	// VMULPDZrmkz
+    811647822U,	// VMULPDZrr
+    839222094U,	// VMULPDZrrk
+    839222094U,	// VMULPDZrrkz
+    811734006U,	// VMULPDrm
+    811652086U,	// VMULPDrr
+    812525134U,	// VMULPSYrm
+    811656782U,	// VMULPSYrr
+    812534477U,	// VMULPSZrm
+    352488141U,	// VMULPSZrmb
+    1427049165U,	// VMULPSZrmbk
+    1427049165U,	// VMULPSZrmbkz
+    839837262U,	// VMULPSZrmk
+    839837262U,	// VMULPSZrmkz
+    811649741U,	// VMULPSZrr
+    839224013U,	// VMULPSZrrk
+    839224013U,	// VMULPSZrrkz
+    811738702U,	// VMULPSrm
+    811656782U,	// VMULPSrr
+    283268572U,	// VMULSDZrm
+    811652572U,	// VMULSDZrr
+    283268572U,	// VMULSDrm
+    283268572U,	// VMULSDrm_Int
+    811652572U,	// VMULSDrr
+    811652572U,	// VMULSDrr_Int
+    283289712U,	// VMULSSZrm
+    811657328U,	// VMULSSZrr
+    283289712U,	// VMULSSrm
+    283289712U,	// VMULSSrm_Int
+    811657328U,	// VMULSSrr
+    811657328U,	// VMULSSrr_Int
+    551803371U,	// VMWRITE32rm
+    8657387U,	// VMWRITE32rr
+    551837750U,	// VMWRITE64rm
+    8658998U,	// VMWRITE64rr
+    14080U,	// VMXOFF
+    400721U,	// VMXON
+    812520516U,	// VORPDYrm
+    811652164U,	// VORPDYrr
+    811734084U,	// VORPDrm
+    811652164U,	// VORPDrr
+    812525229U,	// VORPSYrm
+    811656877U,	// VORPSYrr
+    811738797U,	// VORPSrm
+    811656877U,	// VORPSrr
+    315275U,	// VPABSBrm128
+    1019787U,	// VPABSBrm256
+    8654731U,	// VPABSBrr128
+    8654731U,	// VPABSBrr256
+    1033405U,	// VPABSDZrm
+    621003965U,	// VPABSDZrmb
+    620840125U,	// VPABSDZrmbk
+    620840125U,	// VPABSDZrmbkz
+    814924989U,	// VPABSDZrmk
+    814924989U,	// VPABSDZrmkz
+    8651965U,	// VPABSDZrr
+    813745341U,	// VPABSDZrrk
+    813745341U,	// VPABSDZrrkz
+    316797U,	// VPABSDrm128
+    1021309U,	// VPABSDrm256
+    8656253U,	// VPABSDrr128
+    8656253U,	// VPABSDrr256
+    1034331U,	// VPABSQZrm
+    618940507U,	// VPABSQZrmb
+    618760283U,	// VPABSQZrmbk
+    618760283U,	// VPABSQZrmbkz
+    814925915U,	// VPABSQZrmk
+    814925915U,	// VPABSQZrmkz
+    8652891U,	// VPABSQZrr
+    813746267U,	// VPABSQZrrk
+    813746267U,	// VPABSQZrrkz
+    322734U,	// VPABSWrm128
+    1027246U,	// VPABSWrm256
+    8662190U,	// VPABSWrr128
+    8662190U,	// VPABSWrr256
+    812608233U,	// VPACKSSDWYrm
+    811657961U,	// VPACKSSDWYrr
+    811903721U,	// VPACKSSDWrm
+    811657961U,	// VPACKSSDWrr
+    812601448U,	// VPACKSSWBYrm
+    811651176U,	// VPACKSSWBYrr
+    811896936U,	// VPACKSSWBrm
+    811651176U,	// VPACKSSWBrr
+    812608244U,	// VPACKUSDWYrm
+    811657972U,	// VPACKUSDWYrr
+    811903732U,	// VPACKUSDWrm
+    811657972U,	// VPACKUSDWrr
+    812601459U,	// VPACKUSWBYrm
+    811651187U,	// VPACKUSWBYrr
+    811896947U,	// VPACKUSWBrm
+    811651187U,	// VPACKUSWBrr
+    812601023U,	// VPADDBYrm
+    811650751U,	// VPADDBYrr
+    811896511U,	// VPADDBrm
+    811650751U,	// VPADDBrr
+    812601640U,	// VPADDDYrm
+    811651368U,	// VPADDDYrr
+    812826866U,	// VPADDDZrm
+    352403698U,	// VPADDDZrmb
+    3037839602U,	// VPADDDZrmbk
+    1427308786U,	// VPADDDZrmbkz
+    120799474U,	// VPADDDZrmk
+    840073458U,	// VPADDDZrmkz
+    811647218U,	// VPADDDZrr
+    814334194U,	// VPADDDZrrk
+    839221490U,	// VPADDDZrrkz
+    811897128U,	// VPADDDrm
+    811651368U,	// VPADDDrr
+    812605160U,	// VPADDQYrm
+    811654888U,	// VPADDQYrr
+    812828371U,	// VPADDQZrm
+    350324435U,	// VPADDQZrmb
+    3035727571U,	// VPADDQZrmbk
+    1425245907U,	// VPADDQZrmbkz
+    120800979U,	// VPADDQZrmk
+    840074963U,	// VPADDQZrmkz
+    811648723U,	// VPADDQZrr
+    814335699U,	// VPADDQZrrk
+    839222995U,	// VPADDQZrrkz
+    811900648U,	// VPADDQrm
+    811654888U,	// VPADDQrr
+    812601253U,	// VPADDSBYrm
+    811650981U,	// VPADDSBYrr
+    811896741U,	// VPADDSBrm
+    811650981U,	// VPADDSBrr
+    812608744U,	// VPADDSWYrm
+    811658472U,	// VPADDSWYrr
+    811904232U,	// VPADDSWrm
+    811658472U,	// VPADDSWrr
+    812601302U,	// VPADDUSBYrm
+    811651030U,	// VPADDUSBYrr
+    811896790U,	// VPADDUSBrm
+    811651030U,	// VPADDUSBrr
+    812608857U,	// VPADDUSWYrm
+    811658585U,	// VPADDUSWYrr
+    811904345U,	// VPADDUSWrm
+    811658585U,	// VPADDUSWrr
+    812608176U,	// VPADDWYrm
+    811657904U,	// VPADDWYrr
+    811903664U,	// VPADDWrm
+    811657904U,	// VPADDWrr
+    342188914U,	// VPALIGNR128rm
+    839230322U,	// VPALIGNR128rr
+    103113586U,	// VPALIGNR256rm
+    839230322U,	// VPALIGNR256rr
+    812826883U,	// VPANDDZrm
+    352403715U,	// VPANDDZrmb
+    3037839619U,	// VPANDDZrmbk
+    1427308803U,	// VPANDDZrmbkz
+    120799491U,	// VPANDDZrmk
+    840073475U,	// VPANDDZrmkz
+    811647235U,	// VPANDDZrr
+    814334211U,	// VPANDDZrrk
+    839221507U,	// VPANDDZrrkz
+    812827010U,	// VPANDNDZrm
+    352403842U,	// VPANDNDZrmb
+    3037839746U,	// VPANDNDZrmbk
+    1427308930U,	// VPANDNDZrmbkz
+    120799618U,	// VPANDNDZrmk
+    840073602U,	// VPANDNDZrmkz
+    811647362U,	// VPANDNDZrr
+    814334338U,	// VPANDNDZrrk
+    839221634U,	// VPANDNDZrrkz
+    812828675U,	// VPANDNQZrm
+    350324739U,	// VPANDNQZrmb
+    3035727875U,	// VPANDNQZrmbk
+    1425246211U,	// VPANDNQZrmbkz
+    120801283U,	// VPANDNQZrmk
+    840075267U,	// VPANDNQZrmkz
+    811649027U,	// VPANDNQZrr
+    814336003U,	// VPANDNQZrrk
+    839223299U,	// VPANDNQZrrkz
+    812604730U,	// VPANDNYrm
+    811654458U,	// VPANDNYrr
+    811900218U,	// VPANDNrm
+    811654458U,	// VPANDNrr
+    812828424U,	// VPANDQZrm
+    350324488U,	// VPANDQZrmb
+    3035727624U,	// VPANDQZrmbk
+    1425245960U,	// VPANDQZrmbkz
+    120801032U,	// VPANDQZrmk
+    840075016U,	// VPANDQZrmkz
+    811648776U,	// VPANDQZrr
+    814335752U,	// VPANDQZrrk
+    839223048U,	// VPANDQZrrkz
+    812601801U,	// VPANDYrm
+    811651529U,	// VPANDYrr
+    811897289U,	// VPANDrm
+    811651529U,	// VPANDrr
+    812601069U,	// VPAVGBYrm
+    811650797U,	// VPAVGBYrr
+    811896557U,	// VPAVGBrm
+    811650797U,	// VPAVGBrr
+    812608345U,	// VPAVGWYrm
+    811658073U,	// VPAVGWYrr
+    811903833U,	// VPAVGWrm
+    811658073U,	// VPAVGWrr
+    103108912U,	// VPBLENDDYrmi
+    839225648U,	// VPBLENDDYrri
+    342184240U,	// VPBLENDDrmi
+    839225648U,	// VPBLENDDrri
+    839827789U,	// VPBLENDMDZrm
+    839221581U,	// VPBLENDMDZrr
+    839829454U,	// VPBLENDMQZrm
+    839223246U,	// VPBLENDMQZrr
+    103108686U,	// VPBLENDVBYrm
+    839225422U,	// VPBLENDVBYrr
+    342184014U,	// VPBLENDVBrm
+    839225422U,	// VPBLENDVBrr
+    103115480U,	// VPBLENDWYrmi
+    839232216U,	// VPBLENDWYrri
+    342190808U,	// VPBLENDWrmi
+    839232216U,	// VPBLENDWrri
+    446487U,	// VPBROADCASTBYrm
+    8654871U,	// VPBROADCASTBYrr
+    446487U,	// VPBROADCASTBrm
+    8654871U,	// VPBROADCASTBrr
+    551802457U,	// VPBROADCASTDYrm
+    8656473U,	// VPBROADCASTDYrr
+    551634209U,	// VPBROADCASTDZkrm
+    813745441U,	// VPBROADCASTDZkrr
+    551798049U,	// VPBROADCASTDZrm
+    8652065U,	// VPBROADCASTDZrr
+    813745441U,	// VPBROADCASTDrZkrr
+    8652065U,	// VPBROADCASTDrZrr
+    551802457U,	// VPBROADCASTDrm
+    8656473U,	// VPBROADCASTDrr
+    8652367U,	// VPBROADCASTMB2Qrr
+    8650934U,	// VPBROADCASTMW2Drr
+    551838349U,	// VPBROADCASTQYrm
+    8659597U,	// VPBROADCASTQYrr
+    551651508U,	// VPBROADCASTQZkrm
+    813746356U,	// VPBROADCASTQZkrr
+    551831732U,	// VPBROADCASTQZrm
+    8652980U,	// VPBROADCASTQZrr
+    813746356U,	// VPBROADCASTQrZkrr
+    8652980U,	// VPBROADCASTQrZrr
+    551838349U,	// VPBROADCASTQrm
+    8659597U,	// VPBROADCASTQrr
+    388571U,	// VPBROADCASTWYrm
+    8662491U,	// VPBROADCASTWYrr
+    388571U,	// VPBROADCASTWrm
+    8662491U,	// VPBROADCASTWrr
+    342187879U,	// VPCLMULQDQrm
+    839229287U,	// VPCLMULQDQrr
+    342190531U,	// VPCMOVmr
+    90532291U,	// VPCMOVmrY
+    840051139U,	// VPCMOVrm
+    840067523U,	// VPCMOVrmY
+    839231939U,	// VPCMOVrr
+    839231939U,	// VPCMOVrrY
+    3343530019U,	// VPCMPDZrmi
+    354767879U,	// VPCMPDZrmi_alt
+    124867591U,	// VPCMPDZrmik_alt
+    1464498211U,	// VPCMPDZrri
+    839226375U,	// VPCMPDZrri_alt
+    839504903U,	// VPCMPDZrrik_alt
+    812601162U,	// VPCMPEQBYrm
+    811650890U,	// VPCMPEQBYrr
+    811896650U,	// VPCMPEQBZ128rm
+    840044362U,	// VPCMPEQBZ128rmk
+    811650890U,	// VPCMPEQBZ128rr
+    839225162U,	// VPCMPEQBZ128rrk
+    812601162U,	// VPCMPEQBZ256rm
+    840060746U,	// VPCMPEQBZ256rmk
+    811650890U,	// VPCMPEQBZ256rr
+    839225162U,	// VPCMPEQBZ256rrk
+    812830538U,	// VPCMPEQBZrm
+    840077130U,	// VPCMPEQBZrmk
+    811650890U,	// VPCMPEQBZrr
+    839225162U,	// VPCMPEQBZrrk
+    811896650U,	// VPCMPEQBrm
+    811650890U,	// VPCMPEQBrr
+    812602536U,	// VPCMPEQDYrm
+    811652264U,	// VPCMPEQDYrr
+    811898024U,	// VPCMPEQDZ128rm
+    394351784U,	// VPCMPEQDZ128rmb
+    1469256872U,	// VPCMPEQDZ128rmbk
+    840045736U,	// VPCMPEQDZ128rmk
+    811652264U,	// VPCMPEQDZ128rr
+    839226536U,	// VPCMPEQDZ128rrk
+    812602536U,	// VPCMPEQDZ256rm
+    350311592U,	// VPCMPEQDZ256rmb
+    1425216680U,	// VPCMPEQDZ256rmbk
+    840062120U,	// VPCMPEQDZ256rmk
+    811652264U,	// VPCMPEQDZ256rr
+    839226536U,	// VPCMPEQDZ256rrk
+    812831912U,	// VPCMPEQDZrm
+    352408744U,	// VPCMPEQDZrmb
+    1427313832U,	// VPCMPEQDZrmbk
+    840078504U,	// VPCMPEQDZrmk
+    811652264U,	// VPCMPEQDZrr
+    839226536U,	// VPCMPEQDZrrk
+    811898024U,	// VPCMPEQDrm
+    811652264U,	// VPCMPEQDrr
+    812605754U,	// VPCMPEQQYrm
+    811655482U,	// VPCMPEQQYrr
+    811901242U,	// VPCMPEQQZ128rm
+    396468538U,	// VPCMPEQQZ128rmb
+    1471390010U,	// VPCMPEQQZ128rmbk
+    840048954U,	// VPCMPEQQZ128rmk
+    811655482U,	// VPCMPEQQZ128rr
+    839229754U,	// VPCMPEQQZ128rrk
+    812605754U,	// VPCMPEQQZ256rm
+    394371386U,	// VPCMPEQQZ256rmb
+    1469292858U,	// VPCMPEQQZ256rmbk
+    840065338U,	// VPCMPEQQZ256rmk
+    811655482U,	// VPCMPEQQZ256rr
+    839229754U,	// VPCMPEQQZ256rrk
+    812835130U,	// VPCMPEQQZrm
+    350331194U,	// VPCMPEQQZrmb
+    1425252666U,	// VPCMPEQQZrmbk
+    840081722U,	// VPCMPEQQZrmk
+    811655482U,	// VPCMPEQQZrr
+    839229754U,	// VPCMPEQQZrrk
+    811901242U,	// VPCMPEQQrm
+    811655482U,	// VPCMPEQQrr
+    812608576U,	// VPCMPEQWYrm
+    811658304U,	// VPCMPEQWYrr
+    811904064U,	// VPCMPEQWZ128rm
+    840051776U,	// VPCMPEQWZ128rmk
+    811658304U,	// VPCMPEQWZ128rr
+    839232576U,	// VPCMPEQWZ128rrk
+    812608576U,	// VPCMPEQWZ256rm
+    840068160U,	// VPCMPEQWZ256rmk
+    811658304U,	// VPCMPEQWZ256rr
+    839232576U,	// VPCMPEQWZ256rrk
+    812837952U,	// VPCMPEQWZrm
+    840084544U,	// VPCMPEQWZrmk
+    811658304U,	// VPCMPEQWZrr
+    839232576U,	// VPCMPEQWZrrk
+    811904064U,	// VPCMPEQWrm
+    811658304U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    25499345U,	// VPCMPESTRIrm
-    811652817U,	// VPCMPESTRIrr
+    25499773U,	// VPCMPESTRIrm
+    811653245U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    25500534U,	// VPCMPESTRM128rm
-    811654006U,	// VPCMPESTRM128rr
-    1183315U,	// VPCMPGTBYrm
-    811650643U,	// VPCMPGTBYrr
-    811896403U,	// VPCMPGTBrm
-    811650643U,	// VPCMPGTBrr
-    1184923U,	// VPCMPGTDYrm
-    811652251U,	// VPCMPGTDYrr
-    812794939U,	// VPCMPGTDZrm
-    811648059U,	// VPCMPGTDZrr
-    811898011U,	// VPCMPGTDrm
-    811652251U,	// VPCMPGTDrr
-    1187988U,	// VPCMPGTQYrm
-    811655316U,	// VPCMPGTQYrr
-    812795808U,	// VPCMPGTQZrm
-    811648928U,	// VPCMPGTQZrr
-    811901076U,	// VPCMPGTQrm
-    811655316U,	// VPCMPGTQrr
-    1190910U,	// VPCMPGTWYrm
-    811658238U,	// VPCMPGTWYrr
-    811903998U,	// VPCMPGTWrm
-    811658238U,	// VPCMPGTWrr
+    25500962U,	// VPCMPESTRM128rm
+    811654434U,	// VPCMPESTRM128rr
+    812601343U,	// VPCMPGTBYrm
+    811651071U,	// VPCMPGTBYrr
+    811896831U,	// VPCMPGTBZ128rm
+    840044543U,	// VPCMPGTBZ128rmk
+    811651071U,	// VPCMPGTBZ128rr
+    839225343U,	// VPCMPGTBZ128rrk
+    812601343U,	// VPCMPGTBZ256rm
+    840060927U,	// VPCMPGTBZ256rmk
+    811651071U,	// VPCMPGTBZ256rr
+    839225343U,	// VPCMPGTBZ256rrk
+    812830719U,	// VPCMPGTBZrm
+    840077311U,	// VPCMPGTBZrmk
+    811651071U,	// VPCMPGTBZrr
+    839225343U,	// VPCMPGTBZrrk
+    811896831U,	// VPCMPGTBrm
+    811651071U,	// VPCMPGTBrr
+    812602951U,	// VPCMPGTDYrm
+    811652679U,	// VPCMPGTDYrr
+    811898439U,	// VPCMPGTDZ128rm
+    394352199U,	// VPCMPGTDZ128rmb
+    1469257287U,	// VPCMPGTDZ128rmbk
+    840046151U,	// VPCMPGTDZ128rmk
+    811652679U,	// VPCMPGTDZ128rr
+    839226951U,	// VPCMPGTDZ128rrk
+    812602951U,	// VPCMPGTDZ256rm
+    350312007U,	// VPCMPGTDZ256rmb
+    1425217095U,	// VPCMPGTDZ256rmbk
+    840062535U,	// VPCMPGTDZ256rmk
+    811652679U,	// VPCMPGTDZ256rr
+    839226951U,	// VPCMPGTDZ256rrk
+    812832327U,	// VPCMPGTDZrm
+    352409159U,	// VPCMPGTDZrmb
+    1427314247U,	// VPCMPGTDZrmbk
+    840078919U,	// VPCMPGTDZrmk
+    811652679U,	// VPCMPGTDZrr
+    839226951U,	// VPCMPGTDZrrk
+    811898439U,	// VPCMPGTDrm
+    811652679U,	// VPCMPGTDrr
+    812606016U,	// VPCMPGTQYrm
+    811655744U,	// VPCMPGTQYrr
+    811901504U,	// VPCMPGTQZ128rm
+    396468800U,	// VPCMPGTQZ128rmb
+    1471390272U,	// VPCMPGTQZ128rmbk
+    840049216U,	// VPCMPGTQZ128rmk
+    811655744U,	// VPCMPGTQZ128rr
+    839230016U,	// VPCMPGTQZ128rrk
+    812606016U,	// VPCMPGTQZ256rm
+    394371648U,	// VPCMPGTQZ256rmb
+    1469293120U,	// VPCMPGTQZ256rmbk
+    840065600U,	// VPCMPGTQZ256rmk
+    811655744U,	// VPCMPGTQZ256rr
+    839230016U,	// VPCMPGTQZ256rrk
+    812835392U,	// VPCMPGTQZrm
+    350331456U,	// VPCMPGTQZrmb
+    1425252928U,	// VPCMPGTQZrmbk
+    840081984U,	// VPCMPGTQZrmk
+    811655744U,	// VPCMPGTQZrr
+    839230016U,	// VPCMPGTQZrrk
+    811901504U,	// VPCMPGTQrm
+    811655744U,	// VPCMPGTQrr
+    812608938U,	// VPCMPGTWYrm
+    811658666U,	// VPCMPGTWYrr
+    811904426U,	// VPCMPGTWZ128rm
+    840052138U,	// VPCMPGTWZ128rmk
+    811658666U,	// VPCMPGTWZ128rr
+    839232938U,	// VPCMPGTWZ128rrk
+    812608938U,	// VPCMPGTWZ256rm
+    840068522U,	// VPCMPGTWZ256rmk
+    811658666U,	// VPCMPGTWZ256rr
+    839232938U,	// VPCMPGTWZ256rrk
+    812838314U,	// VPCMPGTWZrm
+    840084906U,	// VPCMPGTWZrmk
+    811658666U,	// VPCMPGTWZrr
+    839232938U,	// VPCMPGTWZrrk
+    811904426U,	// VPCMPGTWrm
+    811658666U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    25499357U,	// VPCMPISTRIrm
-    811652829U,	// VPCMPISTRIrr
+    25499785U,	// VPCMPISTRIrm
+    811653257U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    25500546U,	// VPCMPISTRM128rm
-    811654018U,	// VPCMPISTRM128rr
-    3070900552U,	// VPCMPQZrmi
-    354770799U,	// VPCMPQZrmi_alt
-    1460304200U,	// VPCMPQZrri
-    839229295U,	// VPCMPQZrri_alt
-    3072997704U,	// VPCMPUDZrmi
-    354768077U,	// VPCMPUDZrmi_alt
-    1462401352U,	// VPCMPUDZrri
-    839226573U,	// VPCMPUDZrri_alt
-    3075094856U,	// VPCMPUQZrmi
-    354771206U,	// VPCMPUQZrmi_alt
-    1464498504U,	// VPCMPUQZrri
-    839229702U,	// VPCMPUQZrri_alt
-    342183289U,	// VPCOMBmi
-    839224697U,	// VPCOMBri
-    342183949U,	// VPCOMDmi
-    839225357U,	// VPCOMDri
-    342187832U,	// VPCOMQmi
-    839229240U,	// VPCOMQri
-    342183552U,	// VPCOMUBmi
-    839224960U,	// VPCOMUBri
-    342185147U,	// VPCOMUDmi
-    839226555U,	// VPCOMUDri
-    342188285U,	// VPCOMUQmi
-    839229693U,	// VPCOMUQri
-    342191182U,	// VPCOMUWmi
-    839232590U,	// VPCOMUWri
-    342190659U,	// VPCOMWmi
-    839232067U,	// VPCOMWri
-    984109U,	// VPCONFLICTDrm
-    620889133U,	// VPCONFLICTDrmb
-    1246253U,	// VPCONFLICTDrmbk
-    620839981U,	// VPCONFLICTDrmbkz
-    2693907501U,	// VPCONFLICTDrmk
-    2693940269U,	// VPCONFLICTDrmkz
-    3229762605U,	// VPCONFLICTDrr
-    2693104685U,	// VPCONFLICTDrrk
-    2692793389U,	// VPCONFLICTDrrkz
-    984978U,	// VPCONFLICTQrm
-    618825618U,	// VPCONFLICTQrmb
-    1263506U,	// VPCONFLICTQrmbk
-    618760082U,	// VPCONFLICTQrmbkz
-    2693908370U,	// VPCONFLICTQrmk
-    2693941138U,	// VPCONFLICTQrmkz
-    3229763474U,	// VPCONFLICTQrr
-    2693105554U,	// VPCONFLICTQrrk
-    2692794258U,	// VPCONFLICTQrrkz
-    88427571U,	// VPERM2F128rm
-    839224371U,	// VPERM2F128rr
-    88427626U,	// VPERM2I128rm
-    839224426U,	// VPERM2I128rr
-    1183765U,	// VPERMDYrm
-    811651093U,	// VPERMDYrr
-    812794136U,	// VPERMDZrm
-    811647256U,	// VPERMDZrr
-    840024155U,	// VPERMI2Drm
-    839221339U,	// VPERMI2Drr
-    840024476U,	// VPERMI2PDrm
-    839221660U,	// VPERMI2PDrr
-    840026220U,	// VPERMI2PSrm
-    839223404U,	// VPERMI2PSrr
-    840025470U,	// VPERMI2Qrm
-    839222654U,	// VPERMI2Qrr
-    1198592234U,	// VPERMIL2PDmr
-    930156778U,	// VPERMIL2PDmrY
-    126947562U,	// VPERMIL2PDrm
-    129044714U,	// VPERMIL2PDrmY
-    839504106U,	// VPERMIL2PDrr
-    839504106U,	// VPERMIL2PDrrY
-    1198596929U,	// VPERMIL2PSmr
-    930161473U,	// VPERMIL2PSmrY
-    126952257U,	// VPERMIL2PSrm
-    129049409U,	// VPERMIL2PSrmY
-    839508801U,	// VPERMIL2PSrr
-    839508801U,	// VPERMIL2PSrrY
-    130355764U,	// VPERMILPDYmi
-    811651636U,	// VPERMILPDYri
-    1184308U,	// VPERMILPDYrm
-    811651636U,	// VPERMILPDYrr
-    132448948U,	// VPERMILPDZmi
-    811647668U,	// VPERMILPDZri
-    77926964U,	// VPERMILPDmi
-    811651636U,	// VPERMILPDri
-    811897396U,	// VPERMILPDrm
-    811651636U,	// VPERMILPDrr
-    130360460U,	// VPERMILPSYmi
-    811656332U,	// VPERMILPSYri
-    1189004U,	// VPERMILPSYrm
-    811656332U,	// VPERMILPSYrr
-    132450680U,	// VPERMILPSZmi
-    811649400U,	// VPERMILPSZri
-    77931660U,	// VPERMILPSmi
-    811656332U,	// VPERMILPSri
-    811902092U,	// VPERMILPSrm
-    811656332U,	// VPERMILPSrr
-    134550115U,	// VPERMPDYmi
-    811651683U,	// VPERMPDYri
-    136643285U,	// VPERMPDZmi
-    811647701U,	// VPERMPDZri
-    934613U,	// VPERMPDZrm
-    811647701U,	// VPERMPDZrr
-    1189060U,	// VPERMPSYrm
-    811656388U,	// VPERMPSYrr
-    936345U,	// VPERMPSZrm
-    811649433U,	// VPERMPSZrr
-    134553408U,	// VPERMQYmi
-    811654976U,	// VPERMQYri
-    132450043U,	// VPERMQZmi
-    811648763U,	// VPERMQZri
-    812795643U,	// VPERMQZrm
-    811648763U,	// VPERMQZrr
-    840024166U,	// VPERMT2Drm
-    839221350U,	// VPERMT2Drr
-    840024525U,	// VPERMT2PDrm
-    839221709U,	// VPERMT2PDrr
-    840026257U,	// VPERMT2PSrm
-    839223441U,	// VPERMT2PSrr
-    840025481U,	// VPERMT2Qrm
-    839222665U,	// VPERMT2Qrr
-    3808759247U,	// VPEXTRBmr
-    811650511U,	// VPEXTRBrr
-    4077196059U,	// VPEXTRDmr
-    811651867U,	// VPEXTRDrr
-    50667516U,	// VPEXTRQmr
-    811655164U,	// VPEXTRQrr
-    319105778U,	// VPEXTRWmr
-    811657970U,	// VPEXTRWri
-    811657970U,	// VPEXTRWrr_REV
-    105975694U,	// VPGATHERDDYrm
-    1147071U,	// VPGATHERDDZrm
-    105975694U,	// VPGATHERDDrm
-    103882183U,	// VPGATHERDQYrm
-    1132098U,	// VPGATHERDQZrm
-    103882183U,	// VPGATHERDQrm
-    105976582U,	// VPGATHERQDYrm
-    1131315U,	// VPGATHERQDZrm
-    105976582U,	// VPGATHERQDrm
-    103882648U,	// VPGATHERQQYrm
-    1132334U,	// VPGATHERQQZrm
-    103882648U,	// VPGATHERQQrm
-    315184U,	// VPHADDBDrm
-    8539952U,	// VPHADDBDrr
-    318607U,	// VPHADDBQrm
-    8543375U,	// VPHADDBQrr
-    321640U,	// VPHADDBWrm
-    8546408U,	// VPHADDBWrr
-    318795U,	// VPHADDDQrm
-    8543563U,	// VPHADDDQrr
-    1183603U,	// VPHADDDYrm
-    811650931U,	// VPHADDDYrr
-    811896691U,	// VPHADDDrm
-    811650931U,	// VPHADDDrr
-    811903794U,	// VPHADDSWrm128
-    1190706U,	// VPHADDSWrm256
-    811658034U,	// VPHADDSWrr128
-    811658034U,	// VPHADDSWrr256
-    315194U,	// VPHADDUBDrm
-    8539962U,	// VPHADDUBDrr
-    318625U,	// VPHADDUBQrm
-    8543393U,	// VPHADDUBQrr
-    321682U,	// VPHADDUBWrm
-    8546450U,	// VPHADDUBWrr
-    318973U,	// VPHADDUDQrm
-    8543741U,	// VPHADDUDQrr
-    316783U,	// VPHADDUWDrm
-    8541551U,	// VPHADDUWDrr
-    319828U,	// VPHADDUWQrm
-    8544596U,	// VPHADDUWQrr
-    316695U,	// VPHADDWDrm
-    8541463U,	// VPHADDWDrr
-    319803U,	// VPHADDWQrm
-    8544571U,	// VPHADDWQrr
-    1190139U,	// VPHADDWYrm
-    811657467U,	// VPHADDWYrr
-    811903227U,	// VPHADDWrm
-    811657467U,	// VPHADDWrr
-    322656U,	// VPHMINPOSUWrm128
-    8547424U,	// VPHMINPOSUWrr128
-    321611U,	// VPHSUBBWrm
-    8546379U,	// VPHSUBBWrr
-    318770U,	// VPHSUBDQrm
-    8543538U,	// VPHSUBDQrr
-    1183557U,	// VPHSUBDYrm
-    811650885U,	// VPHSUBDYrr
-    811896645U,	// VPHSUBDrm
-    811650885U,	// VPHSUBDrr
-    811903775U,	// VPHSUBSWrm128
-    1190687U,	// VPHSUBSWrm256
-    811658015U,	// VPHSUBSWrr128
-    811658015U,	// VPHSUBSWrr256
-    316685U,	// VPHSUBWDrm
-    8541453U,	// VPHSUBWDrr
-    1190045U,	// VPHSUBWYrm
-    811657373U,	// VPHSUBWYrr
-    811903133U,	// VPHSUBWrm
-    811657373U,	// VPHSUBWrr
-    866471366U,	// VPINSRBrm
-    839224774U,	// VPINSRBrr
-    862278418U,	// VPINSRDrm
-    839226130U,	// VPINSRDrr
-    864378847U,	// VPINSRQrm
-    839229407U,	// VPINSRQrr
-    860187351U,	// VPINSRWrmi
-    839232215U,	// VPINSRWrri
-    342183834U,	// VPMACSDDrm
-    839225242U,	// VPMACSDDrr
-    342185569U,	// VPMACSDQHrm
-    839226977U,	// VPMACSDQHrr
-    342186392U,	// VPMACSDQLrm
-    839227800U,	// VPMACSDQLrr
-    342183844U,	// VPMACSSDDrm
-    839225252U,	// VPMACSSDDrr
-    342185580U,	// VPMACSSDQHrm
-    839226988U,	// VPMACSSDQHrr
-    342186403U,	// VPMACSSDQLrm
-    839227811U,	// VPMACSSDQLrr
-    342185304U,	// VPMACSSWDrm
-    839226712U,	// VPMACSSWDrr
-    342191259U,	// VPMACSSWWrm
-    839232667U,	// VPMACSSWWrr
-    342185283U,	// VPMACSWDrm
-    839226691U,	// VPMACSWDrr
-    342191235U,	// VPMACSWWrm
-    839232643U,	// VPMACSWWrr
-    342185315U,	// VPMADCSSWDrm
-    839226723U,	// VPMADCSSWDrr
-    342185293U,	// VPMADCSWDrm
-    839226701U,	// VPMADCSWDrr
-    811903763U,	// VPMADDUBSWrm128
-    1190675U,	// VPMADDUBSWrm256
-    811658003U,	// VPMADDUBSWrr128
-    811658003U,	// VPMADDUBSWrr256
-    1185057U,	// VPMADDWDYrm
-    811652385U,	// VPMADDWDYrr
-    811898145U,	// VPMADDWDrm
-    811652385U,	// VPMADDWDrr
-    2466583802U,	// VPMASKMOVDYmr
-    1185018U,	// VPMASKMOVDYrm
-    2198148346U,	// VPMASKMOVDmr
-    811898106U,	// VPMASKMOVDrm
-    2466586920U,	// VPMASKMOVQYmr
-    1188136U,	// VPMASKMOVQYrm
-    2198151464U,	// VPMASKMOVQmr
-    811901224U,	// VPMASKMOVQrm
-    1183300U,	// VPMAXSBYrm
-    811650628U,	// VPMAXSBYrr
-    811896388U,	// VPMAXSBrm
-    811650628U,	// VPMAXSBrr
-    1184897U,	// VPMAXSDYrm
-    811652225U,	// VPMAXSDYrr
-    812794915U,	// VPMAXSDZrm
-    352404515U,	// VPMAXSDZrmb
-    811648035U,	// VPMAXSDZrr
-    811897985U,	// VPMAXSDrm
-    811652225U,	// VPMAXSDrr
-    812795784U,	// VPMAXSQZrm
-    350324616U,	// VPMAXSQZrmb
-    811648904U,	// VPMAXSQZrr
-    1190847U,	// VPMAXSWYrm
-    811658175U,	// VPMAXSWYrr
-    811903935U,	// VPMAXSWrm
-    811658175U,	// VPMAXSWrr
-    1183385U,	// VPMAXUBYrm
-    811650713U,	// VPMAXUBYrr
-    811896473U,	// VPMAXUBrm
-    811650713U,	// VPMAXUBrr
-    1184982U,	// VPMAXUDYrm
-    811652310U,	// VPMAXUDYrr
-    812794975U,	// VPMAXUDZrm
-    352404575U,	// VPMAXUDZrmb
-    811648095U,	// VPMAXUDZrr
-    811898070U,	// VPMAXUDrm
-    811652310U,	// VPMAXUDrr
-    812795844U,	// VPMAXUQZrm
-    350324676U,	// VPMAXUQZrmb
-    811648964U,	// VPMAXUQZrr
-    1191021U,	// VPMAXUWYrm
-    811658349U,	// VPMAXUWYrr
-    811904109U,	// VPMAXUWrm
-    811658349U,	// VPMAXUWrr
-    1183241U,	// VPMINSBYrm
-    811650569U,	// VPMINSBYrr
-    811896329U,	// VPMINSBrm
-    811650569U,	// VPMINSBrr
-    1184824U,	// VPMINSDYrm
-    811652152U,	// VPMINSDYrr
-    812794881U,	// VPMINSDZrm
-    352404481U,	// VPMINSDZrmb
-    811648001U,	// VPMINSDZrr
-    811897912U,	// VPMINSDrm
-    811652152U,	// VPMINSDrr
-    812795747U,	// VPMINSQZrm
-    350324579U,	// VPMINSQZrmb
-    811648867U,	// VPMINSQZrr
-    1190756U,	// VPMINSWYrm
-    811658084U,	// VPMINSWYrr
-    811903844U,	// VPMINSWrm
-    811658084U,	// VPMINSWrr
-    1183369U,	// VPMINUBYrm
-    811650697U,	// VPMINUBYrr
-    811896457U,	// VPMINUBrm
-    811650697U,	// VPMINUBrr
-    1184964U,	// VPMINUDYrm
-    811652292U,	// VPMINUDYrr
-    812794965U,	// VPMINUDZrm
-    352404565U,	// VPMINUDZrmb
-    811648085U,	// VPMINUDZrr
-    811898052U,	// VPMINUDrm
-    811652292U,	// VPMINUDrr
-    812795834U,	// VPMINUQZrm
-    350324666U,	// VPMINUQZrmb
-    811648954U,	// VPMINUQZrr
-    1190999U,	// VPMINUWYrm
-    811658327U,	// VPMINUWYrr
-    811904087U,	// VPMINUWrm
-    811658327U,	// VPMINUWrr
-    2692792368U,	// VPMOVDBkrr
-    67141680U,	// VPMOVDBmr
-    8536112U,	// VPMOVDBrr
-    2692795046U,	// VPMOVDWkrr
-    113281702U,	// VPMOVDWmr
-    8538790U,	// VPMOVDWrr
-    8539469U,	// VPMOVMSKBYrr
-    8539469U,	// VPMOVMSKBrr
-    2692792401U,	// VPMOVQBkrr
-    67141713U,	// VPMOVQBmr
-    8536145U,	// VPMOVQBrr
-    2692793189U,	// VPMOVQDkrr
-    113279845U,	// VPMOVQDmr
-    8536933U,	// VPMOVQDrr
-    2692795099U,	// VPMOVQWkrr
-    67144411U,	// VPMOVQWmr
-    8538843U,	// VPMOVQWrr
-    2692792357U,	// VPMOVSDBkrr
-    67141669U,	// VPMOVSDBmr
-    8536101U,	// VPMOVSDBrr
-    2692795035U,	// VPMOVSDWkrr
-    113281691U,	// VPMOVSDWmr
-    8538779U,	// VPMOVSDWrr
-    2692792390U,	// VPMOVSQBkrr
-    67141702U,	// VPMOVSQBmr
-    8536134U,	// VPMOVSQBrr
-    2692793178U,	// VPMOVSQDkrr
-    113279834U,	// VPMOVSQDmr
-    8536922U,	// VPMOVSQDrr
-    2692795088U,	// VPMOVSQWkrr
-    67144400U,	// VPMOVSQWmr
-    8538832U,	// VPMOVSQWrr
-    551685974U,	// VPMOVSXBDYrm
-    8539990U,	// VPMOVSXBDYrr
-    311445U,	// VPMOVSXBDZrm
-    8536213U,	// VPMOVSXBDZrr
-    551685974U,	// VPMOVSXBDrm
-    8539990U,	// VPMOVSXBDrr
-    384188U,	// VPMOVSXBQYrm
-    8543420U,	// VPMOVSXBQYrr
-    312742U,	// VPMOVSXBQZrm
-    8537510U,	// VPMOVSXBQZrr
-    384188U,	// VPMOVSXBQrm
-    8543420U,	// VPMOVSXBQrr
-    321718U,	// VPMOVSXBWYrm
-    8546486U,	// VPMOVSXBWYrr
-    551725238U,	// VPMOVSXBWrm
-    8546486U,	// VPMOVSXBWrr
-    318994U,	// VPMOVSXDQYrm
-    8543762U,	// VPMOVSXDQYrr
-    968377U,	// VPMOVSXDQZrm
-    8537785U,	// VPMOVSXDQZrr
-    551722514U,	// VPMOVSXDQrm
-    8543762U,	// VPMOVSXDQrr
-    316794U,	// VPMOVSXWDYrm
-    8541562U,	// VPMOVSXWDYrr
-    967815U,	// VPMOVSXWDZrm
-    8537223U,	// VPMOVSXWDZrr
-    551720314U,	// VPMOVSXWDrm
-    8541562U,	// VPMOVSXWDrr
-    551690591U,	// VPMOVSXWQYrm
-    8544607U,	// VPMOVSXWQYrr
-    313324U,	// VPMOVSXWQZrm
-    8538092U,	// VPMOVSXWQZrr
-    551690591U,	// VPMOVSXWQrm
-    8544607U,	// VPMOVSXWQrr
-    2692792345U,	// VPMOVUSDBkrr
-    67141657U,	// VPMOVUSDBmr
-    8536089U,	// VPMOVUSDBrr
-    2692795023U,	// VPMOVUSDWkrr
-    113281679U,	// VPMOVUSDWmr
-    8538767U,	// VPMOVUSDWrr
-    2692792378U,	// VPMOVUSQBkrr
-    67141690U,	// VPMOVUSQBmr
-    8536122U,	// VPMOVUSQBrr
-    2692793166U,	// VPMOVUSQDkrr
-    113279822U,	// VPMOVUSQDmr
-    8536910U,	// VPMOVUSQDrr
-    2692795076U,	// VPMOVUSQWkrr
-    67144388U,	// VPMOVUSQWmr
-    8538820U,	// VPMOVUSQWrr
-    551685985U,	// VPMOVZXBDYrm
-    8540001U,	// VPMOVZXBDYrr
-    311457U,	// VPMOVZXBDZrm
-    8536225U,	// VPMOVZXBDZrr
-    551685985U,	// VPMOVZXBDrm
-    8540001U,	// VPMOVZXBDrr
-    384199U,	// VPMOVZXBQYrm
-    8543431U,	// VPMOVZXBQYrr
-    312754U,	// VPMOVZXBQZrm
-    8537522U,	// VPMOVZXBQZrr
-    384199U,	// VPMOVZXBQrm
-    8543431U,	// VPMOVZXBQrr
-    321729U,	// VPMOVZXBWYrm
-    8546497U,	// VPMOVZXBWYrr
-    551725249U,	// VPMOVZXBWrm
-    8546497U,	// VPMOVZXBWrr
-    319005U,	// VPMOVZXDQYrm
-    8543773U,	// VPMOVZXDQYrr
-    968389U,	// VPMOVZXDQZrm
-    8537797U,	// VPMOVZXDQZrr
-    551722525U,	// VPMOVZXDQrm
-    8543773U,	// VPMOVZXDQrr
-    316805U,	// VPMOVZXWDYrm
-    8541573U,	// VPMOVZXWDYrr
-    967827U,	// VPMOVZXWDZrm
-    8537235U,	// VPMOVZXWDZrr
-    551720325U,	// VPMOVZXWDrm
-    8541573U,	// VPMOVZXWDrr
-    551690602U,	// VPMOVZXWQYrm
-    8544618U,	// VPMOVZXWQYrr
-    313336U,	// VPMOVZXWQZrm
-    8538104U,	// VPMOVZXWQZrr
-    551690602U,	// VPMOVZXWQrm
-    8544618U,	// VPMOVZXWQrr
-    1187215U,	// VPMULDQYrm
-    811654543U,	// VPMULDQYrr
-    812795411U,	// VPMULDQZrm
-    811648531U,	// VPMULDQZrr
-    811900303U,	// VPMULDQrm
-    811654543U,	// VPMULDQrr
-    811903869U,	// VPMULHRSWrm128
-    1190781U,	// VPMULHRSWrm256
-    811658109U,	// VPMULHRSWrr128
-    811658109U,	// VPMULHRSWrr256
-    1190980U,	// VPMULHUWYrm
-    811658308U,	// VPMULHUWYrr
-    811904068U,	// VPMULHUWrm
-    811658308U,	// VPMULHUWrr
-    1190354U,	// VPMULHWYrm
-    811657682U,	// VPMULHWYrr
-    811903442U,	// VPMULHWrm
-    811657682U,	// VPMULHWrr
-    1183731U,	// VPMULLDYrm
-    811651059U,	// VPMULLDYrr
-    812794093U,	// VPMULLDZrm
-    352403693U,	// VPMULLDZrmb
-    811647213U,	// VPMULLDZrr
-    811896819U,	// VPMULLDrm
-    811651059U,	// VPMULLDrr
-    1190423U,	// VPMULLWYrm
-    811657751U,	// VPMULLWYrr
-    811903511U,	// VPMULLWrm
-    811657751U,	// VPMULLWrr
-    1187336U,	// VPMULUDQYrm
-    811654664U,	// VPMULUDQYrr
-    812795566U,	// VPMULUDQZrm
-    811648686U,	// VPMULUDQZrr
-    811900424U,	// VPMULUDQrm
-    811654664U,	// VPMULUDQrr
-    812794735U,	// VPORDZrm
-    352404335U,	// VPORDZrmb
-    811647855U,	// VPORDZrr
-    812795721U,	// VPORQZrm
-    350324553U,	// VPORQZrmb
-    811648841U,	// VPORQZrr
-    1188304U,	// VPORYrm
-    811655632U,	// VPORYrr
-    811901392U,	// VPORrm
-    811655632U,	// VPORrr
-    342186862U,	// VPPERMmr
-    812817262U,	// VPPERMrm
-    839228270U,	// VPPERMrr
-    25497187U,	// VPROTBmi
-    25497187U,	// VPROTBmr
-    811650659U,	// VPROTBri
-    811896419U,	// VPROTBrm
-    811650659U,	// VPROTBrr
-    25498789U,	// VPROTDmi
-    25498789U,	// VPROTDmr
-    811652261U,	// VPROTDri
-    811898021U,	// VPROTDrm
-    811652261U,	// VPROTDrr
-    25501893U,	// VPROTQmi
-    25501893U,	// VPROTQmr
-    811655365U,	// VPROTQri
-    811901125U,	// VPROTQrm
-    811655365U,	// VPROTQrr
-    25504807U,	// VPROTWmi
-    25504807U,	// VPROTWmr
-    811658279U,	// VPROTWri
-    811904039U,	// VPROTWrm
-    811658279U,	// VPROTWrr
-    1189983U,	// VPSADBWYrm
-    811657311U,	// VPSADBWYrr
-    811903071U,	// VPSADBWrm
-    811657311U,	// VPSADBWrr
-    2711961804U,	// VPSCATTERDDZmr
-    2714060367U,	// VPSCATTERDQZmr
-    2714059584U,	// VPSCATTERQDZmr
-    2714060603U,	// VPSCATTERQQZmr
-    25496811U,	// VPSHABmr
-    811896043U,	// VPSHABrm
-    811650283U,	// VPSHABrr
-    25497376U,	// VPSHADmr
-    811896608U,	// VPSHADrm
-    811650848U,	// VPSHADrr
-    25500793U,	// VPSHAQmr
-    811900025U,	// VPSHAQrm
-    811654265U,	// VPSHAQrr
-    25503789U,	// VPSHAWmr
-    811903021U,	// VPSHAWrm
-    811657261U,	// VPSHAWrr
-    25496932U,	// VPSHLBmr
-    811896164U,	// VPSHLBrm
-    811650404U,	// VPSHLBrr
-    25497571U,	// VPSHLDmr
-    811896803U,	// VPSHLDrm
-    811651043U,	// VPSHLDrr
-    25501430U,	// VPSHLQmr
-    811900662U,	// VPSHLQrm
-    811654902U,	// VPSHLQrr
-    25504255U,	// VPSHLWmr
-    811903487U,	// VPSHLWrm
-    811657727U,	// VPSHLWrr
-    1183016U,	// VPSHUFBYrm
-    811650344U,	// VPSHUFBYrr
-    811896104U,	// VPSHUFBrm
-    811650344U,	// VPSHUFBrr
-    134549430U,	// VPSHUFDYmi
-    811650998U,	// VPSHUFDYri
-    132448474U,	// VPSHUFDZmi
-    811647194U,	// VPSHUFDZri
-    25497526U,	// VPSHUFDmi
-    811650998U,	// VPSHUFDri
-    134556104U,	// VPSHUFHWYmi
-    811657672U,	// VPSHUFHWYri
-    25504200U,	// VPSHUFHWmi
-    811657672U,	// VPSHUFHWri
-    134556149U,	// VPSHUFLWYmi
-    811657717U,	// VPSHUFLWYri
-    25504245U,	// VPSHUFLWmi
-    811657717U,	// VPSHUFLWri
-    1183105U,	// VPSIGNBYrm
-    811650433U,	// VPSIGNBYrr
-    811896193U,	// VPSIGNBrm
-    811650433U,	// VPSIGNBrr
-    1183780U,	// VPSIGNDYrm
-    811651108U,	// VPSIGNDYrr
-    811896868U,	// VPSIGNDrm
-    811651108U,	// VPSIGNDrr
-    1190475U,	// VPSIGNWYrm
-    811657803U,	// VPSIGNWYrr
-    811903563U,	// VPSIGNWrm
-    811657803U,	// VPSIGNWrr
-    811654525U,	// VPSLLDQYri
-    811654525U,	// VPSLLDQri
-    811651051U,	// VPSLLDYri
-    811896811U,	// VPSLLDYrm
-    811651051U,	// VPSLLDYrr
-    132448484U,	// VPSLLDZmi
-    623198436U,	// VPSLLDZmik
-    811647204U,	// VPSLLDZri
-    839221476U,	// VPSLLDZrik
-    811892964U,	// VPSLLDZrm
-    2693955812U,	// VPSLLDZrmk
-    811647204U,	// VPSLLDZrr
-    839221476U,	// VPSLLDZrrk
-    811651051U,	// VPSLLDri
-    811896811U,	// VPSLLDrm
-    811651051U,	// VPSLLDrr
-    811654917U,	// VPSLLQYri
-    811900677U,	// VPSLLQYrm
-    811654917U,	// VPSLLQYrr
-    132450001U,	// VPSLLQZmi
-    623199953U,	// VPSLLQZmik
-    811648721U,	// VPSLLQZri
-    839222993U,	// VPSLLQZrik
-    811894481U,	// VPSLLQZrm
-    2693957329U,	// VPSLLQZrmk
-    811648721U,	// VPSLLQZrr
-    839222993U,	// VPSLLQZrrk
-    811654917U,	// VPSLLQri
-    811900677U,	// VPSLLQrm
-    811654917U,	// VPSLLQrr
-    1185000U,	// VPSLLVDYrm
-    811652328U,	// VPSLLVDYrr
-    812794995U,	// VPSLLVDZrm
-    811648115U,	// VPSLLVDZrr
-    811898088U,	// VPSLLVDrm
-    811652328U,	// VPSLLVDrr
-    1188118U,	// VPSLLVQYrm
-    811655446U,	// VPSLLVQYrr
-    812795864U,	// VPSLLVQZrm
-    811648984U,	// VPSLLVQZrr
-    811901206U,	// VPSLLVQrm
-    811655446U,	// VPSLLVQrr
-    811657743U,	// VPSLLWYri
-    811903503U,	// VPSLLWYrm
-    811657743U,	// VPSLLWYrr
-    811657743U,	// VPSLLWri
-    811903503U,	// VPSLLWrm
-    811657743U,	// VPSLLWrr
-    811650856U,	// VPSRADYri
-    811896616U,	// VPSRADYrm
-    811650856U,	// VPSRADYrr
-    132448387U,	// VPSRADZmi
-    623198339U,	// VPSRADZmik
-    811647107U,	// VPSRADZri
-    839221379U,	// VPSRADZrik
-    811892867U,	// VPSRADZrm
-    2693955715U,	// VPSRADZrmk
-    811647107U,	// VPSRADZrr
-    839221379U,	// VPSRADZrrk
-    811650856U,	// VPSRADri
-    811896616U,	// VPSRADrm
-    811650856U,	// VPSRADrr
-    132449684U,	// VPSRAQZmi
-    623199636U,	// VPSRAQZmik
-    811648404U,	// VPSRAQZri
-    839222676U,	// VPSRAQZrik
-    811894164U,	// VPSRAQZrm
-    2693957012U,	// VPSRAQZrmk
-    811648404U,	// VPSRAQZrr
-    839222676U,	// VPSRAQZrrk
-    1184991U,	// VPSRAVDYrm
-    811652319U,	// VPSRAVDYrr
-    812794985U,	// VPSRAVDZrm
-    811648105U,	// VPSRAVDZrr
-    811898079U,	// VPSRAVDrm
-    811652319U,	// VPSRAVDrr
-    812795854U,	// VPSRAVQZrm
-    811648974U,	// VPSRAVQZrr
-    811657269U,	// VPSRAWYri
-    811903029U,	// VPSRAWYrm
-    811657269U,	// VPSRAWYrr
-    811657269U,	// VPSRAWri
-    811903029U,	// VPSRAWrm
-    811657269U,	// VPSRAWrr
-    811654534U,	// VPSRLDQYri
-    811654534U,	// VPSRLDQri
-    811651068U,	// VPSRLDYri
-    811896828U,	// VPSRLDYrm
-    811651068U,	// VPSRLDYrr
-    132448503U,	// VPSRLDZmi
-    623198455U,	// VPSRLDZmik
-    811647223U,	// VPSRLDZri
-    839221495U,	// VPSRLDZrik
-    811892983U,	// VPSRLDZrm
-    2693955831U,	// VPSRLDZrmk
-    811647223U,	// VPSRLDZrr
-    839221495U,	// VPSRLDZrrk
-    811651068U,	// VPSRLDri
-    811896828U,	// VPSRLDrm
-    811651068U,	// VPSRLDrr
-    811654931U,	// VPSRLQYri
-    811900691U,	// VPSRLQYrm
-    811654931U,	// VPSRLQYrr
-    132450010U,	// VPSRLQZmi
-    623199962U,	// VPSRLQZmik
-    811648730U,	// VPSRLQZri
-    839223002U,	// VPSRLQZrik
-    811894490U,	// VPSRLQZrm
-    2693957338U,	// VPSRLQZrmk
-    811648730U,	// VPSRLQZrr
-    839223002U,	// VPSRLQZrrk
-    811654931U,	// VPSRLQri
-    811900691U,	// VPSRLQrm
-    811654931U,	// VPSRLQrr
-    1185009U,	// VPSRLVDYrm
-    811652337U,	// VPSRLVDYrr
-    812795005U,	// VPSRLVDZrm
-    811648125U,	// VPSRLVDZrr
-    811898097U,	// VPSRLVDrm
-    811652337U,	// VPSRLVDrr
-    1188127U,	// VPSRLVQYrm
-    811655455U,	// VPSRLVQYrr
-    812795874U,	// VPSRLVQZrm
-    811648994U,	// VPSRLVQZrr
-    811901215U,	// VPSRLVQrm
-    811655455U,	// VPSRLVQrr
-    811657766U,	// VPSRLWYri
-    811903526U,	// VPSRLWYrm
-    811657766U,	// VPSRLWYrr
-    811657766U,	// VPSRLWri
-    811903526U,	// VPSRLWrm
-    811657766U,	// VPSRLWrr
-    1182969U,	// VPSUBBYrm
-    811650297U,	// VPSUBBYrr
-    811896057U,	// VPSUBBrm
-    811650297U,	// VPSUBBrr
-    1183566U,	// VPSUBDYrm
-    811650894U,	// VPSUBDYrr
-    812793996U,	// VPSUBDZrm
-    352403596U,	// VPSUBDZrmb
-    811647116U,	// VPSUBDZrr
-    811896654U,	// VPSUBDrm
-    811650894U,	// VPSUBDrr
-    1186988U,	// VPSUBQYrm
-    811654316U,	// VPSUBQYrr
-    812795293U,	// VPSUBQZrm
-    350324125U,	// VPSUBQZrmb
-    811648413U,	// VPSUBQZrr
-    811900076U,	// VPSUBQrm
-    811654316U,	// VPSUBQrr
-    1183216U,	// VPSUBSBYrm
-    811650544U,	// VPSUBSBYrr
-    811896304U,	// VPSUBSBrm
-    811650544U,	// VPSUBSBrr
-    1190697U,	// VPSUBSWYrm
-    811658025U,	// VPSUBSWYrr
-    811903785U,	// VPSUBSWrm
-    811658025U,	// VPSUBSWrr
-    1183264U,	// VPSUBUSBYrm
-    811650592U,	// VPSUBUSBYrr
-    811896352U,	// VPSUBUSBrm
-    811650592U,	// VPSUBUSBrr
-    1190819U,	// VPSUBUSWYrm
-    811658147U,	// VPSUBUSWYrr
-    811903907U,	// VPSUBUSWrm
-    811658147U,	// VPSUBUSWrr
-    1190054U,	// VPSUBWYrm
-    811657382U,	// VPSUBWYrr
-    811903142U,	// VPSUBWrm
-    811657382U,	// VPSUBWrr
-    934177U,	// VPTESTMDZrm
-    811647265U,	// VPTESTMDZrr
-    935684U,	// VPTESTMQZrm
-    811648772U,	// VPTESTMQZrr
-    934156U,	// VPTESTNMDZrm
-    811647244U,	// VPTESTNMDZrr
-    935663U,	// VPTESTNMQZrm
-    811648751U,	// VPTESTNMQZrr
-    976809U,	// VPTESTYrm
-    8546217U,	// VPTESTYrr
-    583593U,	// VPTESTrm
-    8546217U,	// VPTESTrr
-    1190002U,	// VPUNPCKHBWYrm
-    811657330U,	// VPUNPCKHBWYrr
-    811903090U,	// VPUNPCKHBWrm
-    811657330U,	// VPUNPCKHBWrr
-    1187166U,	// VPUNPCKHDQYrm
-    811654494U,	// VPUNPCKHDQYrr
-    812795385U,	// VPUNPCKHDQZrm
-    811648505U,	// VPUNPCKHDQZrr
-    811900254U,	// VPUNPCKHDQrm
-    811654494U,	// VPUNPCKHDQrr
-    1187233U,	// VPUNPCKHQDQYrm
-    811654561U,	// VPUNPCKHQDQYrr
-    812795430U,	// VPUNPCKHQDQZrm
-    811648550U,	// VPUNPCKHQDQZrr
-    811900321U,	// VPUNPCKHQDQrm
-    811654561U,	// VPUNPCKHQDQrr
-    1185067U,	// VPUNPCKHWDYrm
-    811652395U,	// VPUNPCKHWDYrr
-    811898155U,	// VPUNPCKHWDrm
-    811652395U,	// VPUNPCKHWDrr
-    1190014U,	// VPUNPCKLBWYrm
-    811657342U,	// VPUNPCKLBWYrr
-    811903102U,	// VPUNPCKLBWrm
-    811657342U,	// VPUNPCKLBWrr
-    1187185U,	// VPUNPCKLDQYrm
-    811654513U,	// VPUNPCKLDQYrr
-    812795398U,	// VPUNPCKLDQZrm
-    811648518U,	// VPUNPCKLDQZrr
-    811900273U,	// VPUNPCKLDQrm
-    811654513U,	// VPUNPCKLDQrr
-    1187246U,	// VPUNPCKLQDQYrm
-    811654574U,	// VPUNPCKLQDQYrr
-    812795444U,	// VPUNPCKLQDQZrm
-    811648564U,	// VPUNPCKLQDQZrr
-    811900334U,	// VPUNPCKLQDQrm
-    811654574U,	// VPUNPCKLQDQrr
-    1185079U,	// VPUNPCKLWDYrm
-    811652407U,	// VPUNPCKLWDYrr
-    811898167U,	// VPUNPCKLWDrm
-    811652407U,	// VPUNPCKLWDrr
-    812794743U,	// VPXORDZrm
-    352404343U,	// VPXORDZrmb
-    811647863U,	// VPXORDZrr
-    812795729U,	// VPXORQZrm
-    350324561U,	// VPXORQZrmb
-    811648849U,	// VPXORQZrr
-    1188327U,	// VPXORYrm
-    811655655U,	// VPXORYrr
-    811901415U,	// VPXORrm
-    811655655U,	// VPXORrr
-    1032757U,	// VRCP14PDZm
-    8536629U,	// VRCP14PDZr
-    1034489U,	// VRCP14PSZm
-    8538361U,	// VRCP14PSZr
-    283313082U,	// VRCP14SDrm
-    811647930U,	// VRCP14SDrr
-    283331110U,	// VRCP14SSrm
-    811649574U,	// VRCP14SSrr
-    1032781U,	// VRCP28PDZm
-    8536653U,	// VRCP28PDZr
-    8548314U,	// VRCP28PDZrb
-    1034513U,	// VRCP28PSZm
-    8538385U,	// VRCP28PSZr
-    8548390U,	// VRCP28PSZrb
-    283313106U,	// VRCP28SDrm
-    811647954U,	// VRCP28SDrr
-    811659264U,	// VRCP28SDrrb
-    283331134U,	// VRCP28SSrm
-    811649598U,	// VRCP28SSrr
-    811659340U,	// VRCP28SSrrb
-    1025246U,	// VRCPPSYm
-    1025246U,	// VRCPPSYm_Int
-    8545502U,	// VRCPPSYr
-    8545502U,	// VRCPPSYr_Int
-    582878U,	// VRCPPSm
-    582878U,	// VRCPPSm_Int
-    8545502U,	// VRCPPSr
-    8545502U,	// VRCPPSr_Int
-    283338452U,	// VRCPSSm
-    283338452U,	// VRCPSSm_Int
-    811656916U,	// VRCPSSr
-    136643228U,	// VRNDSCALEPDZm
-    811647644U,	// VRNDSCALEPDZr
-    136644960U,	// VRNDSCALEPSZm
-    811649376U,	// VRNDSCALEPSZr
-    283313139U,	// VRNDSCALESDm
-    811647987U,	// VRNDSCALESDr
-    283331158U,	// VRNDSCALESSm
-    811649622U,	// VRNDSCALESSr
-    77926902U,	// VROUNDPDm
-    811651574U,	// VROUNDPDr
-    77931578U,	// VROUNDPSm
-    811656250U,	// VROUNDPSr
-    312824851U,	// VROUNDSDm
-    839226387U,	// VROUNDSDr
-    839226387U,	// VROUNDSDr_Int
-    317023911U,	// VROUNDSSm
-    839231143U,	// VROUNDSSr
-    839231143U,	// VROUNDSSr_Int
-    130355702U,	// VROUNDYPDm
-    811651574U,	// VROUNDYPDr
-    130360378U,	// VROUNDYPSm
-    811656250U,	// VROUNDYPSr
-    1032768U,	// VRSQRT14PDZm
-    8536640U,	// VRSQRT14PDZr
-    1034500U,	// VRSQRT14PSZm
-    8538372U,	// VRSQRT14PSZr
-    283313093U,	// VRSQRT14SDrm
-    811647941U,	// VRSQRT14SDrr
-    283331121U,	// VRSQRT14SSrm
-    811649585U,	// VRSQRT14SSrr
-    1032792U,	// VRSQRT28PDZm
-    8536664U,	// VRSQRT28PDZr
-    8548332U,	// VRSQRT28PDZrb
-    1034524U,	// VRSQRT28PSZm
-    8538396U,	// VRSQRT28PSZr
-    8548408U,	// VRSQRT28PSZrb
-    283313117U,	// VRSQRT28SDrm
-    811647965U,	// VRSQRT28SDrr
-    811659282U,	// VRSQRT28SDrrb
-    283331145U,	// VRSQRT28SSrm
-    811649609U,	// VRSQRT28SSrr
-    811659358U,	// VRSQRT28SSrrb
-    1025329U,	// VRSQRTPSYm
-    1025329U,	// VRSQRTPSYm_Int
-    8545585U,	// VRSQRTPSYr
-    8545585U,	// VRSQRTPSYr_Int
-    582961U,	// VRSQRTPSm
-    582961U,	// VRSQRTPSm_Int
-    8545585U,	// VRSQRTPSr
-    8545585U,	// VRSQRTPSr_Int
-    283338477U,	// VRSQRTSSm
-    283338477U,	// VRSQRTSSm_Int
-    811656941U,	// VRSQRTSSr
-    2714059406U,	// VSCATTERDPDZmr
-    2711963986U,	// VSCATTERDPSZmr
-    2714059518U,	// VSCATTERQPDZmr
-    2714061250U,	// VSCATTERQPSZmr
-    88429068U,	// VSHUFPDYrmi
-    839225868U,	// VSHUFPDYrri
-    92619434U,	// VSHUFPDZrmi
-    839221930U,	// VSHUFPDZrri
-    300241420U,	// VSHUFPDrmi
-    839225868U,	// VSHUFPDrri
-    88433744U,	// VSHUFPSYrmi
-    839230544U,	// VSHUFPSYrri
-    92621166U,	// VSHUFPSZrmi
-    839223662U,	// VSHUFPSZrri
-    300246096U,	// VSHUFPSrmi
-    839230544U,	// VSHUFPSrri
-    1020593U,	// VSQRTPDYm
-    8540849U,	// VSQRTPDYr
-    1036977U,	// VSQRTPDZm_Int
-    8540849U,	// VSQRTPDZr_Int
-    1036977U,	// VSQRTPDZrm
-    8540849U,	// VSQRTPDZrr
-    578225U,	// VSQRTPDm
-    8540849U,	// VSQRTPDr
-    1025339U,	// VSQRTPSYm
-    8545595U,	// VSQRTPSYr
-    1041723U,	// VSQRTPSZm_Int
-    8545595U,	// VSQRTPSZr_Int
-    1041723U,	// VSQRTPSZrm
-    8545595U,	// VSQRTPSZrr
-    582971U,	// VSQRTPSm
-    8545595U,	// VSQRTPSr
-    283317338U,	// VSQRTSDZm
-    283317338U,	// VSQRTSDZm_Int
-    811652186U,	// VSQRTSDZr
-    811652186U,	// VSQRTSDZr_Int
-    283317338U,	// VSQRTSDm
-    283317338U,	// VSQRTSDm_Int
-    811652186U,	// VSQRTSDr
-    283338487U,	// VSQRTSSZm
-    283338487U,	// VSQRTSSZm_Int
-    811656951U,	// VSQRTSSZr
-    811656951U,	// VSQRTSSZr_Int
-    283338487U,	// VSQRTSSm
-    283338487U,	// VSQRTSSm_Int
-    811656951U,	// VSQRTSSr
-    287230U,	// VSTMXCSR
-    922025U,	// VSUBPDYrm
-    811651497U,	// VSUBPDYrr
-    934511U,	// VSUBPDZrm
-    350421615U,	// VSUBPDZrmb
-    811647599U,	// VSUBPDZrr
-    811782569U,	// VSUBPDrm
-    811651497U,	// VSUBPDrr
-    926701U,	// VSUBPSYrm
-    811656173U,	// VSUBPSYrr
-    936243U,	// VSUBPSZrm
-    352536883U,	// VSUBPSZrmb
-    811649331U,	// VSUBPSZrr
-    811787245U,	// VSUBPSrm
-    811656173U,	// VSUBPSrr
-    283317230U,	// VSUBSDZrm
-    811652078U,	// VSUBSDZrr
-    283317230U,	// VSUBSDrm
-    283317230U,	// VSUBSDrm_Int
-    811652078U,	// VSUBSDrr
-    811652078U,	// VSUBSDrr_Int
-    283338370U,	// VSUBSSZrm
-    811656834U,	// VSUBSSZrr
-    283338370U,	// VSUBSSrm
-    283338370U,	// VSUBSSrm_Int
-    811656834U,	// VSUBSSrr
-    811656834U,	// VSUBSSrr_Int
-    1020602U,	// VTESTPDYrm
-    8540858U,	// VTESTPDYrr
-    578234U,	// VTESTPDrm
-    8540858U,	// VTESTPDrr
-    1025348U,	// VTESTPSYrm
-    8545604U,	// VTESTPSYrr
-    582980U,	// VTESTPSrm
-    8545604U,	// VTESTPSrr
-    594973U,	// VUCOMISDZrm
-    8541213U,	// VUCOMISDZrr
-    594973U,	// VUCOMISDrm
-    8541213U,	// VUCOMISDrr
-    616113U,	// VUCOMISSZrm
-    8545969U,	// VUCOMISSZrr
-    616113U,	// VUCOMISSrm
-    8545969U,	// VUCOMISSrr
-    922133U,	// VUNPCKHPDYrm
-    811651605U,	// VUNPCKHPDYrr
-    938517U,	// VUNPCKHPDZrm
-    811651605U,	// VUNPCKHPDZrr
-    811782677U,	// VUNPCKHPDrm
-    811651605U,	// VUNPCKHPDrr
-    926809U,	// VUNPCKHPSYrm
-    811656281U,	// VUNPCKHPSYrr
-    943193U,	// VUNPCKHPSZrm
-    811656281U,	// VUNPCKHPSZrr
-    811787353U,	// VUNPCKHPSrm
-    811656281U,	// VUNPCKHPSrr
-    922175U,	// VUNPCKLPDYrm
-    811651647U,	// VUNPCKLPDYrr
-    938559U,	// VUNPCKLPDZrm
-    811651647U,	// VUNPCKLPDZrr
-    811782719U,	// VUNPCKLPDrm
-    811651647U,	// VUNPCKLPDrr
-    926871U,	// VUNPCKLPSYrm
-    811656343U,	// VUNPCKLPSYrr
-    943255U,	// VUNPCKLPSZrm
-    811656343U,	// VUNPCKLPSZrr
-    811787415U,	// VUNPCKLPSrm
-    811656343U,	// VUNPCKLPSrr
-    922271U,	// VXORPDYrm
-    811651743U,	// VXORPDYrr
-    811782815U,	// VXORPDrm
-    811651743U,	// VXORPDrr
-    926984U,	// VXORPSYrm
-    811656456U,	// VXORPSYrr
-    811787528U,	// VXORPSrm
-    811656456U,	// VXORPSrr
-    14505U,	// VZEROALL
-    14778U,	// VZEROUPPER
+    25500974U,	// VPCMPISTRM128rm
+    811654446U,	// VPCMPISTRM128rr
+    3351918627U,	// VPCMPQZrmi
+    354771227U,	// VPCMPQZrmi_alt
+    124870939U,	// VPCMPQZrmik_alt
+    1472886819U,	// VPCMPQZrri
+    839229723U,	// VPCMPQZrri_alt
+    839508251U,	// VPCMPQZrrik_alt
+    3354015779U,	// VPCMPUDZrmi
+    354768505U,	// VPCMPUDZrmi_alt
+    124868217U,	// VPCMPUDZrmik_alt
+    1474983971U,	// VPCMPUDZrri
+    839227001U,	// VPCMPUDZrri_alt
+    839505529U,	// VPCMPUDZrrik_alt
+    3356112931U,	// VPCMPUQZrmi
+    354771634U,	// VPCMPUQZrmi_alt
+    124871346U,	// VPCMPUQZrmik_alt
+    1477081123U,	// VPCMPUQZrri
+    839230130U,	// VPCMPUQZrri_alt
+    839508658U,	// VPCMPUQZrrik_alt
+    342183717U,	// VPCOMBmi
+    839225125U,	// VPCOMBri
+    342184377U,	// VPCOMDmi
+    839225785U,	// VPCOMDri
+    342188260U,	// VPCOMQmi
+    839229668U,	// VPCOMQri
+    342183980U,	// VPCOMUBmi
+    839225388U,	// VPCOMUBri
+    342185575U,	// VPCOMUDmi
+    839226983U,	// VPCOMUDri
+    342188713U,	// VPCOMUQmi
+    839230121U,	// VPCOMUQri
+    342191610U,	// VPCOMUWmi
+    839233018U,	// VPCOMUWri
+    342191087U,	// VPCOMWmi
+    839232495U,	// VPCOMWri
+    1033472U,	// VPCONFLICTDrm
+    621004032U,	// VPCONFLICTDrmb
+    622003456U,	// VPCONFLICTDrmbk
+    620840192U,	// VPCONFLICTDrmbkz
+    814908672U,	// VPCONFLICTDrmk
+    814925056U,	// VPCONFLICTDrmkz
+    3498312960U,	// VPCONFLICTDrr
+    814056704U,	// VPCONFLICTDrrk
+    813745408U,	// VPCONFLICTDrrkz
+    1034387U,	// VPCONFLICTQrm
+    618940563U,	// VPCONFLICTQrmb
+    619939987U,	// VPCONFLICTQrmbk
+    618760339U,	// VPCONFLICTQrmbkz
+    814909587U,	// VPCONFLICTQrmk
+    814925971U,	// VPCONFLICTQrmkz
+    3498313875U,	// VPCONFLICTQrr
+    814057619U,	// VPCONFLICTQrrk
+    813746323U,	// VPCONFLICTQrrkz
+    90525141U,	// VPERM2F128rm
+    839224789U,	// VPERM2F128rr
+    90525196U,	// VPERM2I128rm
+    839224844U,	// VPERM2I128rr
+    812601793U,	// VPERMDYrm
+    811651521U,	// VPERMDYrr
+    812826981U,	// VPERMDZrm
+    811647333U,	// VPERMDZrr
+    840073376U,	// VPERMI2Drm
+    120799392U,	// VPERMI2Drmk
+    137576608U,	// VPERMI2Drmkz
+    839221408U,	// VPERMI2Drr
+    814334112U,	// VPERMI2Drrk
+    814334112U,	// VPERMI2Drrkz
+    840073714U,	// VPERMI2PDrm
+    120799730U,	// VPERMI2PDrmk
+    137576946U,	// VPERMI2PDrmkz
+    839221746U,	// VPERMI2PDrr
+    814334450U,	// VPERMI2PDrrk
+    814334450U,	// VPERMI2PDrrkz
+    840075645U,	// VPERMI2PSrm
+    120801661U,	// VPERMI2PSrmk
+    137578877U,	// VPERMI2PSrmkz
+    839223677U,	// VPERMI2PSrr
+    814336381U,	// VPERMI2PSrrk
+    814336381U,	// VPERMI2PSrrkz
+    840074849U,	// VPERMI2Qrm
+    120800865U,	// VPERMI2Qrmk
+    137578081U,	// VPERMI2Qrmkz
+    839222881U,	// VPERMI2Qrr
+    814335585U,	// VPERMI2Qrrk
+    814335585U,	// VPERMI2Qrrkz
+    1213289110U,	// VPERMIL2PDmr
+    1213289110U,	// VPERMIL2PDmrY
+    141644438U,	// VPERMIL2PDrm
+    143741590U,	// VPERMIL2PDrmY
+    839504534U,	// VPERMIL2PDrr
+    839504534U,	// VPERMIL2PDrrY
+    1213293805U,	// VPERMIL2PSmr
+    1213293805U,	// VPERMIL2PSmrY
+    141649133U,	// VPERMIL2PSrm
+    143746285U,	// VPERMIL2PSrmY
+    839509229U,	// VPERMIL2PSrr
+    839509229U,	// VPERMIL2PSrrY
+    145036256U,	// VPERMILPDYmi
+    811652064U,	// VPERMILPDYri
+    812602336U,	// VPERMILPDYrm
+    811652064U,	// VPERMILPDYrr
+    147129154U,	// VPERMILPDZmi
+    811647810U,	// VPERMILPDZri
+    77927392U,	// VPERMILPDmi
+    811652064U,	// VPERMILPDri
+    811897824U,	// VPERMILPDrm
+    811652064U,	// VPERMILPDrr
+    145040952U,	// VPERMILPSYmi
+    811656760U,	// VPERMILPSYri
+    812607032U,	// VPERMILPSYrm
+    811656760U,	// VPERMILPSYrr
+    147131073U,	// VPERMILPSZmi
+    811649729U,	// VPERMILPSZri
+    77932088U,	// VPERMILPSmi
+    811656760U,	// VPERMILPSri
+    811902520U,	// VPERMILPSrm
+    811656760U,	// VPERMILPSrr
+    149230607U,	// VPERMPDYmi
+    811652111U,	// VPERMPDYri
+    151323491U,	// VPERMPDZmi
+    811647843U,	// VPERMPDZri
+    812532579U,	// VPERMPDZrm
+    811647843U,	// VPERMPDZrr
+    812607088U,	// VPERMPSYrm
+    811656816U,	// VPERMPSYrr
+    812534498U,	// VPERMPSZrm
+    811649762U,	// VPERMPSZrr
+    149233900U,	// VPERMQYmi
+    811655404U,	// VPERMQYri
+    147130342U,	// VPERMQZmi
+    811648998U,	// VPERMQZri
+    812828646U,	// VPERMQZrm
+    811648998U,	// VPERMQZrr
+    840073387U,	// VPERMT2Drm
+    120799403U,	// VPERMT2Drmk
+    137576619U,	// VPERMT2Drmkz
+    839221419U,	// VPERMT2Drr
+    814334123U,	// VPERMT2Drrk
+    814334123U,	// VPERMT2Drrkz
+    840073763U,	// VPERMT2PDrm
+    120799779U,	// VPERMT2PDrmk
+    137576995U,	// VPERMT2PDrmkz
+    839221795U,	// VPERMT2PDrr
+    814334499U,	// VPERMT2PDrrk
+    814334499U,	// VPERMT2PDrrkz
+    840075682U,	// VPERMT2PSrm
+    120801698U,	// VPERMT2PSrmk
+    137578914U,	// VPERMT2PSrmkz
+    839223714U,	// VPERMT2PSrr
+    814336418U,	// VPERMT2PSrrk
+    814336418U,	// VPERMT2PSrrkz
+    840074860U,	// VPERMT2Qrm
+    120800876U,	// VPERMT2Qrmk
+    137578092U,	// VPERMT2Qrmkz
+    839222892U,	// VPERMT2Qrr
+    814335596U,	// VPERMT2Qrrk
+    814335596U,	// VPERMT2Qrrkz
+    3808759675U,	// VPEXTRBmr
+    811650939U,	// VPEXTRBrr
+    4077196487U,	// VPEXTRDmr
+    811652295U,	// VPEXTRDrr
+    50667944U,	// VPEXTRQmr
+    811655592U,	// VPEXTRQrr
+    319106206U,	// VPEXTRWmr
+    811658398U,	// VPEXTRWri
+    811658398U,	// VPEXTRWrr_REV
+    108089658U,	// VPGATHERDDYrm
+    552714508U,	// VPGATHERDDZrm
+    108089658U,	// VPGATHERDDrm
+    105996147U,	// VPGATHERDQYrm
+    552699693U,	// VPGATHERDQZrm
+    105996147U,	// VPGATHERDQrm
+    108090546U,	// VPGATHERQDYrm
+    552698862U,	// VPGATHERQDZrm
+    108090546U,	// VPGATHERQDrm
+    105996612U,	// VPGATHERQQYrm
+    552699927U,	// VPGATHERQQZrm
+    105996612U,	// VPGATHERQQrm
+    315612U,	// VPHADDBDrm
+    8655068U,	// VPHADDBDrr
+    319035U,	// VPHADDBQrm
+    8658491U,	// VPHADDBQrr
+    322068U,	// VPHADDBWrm
+    8661524U,	// VPHADDBWrr
+    319223U,	// VPHADDDQrm
+    8658679U,	// VPHADDDQrr
+    812601631U,	// VPHADDDYrm
+    811651359U,	// VPHADDDYrr
+    811897119U,	// VPHADDDrm
+    811651359U,	// VPHADDDrr
+    811904222U,	// VPHADDSWrm128
+    812608734U,	// VPHADDSWrm256
+    811658462U,	// VPHADDSWrr128
+    811658462U,	// VPHADDSWrr256
+    315622U,	// VPHADDUBDrm
+    8655078U,	// VPHADDUBDrr
+    319053U,	// VPHADDUBQrm
+    8658509U,	// VPHADDUBQrr
+    322110U,	// VPHADDUBWrm
+    8661566U,	// VPHADDUBWrr
+    319401U,	// VPHADDUDQrm
+    8658857U,	// VPHADDUDQrr
+    317211U,	// VPHADDUWDrm
+    8656667U,	// VPHADDUWDrr
+    320256U,	// VPHADDUWQrm
+    8659712U,	// VPHADDUWQrr
+    317123U,	// VPHADDWDrm
+    8656579U,	// VPHADDWDrr
+    320231U,	// VPHADDWQrm
+    8659687U,	// VPHADDWQrr
+    812608167U,	// VPHADDWYrm
+    811657895U,	// VPHADDWYrr
+    811903655U,	// VPHADDWrm
+    811657895U,	// VPHADDWrr
+    323084U,	// VPHMINPOSUWrm128
+    8662540U,	// VPHMINPOSUWrr128
+    322039U,	// VPHSUBBWrm
+    8661495U,	// VPHSUBBWrr
+    319198U,	// VPHSUBDQrm
+    8658654U,	// VPHSUBDQrr
+    812601585U,	// VPHSUBDYrm
+    811651313U,	// VPHSUBDYrr
+    811897073U,	// VPHSUBDrm
+    811651313U,	// VPHSUBDrr
+    811904203U,	// VPHSUBSWrm128
+    812608715U,	// VPHSUBSWrm256
+    811658443U,	// VPHSUBSWrr128
+    811658443U,	// VPHSUBSWrr256
+    317113U,	// VPHSUBWDrm
+    8656569U,	// VPHSUBWDrr
+    812608073U,	// VPHSUBWYrm
+    811657801U,	// VPHSUBWYrr
+    811903561U,	// VPHSUBWrm
+    811657801U,	// VPHSUBWrr
+    866471794U,	// VPINSRBrm
+    839225202U,	// VPINSRBrr
+    862278846U,	// VPINSRDrm
+    839226558U,	// VPINSRDrr
+    864379275U,	// VPINSRQrm
+    839229835U,	// VPINSRQrr
+    860187779U,	// VPINSRWrmi
+    839232643U,	// VPINSRWrri
+    1033486U,	// VPLZCNTDrm
+    621004046U,	// VPLZCNTDrmb
+    622003470U,	// VPLZCNTDrmbk
+    620840206U,	// VPLZCNTDrmbkz
+    814908686U,	// VPLZCNTDrmk
+    814925070U,	// VPLZCNTDrmkz
+    3498312974U,	// VPLZCNTDrr
+    814056718U,	// VPLZCNTDrrk
+    813745422U,	// VPLZCNTDrrkz
+    1034401U,	// VPLZCNTQrm
+    618940577U,	// VPLZCNTQrmb
+    619940001U,	// VPLZCNTQrmbk
+    618760353U,	// VPLZCNTQrmbkz
+    814909601U,	// VPLZCNTQrmk
+    814925985U,	// VPLZCNTQrmkz
+    3498313889U,	// VPLZCNTQrr
+    814057633U,	// VPLZCNTQrrk
+    813746337U,	// VPLZCNTQrrkz
+    342184262U,	// VPMACSDDrm
+    839225670U,	// VPMACSDDrr
+    342185997U,	// VPMACSDQHrm
+    839227405U,	// VPMACSDQHrr
+    342186820U,	// VPMACSDQLrm
+    839228228U,	// VPMACSDQLrr
+    342184272U,	// VPMACSSDDrm
+    839225680U,	// VPMACSSDDrr
+    342186008U,	// VPMACSSDQHrm
+    839227416U,	// VPMACSSDQHrr
+    342186831U,	// VPMACSSDQLrm
+    839228239U,	// VPMACSSDQLrr
+    342185732U,	// VPMACSSWDrm
+    839227140U,	// VPMACSSWDrr
+    342191687U,	// VPMACSSWWrm
+    839233095U,	// VPMACSSWWrr
+    342185711U,	// VPMACSWDrm
+    839227119U,	// VPMACSWDrr
+    342191663U,	// VPMACSWWrm
+    839233071U,	// VPMACSWWrr
+    342185743U,	// VPMADCSSWDrm
+    839227151U,	// VPMADCSSWDrr
+    342185721U,	// VPMADCSWDrm
+    839227129U,	// VPMADCSWDrr
+    811904191U,	// VPMADDUBSWrm128
+    812608703U,	// VPMADDUBSWrm256
+    811658431U,	// VPMADDUBSWrr128
+    811658431U,	// VPMADDUBSWrr256
+    812603085U,	// VPMADDWDYrm
+    811652813U,	// VPMADDWDYrr
+    811898573U,	// VPMADDWDrm
+    811652813U,	// VPMADDWDrr
+    2735019686U,	// VPMASKMOVDYmr
+    812603046U,	// VPMASKMOVDYrm
+    2466584230U,	// VPMASKMOVDmr
+    811898534U,	// VPMASKMOVDrm
+    2735022804U,	// VPMASKMOVQYmr
+    812606164U,	// VPMASKMOVQYrm
+    2466587348U,	// VPMASKMOVQmr
+    811901652U,	// VPMASKMOVQrm
+    812601328U,	// VPMAXSBYrm
+    811651056U,	// VPMAXSBYrr
+    811896816U,	// VPMAXSBrm
+    811651056U,	// VPMAXSBrr
+    812602925U,	// VPMAXSDYrm
+    811652653U,	// VPMAXSDYrr
+    812827894U,	// VPMAXSDZrm
+    352404726U,	// VPMAXSDZrmb
+    3037840630U,	// VPMAXSDZrmbk
+    1427309814U,	// VPMAXSDZrmbkz
+    120800502U,	// VPMAXSDZrmk
+    840074486U,	// VPMAXSDZrmkz
+    811648246U,	// VPMAXSDZrr
+    814335222U,	// VPMAXSDZrrk
+    839222518U,	// VPMAXSDZrrkz
+    811898413U,	// VPMAXSDrm
+    811652653U,	// VPMAXSDrr
+    812828809U,	// VPMAXSQZrm
+    350324873U,	// VPMAXSQZrmb
+    3035728009U,	// VPMAXSQZrmbk
+    1425246345U,	// VPMAXSQZrmbkz
+    120801417U,	// VPMAXSQZrmk
+    840075401U,	// VPMAXSQZrmkz
+    811649161U,	// VPMAXSQZrr
+    814336137U,	// VPMAXSQZrrk
+    839223433U,	// VPMAXSQZrrkz
+    812608875U,	// VPMAXSWYrm
+    811658603U,	// VPMAXSWYrr
+    811904363U,	// VPMAXSWrm
+    811658603U,	// VPMAXSWrr
+    812601413U,	// VPMAXUBYrm
+    811651141U,	// VPMAXUBYrr
+    811896901U,	// VPMAXUBrm
+    811651141U,	// VPMAXUBrr
+    812603010U,	// VPMAXUDYrm
+    811652738U,	// VPMAXUDYrr
+    812827962U,	// VPMAXUDZrm
+    352404794U,	// VPMAXUDZrmb
+    3037840698U,	// VPMAXUDZrmbk
+    1427309882U,	// VPMAXUDZrmbkz
+    120800570U,	// VPMAXUDZrmk
+    840074554U,	// VPMAXUDZrmkz
+    811648314U,	// VPMAXUDZrr
+    814335290U,	// VPMAXUDZrrk
+    839222586U,	// VPMAXUDZrrkz
+    811898498U,	// VPMAXUDrm
+    811652738U,	// VPMAXUDrr
+    812828877U,	// VPMAXUQZrm
+    350324941U,	// VPMAXUQZrmb
+    3035728077U,	// VPMAXUQZrmbk
+    1425246413U,	// VPMAXUQZrmbkz
+    120801485U,	// VPMAXUQZrmk
+    840075469U,	// VPMAXUQZrmkz
+    811649229U,	// VPMAXUQZrr
+    814336205U,	// VPMAXUQZrrk
+    839223501U,	// VPMAXUQZrrkz
+    812609049U,	// VPMAXUWYrm
+    811658777U,	// VPMAXUWYrr
+    811904537U,	// VPMAXUWrm
+    811658777U,	// VPMAXUWrr
+    812601269U,	// VPMINSBYrm
+    811650997U,	// VPMINSBYrr
+    811896757U,	// VPMINSBrm
+    811650997U,	// VPMINSBrr
+    812602852U,	// VPMINSDYrm
+    811652580U,	// VPMINSDYrr
+    812827860U,	// VPMINSDZrm
+    352404692U,	// VPMINSDZrmb
+    3037840596U,	// VPMINSDZrmbk
+    1427309780U,	// VPMINSDZrmbkz
+    120800468U,	// VPMINSDZrmk
+    840074452U,	// VPMINSDZrmkz
+    811648212U,	// VPMINSDZrr
+    814335188U,	// VPMINSDZrrk
+    839222484U,	// VPMINSDZrrkz
+    811898340U,	// VPMINSDrm
+    811652580U,	// VPMINSDrr
+    812828772U,	// VPMINSQZrm
+    350324836U,	// VPMINSQZrmb
+    3035727972U,	// VPMINSQZrmbk
+    1425246308U,	// VPMINSQZrmbkz
+    120801380U,	// VPMINSQZrmk
+    840075364U,	// VPMINSQZrmkz
+    811649124U,	// VPMINSQZrr
+    814336100U,	// VPMINSQZrrk
+    839223396U,	// VPMINSQZrrkz
+    812608784U,	// VPMINSWYrm
+    811658512U,	// VPMINSWYrr
+    811904272U,	// VPMINSWrm
+    811658512U,	// VPMINSWrr
+    812601397U,	// VPMINUBYrm
+    811651125U,	// VPMINUBYrr
+    811896885U,	// VPMINUBrm
+    811651125U,	// VPMINUBrr
+    812602992U,	// VPMINUDYrm
+    811652720U,	// VPMINUDYrr
+    812827952U,	// VPMINUDZrm
+    352404784U,	// VPMINUDZrmb
+    3037840688U,	// VPMINUDZrmbk
+    1427309872U,	// VPMINUDZrmbkz
+    120800560U,	// VPMINUDZrmk
+    840074544U,	// VPMINUDZrmkz
+    811648304U,	// VPMINUDZrr
+    814335280U,	// VPMINUDZrrk
+    839222576U,	// VPMINUDZrrkz
+    811898480U,	// VPMINUDrm
+    811652720U,	// VPMINUDrr
+    812828867U,	// VPMINUQZrm
+    350324931U,	// VPMINUQZrmb
+    3035728067U,	// VPMINUQZrmbk
+    1425246403U,	// VPMINUQZrmbkz
+    120801475U,	// VPMINUQZrmk
+    840075459U,	// VPMINUQZrmkz
+    811649219U,	// VPMINUQZrr
+    814336195U,	// VPMINUQZrrk
+    839223491U,	// VPMINUQZrrkz
+    812609027U,	// VPMINUWYrm
+    811658755U,	// VPMINUWYrr
+    811904515U,	// VPMINUWrm
+    811658755U,	// VPMINUWrr
+    67141700U,	// VPMOVDBmr
+    872742980U,	// VPMOVDBmrk
+    8650820U,	// VPMOVDBrr
+    813744196U,	// VPMOVDBrrk
+    813744196U,	// VPMOVDBrrkz
+    115379239U,	// VPMOVDWmr
+    920980519U,	// VPMOVDWmrk
+    8653863U,	// VPMOVDWrr
+    813747239U,	// VPMOVDWrrk
+    813747239U,	// VPMOVDWrrkz
+    8654585U,	// VPMOVMSKBYrr
+    8654585U,	// VPMOVMSKBrr
+    67141742U,	// VPMOVQBmr
+    872743022U,	// VPMOVQBmrk
+    8650862U,	// VPMOVQBrr
+    813744238U,	// VPMOVQBrrk
+    813744238U,	// VPMOVQBrrkz
+    115377184U,	// VPMOVQDmr
+    920978464U,	// VPMOVQDmrk
+    8651808U,	// VPMOVQDrr
+    813745184U,	// VPMOVQDrrk
+    813745184U,	// VPMOVQDrrkz
+    67144796U,	// VPMOVQWmr
+    872746076U,	// VPMOVQWmrk
+    8653916U,	// VPMOVQWrr
+    813747292U,	// VPMOVQWrrk
+    813747292U,	// VPMOVQWrrkz
+    67141689U,	// VPMOVSDBmr
+    872742969U,	// VPMOVSDBmrk
+    8650809U,	// VPMOVSDBrr
+    813744185U,	// VPMOVSDBrrk
+    813744185U,	// VPMOVSDBrrkz
+    115379228U,	// VPMOVSDWmr
+    920980508U,	// VPMOVSDWmrk
+    8653852U,	// VPMOVSDWrr
+    813747228U,	// VPMOVSDWrrk
+    813747228U,	// VPMOVSDWrrkz
+    67141731U,	// VPMOVSQBmr
+    872743011U,	// VPMOVSQBmrk
+    8650851U,	// VPMOVSQBrr
+    813744227U,	// VPMOVSQBrrk
+    813744227U,	// VPMOVSQBrrkz
+    115377173U,	// VPMOVSQDmr
+    920978453U,	// VPMOVSQDmrk
+    8651797U,	// VPMOVSQDrr
+    813745173U,	// VPMOVSQDrrk
+    813745173U,	// VPMOVSQDrrkz
+    67144785U,	// VPMOVSQWmr
+    872746065U,	// VPMOVSQWmrk
+    8653905U,	// VPMOVSQWrr
+    813747281U,	// VPMOVSQWrrk
+    813747281U,	// VPMOVSQWrrkz
+    551801090U,	// VPMOVSXBDYrm
+    8655106U,	// VPMOVSXBDYrr
+    311514U,	// VPMOVSXBDZrm
+    813990106U,	// VPMOVSXBDZrmk
+    813990106U,	// VPMOVSXBDZrmkz
+    8650970U,	// VPMOVSXBDZrr
+    813744346U,	// VPMOVSXBDZrrk
+    813744346U,	// VPMOVSXBDZrrkz
+    551801090U,	// VPMOVSXBDrm
+    8655106U,	// VPMOVSXBDrr
+    384616U,	// VPMOVSXBQYrm
+    8658536U,	// VPMOVSXBQYrr
+    312969U,	// VPMOVSXBQZrm
+    813991561U,	// VPMOVSXBQZrmk
+    813991561U,	// VPMOVSXBQZrmkz
+    8652425U,	// VPMOVSXBQZrr
+    813745801U,	// VPMOVSXBQZrrk
+    813745801U,	// VPMOVSXBQZrrkz
+    384616U,	// VPMOVSXBQrm
+    8658536U,	// VPMOVSXBQrr
+    322146U,	// VPMOVSXBWYrm
+    8661602U,	// VPMOVSXBWYrr
+    551840354U,	// VPMOVSXBWrm
+    8661602U,	// VPMOVSXBWrr
+    319422U,	// VPMOVSXDQYrm
+    8658878U,	// VPMOVSXDQYrr
+    1017764U,	// VPMOVSXDQZrm
+    814696356U,	// VPMOVSXDQZrmk
+    814696356U,	// VPMOVSXDQZrmkz
+    8652708U,	// VPMOVSXDQZrr
+    813746084U,	// VPMOVSXDQZrrk
+    813746084U,	// VPMOVSXDQZrrkz
+    551837630U,	// VPMOVSXDQrm
+    8658878U,	// VPMOVSXDQrr
+    317222U,	// VPMOVSXWDYrm
+    8656678U,	// VPMOVSXWDYrr
+    1017194U,	// VPMOVSXWDZrm
+    814695786U,	// VPMOVSXWDZrmk
+    814695786U,	// VPMOVSXWDZrmkz
+    8652138U,	// VPMOVSXWDZrr
+    813745514U,	// VPMOVSXWDZrrk
+    813745514U,	// VPMOVSXWDZrrkz
+    551835430U,	// VPMOVSXWDrm
+    8656678U,	// VPMOVSXWDrr
+    551805707U,	// VPMOVSXWQYrm
+    8659723U,	// VPMOVSXWQYrr
+    313597U,	// VPMOVSXWQZrm
+    813992189U,	// VPMOVSXWQZrmk
+    813992189U,	// VPMOVSXWQZrmkz
+    8653053U,	// VPMOVSXWQZrr
+    813746429U,	// VPMOVSXWQZrrk
+    813746429U,	// VPMOVSXWQZrrkz
+    551805707U,	// VPMOVSXWQrm
+    8659723U,	// VPMOVSXWQrr
+    67141677U,	// VPMOVUSDBmr
+    872742957U,	// VPMOVUSDBmrk
+    8650797U,	// VPMOVUSDBrr
+    813744173U,	// VPMOVUSDBrrk
+    813744173U,	// VPMOVUSDBrrkz
+    115379216U,	// VPMOVUSDWmr
+    920980496U,	// VPMOVUSDWmrk
+    8653840U,	// VPMOVUSDWrr
+    813747216U,	// VPMOVUSDWrrk
+    813747216U,	// VPMOVUSDWrrkz
+    67141719U,	// VPMOVUSQBmr
+    872742999U,	// VPMOVUSQBmrk
+    8650839U,	// VPMOVUSQBrr
+    813744215U,	// VPMOVUSQBrrk
+    813744215U,	// VPMOVUSQBrrkz
+    115377161U,	// VPMOVUSQDmr
+    920978441U,	// VPMOVUSQDmrk
+    8651785U,	// VPMOVUSQDrr
+    813745161U,	// VPMOVUSQDrrk
+    813745161U,	// VPMOVUSQDrrkz
+    67144773U,	// VPMOVUSQWmr
+    872746053U,	// VPMOVUSQWmrk
+    8653893U,	// VPMOVUSQWrr
+    813747269U,	// VPMOVUSQWrrk
+    813747269U,	// VPMOVUSQWrrkz
+    551801101U,	// VPMOVZXBDYrm
+    8655117U,	// VPMOVZXBDYrr
+    311526U,	// VPMOVZXBDZrm
+    813990118U,	// VPMOVZXBDZrmk
+    813990118U,	// VPMOVZXBDZrmkz
+    8650982U,	// VPMOVZXBDZrr
+    813744358U,	// VPMOVZXBDZrrk
+    813744358U,	// VPMOVZXBDZrrkz
+    551801101U,	// VPMOVZXBDrm
+    8655117U,	// VPMOVZXBDrr
+    384627U,	// VPMOVZXBQYrm
+    8658547U,	// VPMOVZXBQYrr
+    312981U,	// VPMOVZXBQZrm
+    813991573U,	// VPMOVZXBQZrmk
+    813991573U,	// VPMOVZXBQZrmkz
+    8652437U,	// VPMOVZXBQZrr
+    813745813U,	// VPMOVZXBQZrrk
+    813745813U,	// VPMOVZXBQZrrkz
+    384627U,	// VPMOVZXBQrm
+    8658547U,	// VPMOVZXBQrr
+    322157U,	// VPMOVZXBWYrm
+    8661613U,	// VPMOVZXBWYrr
+    551840365U,	// VPMOVZXBWrm
+    8661613U,	// VPMOVZXBWrr
+    319433U,	// VPMOVZXDQYrm
+    8658889U,	// VPMOVZXDQYrr
+    1017776U,	// VPMOVZXDQZrm
+    814696368U,	// VPMOVZXDQZrmk
+    814696368U,	// VPMOVZXDQZrmkz
+    8652720U,	// VPMOVZXDQZrr
+    813746096U,	// VPMOVZXDQZrrk
+    813746096U,	// VPMOVZXDQZrrkz
+    551837641U,	// VPMOVZXDQrm
+    8658889U,	// VPMOVZXDQrr
+    317233U,	// VPMOVZXWDYrm
+    8656689U,	// VPMOVZXWDYrr
+    1017206U,	// VPMOVZXWDZrm
+    814695798U,	// VPMOVZXWDZrmk
+    814695798U,	// VPMOVZXWDZrmkz
+    8652150U,	// VPMOVZXWDZrr
+    813745526U,	// VPMOVZXWDZrrk
+    813745526U,	// VPMOVZXWDZrrkz
+    551835441U,	// VPMOVZXWDrm
+    8656689U,	// VPMOVZXWDrr
+    551805718U,	// VPMOVZXWQYrm
+    8659734U,	// VPMOVZXWQYrr
+    313609U,	// VPMOVZXWQZrm
+    813992201U,	// VPMOVZXWQZrmk
+    813992201U,	// VPMOVZXWQZrmkz
+    8653065U,	// VPMOVZXWQZrr
+    813746441U,	// VPMOVZXWQZrrk
+    813746441U,	// VPMOVZXWQZrrkz
+    551805718U,	// VPMOVZXWQrm
+    8659734U,	// VPMOVZXWQrr
+    812605243U,	// VPMULDQYrm
+    811654971U,	// VPMULDQYrr
+    812828406U,	// VPMULDQZrm
+    350324470U,	// VPMULDQZrmb
+    1425245942U,	// VPMULDQZrmbk
+    1425245942U,	// VPMULDQZrmbkz
+    840074998U,	// VPMULDQZrmk
+    840074998U,	// VPMULDQZrmkz
+    811648758U,	// VPMULDQZrr
+    839223030U,	// VPMULDQZrrk
+    839223030U,	// VPMULDQZrrkz
+    811900731U,	// VPMULDQrm
+    811654971U,	// VPMULDQrr
+    811904297U,	// VPMULHRSWrm128
+    812608809U,	// VPMULHRSWrm256
+    811658537U,	// VPMULHRSWrr128
+    811658537U,	// VPMULHRSWrr256
+    812609008U,	// VPMULHUWYrm
+    811658736U,	// VPMULHUWYrr
+    811904496U,	// VPMULHUWrm
+    811658736U,	// VPMULHUWrr
+    812608382U,	// VPMULHWYrm
+    811658110U,	// VPMULHWYrr
+    811903870U,	// VPMULHWrm
+    811658110U,	// VPMULHWrr
+    812601759U,	// VPMULLDYrm
+    811651487U,	// VPMULLDYrr
+    812826938U,	// VPMULLDZrm
+    352403770U,	// VPMULLDZrmb
+    3037839674U,	// VPMULLDZrmbk
+    1427308858U,	// VPMULLDZrmbkz
+    120799546U,	// VPMULLDZrmk
+    840073530U,	// VPMULLDZrmkz
+    811647290U,	// VPMULLDZrr
+    814334266U,	// VPMULLDZrrk
+    839221562U,	// VPMULLDZrrkz
+    811897247U,	// VPMULLDrm
+    811651487U,	// VPMULLDrr
+    812608451U,	// VPMULLWYrm
+    811658179U,	// VPMULLWYrr
+    811903939U,	// VPMULLWrm
+    811658179U,	// VPMULLWrr
+    812605364U,	// VPMULUDQYrm
+    811655092U,	// VPMULUDQYrr
+    812828569U,	// VPMULUDQZrm
+    350324633U,	// VPMULUDQZrmb
+    1425246105U,	// VPMULUDQZrmbk
+    1425246105U,	// VPMULUDQZrmbkz
+    840075161U,	// VPMULUDQZrmk
+    840075161U,	// VPMULUDQZrmkz
+    811648921U,	// VPMULUDQZrr
+    839223193U,	// VPMULUDQZrrk
+    839223193U,	// VPMULUDQZrrkz
+    811900852U,	// VPMULUDQrm
+    811655092U,	// VPMULUDQrr
+    812827706U,	// VPORDZrm
+    352404538U,	// VPORDZrmb
+    3037840442U,	// VPORDZrmbk
+    1427309626U,	// VPORDZrmbkz
+    120800314U,	// VPORDZrmk
+    840074298U,	// VPORDZrmkz
+    811648058U,	// VPORDZrr
+    814335034U,	// VPORDZrrk
+    839222330U,	// VPORDZrrkz
+    812828738U,	// VPORQZrm
+    350324802U,	// VPORQZrmb
+    3035727938U,	// VPORQZrmbk
+    1425246274U,	// VPORQZrmbkz
+    120801346U,	// VPORQZrmk
+    840075330U,	// VPORQZrmkz
+    811649090U,	// VPORQZrr
+    814336066U,	// VPORQZrrk
+    839223362U,	// VPORQZrrkz
+    812606332U,	// VPORYrm
+    811656060U,	// VPORYrr
+    811901820U,	// VPORrm
+    811656060U,	// VPORrr
+    342187290U,	// VPPERMmr
+    840047898U,	// VPPERMrm
+    839228698U,	// VPPERMrr
+    25497615U,	// VPROTBmi
+    25497615U,	// VPROTBmr
+    811651087U,	// VPROTBri
+    811896847U,	// VPROTBrm
+    811651087U,	// VPROTBrr
+    25499217U,	// VPROTDmi
+    25499217U,	// VPROTDmr
+    811652689U,	// VPROTDri
+    811898449U,	// VPROTDrm
+    811652689U,	// VPROTDrr
+    25502321U,	// VPROTQmi
+    25502321U,	// VPROTQmr
+    811655793U,	// VPROTQri
+    811901553U,	// VPROTQrm
+    811655793U,	// VPROTQrr
+    25505235U,	// VPROTWmi
+    25505235U,	// VPROTWmr
+    811658707U,	// VPROTWri
+    811904467U,	// VPROTWrm
+    811658707U,	// VPROTWrr
+    812608011U,	// VPSADBWYrm
+    811657739U,	// VPSADBWYrr
+    811903499U,	// VPSADBWrm
+    811657739U,	// VPSADBWrr
+    832913689U,	// VPSCATTERDDZmr
+    835012410U,	// VPSCATTERDQZmr
+    835011579U,	// VPSCATTERQDZmr
+    835012644U,	// VPSCATTERQQZmr
+    25497239U,	// VPSHABmr
+    811896471U,	// VPSHABrm
+    811650711U,	// VPSHABrr
+    25497804U,	// VPSHADmr
+    811897036U,	// VPSHADrm
+    811651276U,	// VPSHADrr
+    25501221U,	// VPSHAQmr
+    811900453U,	// VPSHAQrm
+    811654693U,	// VPSHAQrr
+    25504217U,	// VPSHAWmr
+    811903449U,	// VPSHAWrm
+    811657689U,	// VPSHAWrr
+    25497360U,	// VPSHLBmr
+    811896592U,	// VPSHLBrm
+    811650832U,	// VPSHLBrr
+    25497999U,	// VPSHLDmr
+    811897231U,	// VPSHLDrm
+    811651471U,	// VPSHLDrr
+    25501858U,	// VPSHLQmr
+    811901090U,	// VPSHLQrm
+    811655330U,	// VPSHLQrr
+    25504683U,	// VPSHLWmr
+    811903915U,	// VPSHLWrm
+    811658155U,	// VPSHLWrr
+    812601044U,	// VPSHUFBYrm
+    811650772U,	// VPSHUFBYrr
+    811896532U,	// VPSHUFBrm
+    811650772U,	// VPSHUFBrr
+    149229922U,	// VPSHUFDYmi
+    811651426U,	// VPSHUFDYri
+    147128615U,	// VPSHUFDZmi
+    811647271U,	// VPSHUFDZri
+    25497954U,	// VPSHUFDmi
+    811651426U,	// VPSHUFDri
+    149236596U,	// VPSHUFHWYmi
+    811658100U,	// VPSHUFHWYri
+    25504628U,	// VPSHUFHWmi
+    811658100U,	// VPSHUFHWri
+    149236641U,	// VPSHUFLWYmi
+    811658145U,	// VPSHUFLWYri
+    25504673U,	// VPSHUFLWmi
+    811658145U,	// VPSHUFLWri
+    812601133U,	// VPSIGNBYrm
+    811650861U,	// VPSIGNBYrr
+    811896621U,	// VPSIGNBrm
+    811650861U,	// VPSIGNBrr
+    812601808U,	// VPSIGNDYrm
+    811651536U,	// VPSIGNDYrr
+    811897296U,	// VPSIGNDrm
+    811651536U,	// VPSIGNDrr
+    812608503U,	// VPSIGNWYrm
+    811658231U,	// VPSIGNWYrr
+    811903991U,	// VPSIGNWrm
+    811658231U,	// VPSIGNWrr
+    811654953U,	// VPSLLDQYri
+    811654953U,	// VPSLLDQri
+    811651479U,	// VPSLLDYri
+    811897239U,	// VPSLLDYrm
+    811651479U,	// VPSLLDYrr
+    147128625U,	// VPSLLDZmi
+    623198513U,	// VPSLLDZmik
+    811647281U,	// VPSLLDZri
+    839221553U,	// VPSLLDZrik
+    811893041U,	// VPSLLDZrm
+    840040753U,	// VPSLLDZrmk
+    811647281U,	// VPSLLDZrr
+    839221553U,	// VPSLLDZrrk
+    811651479U,	// VPSLLDri
+    811897239U,	// VPSLLDrm
+    811651479U,	// VPSLLDrr
+    811655345U,	// VPSLLQYri
+    811901105U,	// VPSLLQYrm
+    811655345U,	// VPSLLQYrr
+    147130300U,	// VPSLLQZmi
+    623200188U,	// VPSLLQZmik
+    811648956U,	// VPSLLQZri
+    839223228U,	// VPSLLQZrik
+    811894716U,	// VPSLLQZrm
+    840042428U,	// VPSLLQZrmk
+    811648956U,	// VPSLLQZrr
+    839223228U,	// VPSLLQZrrk
+    811655345U,	// VPSLLQri
+    811901105U,	// VPSLLQrm
+    811655345U,	// VPSLLQrr
+    812603028U,	// VPSLLVDYrm
+    811652756U,	// VPSLLVDYrr
+    812827982U,	// VPSLLVDZrm
+    811648334U,	// VPSLLVDZrr
+    811898516U,	// VPSLLVDrm
+    811652756U,	// VPSLLVDrr
+    812606146U,	// VPSLLVQYrm
+    811655874U,	// VPSLLVQYrr
+    812828897U,	// VPSLLVQZrm
+    811649249U,	// VPSLLVQZrr
+    811901634U,	// VPSLLVQrm
+    811655874U,	// VPSLLVQrr
+    811658171U,	// VPSLLWYri
+    811903931U,	// VPSLLWYrm
+    811658171U,	// VPSLLWYrr
+    811658171U,	// VPSLLWri
+    811903931U,	// VPSLLWrm
+    811658171U,	// VPSLLWrr
+    811651284U,	// VPSRADYri
+    811897044U,	// VPSRADYrm
+    811651284U,	// VPSRADYrr
+    147128520U,	// VPSRADZmi
+    623198408U,	// VPSRADZmik
+    811647176U,	// VPSRADZri
+    839221448U,	// VPSRADZrik
+    811892936U,	// VPSRADZrm
+    840040648U,	// VPSRADZrmk
+    811647176U,	// VPSRADZrr
+    839221448U,	// VPSRADZrrk
+    811651284U,	// VPSRADri
+    811897044U,	// VPSRADrm
+    811651284U,	// VPSRADrr
+    147129975U,	// VPSRAQZmi
+    623199863U,	// VPSRAQZmik
+    811648631U,	// VPSRAQZri
+    839222903U,	// VPSRAQZrik
+    811894391U,	// VPSRAQZrm
+    840042103U,	// VPSRAQZrmk
+    811648631U,	// VPSRAQZrr
+    839222903U,	// VPSRAQZrrk
+    812603019U,	// VPSRAVDYrm
+    811652747U,	// VPSRAVDYrr
+    812827972U,	// VPSRAVDZrm
+    811648324U,	// VPSRAVDZrr
+    811898507U,	// VPSRAVDrm
+    811652747U,	// VPSRAVDrr
+    812828887U,	// VPSRAVQZrm
+    811649239U,	// VPSRAVQZrr
+    811657697U,	// VPSRAWYri
+    811903457U,	// VPSRAWYrm
+    811657697U,	// VPSRAWYrr
+    811657697U,	// VPSRAWri
+    811903457U,	// VPSRAWrm
+    811657697U,	// VPSRAWrr
+    811654962U,	// VPSRLDQYri
+    811654962U,	// VPSRLDQri
+    811651496U,	// VPSRLDYri
+    811897256U,	// VPSRLDYrm
+    811651496U,	// VPSRLDYrr
+    147128644U,	// VPSRLDZmi
+    623198532U,	// VPSRLDZmik
+    811647300U,	// VPSRLDZri
+    839221572U,	// VPSRLDZrik
+    811893060U,	// VPSRLDZrm
+    840040772U,	// VPSRLDZrmk
+    811647300U,	// VPSRLDZrr
+    839221572U,	// VPSRLDZrrk
+    811651496U,	// VPSRLDri
+    811897256U,	// VPSRLDrm
+    811651496U,	// VPSRLDrr
+    811655359U,	// VPSRLQYri
+    811901119U,	// VPSRLQYrm
+    811655359U,	// VPSRLQYrr
+    147130309U,	// VPSRLQZmi
+    623200197U,	// VPSRLQZmik
+    811648965U,	// VPSRLQZri
+    839223237U,	// VPSRLQZrik
+    811894725U,	// VPSRLQZrm
+    840042437U,	// VPSRLQZrmk
+    811648965U,	// VPSRLQZrr
+    839223237U,	// VPSRLQZrrk
+    811655359U,	// VPSRLQri
+    811901119U,	// VPSRLQrm
+    811655359U,	// VPSRLQrr
+    812603037U,	// VPSRLVDYrm
+    811652765U,	// VPSRLVDYrr
+    812827992U,	// VPSRLVDZrm
+    811648344U,	// VPSRLVDZrr
+    811898525U,	// VPSRLVDrm
+    811652765U,	// VPSRLVDrr
+    812606155U,	// VPSRLVQYrm
+    811655883U,	// VPSRLVQYrr
+    812828907U,	// VPSRLVQZrm
+    811649259U,	// VPSRLVQZrr
+    811901643U,	// VPSRLVQrm
+    811655883U,	// VPSRLVQrr
+    811658194U,	// VPSRLWYri
+    811903954U,	// VPSRLWYrm
+    811658194U,	// VPSRLWYrr
+    811658194U,	// VPSRLWri
+    811903954U,	// VPSRLWrm
+    811658194U,	// VPSRLWrr
+    812600997U,	// VPSUBBYrm
+    811650725U,	// VPSUBBYrr
+    811896485U,	// VPSUBBrm
+    811650725U,	// VPSUBBrr
+    812601594U,	// VPSUBDYrm
+    811651322U,	// VPSUBDYrr
+    812826833U,	// VPSUBDZrm
+    352403665U,	// VPSUBDZrmb
+    3037839569U,	// VPSUBDZrmbk
+    1427308753U,	// VPSUBDZrmbkz
+    120799441U,	// VPSUBDZrmk
+    840073425U,	// VPSUBDZrmkz
+    811647185U,	// VPSUBDZrr
+    814334161U,	// VPSUBDZrrk
+    839221457U,	// VPSUBDZrrkz
+    811897082U,	// VPSUBDrm
+    811651322U,	// VPSUBDrr
+    812605016U,	// VPSUBQYrm
+    811654744U,	// VPSUBQYrr
+    812828288U,	// VPSUBQZrm
+    350324352U,	// VPSUBQZrmb
+    3035727488U,	// VPSUBQZrmbk
+    1425245824U,	// VPSUBQZrmbkz
+    120800896U,	// VPSUBQZrmk
+    840074880U,	// VPSUBQZrmkz
+    811648640U,	// VPSUBQZrr
+    814335616U,	// VPSUBQZrrk
+    839222912U,	// VPSUBQZrrkz
+    811900504U,	// VPSUBQrm
+    811654744U,	// VPSUBQrr
+    812601244U,	// VPSUBSBYrm
+    811650972U,	// VPSUBSBYrr
+    811896732U,	// VPSUBSBrm
+    811650972U,	// VPSUBSBrr
+    812608725U,	// VPSUBSWYrm
+    811658453U,	// VPSUBSWYrr
+    811904213U,	// VPSUBSWrm
+    811658453U,	// VPSUBSWrr
+    812601292U,	// VPSUBUSBYrm
+    811651020U,	// VPSUBUSBYrr
+    811896780U,	// VPSUBUSBrm
+    811651020U,	// VPSUBUSBrr
+    812608847U,	// VPSUBUSWYrm
+    811658575U,	// VPSUBUSWYrr
+    811904335U,	// VPSUBUSWrm
+    811658575U,	// VPSUBUSWrr
+    812608082U,	// VPSUBWYrm
+    811657810U,	// VPSUBWYrr
+    811903570U,	// VPSUBWrm
+    811657810U,	// VPSUBWrr
+    812532078U,	// VPTESTMDZrm
+    811647342U,	// VPTESTMDZrr
+    812533743U,	// VPTESTMQZrm
+    811649007U,	// VPTESTMQZrr
+    812532057U,	// VPTESTNMDZrm
+    811647321U,	// VPTESTNMDZrr
+    812533722U,	// VPTESTNMQZrm
+    811648986U,	// VPTESTNMQZrr
+    1026389U,	// VPTESTYrm
+    8661333U,	// VPTESTYrr
+    584021U,	// VPTESTrm
+    8661333U,	// VPTESTrr
+    812608030U,	// VPUNPCKHBWYrm
+    811657758U,	// VPUNPCKHBWYrr
+    811903518U,	// VPUNPCKHBWrm
+    811657758U,	// VPUNPCKHBWrr
+    812605194U,	// VPUNPCKHDQYrm
+    811654922U,	// VPUNPCKHDQYrr
+    812828380U,	// VPUNPCKHDQZrm
+    811648732U,	// VPUNPCKHDQZrr
+    811900682U,	// VPUNPCKHDQrm
+    811654922U,	// VPUNPCKHDQrr
+    812605261U,	// VPUNPCKHQDQYrm
+    811654989U,	// VPUNPCKHQDQYrr
+    812828433U,	// VPUNPCKHQDQZrm
+    811648785U,	// VPUNPCKHQDQZrr
+    811900749U,	// VPUNPCKHQDQrm
+    811654989U,	// VPUNPCKHQDQrr
+    812603095U,	// VPUNPCKHWDYrm
+    811652823U,	// VPUNPCKHWDYrr
+    811898583U,	// VPUNPCKHWDrm
+    811652823U,	// VPUNPCKHWDrr
+    812608042U,	// VPUNPCKLBWYrm
+    811657770U,	// VPUNPCKLBWYrr
+    811903530U,	// VPUNPCKLBWrm
+    811657770U,	// VPUNPCKLBWrr
+    812605213U,	// VPUNPCKLDQYrm
+    811654941U,	// VPUNPCKLDQYrr
+    812828393U,	// VPUNPCKLDQZrm
+    811648745U,	// VPUNPCKLDQZrr
+    811900701U,	// VPUNPCKLDQrm
+    811654941U,	// VPUNPCKLDQrr
+    812605274U,	// VPUNPCKLQDQYrm
+    811655002U,	// VPUNPCKLQDQYrr
+    812828447U,	// VPUNPCKLQDQZrm
+    811648799U,	// VPUNPCKLQDQZrr
+    811900762U,	// VPUNPCKLQDQrm
+    811655002U,	// VPUNPCKLQDQrr
+    812603107U,	// VPUNPCKLWDYrm
+    811652835U,	// VPUNPCKLWDYrr
+    811898595U,	// VPUNPCKLWDrm
+    811652835U,	// VPUNPCKLWDrr
+    812827722U,	// VPXORDZrm
+    352404554U,	// VPXORDZrmb
+    3037840458U,	// VPXORDZrmbk
+    1427309642U,	// VPXORDZrmbkz
+    120800330U,	// VPXORDZrmk
+    840074314U,	// VPXORDZrmkz
+    811648074U,	// VPXORDZrr
+    814335050U,	// VPXORDZrrk
+    839222346U,	// VPXORDZrrkz
+    812828754U,	// VPXORQZrm
+    350324818U,	// VPXORQZrmb
+    3035727954U,	// VPXORQZrmbk
+    1425246290U,	// VPXORQZrmbkz
+    120801362U,	// VPXORQZrmk
+    840075346U,	// VPXORQZrmkz
+    811649106U,	// VPXORQZrr
+    814336082U,	// VPXORQZrrk
+    839223378U,	// VPXORQZrrkz
+    812606355U,	// VPXORYrm
+    811656083U,	// VPXORYrr
+    811901843U,	// VPXORrm
+    811656083U,	// VPXORrr
+    1081995U,	// VRCP14PDZm
+    8651403U,	// VRCP14PDZr
+    1083914U,	// VRCP14PSZm
+    8653322U,	// VRCP14PSZr
+    283264141U,	// VRCP14SDrm
+    811648141U,	// VRCP14SDrr
+    283282343U,	// VRCP14SSrm
+    811649959U,	// VRCP14SSrr
+    1082019U,	// VRCP28PDZm
+    8651427U,	// VRCP28PDZr
+    8663430U,	// VRCP28PDZrb
+    1083938U,	// VRCP28PSZm
+    8653346U,	// VRCP28PSZr
+    8663506U,	// VRCP28PSZrb
+    283264165U,	// VRCP28SDrm
+    811648165U,	// VRCP28SDrr
+    811659692U,	// VRCP28SDrrb
+    283282367U,	// VRCP28SSrm
+    811649983U,	// VRCP28SSrr
+    811659768U,	// VRCP28SSrrb
+    1074826U,	// VRCPPSYm
+    1074826U,	// VRCPPSYm_Int
+    8660618U,	// VRCPPSYr
+    8660618U,	// VRCPPSYr_Int
+    583306U,	// VRCPPSm
+    583306U,	// VRCPPSm_Int
+    8660618U,	// VRCPPSr
+    8660618U,	// VRCPPSr_Int
+    283289728U,	// VRCPSSm
+    283289728U,	// VRCPSSm_Int
+    811657344U,	// VRCPSSr
+    151323434U,	// VRNDSCALEPDZm
+    811647786U,	// VRNDSCALEPDZr
+    151325353U,	// VRNDSCALEPSZm
+    811649705U,	// VRNDSCALEPSZr
+    283264198U,	// VRNDSCALESDm
+    811648198U,	// VRNDSCALESDr
+    283282391U,	// VRNDSCALESSm
+    811650007U,	// VRNDSCALESSr
+    77927330U,	// VROUNDPDm
+    811652002U,	// VROUNDPDr
+    77932006U,	// VROUNDPSm
+    811656678U,	// VROUNDPSr
+    312825279U,	// VROUNDSDm
+    839226815U,	// VROUNDSDr
+    839226815U,	// VROUNDSDr_Int
+    317024339U,	// VROUNDSSm
+    839231571U,	// VROUNDSSr
+    839231571U,	// VROUNDSSr_Int
+    145036194U,	// VROUNDYPDm
+    811652002U,	// VROUNDYPDr
+    145040870U,	// VROUNDYPSm
+    811656678U,	// VROUNDYPSr
+    1082006U,	// VRSQRT14PDZm
+    8651414U,	// VRSQRT14PDZr
+    1083925U,	// VRSQRT14PSZm
+    8653333U,	// VRSQRT14PSZr
+    283264152U,	// VRSQRT14SDrm
+    811648152U,	// VRSQRT14SDrr
+    283282354U,	// VRSQRT14SSrm
+    811649970U,	// VRSQRT14SSrr
+    1082030U,	// VRSQRT28PDZm
+    8651438U,	// VRSQRT28PDZr
+    8663448U,	// VRSQRT28PDZrb
+    1083949U,	// VRSQRT28PSZm
+    8653357U,	// VRSQRT28PSZr
+    8663524U,	// VRSQRT28PSZrb
+    283264176U,	// VRSQRT28SDrm
+    811648176U,	// VRSQRT28SDrr
+    811659710U,	// VRSQRT28SDrrb
+    283282378U,	// VRSQRT28SSrm
+    811649994U,	// VRSQRT28SSrr
+    811659786U,	// VRSQRT28SSrrb
+    1074909U,	// VRSQRTPSYm
+    1074909U,	// VRSQRTPSYm_Int
+    8660701U,	// VRSQRTPSYr
+    8660701U,	// VRSQRTPSYr_Int
+    583389U,	// VRSQRTPSm
+    583389U,	// VRSQRTPSm_Int
+    8660701U,	// VRSQRTPSr
+    8660701U,	// VRSQRTPSr_Int
+    283289753U,	// VRSQRTSSm
+    283289753U,	// VRSQRTSSm_Int
+    811657369U,	// VRSQRTSSr
+    835011356U,	// VSCATTERDPDZmr
+    832916123U,	// VSCATTERDPSZmr
+    109298388U,	// VSCATTERPF0DPDm
+    109300307U,	// VSCATTERPF0DPSm
+    109331343U,	// VSCATTERPF0QPDm
+    109333262U,	// VSCATTERPF0QPSm
+    109298421U,	// VSCATTERPF1DPDm
+    109300340U,	// VSCATTERPF1DPSm
+    109331376U,	// VSCATTERPF1QPDm
+    109333295U,	// VSCATTERPF1QPSm
+    835011534U,	// VSCATTERQPDZmr
+    835013453U,	// VSCATTERQPSZmr
+    90526648U,	// VSHUFPDYrmi
+    839226296U,	// VSHUFPDYrri
+    94716728U,	// VSHUFPDZrmi
+    839222072U,	// VSHUFPDZrri
+    300241848U,	// VSHUFPDrmi
+    839226296U,	// VSHUFPDrri
+    90531324U,	// VSHUFPSYrmi
+    839230972U,	// VSHUFPSYrri
+    94718647U,	// VSHUFPSZrmi
+    839223991U,	// VSHUFPSZrri
+    300246524U,	// VSHUFPSrmi
+    839230972U,	// VSHUFPSrri
+    1070173U,	// VSQRTPDYm
+    8655965U,	// VSQRTPDYr
+    1086557U,	// VSQRTPDZrm
+    8655965U,	// VSQRTPDZrr
+    578653U,	// VSQRTPDm
+    8655965U,	// VSQRTPDr
+    1074919U,	// VSQRTPSYm
+    8660711U,	// VSQRTPSYr
+    1091303U,	// VSQRTPSZrm
+    8660711U,	// VSQRTPSZrr
+    583399U,	// VSQRTPSm
+    8660711U,	// VSQRTPSr
+    283268614U,	// VSQRTSDZm
+    283268614U,	// VSQRTSDZm_Int
+    811652614U,	// VSQRTSDZr
+    811652614U,	// VSQRTSDZr_Int
+    283268614U,	// VSQRTSDm
+    283268614U,	// VSQRTSDm_Int
+    811652614U,	// VSQRTSDr
+    283289763U,	// VSQRTSSZm
+    283289763U,	// VSQRTSSZm_Int
+    811657379U,	// VSQRTSSZr
+    811657379U,	// VSQRTSSZr_Int
+    283289763U,	// VSQRTSSm
+    283289763U,	// VSQRTSSm_Int
+    811657379U,	// VSQRTSSr
+    238506U,	// VSTMXCSR
+    812520277U,	// VSUBPDYrm
+    811651925U,	// VSUBPDYrr
+    812532411U,	// VSUBPDZrm
+    350372539U,	// VSUBPDZrmb
+    1424917179U,	// VSUBPDZrmbk
+    1424917179U,	// VSUBPDZrmbkz
+    839832405U,	// VSUBPDZrmk
+    839832405U,	// VSUBPDZrmkz
+    811647675U,	// VSUBPDZrr
+    839221947U,	// VSUBPDZrrk
+    839221947U,	// VSUBPDZrrkz
+    811733845U,	// VSUBPDrm
+    811651925U,	// VSUBPDrr
+    812524953U,	// VSUBPSYrm
+    811656601U,	// VSUBPSYrr
+    812534330U,	// VSUBPSZrm
+    352487994U,	// VSUBPSZrmb
+    1427049018U,	// VSUBPSZrmbk
+    1427049018U,	// VSUBPSZrmbkz
+    839837081U,	// VSUBPSZrmk
+    839837081U,	// VSUBPSZrmkz
+    811649594U,	// VSUBPSZrr
+    839223866U,	// VSUBPSZrrk
+    839223866U,	// VSUBPSZrrkz
+    811738521U,	// VSUBPSrm
+    811656601U,	// VSUBPSrr
+    283268506U,	// VSUBSDZrm
+    811652506U,	// VSUBSDZrr
+    283268506U,	// VSUBSDrm
+    283268506U,	// VSUBSDrm_Int
+    811652506U,	// VSUBSDrr
+    811652506U,	// VSUBSDrr_Int
+    283289646U,	// VSUBSSZrm
+    811657262U,	// VSUBSSZrr
+    283289646U,	// VSUBSSrm
+    283289646U,	// VSUBSSrm_Int
+    811657262U,	// VSUBSSrr
+    811657262U,	// VSUBSSrr_Int
+    1070182U,	// VTESTPDYrm
+    8655974U,	// VTESTPDYrr
+    578662U,	// VTESTPDrm
+    8655974U,	// VTESTPDrr
+    1074928U,	// VTESTPSYrm
+    8660720U,	// VTESTPSYrr
+    583408U,	// VTESTPSrm
+    8660720U,	// VTESTPSrr
+    595401U,	// VUCOMISDZrm
+    8656329U,	// VUCOMISDZrr
+    595401U,	// VUCOMISDrm
+    8656329U,	// VUCOMISDrr
+    616541U,	// VUCOMISSZrm
+    8661085U,	// VUCOMISSZrr
+    616541U,	// VUCOMISSrm
+    8661085U,	// VUCOMISSrr
+    812520385U,	// VUNPCKHPDYrm
+    811652033U,	// VUNPCKHPDYrr
+    812536769U,	// VUNPCKHPDZrm
+    811652033U,	// VUNPCKHPDZrr
+    811733953U,	// VUNPCKHPDrm
+    811652033U,	// VUNPCKHPDrr
+    812525061U,	// VUNPCKHPSYrm
+    811656709U,	// VUNPCKHPSYrr
+    812541445U,	// VUNPCKHPSZrm
+    811656709U,	// VUNPCKHPSZrr
+    811738629U,	// VUNPCKHPSrm
+    811656709U,	// VUNPCKHPSrr
+    812520427U,	// VUNPCKLPDYrm
+    811652075U,	// VUNPCKLPDYrr
+    812536811U,	// VUNPCKLPDZrm
+    811652075U,	// VUNPCKLPDZrr
+    811733995U,	// VUNPCKLPDrm
+    811652075U,	// VUNPCKLPDrr
+    812525123U,	// VUNPCKLPSYrm
+    811656771U,	// VUNPCKLPSYrr
+    812541507U,	// VUNPCKLPSZrm
+    811656771U,	// VUNPCKLPSZrr
+    811738691U,	// VUNPCKLPSrm
+    811656771U,	// VUNPCKLPSrr
+    812520523U,	// VXORPDYrm
+    811652171U,	// VXORPDYrr
+    811734091U,	// VXORPDrm
+    811652171U,	// VXORPDrr
+    812525236U,	// VXORPSYrm
+    811656884U,	// VXORPSYrr
+    811738804U,	// VXORPSrm
+    811656884U,	// VXORPSrr
+    14212U,	// VZEROALL
+    14485U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    417534U,	// W64ALLOCA
-    15186U,	// WAIT
-    14279U,	// WBINVD
-    14616U,	// WIN_ALLOCA
-    14423U,	// WIN_FTOL_32
-    14423U,	// WIN_FTOL_64
-    22558U,	// WRFSBASE
-    24169U,	// WRFSBASE64
-    22580U,	// WRGSBASE
-    24191U,	// WRGSBASE64
-    14812U,	// WRMSR
-    26494U,	// XABORT
-    14336U,	// XACQUIRE_PREFIX
-    4237580U,	// XADD16rm
-    8546572U,	// XADD16rr
-    12621721U,	// XADD32rm
-    8542105U,	// XADD32rr
-    18914628U,	// XADD64rm
-    8543556U,	// XADD64rr
-    23104795U,	// XADD8rm
-    8539419U,	// XADD8rr
-    14048U,	// XBEGIN
-    416662U,	// XBEGIN_4
-    2124198U,	// XCHG16ar
-    54684070U,	// XCHG16rm
-    33712550U,	// XCHG16rr
-    10508384U,	// XCHG32ar
-    10508384U,	// XCHG32ar64
-    56776800U,	// XCHG32rm
-    33708128U,	// XCHG32rr
-    16801460U,	// XCHG64ar
-    58875572U,	// XCHG64rm
-    33709748U,	// XCHG64rr
-    60968250U,	// XCHG8rm
-    33705274U,	// XCHG8rr
-    22096U,	// XCH_F
-    14197U,	// XCRYPTCBC
-    14141U,	// XCRYPTCFB
-    14818U,	// XCRYPTCTR
-    14131U,	// XCRYPTECB
-    14151U,	// XCRYPTOFB
-    14260U,	// XEND
-    15227U,	// XGETBV
-    14181U,	// XLAT
-    2124491U,	// XOR16i16
-    4238027U,	// XOR16mi
-    4238027U,	// XOR16mi8
-    4238027U,	// XOR16mr
-    6351563U,	// XOR16ri
-    6351563U,	// XOR16ri8
-    6367947U,	// XOR16rm
-    6351563U,	// XOR16rr
-    8448715U,	// XOR16rr_REV
-    10508766U,	// XOR32i32
-    12622302U,	// XOR32mi
-    12622302U,	// XOR32mi8
-    12622302U,	// XOR32mr
-    6347230U,	// XOR32ri
-    6347230U,	// XOR32ri8
-    283204062U,	// XOR32rm
-    6347230U,	// XOR32rr
-    8444382U,	// XOR32rr_REV
-    16801740U,	// XOR64i32
-    18915276U,	// XOR64mi32
-    18915276U,	// XOR64mi8
-    18915276U,	// XOR64mr
-    6348748U,	// XOR64ri32
-    6348748U,	// XOR64ri8
-    283221964U,	// XOR64rm
-    6348748U,	// XOR64rr
-    8445900U,	// XOR64rr_REV
-    20991424U,	// XOR8i8
-    23104960U,	// XOR8mi
-    23104960U,	// XOR8mr
-    6344128U,	// XOR8ri
-    6344128U,	// XOR8ri8
-    118208U,	// XOR8rm
-    6344128U,	// XOR8rr
-    8441280U,	// XOR8rr_REV
-    8573600U,	// XORPDrm
-    8442528U,	// XORPDrr
-    8578313U,	// XORPSrm
-    8447241U,	// XORPSrr
-    14352U,	// XRELEASE_PREFIX
-    631263U,	// XRSTOR
-    630723U,	// XRSTOR64
-    628261U,	// XSAVE
-    630421U,	// XSAVE64
-    632685U,	// XSAVEOPT
-    630989U,	// XSAVEOPT64
-    15234U,	// XSETBV
-    13775U,	// XSHA1
-    14010U,	// XSHA256
-    14345U,	// XSTORE
-    15216U,	// XTEST
+    417962U,	// W64ALLOCA
+    14899U,	// WAIT
+    13955U,	// WBINVD
+    14323U,	// WIN_ALLOCA
+    14130U,	// WIN_FTOL_32
+    14130U,	// WIN_FTOL_64
+    22986U,	// WRFSBASE
+    24597U,	// WRFSBASE64
+    23008U,	// WRGSBASE
+    24619U,	// WRGSBASE64
+    14519U,	// WRMSR
+    26922U,	// XABORT
+    14012U,	// XACQUIRE_PREFIX
+    4238008U,	// XADD16rm
+    8661688U,	// XADD16rr
+    12622149U,	// XADD32rm
+    8657221U,	// XADD32rr
+    18915056U,	// XADD64rm
+    8658672U,	// XADD64rr
+    23105223U,	// XADD8rm
+    8654535U,	// XADD8rr
+    13724U,	// XBEGIN
+    417090U,	// XBEGIN_4
+    2124626U,	// XCHG16ar
+    54799186U,	// XCHG16rm
+    33827666U,	// XCHG16rr
+    10508812U,	// XCHG32ar
+    10508812U,	// XCHG32ar64
+    56891916U,	// XCHG32rm
+    33823244U,	// XCHG32rr
+    16801888U,	// XCHG64ar
+    58990688U,	// XCHG64rm
+    33824864U,	// XCHG64rr
+    61083366U,	// XCHG8rm
+    33820390U,	// XCHG8rr
+    22524U,	// XCH_F
+    13873U,	// XCRYPTCBC
+    13817U,	// XCRYPTCFB
+    14525U,	// XCRYPTCTR
+    13807U,	// XCRYPTECB
+    13827U,	// XCRYPTOFB
+    13936U,	// XEND
+    14946U,	// XGETBV
+    13857U,	// XLAT
+    2124919U,	// XOR16i16
+    4238455U,	// XOR16mi
+    4238455U,	// XOR16mi8
+    4238455U,	// XOR16mr
+    6351991U,	// XOR16ri
+    6351991U,	// XOR16ri8
+    6368375U,	// XOR16rm
+    6351991U,	// XOR16rr
+    8449143U,	// XOR16rr_REV
+    10509194U,	// XOR32i32
+    12622730U,	// XOR32mi
+    12622730U,	// XOR32mi8
+    12622730U,	// XOR32mr
+    6347658U,	// XOR32ri
+    6347658U,	// XOR32ri8
+    283204490U,	// XOR32rm
+    6347658U,	// XOR32rr
+    8444810U,	// XOR32rr_REV
+    16802168U,	// XOR64i32
+    18915704U,	// XOR64mi32
+    18915704U,	// XOR64mi8
+    18915704U,	// XOR64mr
+    6349176U,	// XOR64ri32
+    6349176U,	// XOR64ri8
+    283222392U,	// XOR64rm
+    6349176U,	// XOR64rr
+    8446328U,	// XOR64rr_REV
+    20991852U,	// XOR8i8
+    23105388U,	// XOR8mi
+    23105388U,	// XOR8mr
+    6344556U,	// XOR8ri
+    6344556U,	// XOR8ri8
+    118636U,	// XOR8rm
+    6344556U,	// XOR8rr
+    8441708U,	// XOR8rr_REV
+    8524876U,	// XORPDrm
+    8442956U,	// XORPDrr
+    8529589U,	// XORPSrm
+    8447669U,	// XORPSrr
+    14028U,	// XRELEASE_PREFIX
+    631691U,	// XRSTOR
+    631151U,	// XRSTOR64
+    628689U,	// XSAVE
+    630849U,	// XSAVE64
+    633113U,	// XSAVEOPT
+    631417U,	// XSAVEOPT64
+    14953U,	// XSETBV
+    13451U,	// XSHA1
+    13686U,	// XSHA256
+    14021U,	// XSTORE
+    14929U,	// XTEST
     0U
   };
 
-  static const uint8_t OpInfo2[] = {
+  static const uint16_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -5487,6 +6296,7 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// AAA
     0U,	// AAD8i8
     0U,	// AAM8i8
@@ -5687,49 +6497,6 @@
     0U,	// ANDPSrr
     0U,	// ARPL16mr
     0U,	// ARPL16rr
-    0U,	// ATOMADD6432
-    0U,	// ATOMAND16
-    0U,	// ATOMAND32
-    0U,	// ATOMAND64
-    0U,	// ATOMAND6432
-    0U,	// ATOMAND8
-    0U,	// ATOMMAX16
-    0U,	// ATOMMAX32
-    0U,	// ATOMMAX64
-    0U,	// ATOMMAX6432
-    0U,	// ATOMMAX8
-    0U,	// ATOMMIN16
-    0U,	// ATOMMIN32
-    0U,	// ATOMMIN64
-    0U,	// ATOMMIN6432
-    0U,	// ATOMMIN8
-    0U,	// ATOMNAND16
-    0U,	// ATOMNAND32
-    0U,	// ATOMNAND64
-    0U,	// ATOMNAND6432
-    0U,	// ATOMNAND8
-    0U,	// ATOMOR16
-    0U,	// ATOMOR32
-    0U,	// ATOMOR64
-    0U,	// ATOMOR6432
-    0U,	// ATOMOR8
-    0U,	// ATOMSUB6432
-    0U,	// ATOMSWAP6432
-    0U,	// ATOMUMAX16
-    0U,	// ATOMUMAX32
-    0U,	// ATOMUMAX64
-    0U,	// ATOMUMAX6432
-    0U,	// ATOMUMAX8
-    0U,	// ATOMUMIN16
-    0U,	// ATOMUMIN32
-    0U,	// ATOMUMIN64
-    0U,	// ATOMUMIN6432
-    0U,	// ATOMUMIN8
-    0U,	// ATOMXOR16
-    0U,	// ATOMXOR32
-    0U,	// ATOMXOR64
-    0U,	// ATOMXOR6432
-    0U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
@@ -6068,18 +6835,18 @@
     0U,	// CMPPSrmi_alt
     2U,	// CMPPSrri
     2U,	// CMPPSrri_alt
-    0U,	// CMPS16
-    0U,	// CMPS32
-    0U,	// CMPS64
-    0U,	// CMPS8
+    0U,	// CMPSB
     2U,	// CMPSDrm
     0U,	// CMPSDrm_alt
     2U,	// CMPSDrr
     2U,	// CMPSDrr_alt
+    0U,	// CMPSL
+    0U,	// CMPSQ
     2U,	// CMPSSrm
     0U,	// CMPSSrm_alt
     2U,	// CMPSSrr
     2U,	// CMPSSrr_alt
+    0U,	// CMPSW
     0U,	// CMPXCHG16B
     0U,	// CMPXCHG16rm
     0U,	// CMPXCHG16rr
@@ -6115,7 +6882,6 @@
     0U,	// CRC32r64m8
     0U,	// CRC32r64r64
     0U,	// CRC32r64r8
-    0U,	// CS_PREFIX
     0U,	// CVTDQ2PDrm
     0U,	// CVTDQ2PDrr
     0U,	// CVTDQ2PSrm
@@ -6242,7 +7008,6 @@
     2U,	// DPPDrri
     0U,	// DPPSrmi
     2U,	// DPPSrri
-    0U,	// DS_PREFIX
     0U,	// EH_RETURN
     0U,	// EH_RETURN64
     0U,	// EH_SjLj_LongJmp32
@@ -6250,8 +7015,9 @@
     0U,	// EH_SjLj_SetJmp32
     0U,	// EH_SjLj_SetJmp64
     0U,	// EH_SjLj_Setup
+    0U,	// ENCLS
+    0U,	// ENCLU
     0U,	// ENTER
-    0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
     2U,	// EXTRACTPSrr
     0U,	// EXTRQ
@@ -6315,7 +7081,6 @@
     0U,	// FSETPM
     0U,	// FSINCOS
     0U,	// FSTENVm
-    0U,	// FS_PREFIX
     0U,	// FXAM
     0U,	// FXRSTOR
     0U,	// FXRSTOR64
@@ -6324,7 +7089,6 @@
     0U,	// FXTRACT
     0U,	// FYL2X
     0U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
     0U,	// FsANDNPDrm
     0U,	// FsANDNPDrr
     0U,	// FsANDNPSrm
@@ -6348,7 +7112,6 @@
     0U,	// FsXORPSrm
     0U,	// FsXORPSrr
     0U,	// GETSEC
-    0U,	// GS_PREFIX
     0U,	// HADDPDrm
     0U,	// HADDPDrr
     0U,	// HADDPSrm
@@ -6404,13 +7167,10 @@
     2U,	// IMUL64rri8
     0U,	// IMUL8m
     0U,	// IMUL8r
-    0U,	// IN16
     0U,	// IN16ri
     0U,	// IN16rr
-    0U,	// IN32
     0U,	// IN32ri
     0U,	// IN32rr
-    0U,	// IN8
     0U,	// IN8ri
     0U,	// IN8rr
     0U,	// INC16m
@@ -6427,10 +7187,13 @@
     0U,	// INC64r
     0U,	// INC8m
     0U,	// INC8r
+    0U,	// INSB
     0U,	// INSERTPSrm
     2U,	// INSERTPSrr
     0U,	// INSERTQ
     6U,	// INSERTQI
+    0U,	// INSL
+    0U,	// INSW
     0U,	// INT
     0U,	// INT1
     0U,	// INT3
@@ -6640,14 +7403,41 @@
     0U,	// JS_1
     0U,	// JS_2
     0U,	// JS_4
+    2U,	// KANDBrr
+    2U,	// KANDDrr
+    2U,	// KANDNBrr
+    2U,	// KANDNDrr
+    2U,	// KANDNQrr
     2U,	// KANDNWrr
+    2U,	// KANDQrr
     2U,	// KANDWrr
+    0U,	// KMOVBkk
+    0U,	// KMOVBkm
+    0U,	// KMOVBkr
+    0U,	// KMOVBmk
+    0U,	// KMOVBrk
+    0U,	// KMOVDkk
+    0U,	// KMOVDkm
+    0U,	// KMOVDkr
+    0U,	// KMOVDmk
+    0U,	// KMOVDrk
+    0U,	// KMOVQkk
+    0U,	// KMOVQkm
+    0U,	// KMOVQkr
+    0U,	// KMOVQmk
+    0U,	// KMOVQrk
     0U,	// KMOVWkk
     0U,	// KMOVWkm
     0U,	// KMOVWkr
     0U,	// KMOVWmk
     0U,	// KMOVWrk
+    0U,	// KNOTBrr
+    0U,	// KNOTDrr
+    0U,	// KNOTQrr
     0U,	// KNOTWrr
+    2U,	// KORBrr
+    2U,	// KORDrr
+    2U,	// KORQrr
     0U,	// KORTESTWrr
     2U,	// KORWrr
     0U,	// KSET0B
@@ -6657,7 +7447,13 @@
     2U,	// KSHIFTLWri
     2U,	// KSHIFTRWri
     2U,	// KUNPCKBWrr
+    2U,	// KXNORBrr
+    2U,	// KXNORDrr
+    2U,	// KXNORQrr
     2U,	// KXNORWrr
+    2U,	// KXORBrr
+    2U,	// KXORDrr
+    2U,	// KXORQrr
     2U,	// KXORWrr
     0U,	// LAHF
     0U,	// LAR16rm
@@ -6889,6 +7685,7 @@
     0U,	// MMX_MOVQ64mr
     0U,	// MMX_MOVQ64rm
     0U,	// MMX_MOVQ64rr
+    0U,	// MMX_MOVQ64rr_REV
     0U,	// MMX_PABSBrm64
     0U,	// MMX_PABSBrr64
     0U,	// MMX_PABSDrm64
@@ -8023,12 +8820,20 @@
     0U,	// SBB8rm
     0U,	// SBB8rr
     0U,	// SBB8rr_REV
-    0U,	// SCAS16
-    0U,	// SCAS32
-    0U,	// SCAS64
-    0U,	// SCAS8
+    0U,	// SCASB
+    0U,	// SCASL
+    0U,	// SCASQ
+    0U,	// SCASW
     0U,	// SEG_ALLOCA_32
     0U,	// SEG_ALLOCA_64
+    0U,	// SEH_EndPrologue
+    0U,	// SEH_Epilogue
+    0U,	// SEH_PushFrame
+    0U,	// SEH_PushReg
+    0U,	// SEH_SaveReg
+    0U,	// SEH_SaveXMM
+    0U,	// SEH_SetFrame
+    0U,	// SEH_StackAlloc
     0U,	// SETAEm
     0U,	// SETAEr
     0U,	// SETAm
@@ -8200,7 +9005,6 @@
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    0U,	// SS_PREFIX
     0U,	// STAC
     0U,	// STC
     0U,	// STD
@@ -8419,18 +9223,30 @@
     0U,	// UNPCKLPSrm
     0U,	// UNPCKLPSrr
     1U,	// VAARG_64
-    0U,	// VADDPDYrm
+    2U,	// VADDPDYrm
     2U,	// VADDPDYrr
-    0U,	// VADDPDZrm
+    2U,	// VADDPDZrm
     20U,	// VADDPDZrmb
+    50U,	// VADDPDZrmbk
+    306U,	// VADDPDZrmbkz
+    50U,	// VADDPDZrmk
+    306U,	// VADDPDZrmkz
     2U,	// VADDPDZrr
+    562U,	// VADDPDZrrk
+    306U,	// VADDPDZrrkz
     2U,	// VADDPDrm
     2U,	// VADDPDrr
-    0U,	// VADDPSYrm
+    2U,	// VADDPSYrm
     2U,	// VADDPSYrr
-    0U,	// VADDPSZrm
+    2U,	// VADDPSZrm
     20U,	// VADDPSZrmb
+    50U,	// VADDPSZrmbk
+    306U,	// VADDPSZrmbkz
+    50U,	// VADDPSZrmk
+    306U,	// VADDPSZrmkz
     2U,	// VADDPSZrr
+    562U,	// VADDPSZrrk
+    306U,	// VADDPSZrrkz
     2U,	// VADDPSrm
     2U,	// VADDPSrr
     20U,	// VADDSDZrm
@@ -8445,11 +9261,11 @@
     20U,	// VADDSSrm_Int
     2U,	// VADDSSrr
     2U,	// VADDSSrr_Int
-    0U,	// VADDSUBPDYrm
+    2U,	// VADDSUBPDYrm
     2U,	// VADDSUBPDYrr
     2U,	// VADDSUBPDrm
     2U,	// VADDSUBPDrr
-    0U,	// VADDSUBPSYrm
+    2U,	// VADDSUBPSYrm
     2U,	// VADDSUBPSYrr
     2U,	// VADDSUBPSrm
     2U,	// VADDSUBPSrr
@@ -8467,29 +9283,33 @@
     2U,	// VAESKEYGENASSIST128rr
     20U,	// VALIGNDrmi
     38U,	// VALIGNDrri
+    0U,	// VALIGNDrrik
+    306U,	// VALIGNDrrikz
     20U,	// VALIGNQrmi
     38U,	// VALIGNQrri
-    0U,	// VANDNPDYrm
+    0U,	// VALIGNQrrik
+    306U,	// VALIGNQrrikz
+    2U,	// VANDNPDYrm
     2U,	// VANDNPDYrr
     2U,	// VANDNPDrm
     2U,	// VANDNPDrr
-    0U,	// VANDNPSYrm
+    2U,	// VANDNPSYrm
     2U,	// VANDNPSYrr
     2U,	// VANDNPSrm
     2U,	// VANDNPSrr
-    0U,	// VANDPDYrm
+    2U,	// VANDPDYrm
     2U,	// VANDPDYrr
     2U,	// VANDPDrm
     2U,	// VANDPDrr
-    0U,	// VANDPSYrm
+    2U,	// VANDPSYrm
     2U,	// VANDPSYrr
     2U,	// VANDPSrm
     2U,	// VANDPSrr
-    52U,	// VASTART_SAVE_XMM_REGS
-    66U,	// VBLENDMPDZrm
-    66U,	// VBLENDMPDZrr
-    66U,	// VBLENDMPSZrm
-    66U,	// VBLENDMPSZrr
+    836U,	// VASTART_SAVE_XMM_REGS
+    50U,	// VBLENDMPDZrm
+    50U,	// VBLENDMPDZrr
+    50U,	// VBLENDMPSZrm
+    50U,	// VBLENDMPSZrr
     0U,	// VBLENDPDYrmi
     38U,	// VBLENDPDYrri
     20U,	// VBLENDPDrmi
@@ -8508,6 +9328,10 @@
     38U,	// VBLENDVPSrr
     0U,	// VBROADCASTF128
     0U,	// VBROADCASTI128
+    87U,	// VBROADCASTI32X4krm
+    0U,	// VBROADCASTI32X4rm
+    87U,	// VBROADCASTI64X4krm
+    0U,	// VBROADCASTI64X4rm
     0U,	// VBROADCASTSDYrm
     0U,	// VBROADCASTSDYrr
     0U,	// VBROADCASTSDZrm
@@ -8727,18 +9551,30 @@
     2U,	// VCVTUSI642SDZrr
     20U,	// VCVTUSI642SSZrm
     2U,	// VCVTUSI642SSZrr
-    0U,	// VDIVPDYrm
+    2U,	// VDIVPDYrm
     2U,	// VDIVPDYrr
-    0U,	// VDIVPDZrm
+    2U,	// VDIVPDZrm
     20U,	// VDIVPDZrmb
+    50U,	// VDIVPDZrmbk
+    306U,	// VDIVPDZrmbkz
+    50U,	// VDIVPDZrmk
+    306U,	// VDIVPDZrmkz
     2U,	// VDIVPDZrr
+    562U,	// VDIVPDZrrk
+    306U,	// VDIVPDZrrkz
     2U,	// VDIVPDrm
     2U,	// VDIVPDrr
-    0U,	// VDIVPSYrm
+    2U,	// VDIVPSYrm
     2U,	// VDIVPSYrr
-    0U,	// VDIVPSZrm
+    2U,	// VDIVPSZrm
     20U,	// VDIVPSZrmb
+    50U,	// VDIVPSZrmbk
+    306U,	// VDIVPSZrmbkz
+    50U,	// VDIVPSZrmk
+    306U,	// VDIVPSZrmkz
     2U,	// VDIVPSZrr
+    562U,	// VDIVPSZrrk
+    306U,	// VDIVPSZrrkz
     2U,	// VDIVPSrm
     2U,	// VDIVPSrr
     20U,	// VDIVSDZrm
@@ -8786,47 +9622,51 @@
     2U,	// VFMADD213PDZm
     2U,	// VFMADD213PDZmb
     2U,	// VFMADD213PDZr
+    105U,	// VFMADD213PDZrk
+    89U,	// VFMADD213PDZrkz
     2U,	// VFMADD213PSZm
     2U,	// VFMADD213PSZmb
     2U,	// VFMADD213PSZr
+    105U,	// VFMADD213PSZrk
+    89U,	// VFMADD213PSZrkz
     20U,	// VFMADDPD4mr
     0U,	// VFMADDPD4mrY
-    2U,	// VFMADDPD4rm
-    2U,	// VFMADDPD4rmY
+    38U,	// VFMADDPD4rm
+    38U,	// VFMADDPD4rmY
     38U,	// VFMADDPD4rr
     38U,	// VFMADDPD4rrY
     38U,	// VFMADDPD4rrY_REV
     38U,	// VFMADDPD4rr_REV
-    0U,	// VFMADDPDr132m
-    0U,	// VFMADDPDr132mY
+    2U,	// VFMADDPDr132m
+    2U,	// VFMADDPDr132mY
     2U,	// VFMADDPDr132r
     2U,	// VFMADDPDr132rY
-    0U,	// VFMADDPDr213m
-    0U,	// VFMADDPDr213mY
+    2U,	// VFMADDPDr213m
+    2U,	// VFMADDPDr213mY
     2U,	// VFMADDPDr213r
     2U,	// VFMADDPDr213rY
-    0U,	// VFMADDPDr231m
-    0U,	// VFMADDPDr231mY
+    2U,	// VFMADDPDr231m
+    2U,	// VFMADDPDr231mY
     2U,	// VFMADDPDr231r
     2U,	// VFMADDPDr231rY
     20U,	// VFMADDPS4mr
     0U,	// VFMADDPS4mrY
-    2U,	// VFMADDPS4rm
-    2U,	// VFMADDPS4rmY
+    38U,	// VFMADDPS4rm
+    38U,	// VFMADDPS4rmY
     38U,	// VFMADDPS4rr
     38U,	// VFMADDPS4rrY
     38U,	// VFMADDPS4rrY_REV
     38U,	// VFMADDPS4rr_REV
-    0U,	// VFMADDPSr132m
-    0U,	// VFMADDPSr132mY
+    2U,	// VFMADDPSr132m
+    2U,	// VFMADDPSr132mY
     2U,	// VFMADDPSr132r
     2U,	// VFMADDPSr132rY
-    0U,	// VFMADDPSr213m
-    0U,	// VFMADDPSr213mY
+    2U,	// VFMADDPSr213m
+    2U,	// VFMADDPSr213mY
     2U,	// VFMADDPSr213r
     2U,	// VFMADDPSr213rY
-    0U,	// VFMADDPSr231m
-    0U,	// VFMADDPSr231mY
+    2U,	// VFMADDPSr231m
+    2U,	// VFMADDPSr231mY
     2U,	// VFMADDPSr231r
     2U,	// VFMADDPSr231rY
     20U,	// VFMADDSD4mr
@@ -8836,7 +9676,7 @@
     38U,	// VFMADDSD4rr
     38U,	// VFMADDSD4rr_Int
     38U,	// VFMADDSD4rr_REV
-    0U,	// VFMADDSDZm
+    2U,	// VFMADDSDZm
     2U,	// VFMADDSDZr
     2U,	// VFMADDSDr132m
     2U,	// VFMADDSDr132r
@@ -8851,7 +9691,7 @@
     38U,	// VFMADDSS4rr
     38U,	// VFMADDSS4rr_Int
     38U,	// VFMADDSS4rr_REV
-    0U,	// VFMADDSSZm
+    2U,	// VFMADDSSZm
     2U,	// VFMADDSSZr
     2U,	// VFMADDSSr132m
     2U,	// VFMADDSSr132r
@@ -8866,47 +9706,51 @@
     2U,	// VFMADDSUB213PDZm
     2U,	// VFMADDSUB213PDZmb
     2U,	// VFMADDSUB213PDZr
+    105U,	// VFMADDSUB213PDZrk
+    89U,	// VFMADDSUB213PDZrkz
     2U,	// VFMADDSUB213PSZm
     2U,	// VFMADDSUB213PSZmb
     2U,	// VFMADDSUB213PSZr
+    105U,	// VFMADDSUB213PSZrk
+    89U,	// VFMADDSUB213PSZrkz
     20U,	// VFMADDSUBPD4mr
     0U,	// VFMADDSUBPD4mrY
-    2U,	// VFMADDSUBPD4rm
-    2U,	// VFMADDSUBPD4rmY
+    38U,	// VFMADDSUBPD4rm
+    38U,	// VFMADDSUBPD4rmY
     38U,	// VFMADDSUBPD4rr
     38U,	// VFMADDSUBPD4rrY
     38U,	// VFMADDSUBPD4rrY_REV
     38U,	// VFMADDSUBPD4rr_REV
-    0U,	// VFMADDSUBPDr132m
-    0U,	// VFMADDSUBPDr132mY
+    2U,	// VFMADDSUBPDr132m
+    2U,	// VFMADDSUBPDr132mY
     2U,	// VFMADDSUBPDr132r
     2U,	// VFMADDSUBPDr132rY
-    0U,	// VFMADDSUBPDr213m
-    0U,	// VFMADDSUBPDr213mY
+    2U,	// VFMADDSUBPDr213m
+    2U,	// VFMADDSUBPDr213mY
     2U,	// VFMADDSUBPDr213r
     2U,	// VFMADDSUBPDr213rY
-    0U,	// VFMADDSUBPDr231m
-    0U,	// VFMADDSUBPDr231mY
+    2U,	// VFMADDSUBPDr231m
+    2U,	// VFMADDSUBPDr231mY
     2U,	// VFMADDSUBPDr231r
     2U,	// VFMADDSUBPDr231rY
     20U,	// VFMADDSUBPS4mr
     0U,	// VFMADDSUBPS4mrY
-    2U,	// VFMADDSUBPS4rm
-    2U,	// VFMADDSUBPS4rmY
+    38U,	// VFMADDSUBPS4rm
+    38U,	// VFMADDSUBPS4rmY
     38U,	// VFMADDSUBPS4rr
     38U,	// VFMADDSUBPS4rrY
     38U,	// VFMADDSUBPS4rrY_REV
     38U,	// VFMADDSUBPS4rr_REV
-    0U,	// VFMADDSUBPSr132m
-    0U,	// VFMADDSUBPSr132mY
+    2U,	// VFMADDSUBPSr132m
+    2U,	// VFMADDSUBPSr132mY
     2U,	// VFMADDSUBPSr132r
     2U,	// VFMADDSUBPSr132rY
-    0U,	// VFMADDSUBPSr213m
-    0U,	// VFMADDSUBPSr213mY
+    2U,	// VFMADDSUBPSr213m
+    2U,	// VFMADDSUBPSr213mY
     2U,	// VFMADDSUBPSr213r
     2U,	// VFMADDSUBPSr213rY
-    0U,	// VFMADDSUBPSr231m
-    0U,	// VFMADDSUBPSr231mY
+    2U,	// VFMADDSUBPSr231m
+    2U,	// VFMADDSUBPSr231mY
     2U,	// VFMADDSUBPSr231r
     2U,	// VFMADDSUBPSr231rY
     2U,	// VFMSUB132PDZm
@@ -8916,9 +9760,13 @@
     2U,	// VFMSUB213PDZm
     2U,	// VFMSUB213PDZmb
     2U,	// VFMSUB213PDZr
+    105U,	// VFMSUB213PDZrk
+    89U,	// VFMSUB213PDZrkz
     2U,	// VFMSUB213PSZm
     2U,	// VFMSUB213PSZmb
     2U,	// VFMSUB213PSZr
+    105U,	// VFMSUB213PSZrk
+    89U,	// VFMSUB213PSZrkz
     2U,	// VFMSUBADD132PDZm
     2U,	// VFMSUBADD132PDZmb
     2U,	// VFMSUBADD132PSZm
@@ -8926,87 +9774,91 @@
     2U,	// VFMSUBADD213PDZm
     2U,	// VFMSUBADD213PDZmb
     2U,	// VFMSUBADD213PDZr
+    105U,	// VFMSUBADD213PDZrk
+    89U,	// VFMSUBADD213PDZrkz
     2U,	// VFMSUBADD213PSZm
     2U,	// VFMSUBADD213PSZmb
     2U,	// VFMSUBADD213PSZr
+    105U,	// VFMSUBADD213PSZrk
+    89U,	// VFMSUBADD213PSZrkz
     20U,	// VFMSUBADDPD4mr
     0U,	// VFMSUBADDPD4mrY
-    2U,	// VFMSUBADDPD4rm
-    2U,	// VFMSUBADDPD4rmY
+    38U,	// VFMSUBADDPD4rm
+    38U,	// VFMSUBADDPD4rmY
     38U,	// VFMSUBADDPD4rr
     38U,	// VFMSUBADDPD4rrY
     38U,	// VFMSUBADDPD4rrY_REV
     38U,	// VFMSUBADDPD4rr_REV
-    0U,	// VFMSUBADDPDr132m
-    0U,	// VFMSUBADDPDr132mY
+    2U,	// VFMSUBADDPDr132m
+    2U,	// VFMSUBADDPDr132mY
     2U,	// VFMSUBADDPDr132r
     2U,	// VFMSUBADDPDr132rY
-    0U,	// VFMSUBADDPDr213m
-    0U,	// VFMSUBADDPDr213mY
+    2U,	// VFMSUBADDPDr213m
+    2U,	// VFMSUBADDPDr213mY
     2U,	// VFMSUBADDPDr213r
     2U,	// VFMSUBADDPDr213rY
-    0U,	// VFMSUBADDPDr231m
-    0U,	// VFMSUBADDPDr231mY
+    2U,	// VFMSUBADDPDr231m
+    2U,	// VFMSUBADDPDr231mY
     2U,	// VFMSUBADDPDr231r
     2U,	// VFMSUBADDPDr231rY
     20U,	// VFMSUBADDPS4mr
     0U,	// VFMSUBADDPS4mrY
-    2U,	// VFMSUBADDPS4rm
-    2U,	// VFMSUBADDPS4rmY
+    38U,	// VFMSUBADDPS4rm
+    38U,	// VFMSUBADDPS4rmY
     38U,	// VFMSUBADDPS4rr
     38U,	// VFMSUBADDPS4rrY
     38U,	// VFMSUBADDPS4rrY_REV
     38U,	// VFMSUBADDPS4rr_REV
-    0U,	// VFMSUBADDPSr132m
-    0U,	// VFMSUBADDPSr132mY
+    2U,	// VFMSUBADDPSr132m
+    2U,	// VFMSUBADDPSr132mY
     2U,	// VFMSUBADDPSr132r
     2U,	// VFMSUBADDPSr132rY
-    0U,	// VFMSUBADDPSr213m
-    0U,	// VFMSUBADDPSr213mY
+    2U,	// VFMSUBADDPSr213m
+    2U,	// VFMSUBADDPSr213mY
     2U,	// VFMSUBADDPSr213r
     2U,	// VFMSUBADDPSr213rY
-    0U,	// VFMSUBADDPSr231m
-    0U,	// VFMSUBADDPSr231mY
+    2U,	// VFMSUBADDPSr231m
+    2U,	// VFMSUBADDPSr231mY
     2U,	// VFMSUBADDPSr231r
     2U,	// VFMSUBADDPSr231rY
     20U,	// VFMSUBPD4mr
     0U,	// VFMSUBPD4mrY
-    2U,	// VFMSUBPD4rm
-    2U,	// VFMSUBPD4rmY
+    38U,	// VFMSUBPD4rm
+    38U,	// VFMSUBPD4rmY
     38U,	// VFMSUBPD4rr
     38U,	// VFMSUBPD4rrY
     38U,	// VFMSUBPD4rrY_REV
     38U,	// VFMSUBPD4rr_REV
-    0U,	// VFMSUBPDr132m
-    0U,	// VFMSUBPDr132mY
+    2U,	// VFMSUBPDr132m
+    2U,	// VFMSUBPDr132mY
     2U,	// VFMSUBPDr132r
     2U,	// VFMSUBPDr132rY
-    0U,	// VFMSUBPDr213m
-    0U,	// VFMSUBPDr213mY
+    2U,	// VFMSUBPDr213m
+    2U,	// VFMSUBPDr213mY
     2U,	// VFMSUBPDr213r
     2U,	// VFMSUBPDr213rY
-    0U,	// VFMSUBPDr231m
-    0U,	// VFMSUBPDr231mY
+    2U,	// VFMSUBPDr231m
+    2U,	// VFMSUBPDr231mY
     2U,	// VFMSUBPDr231r
     2U,	// VFMSUBPDr231rY
     20U,	// VFMSUBPS4mr
     0U,	// VFMSUBPS4mrY
-    2U,	// VFMSUBPS4rm
-    2U,	// VFMSUBPS4rmY
+    38U,	// VFMSUBPS4rm
+    38U,	// VFMSUBPS4rmY
     38U,	// VFMSUBPS4rr
     38U,	// VFMSUBPS4rrY
     38U,	// VFMSUBPS4rrY_REV
     38U,	// VFMSUBPS4rr_REV
-    0U,	// VFMSUBPSr132m
-    0U,	// VFMSUBPSr132mY
+    2U,	// VFMSUBPSr132m
+    2U,	// VFMSUBPSr132mY
     2U,	// VFMSUBPSr132r
     2U,	// VFMSUBPSr132rY
-    0U,	// VFMSUBPSr213m
-    0U,	// VFMSUBPSr213mY
+    2U,	// VFMSUBPSr213m
+    2U,	// VFMSUBPSr213mY
     2U,	// VFMSUBPSr213r
     2U,	// VFMSUBPSr213rY
-    0U,	// VFMSUBPSr231m
-    0U,	// VFMSUBPSr231mY
+    2U,	// VFMSUBPSr231m
+    2U,	// VFMSUBPSr231mY
     2U,	// VFMSUBPSr231r
     2U,	// VFMSUBPSr231rY
     20U,	// VFMSUBSD4mr
@@ -9016,7 +9868,7 @@
     38U,	// VFMSUBSD4rr
     38U,	// VFMSUBSD4rr_Int
     38U,	// VFMSUBSD4rr_REV
-    0U,	// VFMSUBSDZm
+    2U,	// VFMSUBSDZm
     2U,	// VFMSUBSDZr
     2U,	// VFMSUBSDr132m
     2U,	// VFMSUBSDr132r
@@ -9031,7 +9883,7 @@
     38U,	// VFMSUBSS4rr
     38U,	// VFMSUBSS4rr_Int
     38U,	// VFMSUBSS4rr_REV
-    0U,	// VFMSUBSSZm
+    2U,	// VFMSUBSSZm
     2U,	// VFMSUBSSZr
     2U,	// VFMSUBSSr132m
     2U,	// VFMSUBSSr132r
@@ -9046,47 +9898,51 @@
     2U,	// VFNMADD213PDZm
     2U,	// VFNMADD213PDZmb
     2U,	// VFNMADD213PDZr
+    105U,	// VFNMADD213PDZrk
+    89U,	// VFNMADD213PDZrkz
     2U,	// VFNMADD213PSZm
     2U,	// VFNMADD213PSZmb
     2U,	// VFNMADD213PSZr
+    105U,	// VFNMADD213PSZrk
+    89U,	// VFNMADD213PSZrkz
     20U,	// VFNMADDPD4mr
     0U,	// VFNMADDPD4mrY
-    2U,	// VFNMADDPD4rm
-    2U,	// VFNMADDPD4rmY
+    38U,	// VFNMADDPD4rm
+    38U,	// VFNMADDPD4rmY
     38U,	// VFNMADDPD4rr
     38U,	// VFNMADDPD4rrY
     38U,	// VFNMADDPD4rrY_REV
     38U,	// VFNMADDPD4rr_REV
-    0U,	// VFNMADDPDr132m
-    0U,	// VFNMADDPDr132mY
+    2U,	// VFNMADDPDr132m
+    2U,	// VFNMADDPDr132mY
     2U,	// VFNMADDPDr132r
     2U,	// VFNMADDPDr132rY
-    0U,	// VFNMADDPDr213m
-    0U,	// VFNMADDPDr213mY
+    2U,	// VFNMADDPDr213m
+    2U,	// VFNMADDPDr213mY
     2U,	// VFNMADDPDr213r
     2U,	// VFNMADDPDr213rY
-    0U,	// VFNMADDPDr231m
-    0U,	// VFNMADDPDr231mY
+    2U,	// VFNMADDPDr231m
+    2U,	// VFNMADDPDr231mY
     2U,	// VFNMADDPDr231r
     2U,	// VFNMADDPDr231rY
     20U,	// VFNMADDPS4mr
     0U,	// VFNMADDPS4mrY
-    2U,	// VFNMADDPS4rm
-    2U,	// VFNMADDPS4rmY
+    38U,	// VFNMADDPS4rm
+    38U,	// VFNMADDPS4rmY
     38U,	// VFNMADDPS4rr
     38U,	// VFNMADDPS4rrY
     38U,	// VFNMADDPS4rrY_REV
     38U,	// VFNMADDPS4rr_REV
-    0U,	// VFNMADDPSr132m
-    0U,	// VFNMADDPSr132mY
+    2U,	// VFNMADDPSr132m
+    2U,	// VFNMADDPSr132mY
     2U,	// VFNMADDPSr132r
     2U,	// VFNMADDPSr132rY
-    0U,	// VFNMADDPSr213m
-    0U,	// VFNMADDPSr213mY
+    2U,	// VFNMADDPSr213m
+    2U,	// VFNMADDPSr213mY
     2U,	// VFNMADDPSr213r
     2U,	// VFNMADDPSr213rY
-    0U,	// VFNMADDPSr231m
-    0U,	// VFNMADDPSr231mY
+    2U,	// VFNMADDPSr231m
+    2U,	// VFNMADDPSr231mY
     2U,	// VFNMADDPSr231r
     2U,	// VFNMADDPSr231rY
     20U,	// VFNMADDSD4mr
@@ -9096,7 +9952,7 @@
     38U,	// VFNMADDSD4rr
     38U,	// VFNMADDSD4rr_Int
     38U,	// VFNMADDSD4rr_REV
-    0U,	// VFNMADDSDZm
+    2U,	// VFNMADDSDZm
     2U,	// VFNMADDSDZr
     2U,	// VFNMADDSDr132m
     2U,	// VFNMADDSDr132r
@@ -9111,7 +9967,7 @@
     38U,	// VFNMADDSS4rr
     38U,	// VFNMADDSS4rr_Int
     38U,	// VFNMADDSS4rr_REV
-    0U,	// VFNMADDSSZm
+    2U,	// VFNMADDSSZm
     2U,	// VFNMADDSSZr
     2U,	// VFNMADDSSr132m
     2U,	// VFNMADDSSr132r
@@ -9126,47 +9982,51 @@
     2U,	// VFNMSUB213PDZm
     2U,	// VFNMSUB213PDZmb
     2U,	// VFNMSUB213PDZr
+    105U,	// VFNMSUB213PDZrk
+    89U,	// VFNMSUB213PDZrkz
     2U,	// VFNMSUB213PSZm
     2U,	// VFNMSUB213PSZmb
     2U,	// VFNMSUB213PSZr
+    105U,	// VFNMSUB213PSZrk
+    89U,	// VFNMSUB213PSZrkz
     20U,	// VFNMSUBPD4mr
     0U,	// VFNMSUBPD4mrY
-    2U,	// VFNMSUBPD4rm
-    2U,	// VFNMSUBPD4rmY
+    38U,	// VFNMSUBPD4rm
+    38U,	// VFNMSUBPD4rmY
     38U,	// VFNMSUBPD4rr
     38U,	// VFNMSUBPD4rrY
     38U,	// VFNMSUBPD4rrY_REV
     38U,	// VFNMSUBPD4rr_REV
-    0U,	// VFNMSUBPDr132m
-    0U,	// VFNMSUBPDr132mY
+    2U,	// VFNMSUBPDr132m
+    2U,	// VFNMSUBPDr132mY
     2U,	// VFNMSUBPDr132r
     2U,	// VFNMSUBPDr132rY
-    0U,	// VFNMSUBPDr213m
-    0U,	// VFNMSUBPDr213mY
+    2U,	// VFNMSUBPDr213m
+    2U,	// VFNMSUBPDr213mY
     2U,	// VFNMSUBPDr213r
     2U,	// VFNMSUBPDr213rY
-    0U,	// VFNMSUBPDr231m
-    0U,	// VFNMSUBPDr231mY
+    2U,	// VFNMSUBPDr231m
+    2U,	// VFNMSUBPDr231mY
     2U,	// VFNMSUBPDr231r
     2U,	// VFNMSUBPDr231rY
     20U,	// VFNMSUBPS4mr
     0U,	// VFNMSUBPS4mrY
-    2U,	// VFNMSUBPS4rm
-    2U,	// VFNMSUBPS4rmY
+    38U,	// VFNMSUBPS4rm
+    38U,	// VFNMSUBPS4rmY
     38U,	// VFNMSUBPS4rr
     38U,	// VFNMSUBPS4rrY
     38U,	// VFNMSUBPS4rrY_REV
     38U,	// VFNMSUBPS4rr_REV
-    0U,	// VFNMSUBPSr132m
-    0U,	// VFNMSUBPSr132mY
+    2U,	// VFNMSUBPSr132m
+    2U,	// VFNMSUBPSr132mY
     2U,	// VFNMSUBPSr132r
     2U,	// VFNMSUBPSr132rY
-    0U,	// VFNMSUBPSr213m
-    0U,	// VFNMSUBPSr213mY
+    2U,	// VFNMSUBPSr213m
+    2U,	// VFNMSUBPSr213mY
     2U,	// VFNMSUBPSr213r
     2U,	// VFNMSUBPSr213rY
-    0U,	// VFNMSUBPSr231m
-    0U,	// VFNMSUBPSr231mY
+    2U,	// VFNMSUBPSr231m
+    2U,	// VFNMSUBPSr231mY
     2U,	// VFNMSUBPSr231r
     2U,	// VFNMSUBPSr231rY
     20U,	// VFNMSUBSD4mr
@@ -9176,7 +10036,7 @@
     38U,	// VFNMSUBSD4rr
     38U,	// VFNMSUBSD4rr_Int
     38U,	// VFNMSUBSD4rr_REV
-    0U,	// VFNMSUBSDZm
+    2U,	// VFNMSUBSDZm
     2U,	// VFNMSUBSDZr
     2U,	// VFNMSUBSDr132m
     2U,	// VFNMSUBSDr132r
@@ -9191,7 +10051,7 @@
     38U,	// VFNMSUBSS4rr
     38U,	// VFNMSUBSS4rr_Int
     38U,	// VFNMSUBSS4rr_REV
-    0U,	// VFNMSUBSSZm
+    2U,	// VFNMSUBSSZm
     2U,	// VFNMSUBSSZr
     2U,	// VFNMSUBSSr132m
     2U,	// VFNMSUBSSr132r
@@ -9228,30 +10088,38 @@
     2U,	// VFsXORPSrm
     2U,	// VFsXORPSrr
     0U,	// VGATHERDPDYrm
-    0U,	// VGATHERDPDZrm
+    122U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
     0U,	// VGATHERDPSYrm
-    0U,	// VGATHERDPSZrm
+    122U,	// VGATHERDPSZrm
     0U,	// VGATHERDPSrm
+    0U,	// VGATHERPF0DPDm
+    0U,	// VGATHERPF0DPSm
+    0U,	// VGATHERPF0QPDm
+    0U,	// VGATHERPF0QPSm
+    0U,	// VGATHERPF1DPDm
+    0U,	// VGATHERPF1DPSm
+    0U,	// VGATHERPF1QPDm
+    0U,	// VGATHERPF1QPSm
     0U,	// VGATHERQPDYrm
-    0U,	// VGATHERQPDZrm
+    122U,	// VGATHERQPDZrm
     0U,	// VGATHERQPDrm
     0U,	// VGATHERQPSYrm
-    0U,	// VGATHERQPSZrm
+    122U,	// VGATHERQPSZrm
     0U,	// VGATHERQPSrm
-    0U,	// VHADDPDYrm
+    2U,	// VHADDPDYrm
     2U,	// VHADDPDYrr
     2U,	// VHADDPDrm
     2U,	// VHADDPDrr
-    0U,	// VHADDPSYrm
+    2U,	// VHADDPSYrm
     2U,	// VHADDPSYrr
     2U,	// VHADDPSrm
     2U,	// VHADDPSrr
-    0U,	// VHSUBPDYrm
+    2U,	// VHSUBPDYrm
     2U,	// VHSUBPDYrr
     2U,	// VHSUBPDrm
     2U,	// VHSUBPDrr
-    0U,	// VHSUBPSYrm
+    2U,	// VHSUBPSYrm
     2U,	// VHSUBPSYrr
     2U,	// VHSUBPSrm
     2U,	// VHSUBPSrr
@@ -9277,18 +10145,18 @@
     0U,	// VMASKMOVDQU
     0U,	// VMASKMOVDQU64
     1U,	// VMASKMOVPDYmr
-    0U,	// VMASKMOVPDYrm
+    2U,	// VMASKMOVPDYrm
     1U,	// VMASKMOVPDmr
     2U,	// VMASKMOVPDrm
     1U,	// VMASKMOVPSYmr
-    0U,	// VMASKMOVPSYrm
+    2U,	// VMASKMOVPSYrm
     1U,	// VMASKMOVPSmr
     2U,	// VMASKMOVPSrm
-    0U,	// VMAXCPDYrm
+    2U,	// VMAXCPDYrm
     2U,	// VMAXCPDYrr
     2U,	// VMAXCPDrm
     2U,	// VMAXCPDrr
-    0U,	// VMAXCPSYrm
+    2U,	// VMAXCPSYrm
     2U,	// VMAXCPSYrr
     2U,	// VMAXCPSrm
     2U,	// VMAXCPSrr
@@ -9296,18 +10164,30 @@
     2U,	// VMAXCSDrr
     20U,	// VMAXCSSrm
     2U,	// VMAXCSSrr
-    0U,	// VMAXPDYrm
+    2U,	// VMAXPDYrm
     2U,	// VMAXPDYrr
-    0U,	// VMAXPDZrm
+    2U,	// VMAXPDZrm
     20U,	// VMAXPDZrmb
+    50U,	// VMAXPDZrmbk
+    306U,	// VMAXPDZrmbkz
+    50U,	// VMAXPDZrmk
+    306U,	// VMAXPDZrmkz
     2U,	// VMAXPDZrr
+    562U,	// VMAXPDZrrk
+    306U,	// VMAXPDZrrkz
     2U,	// VMAXPDrm
     2U,	// VMAXPDrr
-    0U,	// VMAXPSYrm
+    2U,	// VMAXPSYrm
     2U,	// VMAXPSYrr
-    0U,	// VMAXPSZrm
+    2U,	// VMAXPSZrm
     20U,	// VMAXPSZrmb
+    50U,	// VMAXPSZrmbk
+    306U,	// VMAXPSZrmbkz
+    50U,	// VMAXPSZrmk
+    306U,	// VMAXPSZrmkz
     2U,	// VMAXPSZrr
+    562U,	// VMAXPSZrrk
+    306U,	// VMAXPSZrrkz
     2U,	// VMAXPSrm
     2U,	// VMAXPSrr
     20U,	// VMAXSDZrm
@@ -9325,11 +10205,11 @@
     0U,	// VMCALL
     0U,	// VMCLEARm
     0U,	// VMFUNC
-    0U,	// VMINCPDYrm
+    2U,	// VMINCPDYrm
     2U,	// VMINCPDYrr
     2U,	// VMINCPDrm
     2U,	// VMINCPDrr
-    0U,	// VMINCPSYrm
+    2U,	// VMINCPSYrm
     2U,	// VMINCPSYrr
     2U,	// VMINCPSrm
     2U,	// VMINCPSrr
@@ -9337,18 +10217,30 @@
     2U,	// VMINCSDrr
     20U,	// VMINCSSrm
     2U,	// VMINCSSrr
-    0U,	// VMINPDYrm
+    2U,	// VMINPDYrm
     2U,	// VMINPDYrr
-    0U,	// VMINPDZrm
+    2U,	// VMINPDZrm
     20U,	// VMINPDZrmb
+    50U,	// VMINPDZrmbk
+    306U,	// VMINPDZrmbkz
+    50U,	// VMINPDZrmk
+    306U,	// VMINPDZrmkz
     2U,	// VMINPDZrr
+    562U,	// VMINPDZrrk
+    306U,	// VMINPDZrrkz
     2U,	// VMINPDrm
     2U,	// VMINPDrr
-    0U,	// VMINPSYrm
+    2U,	// VMINPSYrm
     2U,	// VMINPSYrr
-    0U,	// VMINPSZrm
+    2U,	// VMINPSZrm
     20U,	// VMINPSZrmb
+    50U,	// VMINPSZrmbk
+    306U,	// VMINPSZrmbkz
+    50U,	// VMINPSZrmk
+    306U,	// VMINPSZrmkz
     2U,	// VMINPSZrr
+    562U,	// VMINPSZrrk
+    306U,	// VMINPSZrrkz
     2U,	// VMINPSrm
     2U,	// VMINPSrr
     20U,	// VMINSDZrm
@@ -9376,11 +10268,39 @@
     0U,	// VMOVAPDYrm
     0U,	// VMOVAPDYrr
     0U,	// VMOVAPDYrr_REV
+    0U,	// VMOVAPDZ128mr
+    13U,	// VMOVAPDZ128mrk
+    0U,	// VMOVAPDZ128rm
+    105U,	// VMOVAPDZ128rmk
+    87U,	// VMOVAPDZ128rmkz
+    0U,	// VMOVAPDZ128rr
+    0U,	// VMOVAPDZ128rr_alt
+    105U,	// VMOVAPDZ128rrk
+    105U,	// VMOVAPDZ128rrk_alt
+    87U,	// VMOVAPDZ128rrkz
+    87U,	// VMOVAPDZ128rrkz_alt
+    0U,	// VMOVAPDZ256mr
+    13U,	// VMOVAPDZ256mrk
+    0U,	// VMOVAPDZ256rm
+    105U,	// VMOVAPDZ256rmk
+    87U,	// VMOVAPDZ256rmkz
+    0U,	// VMOVAPDZ256rr
+    0U,	// VMOVAPDZ256rr_alt
+    105U,	// VMOVAPDZ256rrk
+    105U,	// VMOVAPDZ256rrk_alt
+    87U,	// VMOVAPDZ256rrkz
+    87U,	// VMOVAPDZ256rrkz_alt
     0U,	// VMOVAPDZmr
+    13U,	// VMOVAPDZmrk
     0U,	// VMOVAPDZrm
-    9U,	// VMOVAPDZrmk
+    105U,	// VMOVAPDZrmk
+    87U,	// VMOVAPDZrmkz
     0U,	// VMOVAPDZrr
-    9U,	// VMOVAPDZrrk
+    0U,	// VMOVAPDZrr_alt
+    105U,	// VMOVAPDZrrk
+    105U,	// VMOVAPDZrrk_alt
+    87U,	// VMOVAPDZrrkz
+    87U,	// VMOVAPDZrrkz_alt
     0U,	// VMOVAPDmr
     0U,	// VMOVAPDrm
     0U,	// VMOVAPDrr
@@ -9389,11 +10309,39 @@
     0U,	// VMOVAPSYrm
     0U,	// VMOVAPSYrr
     0U,	// VMOVAPSYrr_REV
+    0U,	// VMOVAPSZ128mr
+    13U,	// VMOVAPSZ128mrk
+    0U,	// VMOVAPSZ128rm
+    105U,	// VMOVAPSZ128rmk
+    87U,	// VMOVAPSZ128rmkz
+    0U,	// VMOVAPSZ128rr
+    0U,	// VMOVAPSZ128rr_alt
+    105U,	// VMOVAPSZ128rrk
+    105U,	// VMOVAPSZ128rrk_alt
+    87U,	// VMOVAPSZ128rrkz
+    87U,	// VMOVAPSZ128rrkz_alt
+    0U,	// VMOVAPSZ256mr
+    13U,	// VMOVAPSZ256mrk
+    0U,	// VMOVAPSZ256rm
+    105U,	// VMOVAPSZ256rmk
+    87U,	// VMOVAPSZ256rmkz
+    0U,	// VMOVAPSZ256rr
+    0U,	// VMOVAPSZ256rr_alt
+    105U,	// VMOVAPSZ256rrk
+    105U,	// VMOVAPSZ256rrk_alt
+    87U,	// VMOVAPSZ256rrkz
+    87U,	// VMOVAPSZ256rrkz_alt
     0U,	// VMOVAPSZmr
+    13U,	// VMOVAPSZmrk
     0U,	// VMOVAPSZrm
-    9U,	// VMOVAPSZrmk
+    105U,	// VMOVAPSZrmk
+    87U,	// VMOVAPSZrmkz
     0U,	// VMOVAPSZrr
-    9U,	// VMOVAPSZrrk
+    0U,	// VMOVAPSZrr_alt
+    105U,	// VMOVAPSZrrk
+    105U,	// VMOVAPSZrrk_alt
+    87U,	// VMOVAPSZrrkz
+    87U,	// VMOVAPSZrrkz_alt
     0U,	// VMOVAPSmr
     0U,	// VMOVAPSrm
     0U,	// VMOVAPSrr
@@ -9412,12 +10360,72 @@
     0U,	// VMOVDI2SSZrr
     0U,	// VMOVDI2SSrm
     0U,	// VMOVDI2SSrr
-    0U,	// VMOVDQA32mr
-    0U,	// VMOVDQA32rm
-    0U,	// VMOVDQA32rr
-    0U,	// VMOVDQA64mr
-    0U,	// VMOVDQA64rm
-    0U,	// VMOVDQA64rr
+    0U,	// VMOVDQA32Z128mr
+    13U,	// VMOVDQA32Z128mrk
+    0U,	// VMOVDQA32Z128rm
+    105U,	// VMOVDQA32Z128rmk
+    87U,	// VMOVDQA32Z128rmkz
+    0U,	// VMOVDQA32Z128rr
+    0U,	// VMOVDQA32Z128rr_alt
+    105U,	// VMOVDQA32Z128rrk
+    105U,	// VMOVDQA32Z128rrk_alt
+    87U,	// VMOVDQA32Z128rrkz
+    87U,	// VMOVDQA32Z128rrkz_alt
+    0U,	// VMOVDQA32Z256mr
+    13U,	// VMOVDQA32Z256mrk
+    0U,	// VMOVDQA32Z256rm
+    105U,	// VMOVDQA32Z256rmk
+    87U,	// VMOVDQA32Z256rmkz
+    0U,	// VMOVDQA32Z256rr
+    0U,	// VMOVDQA32Z256rr_alt
+    105U,	// VMOVDQA32Z256rrk
+    105U,	// VMOVDQA32Z256rrk_alt
+    87U,	// VMOVDQA32Z256rrkz
+    87U,	// VMOVDQA32Z256rrkz_alt
+    0U,	// VMOVDQA32Zmr
+    13U,	// VMOVDQA32Zmrk
+    0U,	// VMOVDQA32Zrm
+    105U,	// VMOVDQA32Zrmk
+    87U,	// VMOVDQA32Zrmkz
+    0U,	// VMOVDQA32Zrr
+    0U,	// VMOVDQA32Zrr_alt
+    105U,	// VMOVDQA32Zrrk
+    105U,	// VMOVDQA32Zrrk_alt
+    87U,	// VMOVDQA32Zrrkz
+    87U,	// VMOVDQA32Zrrkz_alt
+    0U,	// VMOVDQA64Z128mr
+    13U,	// VMOVDQA64Z128mrk
+    0U,	// VMOVDQA64Z128rm
+    105U,	// VMOVDQA64Z128rmk
+    87U,	// VMOVDQA64Z128rmkz
+    0U,	// VMOVDQA64Z128rr
+    0U,	// VMOVDQA64Z128rr_alt
+    105U,	// VMOVDQA64Z128rrk
+    105U,	// VMOVDQA64Z128rrk_alt
+    87U,	// VMOVDQA64Z128rrkz
+    87U,	// VMOVDQA64Z128rrkz_alt
+    0U,	// VMOVDQA64Z256mr
+    13U,	// VMOVDQA64Z256mrk
+    0U,	// VMOVDQA64Z256rm
+    105U,	// VMOVDQA64Z256rmk
+    87U,	// VMOVDQA64Z256rmkz
+    0U,	// VMOVDQA64Z256rr
+    0U,	// VMOVDQA64Z256rr_alt
+    105U,	// VMOVDQA64Z256rrk
+    105U,	// VMOVDQA64Z256rrk_alt
+    87U,	// VMOVDQA64Z256rrkz
+    87U,	// VMOVDQA64Z256rrkz_alt
+    0U,	// VMOVDQA64Zmr
+    13U,	// VMOVDQA64Zmrk
+    0U,	// VMOVDQA64Zrm
+    105U,	// VMOVDQA64Zrmk
+    87U,	// VMOVDQA64Zrmkz
+    0U,	// VMOVDQA64Zrr
+    0U,	// VMOVDQA64Zrr_alt
+    105U,	// VMOVDQA64Zrrk
+    105U,	// VMOVDQA64Zrrk_alt
+    87U,	// VMOVDQA64Zrrkz
+    87U,	// VMOVDQA64Zrrkz_alt
     0U,	// VMOVDQAYmr
     0U,	// VMOVDQAYrm
     0U,	// VMOVDQAYrr
@@ -9426,18 +10434,138 @@
     0U,	// VMOVDQArm
     0U,	// VMOVDQArr
     0U,	// VMOVDQArr_REV
-    0U,	// VMOVDQU32mr
-    0U,	// VMOVDQU32rm
-    9U,	// VMOVDQU32rmk
-    0U,	// VMOVDQU32rr
-    9U,	// VMOVDQU32rrk
-    87U,	// VMOVDQU32rrkz
-    0U,	// VMOVDQU64mr
-    0U,	// VMOVDQU64rm
-    9U,	// VMOVDQU64rmk
-    0U,	// VMOVDQU64rr
-    9U,	// VMOVDQU64rrk
-    87U,	// VMOVDQU64rrkz
+    0U,	// VMOVDQU16Z128mr
+    13U,	// VMOVDQU16Z128mrk
+    0U,	// VMOVDQU16Z128rm
+    105U,	// VMOVDQU16Z128rmk
+    87U,	// VMOVDQU16Z128rmkz
+    0U,	// VMOVDQU16Z128rr
+    0U,	// VMOVDQU16Z128rr_alt
+    105U,	// VMOVDQU16Z128rrk
+    105U,	// VMOVDQU16Z128rrk_alt
+    87U,	// VMOVDQU16Z128rrkz
+    87U,	// VMOVDQU16Z128rrkz_alt
+    0U,	// VMOVDQU16Z256mr
+    13U,	// VMOVDQU16Z256mrk
+    0U,	// VMOVDQU16Z256rm
+    105U,	// VMOVDQU16Z256rmk
+    87U,	// VMOVDQU16Z256rmkz
+    0U,	// VMOVDQU16Z256rr
+    0U,	// VMOVDQU16Z256rr_alt
+    105U,	// VMOVDQU16Z256rrk
+    105U,	// VMOVDQU16Z256rrk_alt
+    87U,	// VMOVDQU16Z256rrkz
+    87U,	// VMOVDQU16Z256rrkz_alt
+    0U,	// VMOVDQU16Zmr
+    13U,	// VMOVDQU16Zmrk
+    0U,	// VMOVDQU16Zrm
+    105U,	// VMOVDQU16Zrmk
+    87U,	// VMOVDQU16Zrmkz
+    0U,	// VMOVDQU16Zrr
+    0U,	// VMOVDQU16Zrr_alt
+    105U,	// VMOVDQU16Zrrk
+    105U,	// VMOVDQU16Zrrk_alt
+    87U,	// VMOVDQU16Zrrkz
+    87U,	// VMOVDQU16Zrrkz_alt
+    0U,	// VMOVDQU32Z128mr
+    13U,	// VMOVDQU32Z128mrk
+    0U,	// VMOVDQU32Z128rm
+    105U,	// VMOVDQU32Z128rmk
+    87U,	// VMOVDQU32Z128rmkz
+    0U,	// VMOVDQU32Z128rr
+    0U,	// VMOVDQU32Z128rr_alt
+    105U,	// VMOVDQU32Z128rrk
+    105U,	// VMOVDQU32Z128rrk_alt
+    87U,	// VMOVDQU32Z128rrkz
+    87U,	// VMOVDQU32Z128rrkz_alt
+    0U,	// VMOVDQU32Z256mr
+    13U,	// VMOVDQU32Z256mrk
+    0U,	// VMOVDQU32Z256rm
+    105U,	// VMOVDQU32Z256rmk
+    87U,	// VMOVDQU32Z256rmkz
+    0U,	// VMOVDQU32Z256rr
+    0U,	// VMOVDQU32Z256rr_alt
+    105U,	// VMOVDQU32Z256rrk
+    105U,	// VMOVDQU32Z256rrk_alt
+    87U,	// VMOVDQU32Z256rrkz
+    87U,	// VMOVDQU32Z256rrkz_alt
+    0U,	// VMOVDQU32Zmr
+    13U,	// VMOVDQU32Zmrk
+    0U,	// VMOVDQU32Zrm
+    105U,	// VMOVDQU32Zrmk
+    87U,	// VMOVDQU32Zrmkz
+    0U,	// VMOVDQU32Zrr
+    0U,	// VMOVDQU32Zrr_alt
+    105U,	// VMOVDQU32Zrrk
+    105U,	// VMOVDQU32Zrrk_alt
+    87U,	// VMOVDQU32Zrrkz
+    87U,	// VMOVDQU32Zrrkz_alt
+    0U,	// VMOVDQU64Z128mr
+    13U,	// VMOVDQU64Z128mrk
+    0U,	// VMOVDQU64Z128rm
+    105U,	// VMOVDQU64Z128rmk
+    87U,	// VMOVDQU64Z128rmkz
+    0U,	// VMOVDQU64Z128rr
+    0U,	// VMOVDQU64Z128rr_alt
+    105U,	// VMOVDQU64Z128rrk
+    105U,	// VMOVDQU64Z128rrk_alt
+    87U,	// VMOVDQU64Z128rrkz
+    87U,	// VMOVDQU64Z128rrkz_alt
+    0U,	// VMOVDQU64Z256mr
+    13U,	// VMOVDQU64Z256mrk
+    0U,	// VMOVDQU64Z256rm
+    105U,	// VMOVDQU64Z256rmk
+    87U,	// VMOVDQU64Z256rmkz
+    0U,	// VMOVDQU64Z256rr
+    0U,	// VMOVDQU64Z256rr_alt
+    105U,	// VMOVDQU64Z256rrk
+    105U,	// VMOVDQU64Z256rrk_alt
+    87U,	// VMOVDQU64Z256rrkz
+    87U,	// VMOVDQU64Z256rrkz_alt
+    0U,	// VMOVDQU64Zmr
+    13U,	// VMOVDQU64Zmrk
+    0U,	// VMOVDQU64Zrm
+    105U,	// VMOVDQU64Zrmk
+    87U,	// VMOVDQU64Zrmkz
+    0U,	// VMOVDQU64Zrr
+    0U,	// VMOVDQU64Zrr_alt
+    105U,	// VMOVDQU64Zrrk
+    105U,	// VMOVDQU64Zrrk_alt
+    87U,	// VMOVDQU64Zrrkz
+    87U,	// VMOVDQU64Zrrkz_alt
+    0U,	// VMOVDQU8Z128mr
+    13U,	// VMOVDQU8Z128mrk
+    0U,	// VMOVDQU8Z128rm
+    105U,	// VMOVDQU8Z128rmk
+    87U,	// VMOVDQU8Z128rmkz
+    0U,	// VMOVDQU8Z128rr
+    0U,	// VMOVDQU8Z128rr_alt
+    105U,	// VMOVDQU8Z128rrk
+    105U,	// VMOVDQU8Z128rrk_alt
+    87U,	// VMOVDQU8Z128rrkz
+    87U,	// VMOVDQU8Z128rrkz_alt
+    0U,	// VMOVDQU8Z256mr
+    13U,	// VMOVDQU8Z256mrk
+    0U,	// VMOVDQU8Z256rm
+    105U,	// VMOVDQU8Z256rmk
+    87U,	// VMOVDQU8Z256rmkz
+    0U,	// VMOVDQU8Z256rr
+    0U,	// VMOVDQU8Z256rr_alt
+    105U,	// VMOVDQU8Z256rrk
+    105U,	// VMOVDQU8Z256rrk_alt
+    87U,	// VMOVDQU8Z256rrkz
+    87U,	// VMOVDQU8Z256rrkz_alt
+    0U,	// VMOVDQU8Zmr
+    13U,	// VMOVDQU8Zmrk
+    0U,	// VMOVDQU8Zrm
+    105U,	// VMOVDQU8Zrmk
+    87U,	// VMOVDQU8Zrmkz
+    0U,	// VMOVDQU8Zrr
+    0U,	// VMOVDQU8Zrr_alt
+    105U,	// VMOVDQU8Zrrk
+    105U,	// VMOVDQU8Zrrk_alt
+    87U,	// VMOVDQU8Zrrkz
+    87U,	// VMOVDQU8Zrrkz_alt
     0U,	// VMOVDQUYmr
     0U,	// VMOVDQUYrm
     0U,	// VMOVDQUYrr
@@ -9463,12 +10591,24 @@
     0U,	// VMOVMSKPSYrr
     0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQAZ128rm
+    0U,	// VMOVNTDQAZ256rm
+    0U,	// VMOVNTDQAZrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQZ128mr
+    0U,	// VMOVNTDQZ256mr
+    0U,	// VMOVNTDQZmr
     0U,	// VMOVNTDQmr
     0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDZ128mr
+    0U,	// VMOVNTPDZ256mr
+    0U,	// VMOVNTPDZmr
     0U,	// VMOVNTPDmr
     0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSZ128mr
+    0U,	// VMOVNTPSZ256mr
+    0U,	// VMOVNTPSZmr
     0U,	// VMOVNTPSmr
     0U,	// VMOVPDI2DIZmr
     0U,	// VMOVPDI2DIZrr
@@ -9485,7 +10625,7 @@
     0U,	// VMOVSDZrm
     2U,	// VMOVSDZrr
     2U,	// VMOVSDZrr_REV
-    9U,	// VMOVSDZrrk
+    105U,	// VMOVSDZrrk
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
     2U,	// VMOVSDrr
@@ -9514,7 +10654,7 @@
     0U,	// VMOVSSZrm
     2U,	// VMOVSSZrr
     2U,	// VMOVSSZrr_REV
-    9U,	// VMOVSSZrrk
+    105U,	// VMOVSSZrrk
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
     2U,	// VMOVSSrr
@@ -9523,11 +10663,39 @@
     0U,	// VMOVUPDYrm
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
+    0U,	// VMOVUPDZ128mr
+    13U,	// VMOVUPDZ128mrk
+    0U,	// VMOVUPDZ128rm
+    105U,	// VMOVUPDZ128rmk
+    87U,	// VMOVUPDZ128rmkz
+    0U,	// VMOVUPDZ128rr
+    0U,	// VMOVUPDZ128rr_alt
+    105U,	// VMOVUPDZ128rrk
+    105U,	// VMOVUPDZ128rrk_alt
+    87U,	// VMOVUPDZ128rrkz
+    87U,	// VMOVUPDZ128rrkz_alt
+    0U,	// VMOVUPDZ256mr
+    13U,	// VMOVUPDZ256mrk
+    0U,	// VMOVUPDZ256rm
+    105U,	// VMOVUPDZ256rmk
+    87U,	// VMOVUPDZ256rmkz
+    0U,	// VMOVUPDZ256rr
+    0U,	// VMOVUPDZ256rr_alt
+    105U,	// VMOVUPDZ256rrk
+    105U,	// VMOVUPDZ256rrk_alt
+    87U,	// VMOVUPDZ256rrkz
+    87U,	// VMOVUPDZ256rrkz_alt
     0U,	// VMOVUPDZmr
+    13U,	// VMOVUPDZmrk
     0U,	// VMOVUPDZrm
-    9U,	// VMOVUPDZrmk
+    105U,	// VMOVUPDZrmk
+    87U,	// VMOVUPDZrmkz
     0U,	// VMOVUPDZrr
-    9U,	// VMOVUPDZrrk
+    0U,	// VMOVUPDZrr_alt
+    105U,	// VMOVUPDZrrk
+    105U,	// VMOVUPDZrrk_alt
+    87U,	// VMOVUPDZrrkz
+    87U,	// VMOVUPDZrrkz_alt
     0U,	// VMOVUPDmr
     0U,	// VMOVUPDrm
     0U,	// VMOVUPDrr
@@ -9536,11 +10704,39 @@
     0U,	// VMOVUPSYrm
     0U,	// VMOVUPSYrr
     0U,	// VMOVUPSYrr_REV
+    0U,	// VMOVUPSZ128mr
+    13U,	// VMOVUPSZ128mrk
+    0U,	// VMOVUPSZ128rm
+    105U,	// VMOVUPSZ128rmk
+    87U,	// VMOVUPSZ128rmkz
+    0U,	// VMOVUPSZ128rr
+    0U,	// VMOVUPSZ128rr_alt
+    105U,	// VMOVUPSZ128rrk
+    105U,	// VMOVUPSZ128rrk_alt
+    87U,	// VMOVUPSZ128rrkz
+    87U,	// VMOVUPSZ128rrkz_alt
+    0U,	// VMOVUPSZ256mr
+    13U,	// VMOVUPSZ256mrk
+    0U,	// VMOVUPSZ256rm
+    105U,	// VMOVUPSZ256rmk
+    87U,	// VMOVUPSZ256rmkz
+    0U,	// VMOVUPSZ256rr
+    0U,	// VMOVUPSZ256rr_alt
+    105U,	// VMOVUPSZ256rrk
+    105U,	// VMOVUPSZ256rrk_alt
+    87U,	// VMOVUPSZ256rrkz
+    87U,	// VMOVUPSZ256rrkz_alt
     0U,	// VMOVUPSZmr
+    13U,	// VMOVUPSZmrk
     0U,	// VMOVUPSZrm
-    9U,	// VMOVUPSZrmk
+    105U,	// VMOVUPSZrmk
+    87U,	// VMOVUPSZrmkz
     0U,	// VMOVUPSZrr
-    9U,	// VMOVUPSZrrk
+    0U,	// VMOVUPSZrr_alt
+    105U,	// VMOVUPSZrrk
+    105U,	// VMOVUPSZrrk_alt
+    87U,	// VMOVUPSZrrkz
+    87U,	// VMOVUPSZrrkz_alt
     0U,	// VMOVUPSmr
     0U,	// VMOVUPSrm
     0U,	// VMOVUPSrr
@@ -9566,18 +10762,30 @@
     0U,	// VMRUN64
     0U,	// VMSAVE32
     0U,	// VMSAVE64
-    0U,	// VMULPDYrm
+    2U,	// VMULPDYrm
     2U,	// VMULPDYrr
-    0U,	// VMULPDZrm
+    2U,	// VMULPDZrm
     20U,	// VMULPDZrmb
+    50U,	// VMULPDZrmbk
+    306U,	// VMULPDZrmbkz
+    50U,	// VMULPDZrmk
+    306U,	// VMULPDZrmkz
     2U,	// VMULPDZrr
+    562U,	// VMULPDZrrk
+    306U,	// VMULPDZrrkz
     2U,	// VMULPDrm
     2U,	// VMULPDrr
-    0U,	// VMULPSYrm
+    2U,	// VMULPSYrm
     2U,	// VMULPSYrr
-    0U,	// VMULPSZrm
+    2U,	// VMULPSZrm
     20U,	// VMULPSZrmb
+    50U,	// VMULPSZrmbk
+    306U,	// VMULPSZrmbkz
+    50U,	// VMULPSZrmk
+    306U,	// VMULPSZrmkz
     2U,	// VMULPSZrr
+    562U,	// VMULPSZrrk
+    306U,	// VMULPSZrrkz
     2U,	// VMULPSrm
     2U,	// VMULPSrr
     20U,	// VMULSDZrm
@@ -9598,11 +10806,11 @@
     0U,	// VMWRITE64rr
     0U,	// VMXOFF
     0U,	// VMXON
-    0U,	// VORPDYrm
+    2U,	// VORPDYrm
     2U,	// VORPDYrr
     2U,	// VORPDrm
     2U,	// VORPDrr
-    0U,	// VORPSYrm
+    2U,	// VORPSYrm
     2U,	// VORPSYrr
     2U,	// VORPSrm
     2U,	// VORPSrr
@@ -9610,69 +10818,95 @@
     0U,	// VPABSBrm256
     0U,	// VPABSBrr128
     0U,	// VPABSBrr256
-    0U,	// VPABSDrm
+    0U,	// VPABSDZrm
+    0U,	// VPABSDZrmb
+    138U,	// VPABSDZrmbk
+    394U,	// VPABSDZrmbkz
+    103U,	// VPABSDZrmk
+    87U,	// VPABSDZrmkz
+    0U,	// VPABSDZrr
+    103U,	// VPABSDZrrk
+    87U,	// VPABSDZrrkz
     0U,	// VPABSDrm128
     0U,	// VPABSDrm256
-    0U,	// VPABSDrr
     0U,	// VPABSDrr128
     0U,	// VPABSDrr256
-    0U,	// VPABSQrm
-    0U,	// VPABSQrr
+    0U,	// VPABSQZrm
+    0U,	// VPABSQZrmb
+    138U,	// VPABSQZrmbk
+    394U,	// VPABSQZrmbkz
+    103U,	// VPABSQZrmk
+    87U,	// VPABSQZrmkz
+    0U,	// VPABSQZrr
+    103U,	// VPABSQZrrk
+    87U,	// VPABSQZrrkz
     0U,	// VPABSWrm128
     0U,	// VPABSWrm256
     0U,	// VPABSWrr128
     0U,	// VPABSWrr256
-    0U,	// VPACKSSDWYrm
+    2U,	// VPACKSSDWYrm
     2U,	// VPACKSSDWYrr
     2U,	// VPACKSSDWrm
     2U,	// VPACKSSDWrr
-    0U,	// VPACKSSWBYrm
+    2U,	// VPACKSSWBYrm
     2U,	// VPACKSSWBYrr
     2U,	// VPACKSSWBrm
     2U,	// VPACKSSWBrr
-    0U,	// VPACKUSDWYrm
+    2U,	// VPACKUSDWYrm
     2U,	// VPACKUSDWYrr
     2U,	// VPACKUSDWrm
     2U,	// VPACKUSDWrr
-    0U,	// VPACKUSWBYrm
+    2U,	// VPACKUSWBYrm
     2U,	// VPACKUSWBYrr
     2U,	// VPACKUSWBrm
     2U,	// VPACKUSWBrr
-    0U,	// VPADDBYrm
+    2U,	// VPADDBYrm
     2U,	// VPADDBYrr
     2U,	// VPADDBrm
     2U,	// VPADDBrr
-    0U,	// VPADDDYrm
+    2U,	// VPADDDYrm
     2U,	// VPADDDYrr
     2U,	// VPADDDZrm
     20U,	// VPADDDZrmb
+    1U,	// VPADDDZrmbk
+    306U,	// VPADDDZrmbkz
+    0U,	// VPADDDZrmk
+    306U,	// VPADDDZrmkz
     2U,	// VPADDDZrr
+    105U,	// VPADDDZrrk
+    306U,	// VPADDDZrrkz
     2U,	// VPADDDrm
     2U,	// VPADDDrr
-    0U,	// VPADDQYrm
+    2U,	// VPADDQYrm
     2U,	// VPADDQYrr
     2U,	// VPADDQZrm
     20U,	// VPADDQZrmb
+    1U,	// VPADDQZrmbk
+    306U,	// VPADDQZrmbkz
+    0U,	// VPADDQZrmk
+    306U,	// VPADDQZrmkz
     2U,	// VPADDQZrr
+    105U,	// VPADDQZrrk
+    306U,	// VPADDQZrrkz
     2U,	// VPADDQrm
     2U,	// VPADDQrr
-    0U,	// VPADDSBYrm
+    2U,	// VPADDSBYrm
     2U,	// VPADDSBYrr
     2U,	// VPADDSBrm
     2U,	// VPADDSBrr
-    0U,	// VPADDSWYrm
+    2U,	// VPADDSWYrm
     2U,	// VPADDSWYrr
     2U,	// VPADDSWrm
     2U,	// VPADDSWrr
-    0U,	// VPADDUSBYrm
+    2U,	// VPADDUSBYrm
     2U,	// VPADDUSBYrr
     2U,	// VPADDUSBrm
     2U,	// VPADDUSBrr
-    0U,	// VPADDUSWYrm
+    2U,	// VPADDUSWYrm
     2U,	// VPADDUSWYrr
     2U,	// VPADDUSWrm
     2U,	// VPADDUSWrr
-    0U,	// VPADDWYrm
+    2U,	// VPADDWYrm
     2U,	// VPADDWYrr
     2U,	// VPADDWrm
     2U,	// VPADDWrr
@@ -9682,29 +10916,53 @@
     38U,	// VPALIGNR256rr
     2U,	// VPANDDZrm
     20U,	// VPANDDZrmb
+    1U,	// VPANDDZrmbk
+    306U,	// VPANDDZrmbkz
+    0U,	// VPANDDZrmk
+    306U,	// VPANDDZrmkz
     2U,	// VPANDDZrr
+    105U,	// VPANDDZrrk
+    306U,	// VPANDDZrrkz
     2U,	// VPANDNDZrm
     20U,	// VPANDNDZrmb
+    1U,	// VPANDNDZrmbk
+    306U,	// VPANDNDZrmbkz
+    0U,	// VPANDNDZrmk
+    306U,	// VPANDNDZrmkz
     2U,	// VPANDNDZrr
+    105U,	// VPANDNDZrrk
+    306U,	// VPANDNDZrrkz
     2U,	// VPANDNQZrm
     20U,	// VPANDNQZrmb
+    1U,	// VPANDNQZrmbk
+    306U,	// VPANDNQZrmbkz
+    0U,	// VPANDNQZrmk
+    306U,	// VPANDNQZrmkz
     2U,	// VPANDNQZrr
-    0U,	// VPANDNYrm
+    105U,	// VPANDNQZrrk
+    306U,	// VPANDNQZrrkz
+    2U,	// VPANDNYrm
     2U,	// VPANDNYrr
     2U,	// VPANDNrm
     2U,	// VPANDNrr
     2U,	// VPANDQZrm
     20U,	// VPANDQZrmb
+    1U,	// VPANDQZrmbk
+    306U,	// VPANDQZrmbkz
+    0U,	// VPANDQZrmk
+    306U,	// VPANDQZrmkz
     2U,	// VPANDQZrr
-    0U,	// VPANDYrm
+    105U,	// VPANDQZrrk
+    306U,	// VPANDQZrrkz
+    2U,	// VPANDYrm
     2U,	// VPANDYrr
     2U,	// VPANDrm
     2U,	// VPANDrr
-    0U,	// VPAVGBYrm
+    2U,	// VPAVGBYrm
     2U,	// VPAVGBYrr
     2U,	// VPAVGBrm
     2U,	// VPAVGBrr
-    0U,	// VPAVGWYrm
+    2U,	// VPAVGWYrm
     2U,	// VPAVGWYrr
     2U,	// VPAVGWrm
     2U,	// VPAVGWrr
@@ -9712,10 +10970,10 @@
     38U,	// VPBLENDDYrri
     20U,	// VPBLENDDrmi
     38U,	// VPBLENDDrri
-    66U,	// VPBLENDMDZrm
-    66U,	// VPBLENDMDZrr
-    66U,	// VPBLENDMQZrm
-    66U,	// VPBLENDMQZrr
+    50U,	// VPBLENDMDZrm
+    50U,	// VPBLENDMDZrr
+    50U,	// VPBLENDMQZrm
+    50U,	// VPBLENDMQZrr
     0U,	// VPBLENDVBYrm
     38U,	// VPBLENDVBYrr
     20U,	// VPBLENDVBrm
@@ -9730,11 +10988,11 @@
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    106U,	// VPBROADCASTDZkrm
-    103U,	// VPBROADCASTDZkrr
+    394U,	// VPBROADCASTDZkrm
+    87U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
-    103U,	// VPBROADCASTDrZkrr
+    87U,	// VPBROADCASTDrZkrr
     0U,	// VPBROADCASTDrZrr
     0U,	// VPBROADCASTDrm
     0U,	// VPBROADCASTDrr
@@ -9742,11 +11000,11 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    106U,	// VPBROADCASTQZkrm
-    103U,	// VPBROADCASTQZkrr
+    394U,	// VPBROADCASTQZkrm
+    87U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
-    103U,	// VPBROADCASTQrZkrr
+    87U,	// VPBROADCASTQrZkrr
     0U,	// VPBROADCASTQrZrr
     0U,	// VPBROADCASTQrm
     0U,	// VPBROADCASTQrr
@@ -9758,32 +11016,90 @@
     38U,	// VPCLMULQDQrr
     20U,	// VPCMOVmr
     0U,	// VPCMOVmrY
-    2U,	// VPCMOVrm
-    0U,	// VPCMOVrmY
+    38U,	// VPCMOVrm
+    38U,	// VPCMOVrmY
     38U,	// VPCMOVrr
     38U,	// VPCMOVrrY
     1U,	// VPCMPDZrmi
     20U,	// VPCMPDZrmi_alt
+    0U,	// VPCMPDZrmik_alt
     38U,	// VPCMPDZrri
     38U,	// VPCMPDZrri_alt
-    0U,	// VPCMPEQBYrm
+    50U,	// VPCMPDZrrik_alt
+    2U,	// VPCMPEQBYrm
     2U,	// VPCMPEQBYrr
+    2U,	// VPCMPEQBZ128rm
+    50U,	// VPCMPEQBZ128rmk
+    2U,	// VPCMPEQBZ128rr
+    50U,	// VPCMPEQBZ128rrk
+    2U,	// VPCMPEQBZ256rm
+    50U,	// VPCMPEQBZ256rmk
+    2U,	// VPCMPEQBZ256rr
+    50U,	// VPCMPEQBZ256rrk
+    2U,	// VPCMPEQBZrm
+    50U,	// VPCMPEQBZrmk
+    2U,	// VPCMPEQBZrr
+    50U,	// VPCMPEQBZrrk
     2U,	// VPCMPEQBrm
     2U,	// VPCMPEQBrr
-    0U,	// VPCMPEQDYrm
+    2U,	// VPCMPEQDYrm
     2U,	// VPCMPEQDYrr
+    2U,	// VPCMPEQDZ128rm
+    20U,	// VPCMPEQDZ128rmb
+    50U,	// VPCMPEQDZ128rmbk
+    50U,	// VPCMPEQDZ128rmk
+    2U,	// VPCMPEQDZ128rr
+    50U,	// VPCMPEQDZ128rrk
+    2U,	// VPCMPEQDZ256rm
+    20U,	// VPCMPEQDZ256rmb
+    50U,	// VPCMPEQDZ256rmbk
+    50U,	// VPCMPEQDZ256rmk
+    2U,	// VPCMPEQDZ256rr
+    50U,	// VPCMPEQDZ256rrk
     2U,	// VPCMPEQDZrm
+    20U,	// VPCMPEQDZrmb
+    50U,	// VPCMPEQDZrmbk
+    50U,	// VPCMPEQDZrmk
     2U,	// VPCMPEQDZrr
+    50U,	// VPCMPEQDZrrk
     2U,	// VPCMPEQDrm
     2U,	// VPCMPEQDrr
-    0U,	// VPCMPEQQYrm
+    2U,	// VPCMPEQQYrm
     2U,	// VPCMPEQQYrr
+    2U,	// VPCMPEQQZ128rm
+    20U,	// VPCMPEQQZ128rmb
+    50U,	// VPCMPEQQZ128rmbk
+    50U,	// VPCMPEQQZ128rmk
+    2U,	// VPCMPEQQZ128rr
+    50U,	// VPCMPEQQZ128rrk
+    2U,	// VPCMPEQQZ256rm
+    20U,	// VPCMPEQQZ256rmb
+    50U,	// VPCMPEQQZ256rmbk
+    50U,	// VPCMPEQQZ256rmk
+    2U,	// VPCMPEQQZ256rr
+    50U,	// VPCMPEQQZ256rrk
     2U,	// VPCMPEQQZrm
+    20U,	// VPCMPEQQZrmb
+    50U,	// VPCMPEQQZrmbk
+    50U,	// VPCMPEQQZrmk
     2U,	// VPCMPEQQZrr
+    50U,	// VPCMPEQQZrrk
     2U,	// VPCMPEQQrm
     2U,	// VPCMPEQQrr
-    0U,	// VPCMPEQWYrm
+    2U,	// VPCMPEQWYrm
     2U,	// VPCMPEQWYrr
+    2U,	// VPCMPEQWZ128rm
+    50U,	// VPCMPEQWZ128rmk
+    2U,	// VPCMPEQWZ128rr
+    50U,	// VPCMPEQWZ128rrk
+    2U,	// VPCMPEQWZ256rm
+    50U,	// VPCMPEQWZ256rmk
+    2U,	// VPCMPEQWZ256rr
+    50U,	// VPCMPEQWZ256rrk
+    2U,	// VPCMPEQWZrm
+    50U,	// VPCMPEQWZrmk
+    2U,	// VPCMPEQWZrr
+    50U,	// VPCMPEQWZrrk
     2U,	// VPCMPEQWrm
     2U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
@@ -9794,24 +11110,80 @@
     0U,	// VPCMPESTRM128REG
     0U,	// VPCMPESTRM128rm
     2U,	// VPCMPESTRM128rr
-    0U,	// VPCMPGTBYrm
+    2U,	// VPCMPGTBYrm
     2U,	// VPCMPGTBYrr
+    2U,	// VPCMPGTBZ128rm
+    50U,	// VPCMPGTBZ128rmk
+    2U,	// VPCMPGTBZ128rr
+    50U,	// VPCMPGTBZ128rrk
+    2U,	// VPCMPGTBZ256rm
+    50U,	// VPCMPGTBZ256rmk
+    2U,	// VPCMPGTBZ256rr
+    50U,	// VPCMPGTBZ256rrk
+    2U,	// VPCMPGTBZrm
+    50U,	// VPCMPGTBZrmk
+    2U,	// VPCMPGTBZrr
+    50U,	// VPCMPGTBZrrk
     2U,	// VPCMPGTBrm
     2U,	// VPCMPGTBrr
-    0U,	// VPCMPGTDYrm
+    2U,	// VPCMPGTDYrm
     2U,	// VPCMPGTDYrr
+    2U,	// VPCMPGTDZ128rm
+    20U,	// VPCMPGTDZ128rmb
+    50U,	// VPCMPGTDZ128rmbk
+    50U,	// VPCMPGTDZ128rmk
+    2U,	// VPCMPGTDZ128rr
+    50U,	// VPCMPGTDZ128rrk
+    2U,	// VPCMPGTDZ256rm
+    20U,	// VPCMPGTDZ256rmb
+    50U,	// VPCMPGTDZ256rmbk
+    50U,	// VPCMPGTDZ256rmk
+    2U,	// VPCMPGTDZ256rr
+    50U,	// VPCMPGTDZ256rrk
     2U,	// VPCMPGTDZrm
+    20U,	// VPCMPGTDZrmb
+    50U,	// VPCMPGTDZrmbk
+    50U,	// VPCMPGTDZrmk
     2U,	// VPCMPGTDZrr
+    50U,	// VPCMPGTDZrrk
     2U,	// VPCMPGTDrm
     2U,	// VPCMPGTDrr
-    0U,	// VPCMPGTQYrm
+    2U,	// VPCMPGTQYrm
     2U,	// VPCMPGTQYrr
+    2U,	// VPCMPGTQZ128rm
+    20U,	// VPCMPGTQZ128rmb
+    50U,	// VPCMPGTQZ128rmbk
+    50U,	// VPCMPGTQZ128rmk
+    2U,	// VPCMPGTQZ128rr
+    50U,	// VPCMPGTQZ128rrk
+    2U,	// VPCMPGTQZ256rm
+    20U,	// VPCMPGTQZ256rmb
+    50U,	// VPCMPGTQZ256rmbk
+    50U,	// VPCMPGTQZ256rmk
+    2U,	// VPCMPGTQZ256rr
+    50U,	// VPCMPGTQZ256rrk
     2U,	// VPCMPGTQZrm
+    20U,	// VPCMPGTQZrmb
+    50U,	// VPCMPGTQZrmbk
+    50U,	// VPCMPGTQZrmk
     2U,	// VPCMPGTQZrr
+    50U,	// VPCMPGTQZrrk
     2U,	// VPCMPGTQrm
     2U,	// VPCMPGTQrr
-    0U,	// VPCMPGTWYrm
+    2U,	// VPCMPGTWYrm
     2U,	// VPCMPGTWYrr
+    2U,	// VPCMPGTWZ128rm
+    50U,	// VPCMPGTWZ128rmk
+    2U,	// VPCMPGTWZ128rr
+    50U,	// VPCMPGTWZ128rrk
+    2U,	// VPCMPGTWZ256rm
+    50U,	// VPCMPGTWZ256rmk
+    2U,	// VPCMPGTWZ256rr
+    50U,	// VPCMPGTWZ256rrk
+    2U,	// VPCMPGTWZrm
+    50U,	// VPCMPGTWZrmk
+    2U,	// VPCMPGTWZrr
+    50U,	// VPCMPGTWZrrk
     2U,	// VPCMPGTWrm
     2U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
@@ -9824,16 +11196,22 @@
     2U,	// VPCMPISTRM128rr
     1U,	// VPCMPQZrmi
     20U,	// VPCMPQZrmi_alt
+    0U,	// VPCMPQZrmik_alt
     38U,	// VPCMPQZrri
     38U,	// VPCMPQZrri_alt
+    50U,	// VPCMPQZrrik_alt
     1U,	// VPCMPUDZrmi
     20U,	// VPCMPUDZrmi_alt
+    0U,	// VPCMPUDZrmik_alt
     38U,	// VPCMPUDZrri
     38U,	// VPCMPUDZrri_alt
+    50U,	// VPCMPUDZrrik_alt
     1U,	// VPCMPUQZrmi
     20U,	// VPCMPUQZrmi_alt
+    0U,	// VPCMPUQZrmik_alt
     38U,	// VPCMPUQZrri
     38U,	// VPCMPUQZrri_alt
+    50U,	// VPCMPUQZrrik_alt
     20U,	// VPCOMBmi
     38U,	// VPCOMBri
     20U,	// VPCOMDmi
@@ -9852,38 +11230,54 @@
     38U,	// VPCOMWri
     0U,	// VPCONFLICTDrm
     0U,	// VPCONFLICTDrmb
-    0U,	// VPCONFLICTDrmbk
-    106U,	// VPCONFLICTDrmbkz
-    9U,	// VPCONFLICTDrmk
-    103U,	// VPCONFLICTDrmkz
+    74U,	// VPCONFLICTDrmbk
+    394U,	// VPCONFLICTDrmbkz
+    105U,	// VPCONFLICTDrmk
+    87U,	// VPCONFLICTDrmkz
     1U,	// VPCONFLICTDrr
-    9U,	// VPCONFLICTDrrk
-    103U,	// VPCONFLICTDrrkz
+    105U,	// VPCONFLICTDrrk
+    87U,	// VPCONFLICTDrrkz
     0U,	// VPCONFLICTQrm
     0U,	// VPCONFLICTQrmb
-    0U,	// VPCONFLICTQrmbk
-    106U,	// VPCONFLICTQrmbkz
-    9U,	// VPCONFLICTQrmk
-    103U,	// VPCONFLICTQrmkz
+    74U,	// VPCONFLICTQrmbk
+    394U,	// VPCONFLICTQrmbkz
+    105U,	// VPCONFLICTQrmk
+    87U,	// VPCONFLICTQrmkz
     1U,	// VPCONFLICTQrr
-    9U,	// VPCONFLICTQrrk
-    103U,	// VPCONFLICTQrrkz
+    105U,	// VPCONFLICTQrrk
+    87U,	// VPCONFLICTQrrkz
     0U,	// VPERM2F128rm
     38U,	// VPERM2F128rr
     0U,	// VPERM2I128rm
     38U,	// VPERM2I128rr
-    0U,	// VPERMDYrm
+    2U,	// VPERMDYrm
     2U,	// VPERMDYrr
     2U,	// VPERMDZrm
     2U,	// VPERMDZrr
     2U,	// VPERMI2Drm
+    0U,	// VPERMI2Drmk
+    0U,	// VPERMI2Drmkz
     2U,	// VPERMI2Drr
+    105U,	// VPERMI2Drrk
+    153U,	// VPERMI2Drrkz
     2U,	// VPERMI2PDrm
+    0U,	// VPERMI2PDrmk
+    0U,	// VPERMI2PDrmkz
     2U,	// VPERMI2PDrr
+    105U,	// VPERMI2PDrrk
+    153U,	// VPERMI2PDrrkz
     2U,	// VPERMI2PSrm
+    0U,	// VPERMI2PSrmk
+    0U,	// VPERMI2PSrmkz
     2U,	// VPERMI2PSrr
+    105U,	// VPERMI2PSrrk
+    153U,	// VPERMI2PSrrkz
     2U,	// VPERMI2Qrm
+    0U,	// VPERMI2Qrmk
+    0U,	// VPERMI2Qrmkz
     2U,	// VPERMI2Qrr
+    105U,	// VPERMI2Qrrk
+    153U,	// VPERMI2Qrrkz
     38U,	// VPERMIL2PDmr
     1U,	// VPERMIL2PDmrY
     0U,	// VPERMIL2PDrm
@@ -9898,7 +11292,7 @@
     38U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
     2U,	// VPERMILPDYri
-    0U,	// VPERMILPDYrm
+    2U,	// VPERMILPDYrm
     2U,	// VPERMILPDYrr
     0U,	// VPERMILPDZmi
     2U,	// VPERMILPDZri
@@ -9908,7 +11302,7 @@
     2U,	// VPERMILPDrr
     0U,	// VPERMILPSYmi
     2U,	// VPERMILPSYri
-    0U,	// VPERMILPSYrm
+    2U,	// VPERMILPSYrm
     2U,	// VPERMILPSYrr
     0U,	// VPERMILPSZmi
     2U,	// VPERMILPSZri
@@ -9920,11 +11314,11 @@
     2U,	// VPERMPDYri
     0U,	// VPERMPDZmi
     2U,	// VPERMPDZri
-    0U,	// VPERMPDZrm
+    2U,	// VPERMPDZrm
     2U,	// VPERMPDZrr
-    0U,	// VPERMPSYrm
+    2U,	// VPERMPSYrm
     2U,	// VPERMPSYrr
-    0U,	// VPERMPSZrm
+    2U,	// VPERMPSZrm
     2U,	// VPERMPSZrr
     0U,	// VPERMQYmi
     2U,	// VPERMQYri
@@ -9933,13 +11327,29 @@
     2U,	// VPERMQZrm
     2U,	// VPERMQZrr
     2U,	// VPERMT2Drm
+    0U,	// VPERMT2Drmk
+    0U,	// VPERMT2Drmkz
     2U,	// VPERMT2Drr
+    105U,	// VPERMT2Drrk
+    153U,	// VPERMT2Drrkz
     2U,	// VPERMT2PDrm
+    0U,	// VPERMT2PDrmk
+    0U,	// VPERMT2PDrmkz
     2U,	// VPERMT2PDrr
+    105U,	// VPERMT2PDrrk
+    153U,	// VPERMT2PDrrkz
     2U,	// VPERMT2PSrm
+    0U,	// VPERMT2PSrmk
+    0U,	// VPERMT2PSrmkz
     2U,	// VPERMT2PSrr
+    105U,	// VPERMT2PSrrk
+    153U,	// VPERMT2PSrrkz
     2U,	// VPERMT2Qrm
+    0U,	// VPERMT2Qrmk
+    0U,	// VPERMT2Qrmkz
     2U,	// VPERMT2Qrr
+    105U,	// VPERMT2Qrrk
+    153U,	// VPERMT2Qrrkz
     0U,	// VPEXTRBmr
     2U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
@@ -9950,16 +11360,16 @@
     2U,	// VPEXTRWri
     2U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
-    0U,	// VPGATHERDDZrm
+    122U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
     0U,	// VPGATHERDQYrm
-    0U,	// VPGATHERDQZrm
+    122U,	// VPGATHERDQZrm
     0U,	// VPGATHERDQrm
     0U,	// VPGATHERQDYrm
-    0U,	// VPGATHERQDZrm
+    122U,	// VPGATHERQDZrm
     0U,	// VPGATHERQDrm
     0U,	// VPGATHERQQYrm
-    0U,	// VPGATHERQQZrm
+    122U,	// VPGATHERQQZrm
     0U,	// VPGATHERQQrm
     0U,	// VPHADDBDrm
     0U,	// VPHADDBDrr
@@ -9969,12 +11379,12 @@
     0U,	// VPHADDBWrr
     0U,	// VPHADDDQrm
     0U,	// VPHADDDQrr
-    0U,	// VPHADDDYrm
+    2U,	// VPHADDDYrm
     2U,	// VPHADDDYrr
     2U,	// VPHADDDrm
     2U,	// VPHADDDrr
     2U,	// VPHADDSWrm128
-    0U,	// VPHADDSWrm256
+    2U,	// VPHADDSWrm256
     2U,	// VPHADDSWrr128
     2U,	// VPHADDSWrr256
     0U,	// VPHADDUBDrm
@@ -9993,7 +11403,7 @@
     0U,	// VPHADDWDrr
     0U,	// VPHADDWQrm
     0U,	// VPHADDWQrr
-    0U,	// VPHADDWYrm
+    2U,	// VPHADDWYrm
     2U,	// VPHADDWYrr
     2U,	// VPHADDWrm
     2U,	// VPHADDWrr
@@ -10003,17 +11413,17 @@
     0U,	// VPHSUBBWrr
     0U,	// VPHSUBDQrm
     0U,	// VPHSUBDQrr
-    0U,	// VPHSUBDYrm
+    2U,	// VPHSUBDYrm
     2U,	// VPHSUBDYrr
     2U,	// VPHSUBDrm
     2U,	// VPHSUBDrr
     2U,	// VPHSUBSWrm128
-    0U,	// VPHSUBSWrm256
+    2U,	// VPHSUBSWrm256
     2U,	// VPHSUBSWrr128
     2U,	// VPHSUBSWrr256
     0U,	// VPHSUBWDrm
     0U,	// VPHSUBWDrr
-    0U,	// VPHSUBWYrm
+    2U,	// VPHSUBWYrm
     2U,	// VPHSUBWYrr
     2U,	// VPHSUBWrm
     2U,	// VPHSUBWrr
@@ -10025,6 +11435,24 @@
     38U,	// VPINSRQrr
     38U,	// VPINSRWrmi
     38U,	// VPINSRWrri
+    0U,	// VPLZCNTDrm
+    0U,	// VPLZCNTDrmb
+    74U,	// VPLZCNTDrmbk
+    394U,	// VPLZCNTDrmbkz
+    105U,	// VPLZCNTDrmk
+    87U,	// VPLZCNTDrmkz
+    1U,	// VPLZCNTDrr
+    105U,	// VPLZCNTDrrk
+    87U,	// VPLZCNTDrrkz
+    0U,	// VPLZCNTQrm
+    0U,	// VPLZCNTQrmb
+    74U,	// VPLZCNTQrmbk
+    394U,	// VPLZCNTQrmbkz
+    105U,	// VPLZCNTQrmk
+    87U,	// VPLZCNTQrmkz
+    1U,	// VPLZCNTQrr
+    105U,	// VPLZCNTQrrk
+    87U,	// VPLZCNTQrrkz
     20U,	// VPMACSDDrm
     38U,	// VPMACSDDrr
     20U,	// VPMACSDQHrm
@@ -10050,135 +11478,211 @@
     20U,	// VPMADCSWDrm
     38U,	// VPMADCSWDrr
     2U,	// VPMADDUBSWrm128
-    0U,	// VPMADDUBSWrm256
+    2U,	// VPMADDUBSWrm256
     2U,	// VPMADDUBSWrr128
     2U,	// VPMADDUBSWrr256
-    0U,	// VPMADDWDYrm
+    2U,	// VPMADDWDYrm
     2U,	// VPMADDWDYrr
     2U,	// VPMADDWDrm
     2U,	// VPMADDWDrr
     1U,	// VPMASKMOVDYmr
-    0U,	// VPMASKMOVDYrm
+    2U,	// VPMASKMOVDYrm
     1U,	// VPMASKMOVDmr
     2U,	// VPMASKMOVDrm
     1U,	// VPMASKMOVQYmr
-    0U,	// VPMASKMOVQYrm
+    2U,	// VPMASKMOVQYrm
     1U,	// VPMASKMOVQmr
     2U,	// VPMASKMOVQrm
-    0U,	// VPMAXSBYrm
+    2U,	// VPMAXSBYrm
     2U,	// VPMAXSBYrr
     2U,	// VPMAXSBrm
     2U,	// VPMAXSBrr
-    0U,	// VPMAXSDYrm
+    2U,	// VPMAXSDYrm
     2U,	// VPMAXSDYrr
     2U,	// VPMAXSDZrm
     20U,	// VPMAXSDZrmb
+    1U,	// VPMAXSDZrmbk
+    306U,	// VPMAXSDZrmbkz
+    0U,	// VPMAXSDZrmk
+    306U,	// VPMAXSDZrmkz
     2U,	// VPMAXSDZrr
+    105U,	// VPMAXSDZrrk
+    306U,	// VPMAXSDZrrkz
     2U,	// VPMAXSDrm
     2U,	// VPMAXSDrr
     2U,	// VPMAXSQZrm
     20U,	// VPMAXSQZrmb
+    1U,	// VPMAXSQZrmbk
+    306U,	// VPMAXSQZrmbkz
+    0U,	// VPMAXSQZrmk
+    306U,	// VPMAXSQZrmkz
     2U,	// VPMAXSQZrr
-    0U,	// VPMAXSWYrm
+    105U,	// VPMAXSQZrrk
+    306U,	// VPMAXSQZrrkz
+    2U,	// VPMAXSWYrm
     2U,	// VPMAXSWYrr
     2U,	// VPMAXSWrm
     2U,	// VPMAXSWrr
-    0U,	// VPMAXUBYrm
+    2U,	// VPMAXUBYrm
     2U,	// VPMAXUBYrr
     2U,	// VPMAXUBrm
     2U,	// VPMAXUBrr
-    0U,	// VPMAXUDYrm
+    2U,	// VPMAXUDYrm
     2U,	// VPMAXUDYrr
     2U,	// VPMAXUDZrm
     20U,	// VPMAXUDZrmb
+    1U,	// VPMAXUDZrmbk
+    306U,	// VPMAXUDZrmbkz
+    0U,	// VPMAXUDZrmk
+    306U,	// VPMAXUDZrmkz
     2U,	// VPMAXUDZrr
+    105U,	// VPMAXUDZrrk
+    306U,	// VPMAXUDZrrkz
     2U,	// VPMAXUDrm
     2U,	// VPMAXUDrr
     2U,	// VPMAXUQZrm
     20U,	// VPMAXUQZrmb
+    1U,	// VPMAXUQZrmbk
+    306U,	// VPMAXUQZrmbkz
+    0U,	// VPMAXUQZrmk
+    306U,	// VPMAXUQZrmkz
     2U,	// VPMAXUQZrr
-    0U,	// VPMAXUWYrm
+    105U,	// VPMAXUQZrrk
+    306U,	// VPMAXUQZrrkz
+    2U,	// VPMAXUWYrm
     2U,	// VPMAXUWYrr
     2U,	// VPMAXUWrm
     2U,	// VPMAXUWrr
-    0U,	// VPMINSBYrm
+    2U,	// VPMINSBYrm
     2U,	// VPMINSBYrr
     2U,	// VPMINSBrm
     2U,	// VPMINSBrr
-    0U,	// VPMINSDYrm
+    2U,	// VPMINSDYrm
     2U,	// VPMINSDYrr
     2U,	// VPMINSDZrm
     20U,	// VPMINSDZrmb
+    1U,	// VPMINSDZrmbk
+    306U,	// VPMINSDZrmbkz
+    0U,	// VPMINSDZrmk
+    306U,	// VPMINSDZrmkz
     2U,	// VPMINSDZrr
+    105U,	// VPMINSDZrrk
+    306U,	// VPMINSDZrrkz
     2U,	// VPMINSDrm
     2U,	// VPMINSDrr
     2U,	// VPMINSQZrm
     20U,	// VPMINSQZrmb
+    1U,	// VPMINSQZrmbk
+    306U,	// VPMINSQZrmbkz
+    0U,	// VPMINSQZrmk
+    306U,	// VPMINSQZrmkz
     2U,	// VPMINSQZrr
-    0U,	// VPMINSWYrm
+    105U,	// VPMINSQZrrk
+    306U,	// VPMINSQZrrkz
+    2U,	// VPMINSWYrm
     2U,	// VPMINSWYrr
     2U,	// VPMINSWrm
     2U,	// VPMINSWrr
-    0U,	// VPMINUBYrm
+    2U,	// VPMINUBYrm
     2U,	// VPMINUBYrr
     2U,	// VPMINUBrm
     2U,	// VPMINUBrr
-    0U,	// VPMINUDYrm
+    2U,	// VPMINUDYrm
     2U,	// VPMINUDYrr
     2U,	// VPMINUDZrm
     20U,	// VPMINUDZrmb
+    1U,	// VPMINUDZrmbk
+    306U,	// VPMINUDZrmbkz
+    0U,	// VPMINUDZrmk
+    306U,	// VPMINUDZrmkz
     2U,	// VPMINUDZrr
+    105U,	// VPMINUDZrrk
+    306U,	// VPMINUDZrrkz
     2U,	// VPMINUDrm
     2U,	// VPMINUDrr
     2U,	// VPMINUQZrm
     20U,	// VPMINUQZrmb
+    1U,	// VPMINUQZrmbk
+    306U,	// VPMINUQZrmbkz
+    0U,	// VPMINUQZrmk
+    306U,	// VPMINUQZrmkz
     2U,	// VPMINUQZrr
-    0U,	// VPMINUWYrm
+    105U,	// VPMINUQZrrk
+    306U,	// VPMINUQZrrkz
+    2U,	// VPMINUWYrm
     2U,	// VPMINUWYrr
     2U,	// VPMINUWrm
     2U,	// VPMINUWrr
-    103U,	// VPMOVDBkrr
     0U,	// VPMOVDBmr
+    13U,	// VPMOVDBmrk
     0U,	// VPMOVDBrr
-    103U,	// VPMOVDWkrr
+    103U,	// VPMOVDBrrk
+    87U,	// VPMOVDBrrkz
     0U,	// VPMOVDWmr
+    13U,	// VPMOVDWmrk
     0U,	// VPMOVDWrr
+    103U,	// VPMOVDWrrk
+    87U,	// VPMOVDWrrkz
     0U,	// VPMOVMSKBYrr
     0U,	// VPMOVMSKBrr
-    103U,	// VPMOVQBkrr
     0U,	// VPMOVQBmr
+    13U,	// VPMOVQBmrk
     0U,	// VPMOVQBrr
-    103U,	// VPMOVQDkrr
+    103U,	// VPMOVQBrrk
+    87U,	// VPMOVQBrrkz
     0U,	// VPMOVQDmr
+    13U,	// VPMOVQDmrk
     0U,	// VPMOVQDrr
-    103U,	// VPMOVQWkrr
+    103U,	// VPMOVQDrrk
+    87U,	// VPMOVQDrrkz
     0U,	// VPMOVQWmr
+    13U,	// VPMOVQWmrk
     0U,	// VPMOVQWrr
-    103U,	// VPMOVSDBkrr
+    103U,	// VPMOVQWrrk
+    87U,	// VPMOVQWrrkz
     0U,	// VPMOVSDBmr
+    13U,	// VPMOVSDBmrk
     0U,	// VPMOVSDBrr
-    103U,	// VPMOVSDWkrr
+    103U,	// VPMOVSDBrrk
+    87U,	// VPMOVSDBrrkz
     0U,	// VPMOVSDWmr
+    13U,	// VPMOVSDWmrk
     0U,	// VPMOVSDWrr
-    103U,	// VPMOVSQBkrr
+    103U,	// VPMOVSDWrrk
+    87U,	// VPMOVSDWrrkz
     0U,	// VPMOVSQBmr
+    13U,	// VPMOVSQBmrk
     0U,	// VPMOVSQBrr
-    103U,	// VPMOVSQDkrr
+    103U,	// VPMOVSQBrrk
+    87U,	// VPMOVSQBrrkz
     0U,	// VPMOVSQDmr
+    13U,	// VPMOVSQDmrk
     0U,	// VPMOVSQDrr
-    103U,	// VPMOVSQWkrr
+    103U,	// VPMOVSQDrrk
+    87U,	// VPMOVSQDrrkz
     0U,	// VPMOVSQWmr
+    13U,	// VPMOVSQWmrk
     0U,	// VPMOVSQWrr
+    103U,	// VPMOVSQWrrk
+    87U,	// VPMOVSQWrrkz
     0U,	// VPMOVSXBDYrm
     0U,	// VPMOVSXBDYrr
     0U,	// VPMOVSXBDZrm
+    167U,	// VPMOVSXBDZrmk
+    87U,	// VPMOVSXBDZrmkz
     0U,	// VPMOVSXBDZrr
+    167U,	// VPMOVSXBDZrrk
+    87U,	// VPMOVSXBDZrrkz
     0U,	// VPMOVSXBDrm
     0U,	// VPMOVSXBDrr
     0U,	// VPMOVSXBQYrm
     0U,	// VPMOVSXBQYrr
     0U,	// VPMOVSXBQZrm
+    167U,	// VPMOVSXBQZrmk
+    87U,	// VPMOVSXBQZrmkz
     0U,	// VPMOVSXBQZrr
+    167U,	// VPMOVSXBQZrrk
+    87U,	// VPMOVSXBQZrrkz
     0U,	// VPMOVSXBQrm
     0U,	// VPMOVSXBQrr
     0U,	// VPMOVSXBWYrm
@@ -10188,46 +11692,76 @@
     0U,	// VPMOVSXDQYrm
     0U,	// VPMOVSXDQYrr
     0U,	// VPMOVSXDQZrm
+    167U,	// VPMOVSXDQZrmk
+    87U,	// VPMOVSXDQZrmkz
     0U,	// VPMOVSXDQZrr
+    167U,	// VPMOVSXDQZrrk
+    87U,	// VPMOVSXDQZrrkz
     0U,	// VPMOVSXDQrm
     0U,	// VPMOVSXDQrr
     0U,	// VPMOVSXWDYrm
     0U,	// VPMOVSXWDYrr
     0U,	// VPMOVSXWDZrm
+    167U,	// VPMOVSXWDZrmk
+    87U,	// VPMOVSXWDZrmkz
     0U,	// VPMOVSXWDZrr
+    167U,	// VPMOVSXWDZrrk
+    87U,	// VPMOVSXWDZrrkz
     0U,	// VPMOVSXWDrm
     0U,	// VPMOVSXWDrr
     0U,	// VPMOVSXWQYrm
     0U,	// VPMOVSXWQYrr
     0U,	// VPMOVSXWQZrm
+    167U,	// VPMOVSXWQZrmk
+    87U,	// VPMOVSXWQZrmkz
     0U,	// VPMOVSXWQZrr
+    167U,	// VPMOVSXWQZrrk
+    87U,	// VPMOVSXWQZrrkz
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
-    103U,	// VPMOVUSDBkrr
     0U,	// VPMOVUSDBmr
+    13U,	// VPMOVUSDBmrk
     0U,	// VPMOVUSDBrr
-    103U,	// VPMOVUSDWkrr
+    103U,	// VPMOVUSDBrrk
+    87U,	// VPMOVUSDBrrkz
     0U,	// VPMOVUSDWmr
+    13U,	// VPMOVUSDWmrk
     0U,	// VPMOVUSDWrr
-    103U,	// VPMOVUSQBkrr
+    103U,	// VPMOVUSDWrrk
+    87U,	// VPMOVUSDWrrkz
     0U,	// VPMOVUSQBmr
+    13U,	// VPMOVUSQBmrk
     0U,	// VPMOVUSQBrr
-    103U,	// VPMOVUSQDkrr
+    103U,	// VPMOVUSQBrrk
+    87U,	// VPMOVUSQBrrkz
     0U,	// VPMOVUSQDmr
+    13U,	// VPMOVUSQDmrk
     0U,	// VPMOVUSQDrr
-    103U,	// VPMOVUSQWkrr
+    103U,	// VPMOVUSQDrrk
+    87U,	// VPMOVUSQDrrkz
     0U,	// VPMOVUSQWmr
+    13U,	// VPMOVUSQWmrk
     0U,	// VPMOVUSQWrr
+    103U,	// VPMOVUSQWrrk
+    87U,	// VPMOVUSQWrrkz
     0U,	// VPMOVZXBDYrm
     0U,	// VPMOVZXBDYrr
     0U,	// VPMOVZXBDZrm
+    167U,	// VPMOVZXBDZrmk
+    87U,	// VPMOVZXBDZrmkz
     0U,	// VPMOVZXBDZrr
+    167U,	// VPMOVZXBDZrrk
+    87U,	// VPMOVZXBDZrrkz
     0U,	// VPMOVZXBDrm
     0U,	// VPMOVZXBDrr
     0U,	// VPMOVZXBQYrm
     0U,	// VPMOVZXBQYrr
     0U,	// VPMOVZXBQZrm
+    167U,	// VPMOVZXBQZrmk
+    87U,	// VPMOVZXBQZrmkz
     0U,	// VPMOVZXBQZrr
+    167U,	// VPMOVZXBQZrrk
+    87U,	// VPMOVZXBQZrrkz
     0U,	// VPMOVZXBQrm
     0U,	// VPMOVZXBQrr
     0U,	// VPMOVZXBWYrm
@@ -10237,68 +11771,112 @@
     0U,	// VPMOVZXDQYrm
     0U,	// VPMOVZXDQYrr
     0U,	// VPMOVZXDQZrm
+    167U,	// VPMOVZXDQZrmk
+    87U,	// VPMOVZXDQZrmkz
     0U,	// VPMOVZXDQZrr
+    167U,	// VPMOVZXDQZrrk
+    87U,	// VPMOVZXDQZrrkz
     0U,	// VPMOVZXDQrm
     0U,	// VPMOVZXDQrr
     0U,	// VPMOVZXWDYrm
     0U,	// VPMOVZXWDYrr
     0U,	// VPMOVZXWDZrm
+    167U,	// VPMOVZXWDZrmk
+    87U,	// VPMOVZXWDZrmkz
     0U,	// VPMOVZXWDZrr
+    167U,	// VPMOVZXWDZrrk
+    87U,	// VPMOVZXWDZrrkz
     0U,	// VPMOVZXWDrm
     0U,	// VPMOVZXWDrr
     0U,	// VPMOVZXWQYrm
     0U,	// VPMOVZXWQYrr
     0U,	// VPMOVZXWQZrm
+    167U,	// VPMOVZXWQZrmk
+    87U,	// VPMOVZXWQZrmkz
     0U,	// VPMOVZXWQZrr
+    167U,	// VPMOVZXWQZrrk
+    87U,	// VPMOVZXWQZrrkz
     0U,	// VPMOVZXWQrm
     0U,	// VPMOVZXWQrr
-    0U,	// VPMULDQYrm
+    2U,	// VPMULDQYrm
     2U,	// VPMULDQYrr
     2U,	// VPMULDQZrm
+    20U,	// VPMULDQZrmb
+    50U,	// VPMULDQZrmbk
+    306U,	// VPMULDQZrmbkz
+    50U,	// VPMULDQZrmk
+    306U,	// VPMULDQZrmkz
     2U,	// VPMULDQZrr
+    50U,	// VPMULDQZrrk
+    306U,	// VPMULDQZrrkz
     2U,	// VPMULDQrm
     2U,	// VPMULDQrr
     2U,	// VPMULHRSWrm128
-    0U,	// VPMULHRSWrm256
+    2U,	// VPMULHRSWrm256
     2U,	// VPMULHRSWrr128
     2U,	// VPMULHRSWrr256
-    0U,	// VPMULHUWYrm
+    2U,	// VPMULHUWYrm
     2U,	// VPMULHUWYrr
     2U,	// VPMULHUWrm
     2U,	// VPMULHUWrr
-    0U,	// VPMULHWYrm
+    2U,	// VPMULHWYrm
     2U,	// VPMULHWYrr
     2U,	// VPMULHWrm
     2U,	// VPMULHWrr
-    0U,	// VPMULLDYrm
+    2U,	// VPMULLDYrm
     2U,	// VPMULLDYrr
     2U,	// VPMULLDZrm
     20U,	// VPMULLDZrmb
+    1U,	// VPMULLDZrmbk
+    306U,	// VPMULLDZrmbkz
+    0U,	// VPMULLDZrmk
+    306U,	// VPMULLDZrmkz
     2U,	// VPMULLDZrr
+    105U,	// VPMULLDZrrk
+    306U,	// VPMULLDZrrkz
     2U,	// VPMULLDrm
     2U,	// VPMULLDrr
-    0U,	// VPMULLWYrm
+    2U,	// VPMULLWYrm
     2U,	// VPMULLWYrr
     2U,	// VPMULLWrm
     2U,	// VPMULLWrr
-    0U,	// VPMULUDQYrm
+    2U,	// VPMULUDQYrm
     2U,	// VPMULUDQYrr
     2U,	// VPMULUDQZrm
+    20U,	// VPMULUDQZrmb
+    50U,	// VPMULUDQZrmbk
+    306U,	// VPMULUDQZrmbkz
+    50U,	// VPMULUDQZrmk
+    306U,	// VPMULUDQZrmkz
     2U,	// VPMULUDQZrr
+    50U,	// VPMULUDQZrrk
+    306U,	// VPMULUDQZrrkz
     2U,	// VPMULUDQrm
     2U,	// VPMULUDQrr
     2U,	// VPORDZrm
     20U,	// VPORDZrmb
+    1U,	// VPORDZrmbk
+    306U,	// VPORDZrmbkz
+    0U,	// VPORDZrmk
+    306U,	// VPORDZrmkz
     2U,	// VPORDZrr
+    105U,	// VPORDZrrk
+    306U,	// VPORDZrrkz
     2U,	// VPORQZrm
     20U,	// VPORQZrmb
+    1U,	// VPORQZrmbk
+    306U,	// VPORQZrmbkz
+    0U,	// VPORQZrmk
+    306U,	// VPORQZrmkz
     2U,	// VPORQZrr
-    0U,	// VPORYrm
+    105U,	// VPORQZrrk
+    306U,	// VPORQZrrkz
+    2U,	// VPORYrm
     2U,	// VPORYrr
     2U,	// VPORrm
     2U,	// VPORrr
     20U,	// VPPERMmr
-    2U,	// VPPERMrm
+    38U,	// VPPERMrm
     38U,	// VPPERMrr
     0U,	// VPROTBmi
     0U,	// VPROTBmr
@@ -10320,14 +11898,14 @@
     2U,	// VPROTWri
     2U,	// VPROTWrm
     2U,	// VPROTWrr
-    0U,	// VPSADBWYrm
+    2U,	// VPSADBWYrm
     2U,	// VPSADBWYrr
     2U,	// VPSADBWrm
     2U,	// VPSADBWrr
-    13U,	// VPSCATTERDDZmr
-    13U,	// VPSCATTERDQZmr
-    13U,	// VPSCATTERQDZmr
-    13U,	// VPSCATTERQQZmr
+    15U,	// VPSCATTERDDZmr
+    15U,	// VPSCATTERDQZmr
+    15U,	// VPSCATTERQDZmr
+    15U,	// VPSCATTERQQZmr
     0U,	// VPSHABmr
     2U,	// VPSHABrm
     2U,	// VPSHABrr
@@ -10352,7 +11930,7 @@
     0U,	// VPSHLWmr
     2U,	// VPSHLWrm
     2U,	// VPSHLWrr
-    0U,	// VPSHUFBYrm
+    2U,	// VPSHUFBYrm
     2U,	// VPSHUFBYrr
     2U,	// VPSHUFBrm
     2U,	// VPSHUFBrr
@@ -10370,15 +11948,15 @@
     2U,	// VPSHUFLWYri
     0U,	// VPSHUFLWmi
     2U,	// VPSHUFLWri
-    0U,	// VPSIGNBYrm
+    2U,	// VPSIGNBYrm
     2U,	// VPSIGNBYrr
     2U,	// VPSIGNBrm
     2U,	// VPSIGNBrr
-    0U,	// VPSIGNDYrm
+    2U,	// VPSIGNDYrm
     2U,	// VPSIGNDYrr
     2U,	// VPSIGNDrm
     2U,	// VPSIGNDrr
-    0U,	// VPSIGNWYrm
+    2U,	// VPSIGNWYrm
     2U,	// VPSIGNWYrr
     2U,	// VPSIGNWrm
     2U,	// VPSIGNWrr
@@ -10388,13 +11966,13 @@
     2U,	// VPSLLDYrm
     2U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    122U,	// VPSLLDZmik
+    138U,	// VPSLLDZmik
     2U,	// VPSLLDZri
-    66U,	// VPSLLDZrik
+    50U,	// VPSLLDZrik
     2U,	// VPSLLDZrm
-    119U,	// VPSLLDZrmk
+    50U,	// VPSLLDZrmk
     2U,	// VPSLLDZrr
-    66U,	// VPSLLDZrrk
+    50U,	// VPSLLDZrrk
     2U,	// VPSLLDri
     2U,	// VPSLLDrm
     2U,	// VPSLLDrr
@@ -10402,23 +11980,23 @@
     2U,	// VPSLLQYrm
     2U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    122U,	// VPSLLQZmik
+    138U,	// VPSLLQZmik
     2U,	// VPSLLQZri
-    66U,	// VPSLLQZrik
+    50U,	// VPSLLQZrik
     2U,	// VPSLLQZrm
-    119U,	// VPSLLQZrmk
+    50U,	// VPSLLQZrmk
     2U,	// VPSLLQZrr
-    66U,	// VPSLLQZrrk
+    50U,	// VPSLLQZrrk
     2U,	// VPSLLQri
     2U,	// VPSLLQrm
     2U,	// VPSLLQrr
-    0U,	// VPSLLVDYrm
+    2U,	// VPSLLVDYrm
     2U,	// VPSLLVDYrr
     2U,	// VPSLLVDZrm
     2U,	// VPSLLVDZrr
     2U,	// VPSLLVDrm
     2U,	// VPSLLVDrr
-    0U,	// VPSLLVQYrm
+    2U,	// VPSLLVQYrm
     2U,	// VPSLLVQYrr
     2U,	// VPSLLVQZrm
     2U,	// VPSLLVQZrr
@@ -10434,25 +12012,25 @@
     2U,	// VPSRADYrm
     2U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    122U,	// VPSRADZmik
+    138U,	// VPSRADZmik
     2U,	// VPSRADZri
-    66U,	// VPSRADZrik
+    50U,	// VPSRADZrik
     2U,	// VPSRADZrm
-    119U,	// VPSRADZrmk
+    50U,	// VPSRADZrmk
     2U,	// VPSRADZrr
-    66U,	// VPSRADZrrk
+    50U,	// VPSRADZrrk
     2U,	// VPSRADri
     2U,	// VPSRADrm
     2U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    122U,	// VPSRAQZmik
+    138U,	// VPSRAQZmik
     2U,	// VPSRAQZri
-    66U,	// VPSRAQZrik
+    50U,	// VPSRAQZrik
     2U,	// VPSRAQZrm
-    119U,	// VPSRAQZrmk
+    50U,	// VPSRAQZrmk
     2U,	// VPSRAQZrr
-    66U,	// VPSRAQZrrk
-    0U,	// VPSRAVDYrm
+    50U,	// VPSRAQZrrk
+    2U,	// VPSRAVDYrm
     2U,	// VPSRAVDYrr
     2U,	// VPSRAVDZrm
     2U,	// VPSRAVDZrr
@@ -10472,13 +12050,13 @@
     2U,	// VPSRLDYrm
     2U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    122U,	// VPSRLDZmik
+    138U,	// VPSRLDZmik
     2U,	// VPSRLDZri
-    66U,	// VPSRLDZrik
+    50U,	// VPSRLDZrik
     2U,	// VPSRLDZrm
-    119U,	// VPSRLDZrmk
+    50U,	// VPSRLDZrmk
     2U,	// VPSRLDZrr
-    66U,	// VPSRLDZrrk
+    50U,	// VPSRLDZrrk
     2U,	// VPSRLDri
     2U,	// VPSRLDrm
     2U,	// VPSRLDrr
@@ -10486,23 +12064,23 @@
     2U,	// VPSRLQYrm
     2U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    122U,	// VPSRLQZmik
+    138U,	// VPSRLQZmik
     2U,	// VPSRLQZri
-    66U,	// VPSRLQZrik
+    50U,	// VPSRLQZrik
     2U,	// VPSRLQZrm
-    119U,	// VPSRLQZrmk
+    50U,	// VPSRLQZrmk
     2U,	// VPSRLQZrr
-    66U,	// VPSRLQZrrk
+    50U,	// VPSRLQZrrk
     2U,	// VPSRLQri
     2U,	// VPSRLQrm
     2U,	// VPSRLQrr
-    0U,	// VPSRLVDYrm
+    2U,	// VPSRLVDYrm
     2U,	// VPSRLVDYrr
     2U,	// VPSRLVDZrm
     2U,	// VPSRLVDZrr
     2U,	// VPSRLVDrm
     2U,	// VPSRLVDrr
-    0U,	// VPSRLVQYrm
+    2U,	// VPSRLVQYrm
     2U,	// VPSRLVQYrr
     2U,	// VPSRLVQZrm
     2U,	// VPSRLVQZrr
@@ -10514,103 +12092,127 @@
     2U,	// VPSRLWri
     2U,	// VPSRLWrm
     2U,	// VPSRLWrr
-    0U,	// VPSUBBYrm
+    2U,	// VPSUBBYrm
     2U,	// VPSUBBYrr
     2U,	// VPSUBBrm
     2U,	// VPSUBBrr
-    0U,	// VPSUBDYrm
+    2U,	// VPSUBDYrm
     2U,	// VPSUBDYrr
     2U,	// VPSUBDZrm
     20U,	// VPSUBDZrmb
+    1U,	// VPSUBDZrmbk
+    306U,	// VPSUBDZrmbkz
+    0U,	// VPSUBDZrmk
+    306U,	// VPSUBDZrmkz
     2U,	// VPSUBDZrr
+    105U,	// VPSUBDZrrk
+    306U,	// VPSUBDZrrkz
     2U,	// VPSUBDrm
     2U,	// VPSUBDrr
-    0U,	// VPSUBQYrm
+    2U,	// VPSUBQYrm
     2U,	// VPSUBQYrr
     2U,	// VPSUBQZrm
     20U,	// VPSUBQZrmb
+    1U,	// VPSUBQZrmbk
+    306U,	// VPSUBQZrmbkz
+    0U,	// VPSUBQZrmk
+    306U,	// VPSUBQZrmkz
     2U,	// VPSUBQZrr
+    105U,	// VPSUBQZrrk
+    306U,	// VPSUBQZrrkz
     2U,	// VPSUBQrm
     2U,	// VPSUBQrr
-    0U,	// VPSUBSBYrm
+    2U,	// VPSUBSBYrm
     2U,	// VPSUBSBYrr
     2U,	// VPSUBSBrm
     2U,	// VPSUBSBrr
-    0U,	// VPSUBSWYrm
+    2U,	// VPSUBSWYrm
     2U,	// VPSUBSWYrr
     2U,	// VPSUBSWrm
     2U,	// VPSUBSWrr
-    0U,	// VPSUBUSBYrm
+    2U,	// VPSUBUSBYrm
     2U,	// VPSUBUSBYrr
     2U,	// VPSUBUSBrm
     2U,	// VPSUBUSBrr
-    0U,	// VPSUBUSWYrm
+    2U,	// VPSUBUSWYrm
     2U,	// VPSUBUSWYrr
     2U,	// VPSUBUSWrm
     2U,	// VPSUBUSWrr
-    0U,	// VPSUBWYrm
+    2U,	// VPSUBWYrm
     2U,	// VPSUBWYrr
     2U,	// VPSUBWrm
     2U,	// VPSUBWrr
-    0U,	// VPTESTMDZrm
+    2U,	// VPTESTMDZrm
     2U,	// VPTESTMDZrr
-    0U,	// VPTESTMQZrm
+    2U,	// VPTESTMQZrm
     2U,	// VPTESTMQZrr
-    0U,	// VPTESTNMDZrm
+    2U,	// VPTESTNMDZrm
     2U,	// VPTESTNMDZrr
-    0U,	// VPTESTNMQZrm
+    2U,	// VPTESTNMQZrm
     2U,	// VPTESTNMQZrr
     0U,	// VPTESTYrm
     0U,	// VPTESTYrr
     0U,	// VPTESTrm
     0U,	// VPTESTrr
-    0U,	// VPUNPCKHBWYrm
+    2U,	// VPUNPCKHBWYrm
     2U,	// VPUNPCKHBWYrr
     2U,	// VPUNPCKHBWrm
     2U,	// VPUNPCKHBWrr
-    0U,	// VPUNPCKHDQYrm
+    2U,	// VPUNPCKHDQYrm
     2U,	// VPUNPCKHDQYrr
     2U,	// VPUNPCKHDQZrm
     2U,	// VPUNPCKHDQZrr
     2U,	// VPUNPCKHDQrm
     2U,	// VPUNPCKHDQrr
-    0U,	// VPUNPCKHQDQYrm
+    2U,	// VPUNPCKHQDQYrm
     2U,	// VPUNPCKHQDQYrr
     2U,	// VPUNPCKHQDQZrm
     2U,	// VPUNPCKHQDQZrr
     2U,	// VPUNPCKHQDQrm
     2U,	// VPUNPCKHQDQrr
-    0U,	// VPUNPCKHWDYrm
+    2U,	// VPUNPCKHWDYrm
     2U,	// VPUNPCKHWDYrr
     2U,	// VPUNPCKHWDrm
     2U,	// VPUNPCKHWDrr
-    0U,	// VPUNPCKLBWYrm
+    2U,	// VPUNPCKLBWYrm
     2U,	// VPUNPCKLBWYrr
     2U,	// VPUNPCKLBWrm
     2U,	// VPUNPCKLBWrr
-    0U,	// VPUNPCKLDQYrm
+    2U,	// VPUNPCKLDQYrm
     2U,	// VPUNPCKLDQYrr
     2U,	// VPUNPCKLDQZrm
     2U,	// VPUNPCKLDQZrr
     2U,	// VPUNPCKLDQrm
     2U,	// VPUNPCKLDQrr
-    0U,	// VPUNPCKLQDQYrm
+    2U,	// VPUNPCKLQDQYrm
     2U,	// VPUNPCKLQDQYrr
     2U,	// VPUNPCKLQDQZrm
     2U,	// VPUNPCKLQDQZrr
     2U,	// VPUNPCKLQDQrm
     2U,	// VPUNPCKLQDQrr
-    0U,	// VPUNPCKLWDYrm
+    2U,	// VPUNPCKLWDYrm
     2U,	// VPUNPCKLWDYrr
     2U,	// VPUNPCKLWDrm
     2U,	// VPUNPCKLWDrr
     2U,	// VPXORDZrm
     20U,	// VPXORDZrmb
+    1U,	// VPXORDZrmbk
+    306U,	// VPXORDZrmbkz
+    0U,	// VPXORDZrmk
+    306U,	// VPXORDZrmkz
     2U,	// VPXORDZrr
+    105U,	// VPXORDZrrk
+    306U,	// VPXORDZrrkz
     2U,	// VPXORQZrm
     20U,	// VPXORQZrmb
+    1U,	// VPXORQZrmbk
+    306U,	// VPXORQZrmbkz
+    0U,	// VPXORQZrmk
+    306U,	// VPXORQZrmkz
     2U,	// VPXORQZrr
-    0U,	// VPXORYrm
+    105U,	// VPXORQZrrk
+    306U,	// VPXORQZrrkz
+    2U,	// VPXORYrm
     2U,	// VPXORYrr
     2U,	// VPXORrm
     2U,	// VPXORrr
@@ -10698,10 +12300,18 @@
     20U,	// VRSQRTSSm
     20U,	// VRSQRTSSm_Int
     2U,	// VRSQRTSSr
-    13U,	// VSCATTERDPDZmr
-    13U,	// VSCATTERDPSZmr
-    13U,	// VSCATTERQPDZmr
-    13U,	// VSCATTERQPSZmr
+    15U,	// VSCATTERDPDZmr
+    15U,	// VSCATTERDPSZmr
+    0U,	// VSCATTERPF0DPDm
+    0U,	// VSCATTERPF0DPSm
+    0U,	// VSCATTERPF0QPDm
+    0U,	// VSCATTERPF0QPSm
+    0U,	// VSCATTERPF1DPDm
+    0U,	// VSCATTERPF1DPSm
+    0U,	// VSCATTERPF1QPDm
+    0U,	// VSCATTERPF1QPSm
+    15U,	// VSCATTERQPDZmr
+    15U,	// VSCATTERQPSZmr
     0U,	// VSHUFPDYrmi
     38U,	// VSHUFPDYrri
     0U,	// VSHUFPDZrmi
@@ -10716,16 +12326,12 @@
     38U,	// VSHUFPSrri
     0U,	// VSQRTPDYm
     0U,	// VSQRTPDYr
-    0U,	// VSQRTPDZm_Int
-    0U,	// VSQRTPDZr_Int
     0U,	// VSQRTPDZrm
     0U,	// VSQRTPDZrr
     0U,	// VSQRTPDm
     0U,	// VSQRTPDr
     0U,	// VSQRTPSYm
     0U,	// VSQRTPSYr
-    0U,	// VSQRTPSZm_Int
-    0U,	// VSQRTPSZr_Int
     0U,	// VSQRTPSZrm
     0U,	// VSQRTPSZrr
     0U,	// VSQRTPSm
@@ -10745,18 +12351,30 @@
     20U,	// VSQRTSSm_Int
     2U,	// VSQRTSSr
     0U,	// VSTMXCSR
-    0U,	// VSUBPDYrm
+    2U,	// VSUBPDYrm
     2U,	// VSUBPDYrr
-    0U,	// VSUBPDZrm
+    2U,	// VSUBPDZrm
     20U,	// VSUBPDZrmb
+    50U,	// VSUBPDZrmbk
+    306U,	// VSUBPDZrmbkz
+    50U,	// VSUBPDZrmk
+    306U,	// VSUBPDZrmkz
     2U,	// VSUBPDZrr
+    562U,	// VSUBPDZrrk
+    306U,	// VSUBPDZrrkz
     2U,	// VSUBPDrm
     2U,	// VSUBPDrr
-    0U,	// VSUBPSYrm
+    2U,	// VSUBPSYrm
     2U,	// VSUBPSYrr
-    0U,	// VSUBPSZrm
+    2U,	// VSUBPSZrm
     20U,	// VSUBPSZrmb
+    50U,	// VSUBPSZrmbk
+    306U,	// VSUBPSZrmbkz
+    50U,	// VSUBPSZrmk
+    306U,	// VSUBPSZrmkz
     2U,	// VSUBPSZrr
+    562U,	// VSUBPSZrrk
+    306U,	// VSUBPSZrrkz
     2U,	// VSUBPSrm
     2U,	// VSUBPSrr
     20U,	// VSUBSDZrm
@@ -10787,35 +12405,35 @@
     0U,	// VUCOMISSZrr
     0U,	// VUCOMISSrm
     0U,	// VUCOMISSrr
-    0U,	// VUNPCKHPDYrm
+    2U,	// VUNPCKHPDYrm
     2U,	// VUNPCKHPDYrr
-    0U,	// VUNPCKHPDZrm
+    2U,	// VUNPCKHPDZrm
     2U,	// VUNPCKHPDZrr
     2U,	// VUNPCKHPDrm
     2U,	// VUNPCKHPDrr
-    0U,	// VUNPCKHPSYrm
+    2U,	// VUNPCKHPSYrm
     2U,	// VUNPCKHPSYrr
-    0U,	// VUNPCKHPSZrm
+    2U,	// VUNPCKHPSZrm
     2U,	// VUNPCKHPSZrr
     2U,	// VUNPCKHPSrm
     2U,	// VUNPCKHPSrr
-    0U,	// VUNPCKLPDYrm
+    2U,	// VUNPCKLPDYrm
     2U,	// VUNPCKLPDYrr
-    0U,	// VUNPCKLPDZrm
+    2U,	// VUNPCKLPDZrm
     2U,	// VUNPCKLPDZrr
     2U,	// VUNPCKLPDrm
     2U,	// VUNPCKLPDrr
-    0U,	// VUNPCKLPSYrm
+    2U,	// VUNPCKLPSYrm
     2U,	// VUNPCKLPSYrr
-    0U,	// VUNPCKLPSZrm
+    2U,	// VUNPCKLPSZrm
     2U,	// VUNPCKLPSZrr
     2U,	// VUNPCKLPSrm
     2U,	// VUNPCKLPSrr
-    0U,	// VXORPDYrm
+    2U,	// VXORPDYrm
     2U,	// VXORPDYrr
     2U,	// VXORPDrm
     2U,	// VXORPDrr
-    0U,	// VXORPSYrm
+    2U,	// VXORPSYrm
     2U,	// VXORPSYrr
     2U,	// VXORPSrm
     2U,	// VXORPSrr
@@ -10923,1572 +12541,1574 @@
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
-  /* 0 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 32, 9, 0,
-  /* 12 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 32, 9, 0,
-  /* 24 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
-  /* 36 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
-  /* 47 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
-  /* 57 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
-  /* 69 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
-  /* 80 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
-  /* 90 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
-  /* 101 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
-  /* 112 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
-  /* 130 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
-  /* 139 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
-  /* 148 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
-  /* 160 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
-  /* 172 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
-  /* 181 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
-  /* 190 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 203 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 217 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
-  /* 227 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
-  /* 236 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
-  /* 246 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
-  /* 255 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
-  /* 267 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
-  /* 279 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
-  /* 288 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
-  /* 299 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
-  /* 309 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
-  /* 319 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 336 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 350 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 365 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 382 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 396 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 411 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
-  /* 423 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 435 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 448 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
-  /* 460 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
-  /* 472 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 549 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 564 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 575 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 588 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 599 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 612 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 32, 9, 0,
-  /* 622 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
-  /* 631 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
-  /* 640 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 653 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 667 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
-  /* 681 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
-  /* 691 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
-  /* 703 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
-  /* 712 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
-  /* 724 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
-  /* 734 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
-  /* 743 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
-  /* 752 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 765 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 779 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 32, 9, 0,
-  /* 789 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
-  /* 798 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
-  /* 807 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
-  /* 818 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 831 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 845 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
-  /* 857 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
-  /* 868 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
-  /* 878 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
-  /* 886 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 895 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 909 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 924 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 938 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 953 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
-  /* 964 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
-  /* 977 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
-  /* 988 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1001 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
-  /* 1010 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
-  /* 1024 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
-  /* 1034 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
-  /* 1049 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
-  /* 1058 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
-  /* 1068 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
-  /* 1082 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
-  /* 1093 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
-  /* 1108 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
-  /* 1118 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
-  /* 1128 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
-  /* 1138 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
-  /* 1148 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
-  /* 1158 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
-  /* 1170 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
-  /* 1182 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
-  /* 1194 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1207 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1219 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1232 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1244 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1258 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1271 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1285 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1298 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
-  /* 1311 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
-  /* 1325 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
-  /* 1338 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
-  /* 1352 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
-  /* 1363 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
-  /* 1375 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
-  /* 1387 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
-  /* 1405 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
-  /* 1416 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
-  /* 1427 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
-  /* 1436 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
-  /* 1445 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
-  /* 1457 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
-  /* 1469 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1482 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1494 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1507 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1519 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
-  /* 1528 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
-  /* 1541 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
-  /* 1554 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
-  /* 1564 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1573 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
-  /* 1587 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
-  /* 1601 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1614 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1628 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
-  /* 1641 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
-  /* 1655 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1669 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1682 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1696 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1709 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
-  /* 1720 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
-  /* 1732 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
-  /* 1744 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
-  /* 1753 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
-  /* 1762 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
-  /* 1774 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
-  /* 1786 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
-  /* 1795 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
-  /* 1806 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 1816 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
-  /* 1826 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
-  /* 1837 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1850 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1864 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
-  /* 1872 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 1881 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
-  /* 1890 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
-  /* 1900 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
-  /* 1913 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
-  /* 1927 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
-  /* 1937 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
-  /* 1951 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
-  /* 1962 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
-  /* 1977 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
-  /* 1987 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
-  /* 1997 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
-  /* 2007 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
-  /* 2017 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
-  /* 2027 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
-  /* 2039 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
-  /* 2051 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2068 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2082 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2097 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2114 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2128 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2143 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
-  /* 2155 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
-  /* 2167 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2179 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2192 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
-  /* 2204 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2221 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2235 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2250 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2267 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2281 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2296 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2307 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2320 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2331 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2344 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 32, 9, 0,
-  /* 2354 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
-  /* 2363 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
-  /* 2372 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2385 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2399 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
-  /* 2413 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
-  /* 2423 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
-  /* 2435 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
-  /* 2444 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
-  /* 2456 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
-  /* 2466 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
-  /* 2475 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
-  /* 2484 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2497 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2511 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 32, 9, 0,
-  /* 2521 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
-  /* 2530 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
-  /* 2539 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2553 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2568 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2582 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2597 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
-  /* 2608 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
-  /* 2621 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
-  /* 2632 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
-  /* 2645 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
-  /* 2659 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
-  /* 2674 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 2683 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 2694 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 2702 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 2714 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 2725 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 2735 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 2746 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 2755 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 2767 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 2778 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 2788 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 2795 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 2804 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 2812 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 2823 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 2831 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 2842 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 2850 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 2862 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 2872 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 2884 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 2896 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 2906 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 2916 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 2927 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 2937 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 2949 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 2962 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 2974 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 2984 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 2995 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 3006 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3021 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3035 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3050 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3064 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3079 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3093 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3108 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3122 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3134 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3148 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3161 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3177 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3189 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3203 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3216 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3232 */ 'j', 'a', 9, 0,
-  /* 3236 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3247 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3256 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3262 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3275 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
-  /* 3283 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3295 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3306 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3314 */ 's', 'b', 'b', 'b', 9, 0,
-  /* 3320 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3328 */ 'a', 'd', 'c', 'b', 9, 0,
-  /* 3334 */ 'd', 'e', 'c', 'b', 9, 0,
-  /* 3340 */ 'i', 'n', 'c', 'b', 9, 0,
-  /* 3346 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3354 */ 'x', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3361 */ 'a', 'n', 'd', 'b', 9, 0,
-  /* 3367 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3376 */ 'n', 'e', 'g', 'b', 9, 0,
-  /* 3382 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'b', 9, 0,
-  /* 3392 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3400 */ 'j', 'b', 9, 0,
-  /* 3404 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3415 */ 's', 'a', 'l', 'b', 9, 0,
-  /* 3421 */ 'r', 'c', 'l', 'b', 9, 0,
-  /* 3427 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3435 */ 'r', 'o', 'l', 'b', 9, 0,
-  /* 3441 */ 'i', 'm', 'u', 'l', 'b', 9, 0,
-  /* 3448 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3456 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3465 */ 'i', 'n', 'b', 9, 0,
-  /* 3470 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 0,
-  /* 3479 */ 'c', 'm', 'p', 'b', 9, 0,
-  /* 3485 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3495 */ 's', 'a', 'r', 'b', 9, 0,
-  /* 3501 */ 'r', 'c', 'r', 'b', 9, 0,
-  /* 3507 */ 's', 'h', 'r', 'b', 9, 0,
-  /* 3513 */ 'r', 'o', 'r', 'b', 9, 0,
-  /* 3519 */ 'x', 'o', 'r', 'b', 9, 0,
-  /* 3525 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 3534 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 3543 */ 's', 'c', 'a', 's', 'b', 9, 0,
-  /* 3550 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 3558 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, 0,
-  /* 3567 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 3576 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 3585 */ 'l', 'o', 'd', 's', 'b', 9, 0,
-  /* 3592 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 3601 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 3608 */ 'o', 'u', 't', 's', 'b', 9, 0,
-  /* 3615 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 3625 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 3635 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 3644 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 3651 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 3660 */ 's', 'e', 't', 'b', 9, 0,
-  /* 3666 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 3676 */ 'n', 'o', 't', 'b', 9, 0,
-  /* 3682 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 3690 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 3704 */ 't', 'e', 's', 't', 'b', 9, 0,
-  /* 3711 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 3720 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 3729 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 3736 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 3745 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 3756 */ 'i', 'd', 'i', 'v', 'b', 9, 0,
-  /* 3763 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 3771 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 3782 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 3793 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 3800 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3808 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3817 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 3826 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 3833 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 3840 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 3848 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 3857 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 3866 */ 'a', 'a', 'd', 9, 0,
-  /* 3871 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 3879 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 3887 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 3897 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 3908 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 3917 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 3925 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 3936 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 3947 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 3954 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3963 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3971 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 3981 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 3993 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 4003 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 4014 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 4021 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 4030 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 4037 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 4046 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 4055 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 4061 */ 'f', 'l', 'd', 9, 0,
-  /* 4066 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 4074 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 4082 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 4091 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 4099 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 4108 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 4116 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4124 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4131 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4140 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4147 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4163 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4176 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4190 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4206 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4219 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4233 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4249 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4262 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4276 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4292 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4305 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4319 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4329 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4341 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4352 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4363 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4379 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4392 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4406 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4422 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4435 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4449 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4458 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4466 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4479 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4490 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4499 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4509 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4520 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4528 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4541 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4550 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4560 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4571 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4579 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4587 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4597 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4607 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 4619 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 4628 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 4639 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 4648 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 4659 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 4670 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 4681 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 4689 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 4698 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 4706 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 4715 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 4724 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 4732 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 4739 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 4747 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 4759 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4766 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4774 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 4784 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 4793 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 4802 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 4811 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 4822 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 4830 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 4842 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 4850 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 4859 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 4869 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 4881 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 4890 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 4899 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4912 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4926 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4939 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4953 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4966 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4980 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4993 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 5007 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 5018 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5031 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5045 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5058 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 5072 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 5080 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5090 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5101 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5109 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5119 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5130 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5138 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5148 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5158 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5167 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5175 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5184 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5192 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5200 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5209 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5218 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5232 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5240 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5248 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5257 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5265 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5274 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5284 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5292 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5306 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5315 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5324 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5333 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5342 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5351 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5360 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5369 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5381 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5388 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5398 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5408 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5418 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5430 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5442 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5452 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5463 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5474 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5486 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5497 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5508 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5519 */ 'j', 'a', 'e', 9, 0,
-  /* 5524 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 5531 */ 'j', 'b', 'e', 9, 0,
-  /* 5536 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 0,
-  /* 5546 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 5553 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 5562 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 5569 */ 'j', 'g', 'e', 9, 0,
-  /* 5574 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 5583 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 5590 */ 'j', 'e', 9, 0,
-  /* 5594 */ 'j', 'l', 'e', 9, 0,
-  /* 5599 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 5606 */ 'j', 'n', 'e', 9, 0,
-  /* 5611 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 5619 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 5626 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 5635 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 5642 */ 's', 'e', 't', 'e', 9, 0,
-  /* 5648 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 5659 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 5667 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 5675 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 5683 */ 'j', 'g', 9, 0,
-  /* 5687 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 5695 */ 's', 'e', 't', 'g', 9, 0,
-  /* 5701 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 5711 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 5717 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 5728 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 5739 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 5751 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 5760 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 5766 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5773 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5781 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5792 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5802 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5813 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5823 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5831 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5840 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 5852 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 5864 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5876 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5887 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5899 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5910 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 5918 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 5925 */ 'c', 'r', 'c', '3', '2', 'l', 9, 0,
-  /* 5933 */ 'l', 'e', 'a', 'l', 9, 0,
-  /* 5939 */ 'c', 'm', 'o', 'v', 'a', 'l', 9, 0,
-  /* 5947 */ 's', 'b', 'b', 'l', 9, 0,
-  /* 5953 */ 'm', 'o', 'v', 's', 'b', 'l', 9, 0,
-  /* 5961 */ 'f', 's', 'u', 'b', 'l', 9, 0,
-  /* 5968 */ 'f', 'i', 's', 'u', 'b', 'l', 9, 0,
-  /* 5976 */ 'c', 'm', 'o', 'v', 'b', 'l', 9, 0,
-  /* 5984 */ 'm', 'o', 'v', 'z', 'b', 'l', 9, 0,
-  /* 5992 */ 'a', 'd', 'c', 'l', 9, 0,
-  /* 5998 */ 'd', 'e', 'c', 'l', 9, 0,
-  /* 6004 */ 'i', 'n', 'c', 'l', 9, 0,
-  /* 6010 */ 'b', 't', 'c', 'l', 9, 0,
-  /* 6016 */ 'v', 'm', 'r', 'e', 'a', 'd', 'l', 9, 0,
-  /* 6025 */ 'f', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6032 */ 'f', 'i', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6040 */ 'x', 'a', 'd', 'd', 'l', 9, 0,
-  /* 6047 */ 'r', 'd', 's', 'e', 'e', 'd', 'l', 9, 0,
-  /* 6056 */ 'f', 'l', 'd', 'l', 9, 0,
-  /* 6062 */ 's', 'h', 'l', 'd', 'l', 9, 0,
-  /* 6069 */ 'f', 'i', 'l', 'd', 'l', 9, 0,
-  /* 6076 */ 'r', 'd', 'r', 'a', 'n', 'd', 'l', 9, 0,
-  /* 6085 */ 's', 'h', 'r', 'd', 'l', 9, 0,
-  /* 6092 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 9, 0,
-  /* 6104 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 9, 0,
-  /* 6117 */ 'c', 'm', 'o', 'v', 'a', 'e', 'l', 9, 0,
-  /* 6126 */ 'c', 'm', 'o', 'v', 'b', 'e', 'l', 9, 0,
-  /* 6135 */ 'c', 'm', 'o', 'v', 'g', 'e', 'l', 9, 0,
-  /* 6144 */ 'c', 'm', 'o', 'v', 'l', 'e', 'l', 9, 0,
-  /* 6153 */ 'c', 'm', 'o', 'v', 'n', 'e', 'l', 9, 0,
-  /* 6162 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6173 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6184 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6195 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
-  /* 6206 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'l', 9, 0,
-  /* 6216 */ 'c', 'm', 'o', 'v', 'e', 'l', 9, 0,
-  /* 6224 */ 'b', 's', 'f', 'l', 9, 0,
-  /* 6230 */ 'n', 'e', 'g', 'l', 9, 0,
-  /* 6236 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'l', 9, 0,
-  /* 6246 */ 'c', 'm', 'o', 'v', 'g', 'l', 9, 0,
-  /* 6254 */ 'p', 'u', 's', 'h', 'l', 9, 0,
-  /* 6261 */ 'b', 'z', 'h', 'i', 'l', 9, 0,
-  /* 6268 */ 'b', 'l', 's', 'i', 'l', 9, 0,
-  /* 6275 */ 'm', 'o', 'v', 'n', 't', 'i', 'l', 9, 0,
-  /* 6284 */ 'j', 'l', 9, 0,
-  /* 6288 */ 'b', 'l', 's', 'm', 's', 'k', 'l', 9, 0,
-  /* 6297 */ 's', 'a', 'l', 'l', 9, 0,
-  /* 6303 */ 'r', 'c', 'l', 'l', 9, 0,
-  /* 6309 */ 'f', 'i', 'l', 'd', 'l', 'l', 9, 0,
-  /* 6317 */ 's', 'h', 'l', 'l', 9, 0,
-  /* 6323 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6332 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6341 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, 0,
-  /* 6349 */ 'r', 'o', 'l', 'l', 9, 0,
-  /* 6355 */ 'f', 'i', 's', 't', 'p', 'l', 'l', 9, 0,
-  /* 6364 */ 'f', 'i', 's', 't', 't', 'p', 'l', 'l', 9, 0,
-  /* 6374 */ 'l', 's', 'l', 'l', 9, 0,
-  /* 6380 */ 'f', 'm', 'u', 'l', 'l', 9, 0,
-  /* 6387 */ 'f', 'i', 'm', 'u', 'l', 'l', 9, 0,
-  /* 6395 */ 'c', 'm', 'o', 'v', 'l', 'l', 9, 0,
-  /* 6403 */ 'f', 'c', 'o', 'm', 'l', 9, 0,
-  /* 6410 */ 'f', 'i', 'c', 'o', 'm', 'l', 9, 0,
-  /* 6418 */ 'a', 'n', 'd', 'n', 'l', 9, 0,
-  /* 6425 */ 'i', 'n', 'l', 9, 0,
-  /* 6430 */ 'c', 'm', 'o', 'v', 'n', 'o', 'l', 9, 0,
-  /* 6439 */ 'c', 'm', 'o', 'v', 'o', 'l', 9, 0,
-  /* 6447 */ 'b', 's', 'w', 'a', 'p', 'l', 9, 0,
-  /* 6455 */ 'p', 'd', 'e', 'p', 'l', 9, 0,
-  /* 6462 */ 'c', 'm', 'p', 'l', 9, 0,
-  /* 6468 */ 'l', 'j', 'm', 'p', 'l', 9, 0,
-  /* 6475 */ 'f', 'c', 'o', 'm', 'p', 'l', 9, 0,
-  /* 6483 */ 'f', 'i', 'c', 'o', 'm', 'p', 'l', 9, 0,
-  /* 6492 */ 'c', 'm', 'o', 'v', 'n', 'p', 'l', 9, 0,
-  /* 6501 */ 'n', 'o', 'p', 'l', 9, 0,
-  /* 6507 */ 'p', 'o', 'p', 'l', 9, 0,
-  /* 6513 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6519 */ 'f', 's', 't', 'p', 'l', 9, 0,
-  /* 6526 */ 'f', 'i', 's', 't', 'p', 'l', 9, 0,
-  /* 6534 */ 'f', 'i', 's', 't', 't', 'p', 'l', 9, 0,
-  /* 6543 */ 'c', 'm', 'o', 'v', 'p', 'l', 9, 0,
-  /* 6551 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6562 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6574 */ 'l', 'a', 'r', 'l', 9, 0,
-  /* 6580 */ 's', 'a', 'r', 'l', 9, 0,
-  /* 6586 */ 'f', 's', 'u', 'b', 'r', 'l', 9, 0,
-  /* 6594 */ 'f', 'i', 's', 'u', 'b', 'r', 'l', 9, 0,
-  /* 6603 */ 'r', 'c', 'r', 'l', 9, 0,
-  /* 6609 */ 's', 'h', 'r', 'l', 9, 0,
-  /* 6615 */ 'r', 'o', 'r', 'l', 9, 0,
-  /* 6621 */ 'x', 'o', 'r', 'l', 9, 0,
-  /* 6627 */ 'b', 's', 'r', 'l', 9, 0,
-  /* 6633 */ 'b', 'l', 's', 'r', 'l', 9, 0,
-  /* 6640 */ 'b', 't', 'r', 'l', 9, 0,
-  /* 6646 */ 's', 't', 'r', 'l', 9, 0,
-  /* 6652 */ 'b', 'e', 'x', 't', 'r', 'l', 9, 0,
-  /* 6660 */ 'f', 'd', 'i', 'v', 'r', 'l', 9, 0,
-  /* 6668 */ 'f', 'i', 'd', 'i', 'v', 'r', 'l', 9, 0,
-  /* 6677 */ 's', 'c', 'a', 's', 'l', 9, 0,
-  /* 6684 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, 0,
-  /* 6693 */ 'l', 'd', 's', 'l', 9, 0,
-  /* 6699 */ 'l', 'o', 'd', 's', 'l', 9, 0,
-  /* 6706 */ 'l', 'e', 's', 'l', 9, 0,
-  /* 6712 */ 'l', 'f', 's', 'l', 9, 0,
-  /* 6718 */ 'l', 'g', 's', 'l', 9, 0,
-  /* 6724 */ 'c', 'm', 'o', 'v', 'n', 's', 'l', 9, 0,
-  /* 6733 */ 'c', 'm', 'p', 's', 'l', 9, 0,
-  /* 6740 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 9, 0,
-  /* 6752 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 9, 0,
-  /* 6765 */ 'l', 's', 's', 'l', 9, 0,
-  /* 6771 */ 'b', 't', 's', 'l', 9, 0,
-  /* 6777 */ 'o', 'u', 't', 's', 'l', 9, 0,
-  /* 6784 */ 'c', 'm', 'o', 'v', 's', 'l', 9, 0,
-  /* 6792 */ 'b', 't', 'l', 9, 0,
-  /* 6797 */ 'l', 'g', 'd', 't', 'l', 9, 0,
-  /* 6804 */ 's', 'g', 'd', 't', 'l', 9, 0,
-  /* 6811 */ 'l', 'i', 'd', 't', 'l', 9, 0,
-  /* 6818 */ 's', 'i', 'd', 't', 'l', 9, 0,
-  /* 6825 */ 's', 'l', 'd', 't', 'l', 9, 0,
-  /* 6832 */ 'l', 'r', 'e', 't', 'l', 9, 0,
-  /* 6839 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6845 */ 'p', 'o', 'p', 'c', 'n', 't', 'l', 9, 0,
-  /* 6854 */ 'l', 'z', 'c', 'n', 't', 'l', 9, 0,
-  /* 6862 */ 't', 'z', 'c', 'n', 't', 'l', 9, 0,
-  /* 6870 */ 'n', 'o', 't', 'l', 9, 0,
-  /* 6876 */ 't', 'e', 's', 't', 'l', 9, 0,
-  /* 6883 */ 'f', 's', 't', 'l', 9, 0,
-  /* 6889 */ 'f', 'i', 's', 't', 'l', 9, 0,
-  /* 6896 */ 'p', 'e', 'x', 't', 'l', 9, 0,
-  /* 6903 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6910 */ 'f', 'd', 'i', 'v', 'l', 9, 0,
-  /* 6917 */ 'f', 'i', 'd', 'i', 'v', 'l', 9, 0,
-  /* 6925 */ 'm', 'o', 'v', 'l', 9, 0,
-  /* 6931 */ 's', 'm', 's', 'w', 'l', 9, 0,
-  /* 6938 */ 'm', 'o', 'v', 's', 'w', 'l', 9, 0,
-  /* 6946 */ 'm', 'o', 'v', 'z', 'w', 'l', 9, 0,
-  /* 6954 */ 'a', 'd', 'c', 'x', 'l', 9, 0,
-  /* 6961 */ 's', 'h', 'l', 'x', 'l', 9, 0,
-  /* 6968 */ 'm', 'u', 'l', 'x', 'l', 9, 0,
-  /* 6975 */ 'a', 'd', 'o', 'x', 'l', 9, 0,
-  /* 6982 */ 's', 'a', 'r', 'x', 'l', 9, 0,
-  /* 6989 */ 's', 'h', 'r', 'x', 'l', 9, 0,
-  /* 6996 */ 'r', 'o', 'r', 'x', 'l', 9, 0,
-  /* 7003 */ 'a', 'a', 'm', 9, 0,
-  /* 7008 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 7014 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 7021 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 7029 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 7041 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 7053 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 7061 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 7069 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 7076 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 7083 */ 'j', 'o', 9, 0,
-  /* 7087 */ 'j', 'n', 'o', 9, 0,
-  /* 7092 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 7099 */ 's', 'e', 't', 'o', 9, 0,
-  /* 7105 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 7112 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 7119 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 7126 */ 'j', 'p', 9, 0,
-  /* 7130 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 7137 */ 'j', 'm', 'p', 9, 0,
-  /* 7142 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 7149 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 7157 */ 'j', 'n', 'p', 9, 0,
-  /* 7162 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 7169 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 7175 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 7183 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 7191 */ 's', 'e', 't', 'p', 9, 0,
-  /* 7197 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 7204 */ 'f', 's', 't', 'p', 9, 0,
-  /* 7210 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 7220 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 7231 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 7242 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 7258 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 7265 */ 'c', 'r', 'c', '3', '2', 'q', 9, 0,
-  /* 7273 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 7282 */ 'l', 'e', 'a', 'q', 9, 0,
-  /* 7288 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 7296 */ 'c', 'm', 'o', 'v', 'a', 'q', 9, 0,
-  /* 7304 */ 's', 'b', 'b', 'q', 9, 0,
-  /* 7310 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 7320 */ 'm', 'o', 'v', 's', 'b', 'q', 9, 0,
-  /* 7328 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 7339 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 7347 */ 'c', 'm', 'o', 'v', 'b', 'q', 9, 0,
-  /* 7355 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 7366 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 7377 */ 'm', 'o', 'v', 'z', 'b', 'q', 9, 0,
-  /* 7385 */ 'a', 'd', 'c', 'q', 9, 0,
-  /* 7391 */ 'd', 'e', 'c', 'q', 9, 0,
-  /* 7397 */ 'i', 'n', 'c', 'q', 9, 0,
-  /* 7403 */ 'b', 't', 'c', 'q', 9, 0,
-  /* 7409 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 7421 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 7432 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 7441 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 7453 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 7464 */ 'v', 'm', 'r', 'e', 'a', 'd', 'q', 9, 0,
-  /* 7473 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 7483 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 7491 */ 'x', 'a', 'd', 'd', 'q', 9, 0,
-  /* 7498 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 7508 */ 'r', 'd', 's', 'e', 'e', 'd', 'q', 9, 0,
-  /* 7517 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 7529 */ 's', 'h', 'l', 'd', 'q', 9, 0,
-  /* 7536 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 7548 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 7557 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 7566 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 7575 */ 'r', 'd', 'r', 'a', 'n', 'd', 'q', 9, 0,
-  /* 7584 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 7597 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 7610 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 7622 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 7634 */ 's', 'h', 'r', 'd', 'q', 9, 0,
-  /* 7641 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 9, 0,
-  /* 7653 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 9, 0,
-  /* 7666 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 7676 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 7687 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 7697 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 7708 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 7719 */ 'c', 'm', 'o', 'v', 'a', 'e', 'q', 9, 0,
-  /* 7728 */ 'c', 'm', 'o', 'v', 'b', 'e', 'q', 9, 0,
-  /* 7737 */ 'c', 'm', 'o', 'v', 'g', 'e', 'q', 9, 0,
-  /* 7746 */ 'c', 'm', 'o', 'v', 'l', 'e', 'q', 9, 0,
-  /* 7755 */ 'c', 'm', 'o', 'v', 'n', 'e', 'q', 9, 0,
-  /* 7764 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 7773 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7784 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7795 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7806 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
-  /* 7817 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'q', 9, 0,
-  /* 7827 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
-  /* 7836 */ 'c', 'm', 'o', 'v', 'e', 'q', 9, 0,
-  /* 7844 */ 'b', 's', 'f', 'q', 9, 0,
-  /* 7850 */ 'n', 'e', 'g', 'q', 9, 0,
-  /* 7856 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'q', 9, 0,
-  /* 7866 */ 'c', 'm', 'o', 'v', 'g', 'q', 9, 0,
-  /* 7874 */ 'p', 'u', 's', 'h', 'q', 9, 0,
-  /* 7881 */ 'b', 'z', 'h', 'i', 'q', 9, 0,
-  /* 7888 */ 'b', 'l', 's', 'i', 'q', 9, 0,
-  /* 7895 */ 'm', 'o', 'v', 'n', 't', 'i', 'q', 9, 0,
-  /* 7904 */ 'b', 'l', 's', 'm', 's', 'k', 'q', 9, 0,
-  /* 7913 */ 's', 'a', 'l', 'q', 9, 0,
-  /* 7919 */ 'r', 'c', 'l', 'q', 9, 0,
-  /* 7925 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 7933 */ 'c', 'a', 'l', 'l', 'q', 9, 0,
-  /* 7940 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 7948 */ 'r', 'o', 'l', 'q', 9, 0,
-  /* 7954 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 7962 */ 'l', 's', 'l', 'q', 9, 0,
-  /* 7968 */ 'm', 'o', 'v', 's', 'l', 'q', 9, 0,
-  /* 7976 */ 'i', 'm', 'u', 'l', 'q', 9, 0,
-  /* 7983 */ 'c', 'm', 'o', 'v', 'l', 'q', 9, 0,
-  /* 7991 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 7999 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 8007 */ 'a', 'n', 'd', 'n', 'q', 9, 0,
-  /* 8014 */ 'c', 'm', 'o', 'v', 'n', 'o', 'q', 9, 0,
-  /* 8023 */ 'c', 'm', 'o', 'v', 'o', 'q', 9, 0,
-  /* 8031 */ 'b', 's', 'w', 'a', 'p', 'q', 9, 0,
-  /* 8039 */ 'p', 'd', 'e', 'p', 'q', 9, 0,
-  /* 8046 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 8054 */ 'c', 'm', 'o', 'v', 'n', 'p', 'q', 9, 0,
-  /* 8063 */ 'p', 'o', 'p', 'q', 9, 0,
-  /* 8069 */ 'c', 'm', 'o', 'v', 'p', 'q', 9, 0,
-  /* 8077 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 8087 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 8099 */ 'l', 'a', 'r', 'q', 9, 0,
-  /* 8105 */ 's', 'a', 'r', 'q', 9, 0,
-  /* 8111 */ 'r', 'c', 'r', 'q', 9, 0,
-  /* 8117 */ 's', 'h', 'r', 'q', 9, 0,
-  /* 8123 */ 'r', 'o', 'r', 'q', 9, 0,
-  /* 8129 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
-  /* 8139 */ 'x', 'o', 'r', 'q', 9, 0,
-  /* 8145 */ 'b', 's', 'r', 'q', 9, 0,
-  /* 8151 */ 'b', 'l', 's', 'r', 'q', 9, 0,
-  /* 8158 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 8167 */ 'b', 't', 'r', 'q', 9, 0,
-  /* 8173 */ 's', 't', 'r', 'q', 9, 0,
-  /* 8179 */ 'b', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 8187 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 8196 */ 's', 'c', 'a', 's', 'q', 9, 0,
-  /* 8203 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, 0,
-  /* 8212 */ 'l', 'o', 'd', 's', 'q', 9, 0,
-  /* 8219 */ 'l', 'f', 's', 'q', 9, 0,
-  /* 8225 */ 'l', 'g', 's', 'q', 9, 0,
-  /* 8231 */ 'c', 'm', 'o', 'v', 'n', 's', 'q', 9, 0,
-  /* 8240 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 8247 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 9, 0,
-  /* 8259 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 9, 0,
-  /* 8272 */ 'l', 's', 's', 'q', 9, 0,
-  /* 8278 */ 'b', 't', 's', 'q', 9, 0,
-  /* 8284 */ 'c', 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 8292 */ 'b', 't', 'q', 9, 0,
-  /* 8297 */ 'l', 'g', 'd', 't', 'q', 9, 0,
-  /* 8304 */ 's', 'g', 'd', 't', 'q', 9, 0,
-  /* 8311 */ 'l', 'i', 'd', 't', 'q', 9, 0,
-  /* 8318 */ 's', 'i', 'd', 't', 'q', 9, 0,
-  /* 8325 */ 's', 'l', 'd', 't', 'q', 9, 0,
-  /* 8332 */ 'l', 'r', 'e', 't', 'q', 9, 0,
-  /* 8339 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 8349 */ 'p', 'o', 'p', 'c', 'n', 't', 'q', 9, 0,
-  /* 8358 */ 'l', 'z', 'c', 'n', 't', 'q', 9, 0,
-  /* 8366 */ 't', 'z', 'c', 'n', 't', 'q', 9, 0,
-  /* 8374 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 8382 */ 'n', 'o', 't', 'q', 9, 0,
-  /* 8388 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 8396 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 'q', 9, 0,
-  /* 8407 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 8416 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 8430 */ 't', 'e', 's', 't', 'q', 9, 0,
-  /* 8437 */ 'p', 'e', 'x', 't', 'q', 9, 0,
-  /* 8444 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 8453 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 8462 */ 'i', 'd', 'i', 'v', 'q', 9, 0,
-  /* 8469 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 8478 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 8487 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 8499 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 8506 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 8516 */ 's', 'm', 's', 'w', 'q', 9, 0,
-  /* 8523 */ 'm', 'o', 'v', 's', 'w', 'q', 9, 0,
-  /* 8531 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 8542 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 8553 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 8564 */ 'm', 'o', 'v', 'z', 'w', 'q', 9, 0,
-  /* 8572 */ 'a', 'd', 'c', 'x', 'q', 9, 0,
-  /* 8579 */ 's', 'h', 'l', 'x', 'q', 9, 0,
-  /* 8586 */ 'm', 'u', 'l', 'x', 'q', 9, 0,
-  /* 8593 */ 'a', 'd', 'o', 'x', 'q', 9, 0,
-  /* 8600 */ 's', 'a', 'r', 'x', 'q', 9, 0,
-  /* 8607 */ 's', 'h', 'r', 'x', 'q', 9, 0,
-  /* 8614 */ 'r', 'o', 'r', 'x', 'q', 9, 0,
-  /* 8621 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 8630 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 8638 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 8645 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 8655 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 8661 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 8669 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 8678 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 8685 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 8691 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 8701 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 8711 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 8718 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 8725 */ 'f', 's', 'u', 'b', 's', 9, 0,
-  /* 8732 */ 'f', 'i', 's', 'u', 'b', 's', 9, 0,
-  /* 8740 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 8746 */ 'f', 'a', 'd', 'd', 's', 9, 0,
-  /* 8753 */ 'f', 'i', 'a', 'd', 'd', 's', 9, 0,
-  /* 8761 */ 'f', 'l', 'd', 's', 9, 0,
-  /* 8767 */ 'f', 'i', 'l', 'd', 's', 9, 0,
-  /* 8774 */ 'j', 's', 9, 0,
-  /* 8778 */ 'f', 'm', 'u', 'l', 's', 9, 0,
-  /* 8785 */ 'f', 'i', 'm', 'u', 'l', 's', 9, 0,
-  /* 8793 */ 'f', 'c', 'o', 'm', 's', 9, 0,
-  /* 8800 */ 'f', 'i', 'c', 'o', 'm', 's', 9, 0,
-  /* 8808 */ 'j', 'n', 's', 9, 0,
-  /* 8813 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 8820 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8836 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8849 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8863 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8879 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8892 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 8906 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8922 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8935 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8949 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8965 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8978 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 8992 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 9003 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 9014 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 9024 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 9036 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 9047 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9063 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9076 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9090 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9106 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9119 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 9133 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 9142 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9155 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9166 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9175 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9185 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9196 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 9204 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9217 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9226 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9236 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9247 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 9255 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 9263 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 9273 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 9283 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 9295 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 9304 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 9315 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 9325 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 9334 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 9345 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 9355 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 9366 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 9377 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 9385 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 9394 */ 'f', 'c', 'o', 'm', 'p', 's', 9, 0,
-  /* 9402 */ 'f', 'i', 'c', 'o', 'm', 'p', 's', 9, 0,
-  /* 9411 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 9420 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 9429 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 9437 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 9445 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 9452 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 9460 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 9472 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 9479 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 9487 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 9499 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 9509 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 9520 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 9530 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 9539 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 9548 */ 'f', 's', 't', 'p', 's', 9, 0,
-  /* 9555 */ 'f', 'i', 's', 't', 'p', 's', 9, 0,
-  /* 9563 */ 'f', 'i', 's', 't', 't', 'p', 's', 9, 0,
-  /* 9572 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 9581 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 9592 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 9600 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 9612 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 9620 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 9629 */ 'f', 's', 'u', 'b', 'r', 's', 9, 0,
-  /* 9637 */ 'f', 'i', 's', 'u', 'b', 'r', 's', 9, 0,
-  /* 9646 */ 'f', 'd', 'i', 'v', 'r', 's', 9, 0,
-  /* 9654 */ 'f', 'i', 'd', 'i', 'v', 'r', 's', 9, 0,
-  /* 9663 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 9676 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 9690 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 9703 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 9717 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 9730 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 9744 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 9757 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 9771 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 9782 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 9795 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 9809 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 9822 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 9836 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 9846 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 9857 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 9865 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 9875 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 9886 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 9894 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 9904 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 9914 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 9923 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 9931 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 9939 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 9947 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 9955 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 9964 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 9974 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 9983 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 9997 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 10005 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 10013 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 10021 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 10030 */ 's', 'e', 't', 's', 9, 0,
-  /* 10036 */ 'f', 's', 't', 's', 9, 0,
-  /* 10042 */ 'f', 'i', 's', 't', 's', 9, 0,
-  /* 10049 */ 'f', 'd', 'i', 'v', 's', 9, 0,
-  /* 10056 */ 'f', 'i', 'd', 'i', 'v', 's', 9, 0,
-  /* 10064 */ 'f', 'l', 'd', 't', 9, 0,
-  /* 10070 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 10079 */ 'i', 'n', 't', 9, 0,
-  /* 10084 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 10092 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 10102 */ 'f', 's', 't', 'p', 't', 9, 0,
-  /* 10109 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 10117 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 10126 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 10139 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 10152 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 10160 */ 'f', 's', 't', 9, 0,
-  /* 10165 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 10183 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 10192 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 0,
-  /* 10201 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 10209 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 10222 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 10231 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 0,
-  /* 10239 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 10245 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 10253 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 10262 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 10270 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
-  /* 10278 */ 'l', 'e', 'a', 'w', 9, 0,
-  /* 10284 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 10292 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 10300 */ 'c', 'm', 'o', 'v', 'a', 'w', 9, 0,
-  /* 10308 */ 's', 'b', 'b', 'w', 9, 0,
-  /* 10314 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 10324 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 10334 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 10343 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 10353 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 10365 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 10377 */ 'm', 'o', 'v', 's', 'b', 'w', 9, 0,
-  /* 10385 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 10396 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 10405 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 10413 */ 'c', 'm', 'o', 'v', 'b', 'w', 9, 0,
-  /* 10421 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 10432 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 10443 */ 'm', 'o', 'v', 'z', 'b', 'w', 9, 0,
-  /* 10451 */ 'a', 'd', 'c', 'w', 9, 0,
-  /* 10457 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 10464 */ 'd', 'e', 'c', 'w', 9, 0,
-  /* 10470 */ 'i', 'n', 'c', 'w', 9, 0,
-  /* 10476 */ 'b', 't', 'c', 'w', 9, 0,
-  /* 10482 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 10490 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10499 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10507 */ 'x', 'a', 'd', 'd', 'w', 9, 0,
-  /* 10514 */ 'r', 'd', 's', 'e', 'e', 'd', 'w', 9, 0,
-  /* 10523 */ 's', 'h', 'l', 'd', 'w', 9, 0,
-  /* 10530 */ 'r', 'd', 'r', 'a', 'n', 'd', 'w', 9, 0,
-  /* 10539 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 10549 */ 's', 'h', 'r', 'd', 'w', 9, 0,
-  /* 10556 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 10567 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 10578 */ 'c', 'm', 'o', 'v', 'a', 'e', 'w', 9, 0,
-  /* 10587 */ 'c', 'm', 'o', 'v', 'b', 'e', 'w', 9, 0,
-  /* 10596 */ 'c', 'm', 'o', 'v', 'g', 'e', 'w', 9, 0,
-  /* 10605 */ 'c', 'm', 'o', 'v', 'l', 'e', 'w', 9, 0,
-  /* 10614 */ 'c', 'm', 'o', 'v', 'n', 'e', 'w', 9, 0,
-  /* 10623 */ 'c', 'm', 'o', 'v', 'e', 'w', 9, 0,
-  /* 10631 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 10638 */ 'b', 's', 'f', 'w', 9, 0,
-  /* 10644 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 10652 */ 'n', 'e', 'g', 'w', 9, 0,
-  /* 10658 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'w', 9, 0,
-  /* 10668 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 10676 */ 'c', 'm', 'o', 'v', 'g', 'w', 9, 0,
-  /* 10684 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 10695 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 10705 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 10714 */ 'p', 'u', 's', 'h', 'w', 9, 0,
-  /* 10721 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 10728 */ 's', 'a', 'l', 'w', 9, 0,
-  /* 10734 */ 'r', 'c', 'l', 'w', 9, 0,
-  /* 10740 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 10750 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 10758 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, 0,
-  /* 10766 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 10774 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 10783 */ 'r', 'o', 'l', 'w', 9, 0,
-  /* 10789 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 10797 */ 'l', 's', 'l', 'w', 9, 0,
-  /* 10803 */ 'i', 'm', 'u', 'l', 'w', 9, 0,
-  /* 10810 */ 'c', 'm', 'o', 'v', 'l', 'w', 9, 0,
-  /* 10818 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 10826 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 10835 */ 'i', 'n', 'w', 9, 0,
-  /* 10840 */ 'c', 'm', 'o', 'v', 'n', 'o', 'w', 9, 0,
-  /* 10849 */ 'c', 'm', 'o', 'v', 'o', 'w', 9, 0,
-  /* 10857 */ 'c', 'm', 'p', 'w', 9, 0,
-  /* 10863 */ 'l', 'j', 'm', 'p', 'w', 9, 0,
-  /* 10870 */ 'c', 'm', 'o', 'v', 'n', 'p', 'w', 9, 0,
-  /* 10879 */ 'n', 'o', 'p', 'w', 9, 0,
-  /* 10885 */ 'p', 'o', 'p', 'w', 9, 0,
-  /* 10891 */ 'c', 'm', 'o', 'v', 'p', 'w', 9, 0,
-  /* 10899 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 10909 */ 'l', 'a', 'r', 'w', 9, 0,
-  /* 10915 */ 's', 'a', 'r', 'w', 9, 0,
-  /* 10921 */ 'r', 'c', 'r', 'w', 9, 0,
-  /* 10927 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 10933 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 10942 */ 's', 'h', 'r', 'w', 9, 0,
-  /* 10948 */ 'r', 'o', 'r', 'w', 9, 0,
-  /* 10954 */ 'x', 'o', 'r', 'w', 9, 0,
-  /* 10960 */ 'b', 's', 'r', 'w', 9, 0,
-  /* 10966 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 10975 */ 'b', 't', 'r', 'w', 9, 0,
-  /* 10981 */ 'l', 't', 'r', 'w', 9, 0,
-  /* 10987 */ 's', 't', 'r', 'w', 9, 0,
-  /* 10993 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 11002 */ 's', 'c', 'a', 's', 'w', 9, 0,
-  /* 11009 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 11017 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, 0,
-  /* 11026 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 11038 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 11048 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 11057 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 11067 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 11076 */ 'l', 'd', 's', 'w', 9, 0,
-  /* 11082 */ 'l', 'o', 'd', 's', 'w', 9, 0,
-  /* 11089 */ 'l', 'e', 's', 'w', 9, 0,
-  /* 11095 */ 'l', 'f', 's', 'w', 9, 0,
-  /* 11101 */ 'l', 'g', 's', 'w', 9, 0,
-  /* 11107 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 11116 */ 'c', 'm', 'o', 'v', 'n', 's', 'w', 9, 0,
-  /* 11125 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 11132 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 11143 */ 'l', 's', 's', 'w', 9, 0,
-  /* 11149 */ 'b', 't', 's', 'w', 9, 0,
-  /* 11155 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 11163 */ 'o', 'u', 't', 's', 'w', 9, 0,
-  /* 11170 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 11180 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 11190 */ 'c', 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 11198 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 11207 */ 'b', 't', 'w', 9, 0,
-  /* 11212 */ 'l', 'g', 'd', 't', 'w', 9, 0,
-  /* 11219 */ 's', 'g', 'd', 't', 'w', 9, 0,
-  /* 11226 */ 'l', 'i', 'd', 't', 'w', 9, 0,
-  /* 11233 */ 's', 'i', 'd', 't', 'w', 9, 0,
-  /* 11240 */ 'l', 'l', 'd', 't', 'w', 9, 0,
-  /* 11247 */ 's', 'l', 'd', 't', 'w', 9, 0,
-  /* 11254 */ 'l', 'r', 'e', 't', 'w', 9, 0,
-  /* 11261 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 11271 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 9, 0,
-  /* 11280 */ 'l', 'z', 'c', 'n', 't', 'w', 9, 0,
-  /* 11288 */ 't', 'z', 'c', 'n', 't', 'w', 9, 0,
-  /* 11296 */ 'n', 'o', 't', 'w', 9, 0,
-  /* 11302 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 11310 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 11324 */ 't', 'e', 's', 't', 'w', 9, 0,
-  /* 11331 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 11341 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 11350 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 11359 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 11372 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 11381 */ 'i', 'd', 'i', 'v', 'w', 9, 0,
-  /* 11388 */ 'm', 'o', 'v', 'w', 9, 0,
-  /* 11394 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 11404 */ 'l', 'm', 's', 'w', 'w', 9, 0,
-  /* 11411 */ 's', 'm', 's', 'w', 'w', 9, 0,
-  /* 11418 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 11429 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 11436 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 11449 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 11461 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 11473 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
-  /* 11486 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
-  /* 11498 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'y', 9, 0,
-  /* 11510 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 11517 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 11523 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 11530 */ 'f', 's', 'u', 'b', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11544 */ 'f', 'a', 'd', 'd', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11558 */ 'f', 'm', 'u', 'l', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11572 */ 'f', 's', 'u', 'b', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11587 */ 'f', 'd', 'i', 'v', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11602 */ 'f', 'd', 'i', 'v', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 11616 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11630 */ 's', 't', 'o', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11642 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11653 */ 'm', 'o', 'v', 'b', 9, '%', 'a', 'l', ',', 32, 0,
-  /* 11664 */ 's', 'a', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11675 */ 'r', 'c', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11686 */ 's', 'h', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11697 */ 'r', 'o', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11708 */ 's', 'a', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11719 */ 'r', 'c', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11730 */ 's', 'h', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11741 */ 'r', 'o', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11752 */ 's', 'h', 'l', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11764 */ 's', 'h', 'r', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11776 */ 's', 'a', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11787 */ 'r', 'c', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11798 */ 's', 'h', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11809 */ 'r', 'o', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11820 */ 's', 'a', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11831 */ 'r', 'c', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11842 */ 's', 'h', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11853 */ 'r', 'o', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11864 */ 's', 'h', 'l', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11876 */ 's', 'h', 'r', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11888 */ 's', 'a', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11899 */ 'r', 'c', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11910 */ 's', 'h', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11921 */ 'r', 'o', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11932 */ 's', 'a', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11943 */ 'r', 'c', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11954 */ 's', 'h', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11965 */ 'r', 'o', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11976 */ 's', 'h', 'l', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 11988 */ 's', 'h', 'r', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12000 */ 's', 'a', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12011 */ 'r', 'c', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12022 */ 's', 'h', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12033 */ 'r', 'o', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12044 */ 's', 'a', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12055 */ 'r', 'c', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12066 */ 's', 'h', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12077 */ 'r', 'o', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
-  /* 12088 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12102 */ 's', 't', 'o', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12114 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12125 */ 'm', 'o', 'v', 'w', 9, '%', 'a', 'x', ',', 32, 0,
-  /* 12136 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12151 */ 's', 't', 'o', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12164 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12176 */ 'm', 'o', 'v', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
-  /* 12188 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
-  /* 12203 */ 's', 't', 'o', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
-  /* 12216 */ 'i', 'n', 's', 'b', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12227 */ 'i', 'n', 's', 'l', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12238 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
-  /* 12249 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12267 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12287 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12305 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12325 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12343 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12363 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12381 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
-  /* 12401 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 12412 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 12435 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 12459 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12479 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12499 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12519 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12540 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12561 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12582 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12604 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12625 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12647 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12669 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12689 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12710 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12731 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12753 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12772 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12792 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12812 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12833 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12853 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12872 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12892 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12912 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12931 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12949 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12968 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 12987 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13007 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13026 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13046 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13066 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13086 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13106 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13126 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13146 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13166 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13185 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13205 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13225 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13244 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13262 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13281 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13300 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13320 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13339 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13359 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13378 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13398 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13416 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13435 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13454 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13474 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13492 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13511 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13529 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13548 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13566 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13583 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13601 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13619 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13638 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13659 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 13680 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '0', ')', 0,
-  /* 13703 */ 'f', 's', 't', 'p', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '7', ')', 0,
-  /* 13723 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
-  /* 13732 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
-  /* 13740 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
-  /* 13749 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
-  /* 13757 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
-  /* 13766 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
-  /* 13774 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 13780 */ 'f', 'l', 'd', '1', 0,
-  /* 13785 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 13792 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 13798 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 13806 */ 'i', 'n', 't', '1', 0,
-  /* 13811 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 13830 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 13848 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 13861 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 13874 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 13892 */ 'u', 'd', '2', 0,
-  /* 13896 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 13903 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 13910 */ 'i', 'n', 't', '3', 0,
-  /* 13915 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 13934 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 13952 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 13965 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 13978 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 13996 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 14002 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 14009 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 14017 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 14030 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 14037 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 14047 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 14056 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 14074 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 14090 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 14102 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 14117 */ 'a', 'a', 'a', 0,
-  /* 14121 */ 'd', 'a', 'a', 0,
-  /* 14125 */ 'u', 'd', '2', 'b', 0,
-  /* 14130 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 14140 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 14150 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 14160 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
-  /* 14170 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
-  /* 14180 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 14186 */ 'c', 'l', 'a', 'c', 0,
-  /* 14191 */ 's', 't', 'a', 'c', 0,
-  /* 14196 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 14206 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 14213 */ 's', 'a', 'l', 'c', 0,
-  /* 14218 */ 'c', 'l', 'c', 0,
-  /* 14222 */ 'c', 'm', 'c', 0,
-  /* 14226 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 14232 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 14239 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 14245 */ 's', 't', 'c', 0,
-  /* 14249 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 14255 */ 'c', 'l', 'd', 0,
-  /* 14259 */ 'x', 'e', 'n', 'd', 0,
-  /* 14264 */ 'c', 'l', 't', 'd', 0,
-  /* 14269 */ 's', 't', 'd', 0,
-  /* 14273 */ 'c', 'w', 't', 'd', 0,
-  /* 14278 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 14285 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 14292 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14299 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14306 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 14313 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 14320 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 14329 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 14335 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 14344 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 14351 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 14360 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 14366 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 14372 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 14379 */ 'l', 'a', 'h', 'f', 0,
-  /* 14384 */ 's', 'a', 'h', 'f', 0,
-  /* 14389 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 14398 */ 'c', 'l', 'g', 'i', 0,
-  /* 14403 */ 's', 't', 'g', 'i', 0,
-  /* 14408 */ 'c', 'l', 'i', 0,
-  /* 14412 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 14418 */ 's', 't', 'i', 0,
-  /* 14422 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 14437 */ 'l', 'o', 'c', 'k', 0,
-  /* 14442 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
-  /* 14455 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 14462 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 14468 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
-  /* 14475 */ 'p', 'o', 'p', 'f', 'l', 0,
-  /* 14481 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 14489 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 14496 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 14504 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 14513 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
-  /* 14523 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
-  /* 14533 */ 'i', 'r', 'e', 't', 'l', 0,
-  /* 14539 */ 'l', 'r', 'e', 't', 'l', 0,
-  /* 14545 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
-  /* 14553 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
-  /* 14562 */ 'c', 'w', 't', 'l', 0,
-  /* 14567 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 14575 */ 'f', 'x', 'a', 'm', 0,
-  /* 14580 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 14586 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 14593 */ 'r', 's', 'm', 0,
-  /* 14597 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 14604 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 14610 */ 'f', 's', 'i', 'n', 0,
-  /* 14615 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 14642 */ 'i', 'n', 't', 'o', 0,
-  /* 14647 */ 'c', 'q', 't', 'o', 0,
-  /* 14652 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 14659 */ 'r', 'e', 'p', 0,
-  /* 14663 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 14669 */ 'v', 'c', 'm', 'p', 0,
-  /* 14674 */ 'f', 'n', 'o', 'p', 0,
-  /* 14679 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 14686 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 14694 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 14702 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 14710 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 14717 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 14723 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
-  /* 14733 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
-  /* 14743 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 14749 */ 'l', 'r', 'e', 't', 'q', 0,
-  /* 14755 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
-  /* 14763 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
-  /* 14772 */ 'c', 'l', 't', 'q', 0,
-  /* 14777 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 14788 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 14797 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 14805 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 14811 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 14817 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 14827 */ 'a', 'a', 's', 0,
-  /* 14831 */ 'd', 'a', 's', 0,
-  /* 14835 */ 'f', 'a', 'b', 's', 0,
-  /* 14840 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
-  /* 14850 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
-  /* 14860 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
-  /* 14870 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
-  /* 14879 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
-  /* 14889 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
-  /* 14898 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
-  /* 14908 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
-  /* 14917 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
-  /* 14927 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
-  /* 14936 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
-  /* 14946 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
-  /* 14955 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
-  /* 14965 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
-  /* 14974 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
-  /* 14984 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
-  /* 14993 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
-  /* 15003 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
-  /* 15012 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
-  /* 15022 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
-  /* 15031 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
-  /* 15041 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
-  /* 15050 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 15057 */ 'f', 'c', 'h', 's', 0,
-  /* 15062 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 15107 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 15113 */ 'f', 'c', 'o', 's', 0,
-  /* 15118 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 15126 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
-  /* 15136 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
-  /* 15145 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
-  /* 15155 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
-  /* 15164 */ 'c', 'l', 't', 's', 0,
-  /* 15169 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 15176 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 15184 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 15190 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 15197 */ 'h', 'l', 't', 0,
-  /* 15201 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 15209 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 15215 */ 'x', 't', 'e', 's', 't', 0,
-  /* 15221 */ 'f', 't', 's', 't', 0,
-  /* 15226 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 15233 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 15240 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 15247 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 15253 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
-  /* 15260 */ 'p', 'o', 'p', 'f', 'w', 0,
-  /* 15266 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
-  /* 15276 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
-  /* 15286 */ 'c', 'b', 't', 'w', 0,
-  /* 15291 */ 'i', 'r', 'e', 't', 'w', 0,
-  /* 15297 */ 'l', 'r', 'e', 't', 'w', 0,
-  /* 15303 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 15309 */ 'f', 'n', 's', 't', 's', 'w', 9, '%', 'a', 'x', 0,
-  /* 15320 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
-  /* 15333 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
-  /* 15345 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
-  /* 15357 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
-  /* 15368 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
-  /* 15380 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 15399 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 15413 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
-  /* 15425 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
-  /* 15437 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
-  /* 15448 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
-  /* 15467 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
-  /* 15481 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 15495 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 15510 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 15517 */ 'f', 'l', 'd', 'z', 0,
+  /* 0 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '3', '2', 'x', '4', 32, 9, 0,
+  /* 18 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '6', '4', 'x', '4', 32, 9, 0,
+  /* 36 */ 'k', 'a', 'n', 'd', 'b', 32, 9, 0,
+  /* 44 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
+  /* 56 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
+  /* 67 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
+  /* 77 */ 'k', 'a', 'n', 'd', 'n', 'b', 32, 9, 0,
+  /* 86 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
+  /* 98 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
+  /* 109 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
+  /* 119 */ 'k', 'o', 'r', 'b', 32, 9, 0,
+  /* 126 */ 'k', 'x', 'n', 'o', 'r', 'b', 32, 9, 0,
+  /* 135 */ 'k', 'x', 'o', 'r', 'b', 32, 9, 0,
+  /* 143 */ 'k', 'n', 'o', 't', 'b', 32, 9, 0,
+  /* 151 */ 'k', 'm', 'o', 'v', 'b', 32, 9, 0,
+  /* 159 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
+  /* 170 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
+  /* 181 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
+  /* 199 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
+  /* 208 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
+  /* 217 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
+  /* 229 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
+  /* 241 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
+  /* 250 */ 'k', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 258 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 267 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 280 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 294 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
+  /* 304 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
+  /* 313 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
+  /* 323 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
+  /* 332 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
+  /* 344 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
+  /* 356 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
+  /* 365 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
+  /* 376 */ 'k', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 385 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 395 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
+  /* 405 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 422 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 436 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 451 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 468 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 497 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
+  /* 509 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 521 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 534 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
+  /* 546 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
+  /* 558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 575 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 589 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 604 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 621 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 635 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 650 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 661 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 674 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 685 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 698 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
+  /* 707 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, 0,
+  /* 723 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, 0,
+  /* 740 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, 0,
+  /* 756 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, 0,
+  /* 773 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
+  /* 782 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 795 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 809 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
+  /* 823 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
+  /* 833 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
+  /* 845 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
+  /* 854 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
+  /* 866 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
+  /* 876 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
+  /* 885 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
+  /* 894 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, 0,
+  /* 910 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, 0,
+  /* 927 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, 0,
+  /* 943 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, 0,
+  /* 960 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 973 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 987 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
+  /* 996 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
+  /* 1005 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 1018 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 1032 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 1044 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 1055 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 1065 */ 'k', 'o', 'r', 'd', 32, 9, 0,
+  /* 1072 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
+  /* 1081 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 1089 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 1097 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 1106 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1120 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1135 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1149 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1164 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1175 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1188 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1199 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1212 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1221 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1235 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1245 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1260 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1269 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1279 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1293 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
+  /* 1304 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
+  /* 1312 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1327 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1337 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1347 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1357 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1367 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1377 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
+  /* 1385 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1397 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1409 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1421 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1434 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1446 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1459 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1471 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1485 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1498 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1512 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1525 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
+  /* 1538 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 32, 9, 0,
+  /* 1552 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
+  /* 1565 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 32, 9, 0,
+  /* 1579 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1590 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1602 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1614 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1632 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1643 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1654 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1663 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1672 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1684 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1696 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1709 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1721 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1734 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1746 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1755 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1768 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1781 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1791 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1799 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1808 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1822 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1836 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1849 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1863 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
+  /* 1876 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 32, 9, 0,
+  /* 1890 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1904 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1917 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1931 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1944 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1955 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1967 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 1979 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 1988 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 1997 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 2009 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 2021 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 2030 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 2041 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 2050 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 2060 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 2070 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 2083 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 2097 */ 'k', 'o', 'r', 'q', 32, 9, 0,
+  /* 2104 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
+  /* 2113 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 2121 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 2129 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 2138 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 2147 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 2157 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
+  /* 2170 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 32, 9, 0,
+  /* 2184 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 2194 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 2208 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
+  /* 2219 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
+  /* 2227 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 2242 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 2252 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 2262 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 2272 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 2282 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 2292 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
+  /* 2300 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 2312 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 2324 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2341 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2355 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2370 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2387 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2401 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2416 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2428 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2440 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2452 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2465 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2477 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2494 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2508 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2523 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2540 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2554 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2569 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2580 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2593 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2604 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2617 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2626 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
+  /* 2642 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, 0,
+  /* 2659 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
+  /* 2675 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, 0,
+  /* 2692 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2701 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2714 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2728 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2742 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2752 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2764 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2773 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2785 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2795 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2804 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2813 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
+  /* 2829 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, 0,
+  /* 2846 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
+  /* 2862 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, 0,
+  /* 2879 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2892 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2906 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2915 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2924 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2938 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2953 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2967 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2982 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 2993 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 3006 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 3017 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 3030 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 3044 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 3059 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 3068 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 3079 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 3087 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 3099 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 3110 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 3120 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 3131 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 3140 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 3152 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 3163 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 3173 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 3180 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 3189 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 3197 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 3208 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 3216 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 3227 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 3235 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 3247 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 3257 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 3269 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 3281 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 3291 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 3301 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 3312 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 3323 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 3333 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 3345 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 3358 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 3370 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 3380 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 3391 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 3402 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 3413 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3428 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3442 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3457 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3471 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3486 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3500 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3515 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3529 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
+  /* 3540 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3552 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3566 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3579 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3595 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3607 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3621 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3634 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3650 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
+  /* 3660 */ 'j', 'a', 9, 0,
+  /* 3664 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3675 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3684 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3690 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3703 */ 'c', 'r', 'c', '3', '2', 'b', 9, 0,
+  /* 3711 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3723 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3734 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3742 */ 's', 'b', 'b', 'b', 9, 0,
+  /* 3748 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3756 */ 'a', 'd', 'c', 'b', 9, 0,
+  /* 3762 */ 'd', 'e', 'c', 'b', 9, 0,
+  /* 3768 */ 'i', 'n', 'c', 'b', 9, 0,
+  /* 3774 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3782 */ 'x', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3789 */ 'a', 'n', 'd', 'b', 9, 0,
+  /* 3795 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3804 */ 'n', 'e', 'g', 'b', 9, 0,
+  /* 3810 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'b', 9, 0,
+  /* 3820 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3828 */ 'j', 'b', 9, 0,
+  /* 3832 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3843 */ 's', 'a', 'l', 'b', 9, 0,
+  /* 3849 */ 'r', 'c', 'l', 'b', 9, 0,
+  /* 3855 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3863 */ 'r', 'o', 'l', 'b', 9, 0,
+  /* 3869 */ 'i', 'm', 'u', 'l', 'b', 9, 0,
+  /* 3876 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3884 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3893 */ 'i', 'n', 'b', 9, 0,
+  /* 3898 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 0,
+  /* 3907 */ 'c', 'm', 'p', 'b', 9, 0,
+  /* 3913 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3923 */ 's', 'a', 'r', 'b', 9, 0,
+  /* 3929 */ 'r', 'c', 'r', 'b', 9, 0,
+  /* 3935 */ 's', 'h', 'r', 'b', 9, 0,
+  /* 3941 */ 'r', 'o', 'r', 'b', 9, 0,
+  /* 3947 */ 'x', 'o', 'r', 'b', 9, 0,
+  /* 3953 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3962 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3971 */ 's', 'c', 'a', 's', 'b', 9, 0,
+  /* 3978 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3986 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, 0,
+  /* 3995 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 4004 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 4013 */ 'l', 'o', 'd', 's', 'b', 9, 0,
+  /* 4020 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 4029 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 4036 */ 'o', 'u', 't', 's', 'b', 9, 0,
+  /* 4043 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 4053 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 4063 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 4072 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 4079 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 4088 */ 's', 'e', 't', 'b', 9, 0,
+  /* 4094 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 4104 */ 'n', 'o', 't', 'b', 9, 0,
+  /* 4110 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 4118 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 4132 */ 't', 'e', 's', 't', 'b', 9, 0,
+  /* 4139 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 4148 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 4157 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 4164 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 4173 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 4184 */ 'i', 'd', 'i', 'v', 'b', 9, 0,
+  /* 4191 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 4199 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 4210 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 4221 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 4228 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 4236 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 4245 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 4254 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 4261 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 4268 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 4276 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 4285 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 4294 */ 'a', 'a', 'd', 9, 0,
+  /* 4299 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 4307 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 4315 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 4325 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 4336 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 4345 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 4353 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 4364 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 4375 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 4382 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4391 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 4399 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 4409 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 4421 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 4431 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 4442 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 4449 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 4458 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 4465 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 4474 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 4483 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 4489 */ 'f', 'l', 'd', 9, 0,
+  /* 4494 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 4502 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 4510 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 4519 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 4527 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 4536 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4544 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4552 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4559 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4568 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4575 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4591 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4604 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4618 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4634 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4647 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4661 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4677 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4690 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4704 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4720 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4733 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4747 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4757 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4769 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4780 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4791 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4807 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4820 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4834 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4850 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4863 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4877 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4886 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4894 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4907 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4918 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4927 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4937 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4948 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4956 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4969 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4978 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4988 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4999 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 5007 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5015 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5025 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 5035 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 5047 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 5056 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 5067 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 5076 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 5087 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 5098 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 5109 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 5117 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 5126 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 5134 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 5143 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 5152 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 5160 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 5167 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 5175 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 5187 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 5194 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 5202 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 5212 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 5221 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 5230 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 5239 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 5250 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 5258 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 5270 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 5278 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 5287 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 5297 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 5309 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 5318 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 5327 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5340 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5354 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5367 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5381 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5394 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5408 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5421 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5435 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 5446 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5459 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5473 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5486 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5500 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5508 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5518 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5529 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5537 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5547 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5558 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5566 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5576 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5586 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5595 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5603 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5612 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5620 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5628 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5637 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5646 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5660 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5668 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5676 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5685 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5693 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5702 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5712 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5720 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5734 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5743 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5752 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5761 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5770 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5779 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5788 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5797 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5809 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5816 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5826 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5836 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5846 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5858 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5870 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5880 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5891 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5902 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5914 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5925 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5936 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5947 */ 'j', 'a', 'e', 9, 0,
+  /* 5952 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5959 */ 'j', 'b', 'e', 9, 0,
+  /* 5964 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 0,
+  /* 5974 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5981 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5990 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5997 */ 'j', 'g', 'e', 9, 0,
+  /* 6002 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 6011 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 6018 */ 'j', 'e', 9, 0,
+  /* 6022 */ 'j', 'l', 'e', 9, 0,
+  /* 6027 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 6034 */ 'j', 'n', 'e', 9, 0,
+  /* 6039 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 6047 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 6054 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 6063 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 6070 */ 's', 'e', 't', 'e', 9, 0,
+  /* 6076 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 6087 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 6095 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 6103 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 6111 */ 'j', 'g', 9, 0,
+  /* 6115 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 6123 */ 's', 'e', 't', 'g', 9, 0,
+  /* 6129 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 6139 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 6145 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 6156 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 6167 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 6179 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 6188 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 6194 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6201 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 6209 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6220 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 6230 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6241 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6251 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6259 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6268 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 6280 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 6292 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6304 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6315 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6327 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6338 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 6346 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 6353 */ 'c', 'r', 'c', '3', '2', 'l', 9, 0,
+  /* 6361 */ 'l', 'e', 'a', 'l', 9, 0,
+  /* 6367 */ 'c', 'm', 'o', 'v', 'a', 'l', 9, 0,
+  /* 6375 */ 's', 'b', 'b', 'l', 9, 0,
+  /* 6381 */ 'm', 'o', 'v', 's', 'b', 'l', 9, 0,
+  /* 6389 */ 'f', 's', 'u', 'b', 'l', 9, 0,
+  /* 6396 */ 'f', 'i', 's', 'u', 'b', 'l', 9, 0,
+  /* 6404 */ 'c', 'm', 'o', 'v', 'b', 'l', 9, 0,
+  /* 6412 */ 'm', 'o', 'v', 'z', 'b', 'l', 9, 0,
+  /* 6420 */ 'a', 'd', 'c', 'l', 9, 0,
+  /* 6426 */ 'd', 'e', 'c', 'l', 9, 0,
+  /* 6432 */ 'i', 'n', 'c', 'l', 9, 0,
+  /* 6438 */ 'b', 't', 'c', 'l', 9, 0,
+  /* 6444 */ 'v', 'm', 'r', 'e', 'a', 'd', 'l', 9, 0,
+  /* 6453 */ 'f', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6460 */ 'f', 'i', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6468 */ 'x', 'a', 'd', 'd', 'l', 9, 0,
+  /* 6475 */ 'r', 'd', 's', 'e', 'e', 'd', 'l', 9, 0,
+  /* 6484 */ 'f', 'l', 'd', 'l', 9, 0,
+  /* 6490 */ 's', 'h', 'l', 'd', 'l', 9, 0,
+  /* 6497 */ 'f', 'i', 'l', 'd', 'l', 9, 0,
+  /* 6504 */ 'r', 'd', 'r', 'a', 'n', 'd', 'l', 9, 0,
+  /* 6513 */ 's', 'h', 'r', 'd', 'l', 9, 0,
+  /* 6520 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'l', 9, 0,
+  /* 6532 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'l', 9, 0,
+  /* 6545 */ 'c', 'm', 'o', 'v', 'a', 'e', 'l', 9, 0,
+  /* 6554 */ 'c', 'm', 'o', 'v', 'b', 'e', 'l', 9, 0,
+  /* 6563 */ 'c', 'm', 'o', 'v', 'g', 'e', 'l', 9, 0,
+  /* 6572 */ 'c', 'm', 'o', 'v', 'l', 'e', 'l', 9, 0,
+  /* 6581 */ 'c', 'm', 'o', 'v', 'n', 'e', 'l', 9, 0,
+  /* 6590 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6601 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6612 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6623 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'l', 9, 0,
+  /* 6634 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'l', 9, 0,
+  /* 6644 */ 'c', 'm', 'o', 'v', 'e', 'l', 9, 0,
+  /* 6652 */ 'b', 's', 'f', 'l', 9, 0,
+  /* 6658 */ 'n', 'e', 'g', 'l', 9, 0,
+  /* 6664 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'l', 9, 0,
+  /* 6674 */ 'c', 'm', 'o', 'v', 'g', 'l', 9, 0,
+  /* 6682 */ 'p', 'u', 's', 'h', 'l', 9, 0,
+  /* 6689 */ 'b', 'z', 'h', 'i', 'l', 9, 0,
+  /* 6696 */ 'b', 'l', 's', 'i', 'l', 9, 0,
+  /* 6703 */ 'm', 'o', 'v', 'n', 't', 'i', 'l', 9, 0,
+  /* 6712 */ 'j', 'l', 9, 0,
+  /* 6716 */ 'b', 'l', 's', 'm', 's', 'k', 'l', 9, 0,
+  /* 6725 */ 's', 'a', 'l', 'l', 9, 0,
+  /* 6731 */ 'r', 'c', 'l', 'l', 9, 0,
+  /* 6737 */ 'f', 'i', 'l', 'd', 'l', 'l', 9, 0,
+  /* 6745 */ 's', 'h', 'l', 'l', 9, 0,
+  /* 6751 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6760 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6769 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, 0,
+  /* 6777 */ 'r', 'o', 'l', 'l', 9, 0,
+  /* 6783 */ 'f', 'i', 's', 't', 'p', 'l', 'l', 9, 0,
+  /* 6792 */ 'f', 'i', 's', 't', 't', 'p', 'l', 'l', 9, 0,
+  /* 6802 */ 'l', 's', 'l', 'l', 9, 0,
+  /* 6808 */ 'f', 'm', 'u', 'l', 'l', 9, 0,
+  /* 6815 */ 'f', 'i', 'm', 'u', 'l', 'l', 9, 0,
+  /* 6823 */ 'c', 'm', 'o', 'v', 'l', 'l', 9, 0,
+  /* 6831 */ 'f', 'c', 'o', 'm', 'l', 9, 0,
+  /* 6838 */ 'f', 'i', 'c', 'o', 'm', 'l', 9, 0,
+  /* 6846 */ 'a', 'n', 'd', 'n', 'l', 9, 0,
+  /* 6853 */ 'i', 'n', 'l', 9, 0,
+  /* 6858 */ 'c', 'm', 'o', 'v', 'n', 'o', 'l', 9, 0,
+  /* 6867 */ 'c', 'm', 'o', 'v', 'o', 'l', 9, 0,
+  /* 6875 */ 'b', 's', 'w', 'a', 'p', 'l', 9, 0,
+  /* 6883 */ 'p', 'd', 'e', 'p', 'l', 9, 0,
+  /* 6890 */ 'c', 'm', 'p', 'l', 9, 0,
+  /* 6896 */ 'l', 'j', 'm', 'p', 'l', 9, 0,
+  /* 6903 */ 'f', 'c', 'o', 'm', 'p', 'l', 9, 0,
+  /* 6911 */ 'f', 'i', 'c', 'o', 'm', 'p', 'l', 9, 0,
+  /* 6920 */ 'c', 'm', 'o', 'v', 'n', 'p', 'l', 9, 0,
+  /* 6929 */ 'n', 'o', 'p', 'l', 9, 0,
+  /* 6935 */ 'p', 'o', 'p', 'l', 9, 0,
+  /* 6941 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6947 */ 'f', 's', 't', 'p', 'l', 9, 0,
+  /* 6954 */ 'f', 'i', 's', 't', 'p', 'l', 9, 0,
+  /* 6962 */ 'f', 'i', 's', 't', 't', 'p', 'l', 9, 0,
+  /* 6971 */ 'c', 'm', 'o', 'v', 'p', 'l', 9, 0,
+  /* 6979 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6990 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 7002 */ 'l', 'a', 'r', 'l', 9, 0,
+  /* 7008 */ 's', 'a', 'r', 'l', 9, 0,
+  /* 7014 */ 'f', 's', 'u', 'b', 'r', 'l', 9, 0,
+  /* 7022 */ 'f', 'i', 's', 'u', 'b', 'r', 'l', 9, 0,
+  /* 7031 */ 'r', 'c', 'r', 'l', 9, 0,
+  /* 7037 */ 's', 'h', 'r', 'l', 9, 0,
+  /* 7043 */ 'r', 'o', 'r', 'l', 9, 0,
+  /* 7049 */ 'x', 'o', 'r', 'l', 9, 0,
+  /* 7055 */ 'b', 's', 'r', 'l', 9, 0,
+  /* 7061 */ 'b', 'l', 's', 'r', 'l', 9, 0,
+  /* 7068 */ 'b', 't', 'r', 'l', 9, 0,
+  /* 7074 */ 's', 't', 'r', 'l', 9, 0,
+  /* 7080 */ 'b', 'e', 'x', 't', 'r', 'l', 9, 0,
+  /* 7088 */ 'f', 'd', 'i', 'v', 'r', 'l', 9, 0,
+  /* 7096 */ 'f', 'i', 'd', 'i', 'v', 'r', 'l', 9, 0,
+  /* 7105 */ 's', 'c', 'a', 's', 'l', 9, 0,
+  /* 7112 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, 0,
+  /* 7121 */ 'l', 'd', 's', 'l', 9, 0,
+  /* 7127 */ 'l', 'o', 'd', 's', 'l', 9, 0,
+  /* 7134 */ 'l', 'e', 's', 'l', 9, 0,
+  /* 7140 */ 'l', 'f', 's', 'l', 9, 0,
+  /* 7146 */ 'l', 'g', 's', 'l', 9, 0,
+  /* 7152 */ 'c', 'm', 'o', 'v', 'n', 's', 'l', 9, 0,
+  /* 7161 */ 'c', 'm', 'p', 's', 'l', 9, 0,
+  /* 7168 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'l', 9, 0,
+  /* 7180 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'l', 9, 0,
+  /* 7193 */ 'l', 's', 's', 'l', 9, 0,
+  /* 7199 */ 'b', 't', 's', 'l', 9, 0,
+  /* 7205 */ 'o', 'u', 't', 's', 'l', 9, 0,
+  /* 7212 */ 'c', 'm', 'o', 'v', 's', 'l', 9, 0,
+  /* 7220 */ 'b', 't', 'l', 9, 0,
+  /* 7225 */ 'l', 'g', 'd', 't', 'l', 9, 0,
+  /* 7232 */ 's', 'g', 'd', 't', 'l', 9, 0,
+  /* 7239 */ 'l', 'i', 'd', 't', 'l', 9, 0,
+  /* 7246 */ 's', 'i', 'd', 't', 'l', 9, 0,
+  /* 7253 */ 's', 'l', 'd', 't', 'l', 9, 0,
+  /* 7260 */ 'l', 'r', 'e', 't', 'l', 9, 0,
+  /* 7267 */ 's', 'e', 't', 'l', 9, 0,
+  /* 7273 */ 'p', 'o', 'p', 'c', 'n', 't', 'l', 9, 0,
+  /* 7282 */ 'l', 'z', 'c', 'n', 't', 'l', 9, 0,
+  /* 7290 */ 't', 'z', 'c', 'n', 't', 'l', 9, 0,
+  /* 7298 */ 'n', 'o', 't', 'l', 9, 0,
+  /* 7304 */ 't', 'e', 's', 't', 'l', 9, 0,
+  /* 7311 */ 'f', 's', 't', 'l', 9, 0,
+  /* 7317 */ 'f', 'i', 's', 't', 'l', 9, 0,
+  /* 7324 */ 'p', 'e', 'x', 't', 'l', 9, 0,
+  /* 7331 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 7338 */ 'f', 'd', 'i', 'v', 'l', 9, 0,
+  /* 7345 */ 'f', 'i', 'd', 'i', 'v', 'l', 9, 0,
+  /* 7353 */ 'm', 'o', 'v', 'l', 9, 0,
+  /* 7359 */ 's', 'm', 's', 'w', 'l', 9, 0,
+  /* 7366 */ 'm', 'o', 'v', 's', 'w', 'l', 9, 0,
+  /* 7374 */ 'm', 'o', 'v', 'z', 'w', 'l', 9, 0,
+  /* 7382 */ 'a', 'd', 'c', 'x', 'l', 9, 0,
+  /* 7389 */ 's', 'h', 'l', 'x', 'l', 9, 0,
+  /* 7396 */ 'm', 'u', 'l', 'x', 'l', 9, 0,
+  /* 7403 */ 'a', 'd', 'o', 'x', 'l', 9, 0,
+  /* 7410 */ 's', 'a', 'r', 'x', 'l', 9, 0,
+  /* 7417 */ 's', 'h', 'r', 'x', 'l', 9, 0,
+  /* 7424 */ 'r', 'o', 'r', 'x', 'l', 9, 0,
+  /* 7431 */ 'a', 'a', 'm', 9, 0,
+  /* 7436 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 7442 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 7449 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 7457 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 7469 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 7481 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 7489 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 7497 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 7504 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 7511 */ 'j', 'o', 9, 0,
+  /* 7515 */ 'j', 'n', 'o', 9, 0,
+  /* 7520 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 7527 */ 's', 'e', 't', 'o', 9, 0,
+  /* 7533 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 7540 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 7547 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 7554 */ 'j', 'p', 9, 0,
+  /* 7558 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 7565 */ 'j', 'm', 'p', 9, 0,
+  /* 7570 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 7577 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 7585 */ 'j', 'n', 'p', 9, 0,
+  /* 7590 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 7597 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 7603 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 7611 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 7619 */ 's', 'e', 't', 'p', 9, 0,
+  /* 7625 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 7632 */ 'f', 's', 't', 'p', 9, 0,
+  /* 7638 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 7648 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 7659 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 7670 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 7686 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 7693 */ 'c', 'r', 'c', '3', '2', 'q', 9, 0,
+  /* 7701 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 7710 */ 'l', 'e', 'a', 'q', 9, 0,
+  /* 7716 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 7724 */ 'c', 'm', 'o', 'v', 'a', 'q', 9, 0,
+  /* 7732 */ 's', 'b', 'b', 'q', 9, 0,
+  /* 7738 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 7748 */ 'm', 'o', 'v', 's', 'b', 'q', 9, 0,
+  /* 7756 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 7767 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 7775 */ 'c', 'm', 'o', 'v', 'b', 'q', 9, 0,
+  /* 7783 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 7794 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 7805 */ 'm', 'o', 'v', 'z', 'b', 'q', 9, 0,
+  /* 7813 */ 'a', 'd', 'c', 'q', 9, 0,
+  /* 7819 */ 'd', 'e', 'c', 'q', 9, 0,
+  /* 7825 */ 'i', 'n', 'c', 'q', 9, 0,
+  /* 7831 */ 'b', 't', 'c', 'q', 9, 0,
+  /* 7837 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 7849 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 7860 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 7869 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 7881 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 7892 */ 'v', 'm', 'r', 'e', 'a', 'd', 'q', 9, 0,
+  /* 7901 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 7911 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 7919 */ 'x', 'a', 'd', 'd', 'q', 9, 0,
+  /* 7926 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 7936 */ 'r', 'd', 's', 'e', 'e', 'd', 'q', 9, 0,
+  /* 7945 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 7957 */ 's', 'h', 'l', 'd', 'q', 9, 0,
+  /* 7964 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 7976 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 7985 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 7994 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 8003 */ 'r', 'd', 'r', 'a', 'n', 'd', 'q', 9, 0,
+  /* 8012 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 8025 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 8038 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 8050 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 8062 */ 's', 'h', 'r', 'd', 'q', 9, 0,
+  /* 8069 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 'q', 9, 0,
+  /* 8081 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 'q', 9, 0,
+  /* 8094 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 8104 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 8115 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 8125 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 8136 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 8147 */ 'c', 'm', 'o', 'v', 'a', 'e', 'q', 9, 0,
+  /* 8156 */ 'c', 'm', 'o', 'v', 'b', 'e', 'q', 9, 0,
+  /* 8165 */ 'c', 'm', 'o', 'v', 'g', 'e', 'q', 9, 0,
+  /* 8174 */ 'c', 'm', 'o', 'v', 'l', 'e', 'q', 9, 0,
+  /* 8183 */ 'c', 'm', 'o', 'v', 'n', 'e', 'q', 9, 0,
+  /* 8192 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 8201 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8212 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8223 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8234 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 'q', 9, 0,
+  /* 8245 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 'q', 9, 0,
+  /* 8255 */ 'f', 'x', 's', 'a', 'v', 'e', 'q', 9, 0,
+  /* 8264 */ 'c', 'm', 'o', 'v', 'e', 'q', 9, 0,
+  /* 8272 */ 'b', 's', 'f', 'q', 9, 0,
+  /* 8278 */ 'n', 'e', 'g', 'q', 9, 0,
+  /* 8284 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'q', 9, 0,
+  /* 8294 */ 'c', 'm', 'o', 'v', 'g', 'q', 9, 0,
+  /* 8302 */ 'p', 'u', 's', 'h', 'q', 9, 0,
+  /* 8309 */ 'b', 'z', 'h', 'i', 'q', 9, 0,
+  /* 8316 */ 'b', 'l', 's', 'i', 'q', 9, 0,
+  /* 8323 */ 'm', 'o', 'v', 'n', 't', 'i', 'q', 9, 0,
+  /* 8332 */ 'b', 'l', 's', 'm', 's', 'k', 'q', 9, 0,
+  /* 8341 */ 's', 'a', 'l', 'q', 9, 0,
+  /* 8347 */ 'r', 'c', 'l', 'q', 9, 0,
+  /* 8353 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 8361 */ 'c', 'a', 'l', 'l', 'q', 9, 0,
+  /* 8368 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 8376 */ 'r', 'o', 'l', 'q', 9, 0,
+  /* 8382 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 8390 */ 'l', 's', 'l', 'q', 9, 0,
+  /* 8396 */ 'm', 'o', 'v', 's', 'l', 'q', 9, 0,
+  /* 8404 */ 'i', 'm', 'u', 'l', 'q', 9, 0,
+  /* 8411 */ 'c', 'm', 'o', 'v', 'l', 'q', 9, 0,
+  /* 8419 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 8427 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 8435 */ 'a', 'n', 'd', 'n', 'q', 9, 0,
+  /* 8442 */ 'c', 'm', 'o', 'v', 'n', 'o', 'q', 9, 0,
+  /* 8451 */ 'c', 'm', 'o', 'v', 'o', 'q', 9, 0,
+  /* 8459 */ 'b', 's', 'w', 'a', 'p', 'q', 9, 0,
+  /* 8467 */ 'p', 'd', 'e', 'p', 'q', 9, 0,
+  /* 8474 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 8482 */ 'c', 'm', 'o', 'v', 'n', 'p', 'q', 9, 0,
+  /* 8491 */ 'p', 'o', 'p', 'q', 9, 0,
+  /* 8497 */ 'c', 'm', 'o', 'v', 'p', 'q', 9, 0,
+  /* 8505 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 8515 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 8527 */ 'l', 'a', 'r', 'q', 9, 0,
+  /* 8533 */ 's', 'a', 'r', 'q', 9, 0,
+  /* 8539 */ 'r', 'c', 'r', 'q', 9, 0,
+  /* 8545 */ 's', 'h', 'r', 'q', 9, 0,
+  /* 8551 */ 'r', 'o', 'r', 'q', 9, 0,
+  /* 8557 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 'q', 9, 0,
+  /* 8567 */ 'x', 'o', 'r', 'q', 9, 0,
+  /* 8573 */ 'b', 's', 'r', 'q', 9, 0,
+  /* 8579 */ 'b', 'l', 's', 'r', 'q', 9, 0,
+  /* 8586 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 8595 */ 'b', 't', 'r', 'q', 9, 0,
+  /* 8601 */ 's', 't', 'r', 'q', 9, 0,
+  /* 8607 */ 'b', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 8615 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 8624 */ 's', 'c', 'a', 's', 'q', 9, 0,
+  /* 8631 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, 0,
+  /* 8640 */ 'l', 'o', 'd', 's', 'q', 9, 0,
+  /* 8647 */ 'l', 'f', 's', 'q', 9, 0,
+  /* 8653 */ 'l', 'g', 's', 'q', 9, 0,
+  /* 8659 */ 'c', 'm', 'o', 'v', 'n', 's', 'q', 9, 0,
+  /* 8668 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 8675 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 'q', 9, 0,
+  /* 8687 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 'q', 9, 0,
+  /* 8700 */ 'l', 's', 's', 'q', 9, 0,
+  /* 8706 */ 'b', 't', 's', 'q', 9, 0,
+  /* 8712 */ 'c', 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 8720 */ 'b', 't', 'q', 9, 0,
+  /* 8725 */ 'l', 'g', 'd', 't', 'q', 9, 0,
+  /* 8732 */ 's', 'g', 'd', 't', 'q', 9, 0,
+  /* 8739 */ 'l', 'i', 'd', 't', 'q', 9, 0,
+  /* 8746 */ 's', 'i', 'd', 't', 'q', 9, 0,
+  /* 8753 */ 's', 'l', 'd', 't', 'q', 9, 0,
+  /* 8760 */ 'l', 'r', 'e', 't', 'q', 9, 0,
+  /* 8767 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 8777 */ 'p', 'o', 'p', 'c', 'n', 't', 'q', 9, 0,
+  /* 8786 */ 'l', 'z', 'c', 'n', 't', 'q', 9, 0,
+  /* 8794 */ 't', 'z', 'c', 'n', 't', 'q', 9, 0,
+  /* 8802 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 8810 */ 'n', 'o', 't', 'q', 9, 0,
+  /* 8816 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 8824 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 'q', 9, 0,
+  /* 8835 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 8844 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 8858 */ 't', 'e', 's', 't', 'q', 9, 0,
+  /* 8865 */ 'p', 'e', 'x', 't', 'q', 9, 0,
+  /* 8872 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 8881 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 8890 */ 'i', 'd', 'i', 'v', 'q', 9, 0,
+  /* 8897 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 8906 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 8915 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 8927 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 8934 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 8944 */ 's', 'm', 's', 'w', 'q', 9, 0,
+  /* 8951 */ 'm', 'o', 'v', 's', 'w', 'q', 9, 0,
+  /* 8959 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 8970 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 8981 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 8992 */ 'm', 'o', 'v', 'z', 'w', 'q', 9, 0,
+  /* 9000 */ 'a', 'd', 'c', 'x', 'q', 9, 0,
+  /* 9007 */ 's', 'h', 'l', 'x', 'q', 9, 0,
+  /* 9014 */ 'm', 'u', 'l', 'x', 'q', 9, 0,
+  /* 9021 */ 'a', 'd', 'o', 'x', 'q', 9, 0,
+  /* 9028 */ 's', 'a', 'r', 'x', 'q', 9, 0,
+  /* 9035 */ 's', 'h', 'r', 'x', 'q', 9, 0,
+  /* 9042 */ 'r', 'o', 'r', 'x', 'q', 9, 0,
+  /* 9049 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 9058 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 9066 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 9073 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 9083 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 9089 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 9097 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 9106 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 9113 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 9119 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 9129 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 9139 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 9146 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 9153 */ 'f', 's', 'u', 'b', 's', 9, 0,
+  /* 9160 */ 'f', 'i', 's', 'u', 'b', 's', 9, 0,
+  /* 9168 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 9174 */ 'f', 'a', 'd', 'd', 's', 9, 0,
+  /* 9181 */ 'f', 'i', 'a', 'd', 'd', 's', 9, 0,
+  /* 9189 */ 'f', 'l', 'd', 's', 9, 0,
+  /* 9195 */ 'f', 'i', 'l', 'd', 's', 9, 0,
+  /* 9202 */ 'j', 's', 9, 0,
+  /* 9206 */ 'f', 'm', 'u', 'l', 's', 9, 0,
+  /* 9213 */ 'f', 'i', 'm', 'u', 'l', 's', 9, 0,
+  /* 9221 */ 'f', 'c', 'o', 'm', 's', 9, 0,
+  /* 9228 */ 'f', 'i', 'c', 'o', 'm', 's', 9, 0,
+  /* 9236 */ 'j', 'n', 's', 9, 0,
+  /* 9241 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 9248 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9264 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9277 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9291 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9307 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9320 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 9334 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9350 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9363 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9377 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9393 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9406 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 9420 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 9431 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 9442 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 9452 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 9464 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 9475 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9491 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9504 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9534 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9547 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 9561 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 9570 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9583 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9594 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9603 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9613 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9624 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 9632 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9645 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9654 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9664 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9675 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 9683 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 9691 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 9701 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 9711 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 9723 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 9732 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 9743 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 9753 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 9762 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 9773 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 9783 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 9794 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 9805 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 9813 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 9822 */ 'f', 'c', 'o', 'm', 'p', 's', 9, 0,
+  /* 9830 */ 'f', 'i', 'c', 'o', 'm', 'p', 's', 9, 0,
+  /* 9839 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 9848 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 9857 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 9865 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 9873 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 9880 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 9888 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 9900 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 9907 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 9915 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 9927 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 9937 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 9948 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 9958 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 9967 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 9976 */ 'f', 's', 't', 'p', 's', 9, 0,
+  /* 9983 */ 'f', 'i', 's', 't', 'p', 's', 9, 0,
+  /* 9991 */ 'f', 'i', 's', 't', 't', 'p', 's', 9, 0,
+  /* 10000 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 10009 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 10020 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 10028 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 10040 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 10048 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 10057 */ 'f', 's', 'u', 'b', 'r', 's', 9, 0,
+  /* 10065 */ 'f', 'i', 's', 'u', 'b', 'r', 's', 9, 0,
+  /* 10074 */ 'f', 'd', 'i', 'v', 'r', 's', 9, 0,
+  /* 10082 */ 'f', 'i', 'd', 'i', 'v', 'r', 's', 9, 0,
+  /* 10091 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 10104 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 10118 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 10131 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 10145 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 10158 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 10172 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 10185 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 10199 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 10210 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 10223 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 10237 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 10250 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 10264 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10274 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10285 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 10293 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10303 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10314 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 10322 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 10332 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 10342 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 10351 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 10359 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 10367 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 10375 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 10383 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 10392 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 10402 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 10411 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 10425 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 10433 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 10441 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 10449 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 10458 */ 's', 'e', 't', 's', 9, 0,
+  /* 10464 */ 'f', 's', 't', 's', 9, 0,
+  /* 10470 */ 'f', 'i', 's', 't', 's', 9, 0,
+  /* 10477 */ 'f', 'd', 'i', 'v', 's', 9, 0,
+  /* 10484 */ 'f', 'i', 'd', 'i', 'v', 's', 9, 0,
+  /* 10492 */ 'f', 'l', 'd', 't', 9, 0,
+  /* 10498 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 10507 */ 'i', 'n', 't', 9, 0,
+  /* 10512 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 10520 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 10530 */ 'f', 's', 't', 'p', 't', 9, 0,
+  /* 10537 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 10545 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 10554 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 10567 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 10580 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 10588 */ 'f', 's', 't', 9, 0,
+  /* 10593 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 10611 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 10620 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 0,
+  /* 10629 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 10637 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 10650 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 10659 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 0,
+  /* 10667 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 10673 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 10681 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 10690 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 10698 */ 'c', 'r', 'c', '3', '2', 'w', 9, 0,
+  /* 10706 */ 'l', 'e', 'a', 'w', 9, 0,
+  /* 10712 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 10720 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 10728 */ 'c', 'm', 'o', 'v', 'a', 'w', 9, 0,
+  /* 10736 */ 's', 'b', 'b', 'w', 9, 0,
+  /* 10742 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 10752 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 10762 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 10771 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 10781 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 10793 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 10805 */ 'm', 'o', 'v', 's', 'b', 'w', 9, 0,
+  /* 10813 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 10824 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 10833 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 10841 */ 'c', 'm', 'o', 'v', 'b', 'w', 9, 0,
+  /* 10849 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 10860 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 10871 */ 'm', 'o', 'v', 'z', 'b', 'w', 9, 0,
+  /* 10879 */ 'a', 'd', 'c', 'w', 9, 0,
+  /* 10885 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 10892 */ 'd', 'e', 'c', 'w', 9, 0,
+  /* 10898 */ 'i', 'n', 'c', 'w', 9, 0,
+  /* 10904 */ 'b', 't', 'c', 'w', 9, 0,
+  /* 10910 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 10918 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10927 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10935 */ 'x', 'a', 'd', 'd', 'w', 9, 0,
+  /* 10942 */ 'r', 'd', 's', 'e', 'e', 'd', 'w', 9, 0,
+  /* 10951 */ 's', 'h', 'l', 'd', 'w', 9, 0,
+  /* 10958 */ 'r', 'd', 'r', 'a', 'n', 'd', 'w', 9, 0,
+  /* 10967 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 10977 */ 's', 'h', 'r', 'd', 'w', 9, 0,
+  /* 10984 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 10995 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 11006 */ 'c', 'm', 'o', 'v', 'a', 'e', 'w', 9, 0,
+  /* 11015 */ 'c', 'm', 'o', 'v', 'b', 'e', 'w', 9, 0,
+  /* 11024 */ 'c', 'm', 'o', 'v', 'g', 'e', 'w', 9, 0,
+  /* 11033 */ 'c', 'm', 'o', 'v', 'l', 'e', 'w', 9, 0,
+  /* 11042 */ 'c', 'm', 'o', 'v', 'n', 'e', 'w', 9, 0,
+  /* 11051 */ 'c', 'm', 'o', 'v', 'e', 'w', 9, 0,
+  /* 11059 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 11066 */ 'b', 's', 'f', 'w', 9, 0,
+  /* 11072 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 11080 */ 'n', 'e', 'g', 'w', 9, 0,
+  /* 11086 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 'w', 9, 0,
+  /* 11096 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 11104 */ 'c', 'm', 'o', 'v', 'g', 'w', 9, 0,
+  /* 11112 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 11123 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 11133 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 11142 */ 'p', 'u', 's', 'h', 'w', 9, 0,
+  /* 11149 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 11156 */ 's', 'a', 'l', 'w', 9, 0,
+  /* 11162 */ 'r', 'c', 'l', 'w', 9, 0,
+  /* 11168 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 11178 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 11186 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, 0,
+  /* 11194 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 11202 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 11211 */ 'r', 'o', 'l', 'w', 9, 0,
+  /* 11217 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 11225 */ 'l', 's', 'l', 'w', 9, 0,
+  /* 11231 */ 'i', 'm', 'u', 'l', 'w', 9, 0,
+  /* 11238 */ 'c', 'm', 'o', 'v', 'l', 'w', 9, 0,
+  /* 11246 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 11254 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 11263 */ 'i', 'n', 'w', 9, 0,
+  /* 11268 */ 'c', 'm', 'o', 'v', 'n', 'o', 'w', 9, 0,
+  /* 11277 */ 'c', 'm', 'o', 'v', 'o', 'w', 9, 0,
+  /* 11285 */ 'c', 'm', 'p', 'w', 9, 0,
+  /* 11291 */ 'l', 'j', 'm', 'p', 'w', 9, 0,
+  /* 11298 */ 'c', 'm', 'o', 'v', 'n', 'p', 'w', 9, 0,
+  /* 11307 */ 'n', 'o', 'p', 'w', 9, 0,
+  /* 11313 */ 'p', 'o', 'p', 'w', 9, 0,
+  /* 11319 */ 'c', 'm', 'o', 'v', 'p', 'w', 9, 0,
+  /* 11327 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 11337 */ 'l', 'a', 'r', 'w', 9, 0,
+  /* 11343 */ 's', 'a', 'r', 'w', 9, 0,
+  /* 11349 */ 'r', 'c', 'r', 'w', 9, 0,
+  /* 11355 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 11361 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 11370 */ 's', 'h', 'r', 'w', 9, 0,
+  /* 11376 */ 'r', 'o', 'r', 'w', 9, 0,
+  /* 11382 */ 'x', 'o', 'r', 'w', 9, 0,
+  /* 11388 */ 'b', 's', 'r', 'w', 9, 0,
+  /* 11394 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 11403 */ 'b', 't', 'r', 'w', 9, 0,
+  /* 11409 */ 'l', 't', 'r', 'w', 9, 0,
+  /* 11415 */ 's', 't', 'r', 'w', 9, 0,
+  /* 11421 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 11430 */ 's', 'c', 'a', 's', 'w', 9, 0,
+  /* 11437 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 11445 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, 0,
+  /* 11454 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 11466 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 11476 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 11485 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 11495 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 11504 */ 'l', 'd', 's', 'w', 9, 0,
+  /* 11510 */ 'l', 'o', 'd', 's', 'w', 9, 0,
+  /* 11517 */ 'l', 'e', 's', 'w', 9, 0,
+  /* 11523 */ 'l', 'f', 's', 'w', 9, 0,
+  /* 11529 */ 'l', 'g', 's', 'w', 9, 0,
+  /* 11535 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 11544 */ 'c', 'm', 'o', 'v', 'n', 's', 'w', 9, 0,
+  /* 11553 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 11560 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 11571 */ 'l', 's', 's', 'w', 9, 0,
+  /* 11577 */ 'b', 't', 's', 'w', 9, 0,
+  /* 11583 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 11591 */ 'o', 'u', 't', 's', 'w', 9, 0,
+  /* 11598 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 11608 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 11618 */ 'c', 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 11626 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 11635 */ 'b', 't', 'w', 9, 0,
+  /* 11640 */ 'l', 'g', 'd', 't', 'w', 9, 0,
+  /* 11647 */ 's', 'g', 'd', 't', 'w', 9, 0,
+  /* 11654 */ 'l', 'i', 'd', 't', 'w', 9, 0,
+  /* 11661 */ 's', 'i', 'd', 't', 'w', 9, 0,
+  /* 11668 */ 'l', 'l', 'd', 't', 'w', 9, 0,
+  /* 11675 */ 's', 'l', 'd', 't', 'w', 9, 0,
+  /* 11682 */ 'l', 'r', 'e', 't', 'w', 9, 0,
+  /* 11689 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 11699 */ 'p', 'o', 'p', 'c', 'n', 't', 'w', 9, 0,
+  /* 11708 */ 'l', 'z', 'c', 'n', 't', 'w', 9, 0,
+  /* 11716 */ 't', 'z', 'c', 'n', 't', 'w', 9, 0,
+  /* 11724 */ 'n', 'o', 't', 'w', 9, 0,
+  /* 11730 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 11738 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 11752 */ 't', 'e', 's', 't', 'w', 9, 0,
+  /* 11759 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 11769 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 11778 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 11787 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 11800 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 11809 */ 'i', 'd', 'i', 'v', 'w', 9, 0,
+  /* 11816 */ 'm', 'o', 'v', 'w', 9, 0,
+  /* 11822 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 11832 */ 'l', 'm', 's', 'w', 'w', 9, 0,
+  /* 11839 */ 's', 'm', 's', 'w', 'w', 9, 0,
+  /* 11846 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 11857 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 11864 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 11877 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 11889 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 11901 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
+  /* 11914 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'y', 9, 0,
+  /* 11926 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'y', 9, 0,
+  /* 11938 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 11945 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 11951 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 11958 */ 'f', 's', 'u', 'b', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 11972 */ 'f', 'a', 'd', 'd', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 11986 */ 'f', 'm', 'u', 'l', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12000 */ 'f', 's', 'u', 'b', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12015 */ 'f', 'd', 'i', 'v', 'r', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12030 */ 'f', 'd', 'i', 'v', 9, '%', 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 12044 */ 'm', 'o', 'v', 'a', 'b', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12058 */ 's', 't', 'o', 's', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12070 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12081 */ 'm', 'o', 'v', 'b', 9, '%', 'a', 'l', ',', 32, 0,
+  /* 12092 */ 's', 'a', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12103 */ 'r', 'c', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12114 */ 's', 'h', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12125 */ 'r', 'o', 'l', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12136 */ 's', 'a', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12147 */ 'r', 'c', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12158 */ 's', 'h', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12169 */ 'r', 'o', 'r', 'b', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12180 */ 's', 'h', 'l', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12192 */ 's', 'h', 'r', 'd', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12204 */ 's', 'a', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12215 */ 'r', 'c', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12226 */ 's', 'h', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12237 */ 'r', 'o', 'l', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12248 */ 's', 'a', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12259 */ 'r', 'c', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12270 */ 's', 'h', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12281 */ 'r', 'o', 'r', 'l', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12292 */ 's', 'h', 'l', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12304 */ 's', 'h', 'r', 'd', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12316 */ 's', 'a', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12327 */ 'r', 'c', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12338 */ 's', 'h', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12349 */ 'r', 'o', 'l', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12360 */ 's', 'a', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12371 */ 'r', 'c', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12382 */ 's', 'h', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12393 */ 'r', 'o', 'r', 'q', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12404 */ 's', 'h', 'l', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12416 */ 's', 'h', 'r', 'd', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12428 */ 's', 'a', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12439 */ 'r', 'c', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12450 */ 's', 'h', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12461 */ 'r', 'o', 'l', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12472 */ 's', 'a', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12483 */ 'r', 'c', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12494 */ 's', 'h', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12505 */ 'r', 'o', 'r', 'w', 9, '%', 'c', 'l', ',', 32, 0,
+  /* 12516 */ 'm', 'o', 'v', 'a', 'b', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12530 */ 's', 't', 'o', 's', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12542 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12553 */ 'm', 'o', 'v', 'w', 9, '%', 'a', 'x', ',', 32, 0,
+  /* 12564 */ 'm', 'o', 'v', 'a', 'b', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12579 */ 's', 't', 'o', 's', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12592 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12604 */ 'm', 'o', 'v', 'l', 9, '%', 'e', 'a', 'x', ',', 32, 0,
+  /* 12616 */ 'm', 'o', 'v', 'a', 'b', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
+  /* 12631 */ 's', 't', 'o', 's', 'q', 9, '%', 'r', 'a', 'x', ',', 32, 0,
+  /* 12644 */ 'i', 'n', 's', 'b', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12655 */ 'i', 'n', 's', 'l', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12666 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
+  /* 12677 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12695 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12715 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12733 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12753 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12771 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12791 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12809 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, '{', 's', 'a', 'e', '}', ',', 32, 0,
+  /* 12829 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 12840 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 12863 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 12877 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 12901 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 12918 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 12934 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 12949 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 12963 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 12977 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 12997 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13017 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13037 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13057 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13078 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13098 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13118 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13137 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13157 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13177 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13197 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13217 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13237 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13257 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13277 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13296 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13314 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13335 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 13356 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '0', ')', 0,
+  /* 13379 */ 'f', 's', 't', 'p', 9, '%', 's', 't', '(', '0', ')', ',', 32, '%', 's', 't', '(', '7', ')', 0,
+  /* 13399 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
+  /* 13408 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
+  /* 13416 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
+  /* 13425 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
+  /* 13433 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
+  /* 13442 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
+  /* 13450 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 13456 */ 'f', 'l', 'd', '1', 0,
+  /* 13461 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 13468 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 13474 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 13482 */ 'i', 'n', 't', '1', 0,
+  /* 13487 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 13506 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 13524 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 13537 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 13550 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 13568 */ 'u', 'd', '2', 0,
+  /* 13572 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 13579 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 13586 */ 'i', 'n', 't', '3', 0,
+  /* 13591 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 13610 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 13628 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 13641 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 13654 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 13672 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 13678 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 13685 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 13693 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 13706 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 13713 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 13723 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 13732 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 13750 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 13766 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 13778 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 13793 */ 'a', 'a', 'a', 0,
+  /* 13797 */ 'd', 'a', 'a', 0,
+  /* 13801 */ 'u', 'd', '2', 'b', 0,
+  /* 13806 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 13816 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 13826 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 13836 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
+  /* 13846 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
+  /* 13856 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 13862 */ 'c', 'l', 'a', 'c', 0,
+  /* 13867 */ 's', 't', 'a', 'c', 0,
+  /* 13872 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 13882 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 13889 */ 's', 'a', 'l', 'c', 0,
+  /* 13894 */ 'c', 'l', 'c', 0,
+  /* 13898 */ 'c', 'm', 'c', 0,
+  /* 13902 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 13908 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 13915 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 13921 */ 's', 't', 'c', 0,
+  /* 13925 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 13931 */ 'c', 'l', 'd', 0,
+  /* 13935 */ 'x', 'e', 'n', 'd', 0,
+  /* 13940 */ 'c', 'l', 't', 'd', 0,
+  /* 13945 */ 's', 't', 'd', 0,
+  /* 13949 */ 'c', 'w', 't', 'd', 0,
+  /* 13954 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 13961 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 13968 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 13975 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 13982 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 13989 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 13996 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 14005 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 14011 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 14020 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 14027 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 14036 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 14042 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14056 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 14073 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 14079 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 14086 */ 'l', 'a', 'h', 'f', 0,
+  /* 14091 */ 's', 'a', 'h', 'f', 0,
+  /* 14096 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 14105 */ 'c', 'l', 'g', 'i', 0,
+  /* 14110 */ 's', 't', 'g', 'i', 0,
+  /* 14115 */ 'c', 'l', 'i', 0,
+  /* 14119 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 14125 */ 's', 't', 'i', 0,
+  /* 14129 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 14144 */ 'l', 'o', 'c', 'k', 0,
+  /* 14149 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
+  /* 14162 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 14169 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 14175 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
+  /* 14182 */ 'p', 'o', 'p', 'f', 'l', 0,
+  /* 14188 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 14196 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 14203 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 14211 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 14220 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
+  /* 14230 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
+  /* 14240 */ 'i', 'r', 'e', 't', 'l', 0,
+  /* 14246 */ 'l', 'r', 'e', 't', 'l', 0,
+  /* 14252 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
+  /* 14260 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
+  /* 14269 */ 'c', 'w', 't', 'l', 0,
+  /* 14274 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 14282 */ 'f', 'x', 'a', 'm', 0,
+  /* 14287 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 14293 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 14300 */ 'r', 's', 'm', 0,
+  /* 14304 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 14311 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 14317 */ 'f', 's', 'i', 'n', 0,
+  /* 14322 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 14349 */ 'i', 'n', 't', 'o', 0,
+  /* 14354 */ 'c', 'q', 't', 'o', 0,
+  /* 14359 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 14366 */ 'r', 'e', 'p', 0,
+  /* 14370 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 14376 */ 'v', 'c', 'm', 'p', 0,
+  /* 14381 */ 'f', 'n', 'o', 'p', 0,
+  /* 14386 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 14393 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 14401 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 14409 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 14417 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 14424 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 14430 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
+  /* 14440 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
+  /* 14450 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 14456 */ 'l', 'r', 'e', 't', 'q', 0,
+  /* 14462 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
+  /* 14470 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
+  /* 14479 */ 'c', 'l', 't', 'q', 0,
+  /* 14484 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 14495 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 14504 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 14512 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 14518 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 14524 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 14534 */ 'a', 'a', 's', 0,
+  /* 14538 */ 'd', 'a', 's', 0,
+  /* 14542 */ 'f', 'a', 'b', 's', 0,
+  /* 14547 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
+  /* 14557 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
+  /* 14567 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
+  /* 14577 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
+  /* 14586 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
+  /* 14596 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
+  /* 14605 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
+  /* 14615 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
+  /* 14624 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
+  /* 14634 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
+  /* 14643 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
+  /* 14653 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
+  /* 14662 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
+  /* 14672 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
+  /* 14681 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
+  /* 14691 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
+  /* 14700 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
+  /* 14710 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
+  /* 14719 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
+  /* 14729 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
+  /* 14738 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
+  /* 14748 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
+  /* 14757 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 14764 */ 'f', 'c', 'h', 's', 0,
+  /* 14769 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 14814 */ 'e', 'n', 'c', 'l', 's', 0,
+  /* 14820 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 14826 */ 'f', 'c', 'o', 's', 0,
+  /* 14831 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 14839 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
+  /* 14849 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
+  /* 14858 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
+  /* 14868 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
+  /* 14877 */ 'c', 'l', 't', 's', 0,
+  /* 14882 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 14889 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 14897 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 14903 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 14910 */ 'h', 'l', 't', 0,
+  /* 14914 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 14922 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 14928 */ 'x', 't', 'e', 's', 't', 0,
+  /* 14934 */ 'f', 't', 's', 't', 0,
+  /* 14939 */ 'e', 'n', 'c', 'l', 'u', 0,
+  /* 14945 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 14952 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 14959 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 14966 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 14972 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
+  /* 14979 */ 'p', 'o', 'p', 'f', 'w', 0,
+  /* 14985 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
+  /* 14995 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
+  /* 15005 */ 'c', 'b', 't', 'w', 0,
+  /* 15010 */ 'i', 'r', 'e', 't', 'w', 0,
+  /* 15016 */ 'l', 'r', 'e', 't', 'w', 0,
+  /* 15022 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 15028 */ 'f', 'n', 's', 't', 's', 'w', 9, '%', 'a', 'x', 0,
+  /* 15039 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
+  /* 15052 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
+  /* 15064 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
+  /* 15076 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
+  /* 15087 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
+  /* 15099 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 15118 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 15132 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
+  /* 15144 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
+  /* 15156 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
+  /* 15167 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
+  /* 15186 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
+  /* 15200 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 15214 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 15229 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 15236 */ 'f', 'l', 'd', 'z', 0,
   };
 #endif
 
@@ -12505,7 +14125,7 @@
 #endif
 
 
-  // Fragment 0 encoded into 7 bits for 78 unique commands.
+  // Fragment 0 encoded into 7 bits for 79 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 127);
   switch ((Bits >> 14) & 127) {
   default:   // unreachable.
@@ -12533,11 +14153,11 @@
     SStream_concat0(O, ", "); 
     break;
   case 5:
-    // ADC32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm,...
+    // ADC32rm, ADCX32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, ...
     printi32mem(MI, 2, O); 
     break;
   case 6:
-    // ADC64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm,...
+    // ADC64rm, ADCX64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, ...
     printi64mem(MI, 2, O); 
     break;
   case 7:
@@ -12548,50 +14168,50 @@
     return;
     break;
   case 8:
-    // ADCX32rm, ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS...
-    printi32mem(MI, 1, O); 
-    break;
-  case 9:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, AESIMCrr, ARPL16rr, BLCFILL32r...
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    break;
-  case 10:
-    // ADCX64rm, ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS...
-    printi64mem(MI, 1, O); 
-    break;
-  case 11:
     // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
     printf128mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 12:
+  case 9:
     // ADDSDrm, ADDSDrm_Int, DIVSDrm, DIVSDrm_Int, Int_CVTSD2SSrm, Int_VCVTSD...
     printf64mem(MI, 2, O); 
     break;
-  case 13:
+  case 10:
     // ADDSSrm, ADDSSrm_Int, DIVSSrm, DIVSSrm_Int, Int_CVTSS2SDrm, Int_VCVTSS...
     printf32mem(MI, 2, O); 
     break;
-  case 14:
+  case 11:
     // ADD_F32m, DIVR_F32m, DIV_F32m, FBLDm, FBSTPm, FCOM32m, FCOMP32m, FLDEN...
     printf32mem(MI, 0, O); 
     return;
     break;
-  case 15:
+  case 12:
     // ADD_F64m, DIVR_F64m, DIV_F64m, FCOM64m, FCOMP64m, LD_F64m, MUL_F64m, S...
     printf64mem(MI, 0, O); 
     return;
     break;
-  case 16:
+  case 13:
     // ADD_FI16m, CALL16m, DEC16m, DEC64_16m, DIV16m, DIVR_FI16m, DIV_FI16m, ...
     printi16mem(MI, 0, O); 
     return;
     break;
-  case 17:
+  case 14:
     // ADD_FI32m, CALL32m, DEC32m, DEC64_32m, DIV32m, DIVR_FI32m, DIV_FI32m, ...
     printi32mem(MI, 0, O); 
     break;
+  case 15:
+    // ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS32rm, BLSF...
+    printi32mem(MI, 1, O); 
+    break;
+  case 16:
+    // ADOX32rr, ADOX64rr, AESIMCrr, ARPL16rr, BLCFILL32rr, BLCFILL64rr, BLCI...
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, ", "); 
+    break;
+  case 17:
+    // ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS64rm, BLSF...
+    printi64mem(MI, 1, O); 
+    break;
   case 18:
     // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, PACKSSDWrm, PACKSSWBrm...
     printi128mem(MI, 2, O); 
@@ -12640,7 +14260,7 @@
     return;
     break;
   case 27:
-    // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
+    // CMP8rm, KMOVBkm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64...
     printi8mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -12654,20 +14274,20 @@
     printSSECC(MI, 3, O); 
     break;
   case 30:
-    // CMPS16, IN16, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // CMPSB, INSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 31:
-    // CMPS32, IN32, SCAS32, STOSL
+    // CMPSL, INSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 32:
-    // CMPS64, SCAS64, STOSQ
+    // CMPSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 33:
-    // CMPS8, IN8, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // CMPSW, INSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 34:
     // CMPXCHG16B, LCMPXCHG16B
@@ -12701,7 +14321,7 @@
     return;
     break;
   case 39:
-    // INSERTQI, VMOVSDZrrk, VMOVSSZrrk, VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL...
+    // INSERTQI, VALIGNDrrikz, VALIGNQrrikz, VFMADD213PDZrk, VFMADD213PDZrkz,...
     printOperand(MI, 4, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 3, O); 
@@ -12739,6 +14359,7 @@
     // LODSQ
     printSrcIdx64(MI, 0, O); 
     SStream_concat0(O, ", %rax"); 
+	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 47:
@@ -12793,40 +14414,45 @@
     // VADDPDYrm, VADDPSYrm, VADDSUBPDYrm, VADDSUBPSYrm, VANDNPDYrm, VANDNPSY...
     printf256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 57:
     // VADDPDZrm, VADDPSZrm, VDIVPDZrm, VDIVPSZrm, VMAXPDZrm, VMAXPSZrm, VMIN...
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 58:
-    // VBLENDMPDZrm, VBLENDMPSZrm, VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZ...
+    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VFMADD132PDZmb, ...
+    printf64mem(MI, 3, O); 
+    break;
+  case 59:
+    // VADDPDZrmk, VADDPDZrmkz, VADDPSZrmk, VADDPSZrmkz, VBLENDMPDZrm, VBLEND...
     printf512mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 59:
-    // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
+  case 60:
+    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VFMADD132PSZmb, ...
+    printf32mem(MI, 3, O); 
+    break;
+  case 61:
+    // VBROADCASTI64X4krm, VMOVDQA32Z256rmkz, VMOVDQA64Z256rmkz, VMOVDQU16Z25...
+    printi256mem(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    break;
+  case 62:
+    // VBROADCASTI64X4rm, VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQA32Z25...
     printi256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 60:
-    // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
+  case 63:
+    // VCVTDQ2PSZrm, VMOVDQA32Zrm, VMOVDQA64Zrm, VMOVDQU16Zrm, VMOVDQU32Zrm, ...
     printi512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 61:
+  case 64:
     // VCVTDQ2PSZrrb, VCVTPD2DQZrrb, VCVTPD2PSZrrb, VCVTPD2UDQZrrb, VCVTPS2DQ...
     printRoundingControl(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -12835,128 +14461,85 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 62:
+  case 65:
     // VCVTPD2DQYrm, VCVTPD2PSYrm, VCVTPH2PSZrm, VCVTPS2DQYrm, VCVTPS2PDZrm, ...
     printf256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 63:
+  case 66:
     // VCVTPD2DQZrm, VCVTPD2PSZrm, VCVTPD2UDQZrm, VCVTPS2DQZrm, VCVTPS2UDQZrm...
     printf512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 64:
-    // VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSD4rm, VFMADDSD4rm_Int, VFMADDSD...
-    printf64mem(MI, 3, O); 
-    break;
-  case 65:
-    // VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSS4rm, VFMADDSS4rm_Int, VFMADDSS...
-    printf32mem(MI, 3, O); 
-    break;
-  case 66:
+  case 67:
     // VFMADDPD4rm, VFMADDPDr132m, VFMADDPDr213m, VFMADDPDr231m, VFMADDPS4rm,...
     printf128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
     break;
-  case 67:
+  case 68:
     // VFMADDPD4rmY, VFMADDPDr132mY, VFMADDPDr213mY, VFMADDPDr231mY, VFMADDPS...
     printf256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
     break;
-  case 68:
+  case 69:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPDYr...
     printOperand(MI, 8, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 69:
-    // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
-    printi64mem(MI, 4, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 3, O); 
-    SStream_concat0(O, "}"); 
-    return;
-    break;
   case 70:
-    // VGATHERDPSZrm, VPGATHERDDZrm
-    printi32mem(MI, 4, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 3, O); 
-    SStream_concat0(O, "}"); 
-    return;
+    // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPADDQZrmbk, VPANDNQZrmbk...
+    printi64mem(MI, 4, O); 
     break;
   case 71:
-    // VMOVDQU32rmk, VMOVDQU64rmk, VPCONFLICTDrmk, VPCONFLICTQrmk, VPERMI2Drm...
-    printi512mem(MI, 3, O); 
-    SStream_concat0(O, ", "); 
+    // VGATHERDPSZrm, VPADDDZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPGATHERDDZrm, ...
+    printi32mem(MI, 4, O); 
     break;
   case 72:
-    // VPACKSSDWYrm, VPACKSSWBYrm, VPACKUSDWYrm, VPACKUSWBYrm, VPADDBYrm, VPA...
-    printi256mem(MI, 2, O); 
+    // VMOVDQA32Z128rmk, VMOVDQA64Z128rmk, VMOVDQU16Z128rmk, VMOVDQU32Z128rmk...
+    printi128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 73:
-    // VPADDDZrm, VPADDQZrm, VPANDDZrm, VPANDNDZrm, VPANDNQZrm, VPANDQZrm, VP...
-    printi512mem(MI, 2, O); 
+    // VMOVDQA32Z256rmk, VMOVDQA64Z256rmk, VMOVDQU16Z256rmk, VMOVDQU32Z256rmk...
+    printi256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     break;
   case 74:
-    // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
-    printi128mem(MI, 3, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
+    // VMOVDQA32Zrmk, VMOVDQA64Zrmk, VMOVDQU16Zrmk, VMOVDQU32Zrmk, VMOVDQU64Z...
+    printi512mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     break;
   case 75:
-    // VPCMOVrmY
-    printi256mem(MI, 3, O); 
+    // VMOVDQA32Zrmkz, VMOVDQA64Zrmkz, VMOVDQU16Zrmkz, VMOVDQU32Zrmkz, VMOVDQ...
+    printi512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 1, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 0, O); 
-    return;
     break;
   case 76:
-    // VPCONFLICTDrmbk
+    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCMPEQDZ128rmbk, VPCMPEQDZ...
     printi32mem(MI, 3, O); 
-    SStream_concat0(O, "{1to16}, "); 
-    printOperand(MI, 0, O); 
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 2, O); 
-    SStream_concat0(O, "}"); 
-    return;
     break;
   case 77:
-    // VPCONFLICTQrmbk
-    printi64mem(MI, 3, O); 
-    SStream_concat0(O, "{1to8}, "); 
+    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
+    printi512mem(MI, 4, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     SStream_concat0(O, " {"); 
     printOperand(MI, 2, O); 
-    SStream_concat0(O, "}"); 
-    return;
+    break;
+  case 78:
+    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCMPEQQZ128rmbk, VPCMPEQQZ...
+    printi64mem(MI, 3, O); 
     break;
   }
 
 
-  // Fragment 1 encoded into 7 bits for 66 unique commands.
+  // Fragment 1 encoded into 7 bits for 73 unique commands.
   //printf("Frag-1: %"PRIu64"\n", (Bits >> 21) & 127);
   switch ((Bits >> 21) & 127) {
   default:   // unreachable.
@@ -12967,6 +14550,7 @@
   case 1:
     // ADC16i16, ADD16i16, AND16i16, CMP16i16, IN16ri, LODSW, MOV16o16a, MOV1...
     SStream_concat0(O, ", %ax"); 
+	op_addReg(MI, X86_REG_AX);
     return;
     break;
   case 2:
@@ -12985,6 +14569,7 @@
   case 5:
     // ADC32i32, ADD32i32, AND32i32, CMP32i32, IN32ri, LODSL, MOV32o32a, MOV3...
     SStream_concat0(O, ", %eax"); 
+	op_addReg(MI, X86_REG_EAX);
     return;
     break;
   case 6:
@@ -12999,6 +14584,7 @@
   case 8:
     // ADC64i32, ADD64i32, AND64i32, CMP64i32, MOV64o64a, OR64i32, SBB64i32, ...
     SStream_concat0(O, ", %rax"); 
+	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 9:
@@ -13009,6 +14595,7 @@
   case 10:
     // ADC8i8, ADD8i8, AND8i8, CMP8i8, IN8ri, LODSB, MOV64o8a, MOV8o8a, MOV8o...
     SStream_concat0(O, ", %al"); 
+	op_addReg(MI, X86_REG_AL);
     return;
     break;
   case 11:
@@ -13042,6 +14629,7 @@
   case 17:
     // CMOVBE_F, CMOVB_F, CMOVE_F, CMOVNBE_F, CMOVNB_F, CMOVNE_F, CMOVNP_F, C...
     SStream_concat0(O, ", %st(0)"); 
+	op_addReg(MI, X86_REG_ST0);
     return;
     break;
   case 18:
@@ -13106,12 +14694,10 @@
   case 31:
     // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
     printf128mem(MI, 0, O); 
-    return;
     break;
   case 32:
-    // MOVDQAmr, MOVDQUmr, VMOVDQAmr, VMOVDQUmr, VPMOVDBmr, VPMOVQBmr, VPMOVQ...
+    // MOVDQAmr, MOVDQUmr, VMOVDQA32Z128mr, VMOVDQA32Z128mrk, VMOVDQA64Z128mr...
     printi128mem(MI, 0, O); 
-    return;
     break;
   case 33:
     // MOVHPDmr, MOVHPSmr, MOVLPDmr, MOVLPSmr, MOVNTSD, MOVSDmr, VMOVHPDmr, V...
@@ -13131,6 +14717,7 @@
   case 36:
     // OUTSB, OUTSL, OUTSW
     SStream_concat0(O, ", %dx"); 
+	op_addReg(MI, X86_REG_DX);
     return;
     break;
   case 37:
@@ -13146,12 +14733,14 @@
     return;
     break;
   case 39:
-    // VADDPDZrmb, VDIVPDZrmb, VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSUB132PD...
+    // VADDPDZrmb, VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmb, VDIVPDZrmbk, VDIVPD...
     SStream_concat0(O, "{1to8}, "); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     break;
   case 40:
-    // VADDPSZrmb, VDIVPSZrmb, VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSUB132PS...
+    // VADDPSZrmb, VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmb, VDIVPSZrmbk, VDIVPS...
     SStream_concat0(O, "{1to16}, "); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     break;
   case 41:
     // VALIGNDrmi, VALIGNQrmi, VPCMPDZrmi_alt, VPCMPQZrmi_alt, VPCMPUDZrmi_al...
@@ -13159,6 +14748,18 @@
     SStream_concat0(O, ", "); 
     break;
   case 42:
+    // VALIGNDrrik, VALIGNQrrik
+    printOperand(MI, 4, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 43:
     // VBLENDPDYrmi, VBLENDPSYrmi, VBLENDVPDYrm, VBLENDVPSYrm, VCMPPDYrmi_alt...
     printf256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13167,11 +14768,11 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 43:
+  case 44:
     // VCMPPDZrmi, VCMPPDZrri
     SStream_concat0(O, "pd \t"); 
     break;
-  case 44:
+  case 45:
     // VCMPPDZrmi_alt, VCMPPSZrmi_alt, VSHUFPDZrmi, VSHUFPSZrmi
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13180,9 +14781,10 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 45:
+  case 46:
     // VCMPPDZrrib
     SStream_concat0(O, "pd \t{sae}, "); 
+	op_addAvxSae(MI);
     printOperand(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -13190,13 +14792,14 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 46:
+  case 47:
     // VCMPPSZrmi, VCMPPSZrri
     SStream_concat0(O, "ps \t"); 
     break;
-  case 47:
+  case 48:
     // VCMPPSZrrib
     SStream_concat0(O, "ps \t{sae}, "); 
+	op_addAvxSae(MI);
     printOperand(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 1, O); 
@@ -13204,7 +14807,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 48:
+  case 49:
     // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPALIGNR256rm...
     printi256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13213,62 +14816,98 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 49:
+  case 50:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
     printi64mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 50:
+  case 51:
     // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
     printi32mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 51:
-    // VMOVAPDYmr, VMOVAPSYmr, VMOVNTDQYmr, VMOVNTPDYmr, VMOVNTPSYmr, VMOVUPD...
-    printf256mem(MI, 0, O); 
-    return;
-    break;
   case 52:
-    // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
-    printf512mem(MI, 0, O); 
+    // VGATHERPF0DPDm, VGATHERPF0DPSm, VGATHERPF0QPDm, VGATHERPF0QPSm, VGATHE...
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, "}"); 
     return;
     break;
   case 53:
-    // VMOVDQA32mr, VMOVDQA64mr, VMOVDQU32mr, VMOVDQU64mr
-    printi512mem(MI, 0, O); 
-    return;
+    // VMOVAPDYmr, VMOVAPDZ256mr, VMOVAPDZ256mrk, VMOVAPSYmr, VMOVAPSZ256mr, ...
+    printf256mem(MI, 0, O); 
     break;
   case 54:
-    // VMOVDQAYmr, VMOVDQUYmr, VPMOVDWmr, VPMOVQDmr, VPMOVSDWmr, VPMOVSQDmr, ...
-    printi256mem(MI, 0, O); 
-    return;
+    // VMOVAPDZmr, VMOVAPDZmrk, VMOVAPSZmr, VMOVAPSZmrk, VMOVNTPDZmr, VMOVNTP...
+    printf512mem(MI, 0, O); 
     break;
   case 55:
+    // VMOVDQA32Z256mr, VMOVDQA32Z256mrk, VMOVDQA64Z256mr, VMOVDQA64Z256mrk, ...
+    printi256mem(MI, 0, O); 
+    break;
+  case 56:
+    // VMOVDQA32Zmr, VMOVDQA32Zmrk, VMOVDQA64Zmr, VMOVDQA64Zmrk, VMOVDQU16Zmr...
+    printi512mem(MI, 0, O); 
+    break;
+  case 57:
+    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 58:
     // VPCMPDZrmi, VPCMPDZrri
     SStream_concat0(O, "d\t"); 
     break;
-  case 56:
+  case 59:
+    // VPCMPDZrmik_alt, VPCMPQZrmik_alt, VPCMPUDZrmik_alt, VPCMPUQZrmik_alt
+    printi512mem(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 1, O); 
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 60:
+    // VPCMPEQDZ128rmb, VPCMPEQDZ128rmbk, VPCMPEQQZ256rmb, VPCMPEQQZ256rmbk, ...
+    SStream_concat0(O, "{1to4}, "); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
+    break;
+  case 61:
+    // VPCMPEQQZ128rmb, VPCMPEQQZ128rmbk, VPCMPGTQZ128rmb, VPCMPGTQZ128rmbk
+    SStream_concat0(O, "{1to2}, "); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
+    break;
+  case 62:
     // VPCMPQZrmi, VPCMPQZrri
     SStream_concat0(O, "q\t"); 
     break;
-  case 57:
+  case 63:
     // VPCMPUDZrmi, VPCMPUDZrri
     SStream_concat0(O, "ud\t"); 
     break;
-  case 58:
+  case 64:
     // VPCMPUQZrmi, VPCMPUQZrri
     SStream_concat0(O, "uq\t"); 
     break;
-  case 59:
+  case 65:
+    // VPERMI2Drmkz, VPERMI2PDrmkz, VPERMI2PSrmkz, VPERMI2Qrmkz, VPERMT2Drmkz...
+    SStream_concat0(O, "} {z}"); 
+	op_addAvxZeroOpmask(MI);
+    return;
+    break;
+  case 66:
     // VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PSmr, VPERMIL2PSmrY
     printOperand(MI, 7, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 60:
+  case 67:
     // VPERMIL2PDrm, VPERMIL2PSrm
     printf128mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
@@ -13279,7 +14918,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 61:
+  case 68:
     // VPERMIL2PDrmY, VPERMIL2PSrmY
     printf256mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
@@ -13290,28 +14929,28 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 62:
+  case 69:
     // VPERMILPDYmi, VPERMILPSYmi, VROUNDYPDm, VROUNDYPSm
     printf256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 63:
+  case 70:
     // VPERMILPDZmi, VPERMILPSZmi, VPERMQZmi, VPSHUFDZmi, VPSLLDZmi, VPSLLQZm...
     printi512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 64:
+  case 71:
     // VPERMPDYmi, VPERMQYmi, VPSHUFDYmi, VPSHUFHWYmi, VPSHUFLWYmi
     printi256mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
-  case 65:
+  case 72:
     // VPERMPDZmi, VRNDSCALEPDZm, VRNDSCALEPSZm
     printf512mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
@@ -13321,7 +14960,7 @@
   }
 
 
-  // Fragment 2 encoded into 5 bits for 29 unique commands.
+  // Fragment 2 encoded into 5 bits for 30 unique commands.
   //printf("Frag-2: %"PRIu64"\n", (Bits >> 28) & 31);
   switch ((Bits >> 28) & 31) {
   default:   // unreachable.
@@ -13352,35 +14991,35 @@
     SStream_concat0(O, ", "); 
     break;
   case 6:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
-    return;
-    break;
-  case 7:
-    // CMPS32
-    printSrcIdx32(MI, 1, O); 
-    return;
-    break;
-  case 8:
-    // CMPS64
-    printSrcIdx64(MI, 1, O); 
-    return;
-    break;
-  case 9:
-    // CMPS8
+    // CMPSB
     printSrcIdx8(MI, 1, O); 
     return;
     break;
-  case 10:
+  case 7:
     // CMPSDrm, Int_CMPSDrm, Int_VCMPSDrm, VCMPSDZrm, VCMPSDrm
     printf64mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 11:
+  case 8:
+    // CMPSL
+    printSrcIdx32(MI, 1, O); 
+    return;
+    break;
+  case 9:
+    // CMPSQ
+    printSrcIdx64(MI, 1, O); 
+    return;
+    break;
+  case 10:
     // CMPSSrm, Int_CMPSSrm, Int_VCMPSSrm, VCMPSSZrm, VCMPSSrm
     printf32mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
+  case 11:
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
+    return;
+    break;
   case 12:
     // EXTRACTPSmr, VEXTRACTPSmr, VEXTRACTPSzmr
     printf32mem(MI, 0, O); 
@@ -13423,6 +15062,10 @@
     return;
     break;
   case 19:
+    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VFMADD213PDZrk, VFMADD213PDZrk...
+    SStream_concat0(O, " {"); 
+    break;
+  case 20:
     // VCMPPDYrmi, VCMPPSYrmi, VPERMIL2PDmrY, VPERMIL2PSmrY
     printf256mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13431,7 +15074,7 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 20:
+  case 21:
     // VCMPPDZrmi, VCMPPSZrmi
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13440,36 +15083,42 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 21:
+  case 22:
     // VCVTPS2PHYmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VMASKMOVPDmr, VMASKMOVP...
     printf128mem(MI, 0, O); 
     return;
     break;
-  case 22:
+  case 23:
     // VCVTPS2PHZmr, VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr
     printf256mem(MI, 0, O); 
     return;
     break;
-  case 23:
+  case 24:
     // VCVTPS2PHmr
     printf64mem(MI, 0, O); 
     return;
     break;
-  case 24:
+  case 25:
     // VEXTRACTI128mr, VEXTRACTI32x4mr, VPMASKMOVDmr, VPMASKMOVQmr
     printi128mem(MI, 0, O); 
     return;
     break;
-  case 25:
+  case 26:
     // VEXTRACTI64x4mr, VPMASKMOVDYmr, VPMASKMOVQYmr
     printi256mem(MI, 0, O); 
     return;
     break;
-  case 26:
-    // VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMOVAPSZrrk, VMOVDQU32rmk, VMOV...
-    SStream_concat0(O, " {"); 
-    break;
   case 27:
+    // VPADDDZrmbk, VPADDQZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPANDNQZrmbk, VPA...
+    printOperand(MI, 3, O); 
+    SStream_concat0(O, ", "); 
+    printOperand(MI, 0, O); 
+    SStream_concat0(O, " {"); 
+    printOperand(MI, 2, O); 
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 28:
     // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
     printi512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -13478,15 +15127,15 @@
     printOperand(MI, 0, O); 
     return;
     break;
-  case 28:
-    // VPCONFLICTDrr, VPCONFLICTQrr
+  case 29:
+    // VPCONFLICTDrr, VPCONFLICTQrr, VPLZCNTDrr, VPLZCNTQrr
     SStream_concat0(O, " "); 
     return;
     break;
   }
 
 
-  // Fragment 3 encoded into 3 bits for 7 unique commands.
+  // Fragment 3 encoded into 3 bits for 8 unique commands.
   //printf("Frag-3: %"PRIu64"\n", (Bits >> 33) & 7);
   switch ((Bits >> 33) & 7) {
   default:   // unreachable.
@@ -13507,17 +15156,20 @@
     printOperand(MI, 1, O); 
     break;
   case 4:
-    // VMOVAPDZrmk, VMOVAPDZrrk, VMOVAPSZrmk, VMOVAPSZrrk, VMOVDQU32rmk, VMOV...
+    // VFMADD213PDZrk, VFMADD213PDZrkz, VFMADD213PSZrk, VFMADD213PSZrkz, VFMA...
     printOperand(MI, 2, O); 
+    break;
+  case 5:
+    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPABSDZrmb...
+    SStream_concat0(O, " {"); 
+    break;
+  case 6:
+    // VMOVAPDZ128mrk, VMOVAPDZ256mrk, VMOVAPDZmrk, VMOVAPSZ128mrk, VMOVAPSZ2...
+    printOperand(MI, 5, O); 
     SStream_concat0(O, "}"); 
     return;
     break;
-  case 5:
-    // VPBROADCASTDZkrm, VPBROADCASTQZkrm, VPCONFLICTDrmbkz, VPCONFLICTQrmbkz...
-    SStream_concat0(O, " {"); 
-    printOperand(MI, 1, O); 
-    break;
-  case 6:
+  case 7:
     // VPSCATTERDDZmr, VPSCATTERDQZmr, VPSCATTERQDZmr, VPSCATTERQQZmr, VSCATT...
     printOperand(MI, 6, O); 
     SStream_concat0(O, "}"); 
@@ -13526,9 +15178,9 @@
   }
 
 
-  // Fragment 4 encoded into 3 bits for 8 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 36) & 7);
-  switch ((Bits >> 36) & 7) {
+  // Fragment 4 encoded into 4 bits for 11 unique commands.
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 36) & 15);
+  switch ((Bits >> 36) & 15) {
   default:   // unreachable.
   case 0:
     // AESKEYGENASSIST128rr, ANDN32rr, ANDN64rr, BEXTR32rm, BEXTR32rr, BEXTR6...
@@ -13546,32 +15198,73 @@
     return;
     break;
   case 3:
-    // VASTART_SAVE_XMM_REGS
-    printOperand(MI, 2, O); 
-    return;
-    break;
-  case 4:
-    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VPBLENDMDZrm, ...
+    // VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZrrk, VADDPD...
     SStream_concat0(O, " {"); 
     printOperand(MI, 1, O); 
-    SStream_concat0(O, "}"); 
-    return;
+    break;
+  case 4:
+    // VASTART_SAVE_XMM_REGS, VPCONFLICTDrmbk, VPCONFLICTQrmbk, VPLZCNTDrmbk,...
+    printOperand(MI, 2, O); 
     break;
   case 5:
-    // VMOVDQU32rrkz, VMOVDQU64rrkz
-    SStream_concat0(O, "}  {z}"); 
+    // VBROADCASTI32X4krm, VBROADCASTI64X4krm, VFMADD213PDZrkz, VFMADD213PSZr...
+    SStream_concat0(O, "} {z}"); 
+	op_addAvxZeroOpmask(MI);
     return;
     break;
   case 6:
-    // VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBROADCASTDrZkrr, VPBROADCASTQZkr...
-    SStream_concat0(O, "} {z}"); 
+    // VFMADD213PDZrk, VFMADD213PSZrk, VFMADDSUB213PDZrk, VFMADDSUB213PSZrk, ...
+    SStream_concat0(O, "}"); 
     return;
     break;
   case 7:
-    // VPSLLDZmik, VPSLLDZrmk, VPSLLQZmik, VPSLLQZrmk, VPSRADZmik, VPSRADZrmk...
+    // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
+    printOperand(MI, 3, O); 
     SStream_concat0(O, "}"); 
     return;
     break;
+  case 8:
+    // VPABSDZrmbk, VPABSDZrmbkz, VPABSQZrmbk, VPABSQZrmbkz, VPBROADCASTDZkrm...
+    printOperand(MI, 1, O); 
+    break;
+  case 9:
+    // VPERMI2Drrkz, VPERMI2PDrrkz, VPERMI2PSrrkz, VPERMI2Qrrkz, VPERMT2Drrkz...
+    SStream_concat0(O, "} {z} "); 
+	op_addAvxZeroOpmask(MI);
+    return;
+    break;
+  case 10:
+    // VPMOVSXBDZrmk, VPMOVSXBDZrrk, VPMOVSXBQZrmk, VPMOVSXBQZrrk, VPMOVSXDQZ...
+    SStream_concat0(O, "} "); 
+    return;
+    break;
+  }
+
+
+  // Fragment 5 encoded into 2 bits for 4 unique commands.
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 3);
+  switch ((Bits >> 40) & 3) {
+  default:   // unreachable.
+  case 0:
+    // VADDPDZrmbk, VADDPDZrmk, VADDPSZrmbk, VADDPSZrmk, VBLENDMPDZrm, VBLEND...
+    SStream_concat0(O, "}"); 
+    return;
+    break;
+  case 1:
+    // VADDPDZrmbkz, VADDPDZrmkz, VADDPDZrrkz, VADDPSZrmbkz, VADDPSZrmkz, VAD...
+    SStream_concat0(O, "} {z}"); 
+	op_addAvxZeroOpmask(MI);
+    return;
+    break;
+  case 2:
+    // VADDPDZrrk, VADDPSZrrk, VDIVPDZrrk, VDIVPSZrrk, VMAXPDZrrk, VMAXPSZrrk...
+    SStream_concat0(O, "} "); 
+    return;
+    break;
+  case 3:
+    // VASTART_SAVE_XMM_REGS
+    return;
+    break;
   }
 }
 
@@ -13581,7 +15274,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -13706,111 +15399,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -13826,14 +15520,28 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printf64mem(MI, OpIdx, OS);
+    break;
+  }
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13842,7 +15550,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13851,30 +15559,114 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_CVTSD2SI64rm:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0)) {
+      // (CVTSD2SI64rm GR64:$dst, sdmem:$src)
+      AsmString = "cvtsd2siq	$\xFF\x02\x01, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenAsmWriter1.inc b/arch/X86/X86GenAsmWriter1.inc
index 9daf670..42d231c 100644
--- a/arch/X86/X86GenAsmWriter1.inc
+++ b/arch/X86/X86GenAsmWriter1.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,130 +25,131 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    11697U,	// DBG_VALUE
+    11109U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    11690U,	// BUNDLE
-    11762U,	// LIFETIME_START
-    11677U,	// LIFETIME_END
+    11102U,	// BUNDLE
+    11174U,	// LIFETIME_START
+    11089U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    11777U,	// AAA
-    20092U,	// AAD8i8
-    22474U,	// AAM8i8
-    12458U,	// AAS
-    12466U,	// ABS_F
+    0U,	// LOAD_STACK_GUARD
+    11189U,	// AAA
+    20256U,	// AAD8i8
+    22638U,	// AAM8i8
+    11901U,	// AAS
+    11909U,	// ABS_F
     0U,	// ABS_Fp32
     0U,	// ABS_Fp64
     0U,	// ABS_Fp80
-    11353U,	// ACQUIRE_MOV16rm
-    11353U,	// ACQUIRE_MOV32rm
-    11353U,	// ACQUIRE_MOV64rm
-    11353U,	// ACQUIRE_MOV8rm
-    26074U,	// ADC16i16
-    1084988U,	// ADC16mi
-    1084988U,	// ADC16mi8
-    1084988U,	// ADC16mr
-    35704380U,	// ADC16ri
-    35704380U,	// ADC16ri8
-    3198524U,	// ADC16rm
-    35704380U,	// ADC16rr
-    68177468U,	// ADC16rr_REV
-    26210U,	// ADC32i32
-    1117756U,	// ADC32mi
-    1117756U,	// ADC32mi8
-    1117756U,	// ADC32mr
-    35704380U,	// ADC32ri
-    35704380U,	// ADC32ri8
-    4247100U,	// ADC32rm
-    35704380U,	// ADC32rr
-    68177468U,	// ADC32rr_REV
-    26358U,	// ADC64i32
-    1134140U,	// ADC64mi32
-    1134140U,	// ADC64mi8
-    1134140U,	// ADC64mr
-    35704380U,	// ADC64ri32
-    35704380U,	// ADC64ri8
-    5295676U,	// ADC64rm
-    35704380U,	// ADC64rr
-    68177468U,	// ADC64rr_REV
-    25972U,	// ADC8i8
-    1150524U,	// ADC8mi
-    1150524U,	// ADC8mr
-    35704380U,	// ADC8ri
-    6344252U,	// ADC8rm
-    35704380U,	// ADC8rr
-    68177468U,	// ADC8rr_REV
-    101737565U,	// ADCX32rm
-    135291997U,	// ADCX32rr
-    168846429U,	// ADCX64rm
-    135291997U,	// ADCX64rr
-    26083U,	// ADD16i16
-    1085143U,	// ADD16mi
-    1085143U,	// ADD16mi8
-    1085143U,	// ADD16mr
-    35704535U,	// ADD16ri
-    35704535U,	// ADD16ri8
+    10765U,	// ACQUIRE_MOV16rm
+    10765U,	// ACQUIRE_MOV32rm
+    10765U,	// ACQUIRE_MOV64rm
+    10765U,	// ACQUIRE_MOV8rm
+    26238U,	// ADC16i16
+    1085152U,	// ADC16mi
+    1085152U,	// ADC16mi8
+    1085152U,	// ADC16mr
+    35704544U,	// ADC16ri
+    35704544U,	// ADC16ri8
+    3198688U,	// ADC16rm
+    35704544U,	// ADC16rr
+    68177632U,	// ADC16rr_REV
+    26374U,	// ADC32i32
+    1117920U,	// ADC32mi
+    1117920U,	// ADC32mi8
+    1117920U,	// ADC32mr
+    35704544U,	// ADC32ri
+    35704544U,	// ADC32ri8
+    4247264U,	// ADC32rm
+    35704544U,	// ADC32rr
+    68177632U,	// ADC32rr_REV
+    26522U,	// ADC64i32
+    1134304U,	// ADC64mi32
+    1134304U,	// ADC64mi8
+    1134304U,	// ADC64mr
+    35704544U,	// ADC64ri32
+    35704544U,	// ADC64ri8
+    5295840U,	// ADC64rm
+    35704544U,	// ADC64rr
+    68177632U,	// ADC64rr_REV
+    26136U,	// ADC8i8
+    1150688U,	// ADC8mi
+    1150688U,	// ADC8mr
+    35704544U,	// ADC8ri
+    6344416U,	// ADC8rm
+    35704544U,	// ADC8rr
+    68177632U,	// ADC8rr_REV
+    101737729U,	// ADCX32rm
+    68183297U,	// ADCX32rr
+    135292161U,	// ADCX64rm
+    68183297U,	// ADCX64rr
+    26247U,	// ADD16i16
+    1085307U,	// ADD16mi
+    1085307U,	// ADD16mi8
+    1085307U,	// ADD16mr
+    35704699U,	// ADD16ri
+    35704699U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    3198679U,	// ADD16rm
-    35704535U,	// ADD16rr
+    3198843U,	// ADD16rm
+    35704699U,	// ADD16rr
     0U,	// ADD16rr_DB
-    68177623U,	// ADD16rr_REV
-    26220U,	// ADD32i32
-    1117911U,	// ADD32mi
-    1117911U,	// ADD32mi8
-    1117911U,	// ADD32mr
-    35704535U,	// ADD32ri
-    35704535U,	// ADD32ri8
+    68177787U,	// ADD16rr_REV
+    26384U,	// ADD32i32
+    1118075U,	// ADD32mi
+    1118075U,	// ADD32mi8
+    1118075U,	// ADD32mr
+    35704699U,	// ADD32ri
+    35704699U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    4247255U,	// ADD32rm
-    35704535U,	// ADD32rr
+    4247419U,	// ADD32rm
+    35704699U,	// ADD32rr
     0U,	// ADD32rr_DB
-    68177623U,	// ADD32rr_REV
-    26368U,	// ADD64i32
-    1134295U,	// ADD64mi32
-    1134295U,	// ADD64mi8
-    1134295U,	// ADD64mr
-    35704535U,	// ADD64ri32
+    68177787U,	// ADD32rr_REV
+    26532U,	// ADD64i32
+    1134459U,	// ADD64mi32
+    1134459U,	// ADD64mi8
+    1134459U,	// ADD64mr
+    35704699U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    35704535U,	// ADD64ri8
+    35704699U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    5295831U,	// ADD64rm
-    35704535U,	// ADD64rr
+    5295995U,	// ADD64rm
+    35704699U,	// ADD64rr
     0U,	// ADD64rr_DB
-    68177623U,	// ADD64rr_REV
-    25981U,	// ADD8i8
-    1150679U,	// ADD8mi
-    1150679U,	// ADD8mr
-    35704535U,	// ADD8ri
-    35704535U,	// ADD8ri8
-    6344407U,	// ADD8rm
-    35704535U,	// ADD8rr
-    68177623U,	// ADD8rr_REV
-    202395971U,	// ADDPDrm
-    68178243U,	// ADDPDrr
-    202399189U,	// ADDPSrm
-    68181461U,	// ADDPSrr
-    235951010U,	// ADDSDrm
-    235951010U,	// ADDSDrm_Int
-    68178850U,	// ADDSDrr
-    68178850U,	// ADDSDrr_Int
-    269508659U,	// ADDSSrm
-    269508659U,	// ADDSSrm_Int
-    68182067U,	// ADDSSrr
-    68182067U,	// ADDSSrr_Int
-    202395906U,	// ADDSUBPDrm
-    68178178U,	// ADDSUBPDrr
-    202399124U,	// ADDSUBPSrm
-    68181396U,	// ADDSUBPSrr
-    118486U,	// ADD_F32m
-    134870U,	// ADD_F64m
-    36572U,	// ADD_FI16m
-    69340U,	// ADD_FI32m
-    22619U,	// ADD_FPrST0
-    20182U,	// ADD_FST0r
+    68177787U,	// ADD64rr_REV
+    26145U,	// ADD8i8
+    1150843U,	// ADD8mi
+    1150843U,	// ADD8mr
+    35704699U,	// ADD8ri
+    35704699U,	// ADD8ri8
+    6344571U,	// ADD8rm
+    35704699U,	// ADD8rr
+    68177787U,	// ADD8rr_REV
+    168841703U,	// ADDPDrm
+    68178407U,	// ADDPDrr
+    168844921U,	// ADDPSrm
+    68181625U,	// ADDPSrr
+    202396742U,	// ADDSDrm
+    202396742U,	// ADDSDrm_Int
+    68179014U,	// ADDSDrr
+    68179014U,	// ADDSDrr_Int
+    235954391U,	// ADDSSrm
+    235954391U,	// ADDSSrm_Int
+    68182231U,	// ADDSSrr
+    68182231U,	// ADDSSrr_Int
+    168841638U,	// ADDSUBPDrm
+    68178342U,	// ADDSUBPDrr
+    168844856U,	// ADDSUBPSrm
+    68181560U,	// ADDSUBPSrr
+    118650U,	// ADD_F32m
+    135034U,	// ADD_F64m
+    36736U,	// ADD_FI16m
+    69504U,	// ADD_FI32m
+    22783U,	// ADD_FPrST0
+    20346U,	// ADD_FST0r
     0U,	// ADD_Fp32
     0U,	// ADD_Fp32m
     0U,	// ADD_Fp64
@@ -163,586 +164,542 @@
     0U,	// ADD_FpI32m32
     0U,	// ADD_FpI32m64
     0U,	// ADD_FpI32m80
-    7360214U,	// ADD_FrST0
-    11716U,	// ADJCALLSTACKDOWN32
-    11716U,	// ADJCALLSTACKDOWN64
-    11734U,	// ADJCALLSTACKUP32
-    11734U,	// ADJCALLSTACKUP64
-    101737583U,	// ADOX32rm
-    135292015U,	// ADOX32rr
-    168846447U,	// ADOX64rm
-    135292015U,	// ADOX64rr
-    303063393U,	// AESDECLASTrm
-    68182369U,	// AESDECLASTrr
-    303058498U,	// AESDECrm
-    68177474U,	// AESDECrr
-    303063406U,	// AESENCLASTrm
-    68182382U,	// AESENCLASTrr
-    303058538U,	// AESENCrm
-    68177514U,	// AESENCrr
-    336612961U,	// AESIMCrm
-    135286369U,	// AESIMCrr
-    2484101518U,	// AESKEYGENASSIST128rm
-    2282774926U,	// AESKEYGENASSIST128rr
-    26092U,	// AND16i16
-    1085347U,	// AND16mi
-    1085347U,	// AND16mi8
-    1085347U,	// AND16mr
-    35704739U,	// AND16ri
-    35704739U,	// AND16ri8
-    3198883U,	// AND16rm
-    35704739U,	// AND16rr
-    68177827U,	// AND16rr_REV
-    26230U,	// AND32i32
-    1118115U,	// AND32mi
-    1118115U,	// AND32mi8
-    1118115U,	// AND32mr
-    35704739U,	// AND32ri
-    35704739U,	// AND32ri8
-    4247459U,	// AND32rm
-    35704739U,	// AND32rr
-    68177827U,	// AND32rr_REV
-    26378U,	// AND64i32
-    1134499U,	// AND64mi32
-    1134499U,	// AND64mi8
-    1134499U,	// AND64mr
-    35704739U,	// AND64ri32
-    35704739U,	// AND64ri8
-    5296035U,	// AND64rm
-    35704739U,	// AND64rr
-    68177827U,	// AND64rr_REV
-    25990U,	// AND8i8
-    1150883U,	// AND8mi
-    1150883U,	// AND8mr
-    35704739U,	// AND8ri
-    35704739U,	// AND8ri8
-    6344611U,	// AND8rm
-    35704739U,	// AND8rr
-    68177827U,	// AND8rr_REV
-    2282772485U,	// ANDN32rm
-    2282772485U,	// ANDN32rr
-    2282772485U,	// ANDN64rm
-    2282772485U,	// ANDN64rr
-    202396153U,	// ANDNPDrm
-    68178425U,	// ANDNPDrr
-    202399383U,	// ANDNPSrm
-    68181655U,	// ANDNPSrr
-    202396017U,	// ANDPDrm
-    68178289U,	// ANDPDrr
-    202399235U,	// ANDPSrm
-    68181507U,	// ANDPSrr
-    1087373U,	// ARPL16mr
-    135288717U,	// ARPL16rr
-    10255U,	// ATOMADD6432
-    11035U,	// ATOMAND16
-    10468U,	// ATOMAND32
-    10722U,	// ATOMAND64
-    10276U,	// ATOMAND6432
-    11189U,	// ATOMAND8
-    11150U,	// ATOMMAX16
-    10683U,	// ATOMMAX32
-    10996U,	// ATOMMAX64
-    10425U,	// ATOMMAX6432
-    11316U,	// ATOMMAX8
-    11074U,	// ATOMMIN16
-    10568U,	// ATOMMIN32
-    10881U,	// ATOMMIN64
-    10319U,	// ATOMMIN6432
-    11226U,	// ATOMMIN8
-    11054U,	// ATOMNAND16
-    10487U,	// ATOMNAND32
-    10741U,	// ATOMNAND64
-    10297U,	// ATOMNAND6432
-    11207U,	// ATOMNAND8
-    11113U,	// ATOMOR16
-    10646U,	// ATOMOR32
-    10959U,	// ATOMOR64
-    10384U,	// ATOMOR6432
-    11281U,	// ATOMOR8
-    10234U,	// ATOMSUB6432
-    10362U,	// ATOMSWAP6432
-    11169U,	// ATOMUMAX16
-    10702U,	// ATOMUMAX32
-    11015U,	// ATOMUMAX64
-    10446U,	// ATOMUMAX6432
-    11334U,	// ATOMUMAX8
-    11093U,	// ATOMUMIN16
-    10587U,	// ATOMUMIN32
-    10900U,	// ATOMUMIN64
-    10340U,	// ATOMUMIN6432
-    11244U,	// ATOMUMIN8
-    11131U,	// ATOMXOR16
-    10664U,	// ATOMXOR32
-    10977U,	// ATOMXOR64
-    10404U,	// ATOMXOR6432
-    11298U,	// ATOMXOR8
+    7360378U,	// ADD_FrST0
+    11128U,	// ADJCALLSTACKDOWN32
+    11128U,	// ADJCALLSTACKDOWN64
+    11146U,	// ADJCALLSTACKUP32
+    11146U,	// ADJCALLSTACKUP64
+    269509907U,	// ADOX32rm
+    303064339U,	// ADOX32rr
+    336618771U,	// ADOX64rm
+    303064339U,	// ADOX64rr
+    370172421U,	// AESDECLASTrm
+    68182533U,	// AESDECLASTrr
+    370167526U,	// AESDECrm
+    68177638U,	// AESDECrr
+    370172434U,	// AESENCLASTrm
+    68182546U,	// AESENCLASTrr
+    370167566U,	// AESENCrm
+    68177678U,	// AESENCrr
+    403721989U,	// AESIMCrm
+    303058693U,	// AESIMCrr
+    2551210546U,	// AESKEYGENASSIST128rm
+    2450547250U,	// AESKEYGENASSIST128rr
+    26256U,	// AND16i16
+    1085511U,	// AND16mi
+    1085511U,	// AND16mi8
+    1085511U,	// AND16mr
+    35704903U,	// AND16ri
+    35704903U,	// AND16ri8
+    3199047U,	// AND16rm
+    35704903U,	// AND16rr
+    68177991U,	// AND16rr_REV
+    26394U,	// AND32i32
+    1118279U,	// AND32mi
+    1118279U,	// AND32mi8
+    1118279U,	// AND32mr
+    35704903U,	// AND32ri
+    35704903U,	// AND32ri8
+    4247623U,	// AND32rm
+    35704903U,	// AND32rr
+    68177991U,	// AND32rr_REV
+    26542U,	// AND64i32
+    1134663U,	// AND64mi32
+    1134663U,	// AND64mi8
+    1134663U,	// AND64mr
+    35704903U,	// AND64ri32
+    35704903U,	// AND64ri8
+    5296199U,	// AND64rm
+    35704903U,	// AND64rr
+    68177991U,	// AND64rr_REV
+    26154U,	// AND8i8
+    1151047U,	// AND8mi
+    1151047U,	// AND8mr
+    35704903U,	// AND8ri
+    35704903U,	// AND8ri8
+    6344775U,	// AND8rm
+    35704903U,	// AND8rr
+    68177991U,	// AND8rr_REV
+    2450544809U,	// ANDN32rm
+    2450544809U,	// ANDN32rr
+    2450544809U,	// ANDN64rm
+    2450544809U,	// ANDN64rr
+    168841885U,	// ANDNPDrm
+    68178589U,	// ANDNPDrr
+    168845115U,	// ANDNPSrm
+    68181819U,	// ANDNPSrr
+    168841749U,	// ANDPDrm
+    68178453U,	// ANDPDrr
+    168844967U,	// ANDPSrm
+    68181671U,	// ANDPSrr
+    1087537U,	// ARPL16mr
+    303061041U,	// ARPL16rr
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
-    2249219071U,	// BEXTR32rm
-    2282773503U,	// BEXTR32rr
-    2316327935U,	// BEXTR64rm
-    2282773503U,	// BEXTR64rr
-    2249219071U,	// BEXTRI32mi
-    2282773503U,	// BEXTRI32ri
-    2316327935U,	// BEXTRI64mi
-    2282773503U,	// BEXTRI64ri
-    101734262U,	// BLCFILL32rm
-    135288694U,	// BLCFILL32rr
-    168843126U,	// BLCFILL64rm
-    135288694U,	// BLCFILL64rr
-    101734043U,	// BLCI32rm
-    135288475U,	// BLCI32rr
-    168842907U,	// BLCI64rm
-    135288475U,	// BLCI64rr
-    101731914U,	// BLCIC32rm
-    135286346U,	// BLCIC32rr
-    168840778U,	// BLCIC64rm
-    135286346U,	// BLCIC64rr
-    101734213U,	// BLCMSK32rm
-    135288645U,	// BLCMSK32rr
-    168843077U,	// BLCMSK64rm
-    135288645U,	// BLCMSK64rr
-    101735453U,	// BLCS32rm
-    135289885U,	// BLCS32rr
-    168844317U,	// BLCS64rm
-    135289885U,	// BLCS64rr
-    2349879673U,	// BLENDPDrmi
-    2215661945U,	// BLENDPDrri
-    2349882891U,	// BLENDPSrmi
-    2215665163U,	// BLENDPSrri
-    202396249U,	// BLENDVPDrm0
-    68178521U,	// BLENDVPDrr0
-    202399520U,	// BLENDVPSrm0
-    68181792U,	// BLENDVPSrr0
-    101734271U,	// BLSFILL32rm
-    135288703U,	// BLSFILL32rr
-    168843135U,	// BLSFILL64rm
-    135288703U,	// BLSFILL64rr
-    101734199U,	// BLSI32rm
-    135288631U,	// BLSI32rr
-    168843063U,	// BLSI64rm
-    135288631U,	// BLSI64rr
-    101731921U,	// BLSIC32rm
-    135286353U,	// BLSIC32rr
-    168840785U,	// BLSIC64rm
-    135286353U,	// BLSIC64rr
-    101734221U,	// BLSMSK32rm
-    135288653U,	// BLSMSK32rr
-    168843085U,	// BLSMSK64rm
-    135288653U,	// BLSMSK64rr
-    101735402U,	// BLSR32rm
-    135289834U,	// BLSR32rr
-    168844266U,	// BLSR64rm
-    135289834U,	// BLSR64rr
-    370167737U,	// BOUNDS16rm
-    101732281U,	// BOUNDS32rm
-    370169384U,	// BSF16rm
-    135288360U,	// BSF16rr
-    101733928U,	// BSF32rm
-    135288360U,	// BSF32rr
-    168842792U,	// BSF64rm
-    135288360U,	// BSF64rr
-    370170833U,	// BSR16rm
-    135289809U,	// BSR16rr
-    101735377U,	// BSR32rm
-    135289809U,	// BSR32rr
-    168844241U,	// BSR64rm
-    135289809U,	// BSR64rr
-    22598U,	// BSWAP32r
-    22598U,	// BSWAP64r
-    1089767U,	// BT16mi8
-    1089767U,	// BT16mr
-    135291111U,	// BT16ri8
-    135291111U,	// BT16rr
-    1122535U,	// BT32mi8
-    1122535U,	// BT32mr
-    135291111U,	// BT32ri8
-    135291111U,	// BT32rr
-    1138919U,	// BT64mi8
-    1138919U,	// BT64mr
-    135291111U,	// BT64ri8
-    135291111U,	// BT64rr
-    1085047U,	// BTC16mi8
-    1085047U,	// BTC16mr
-    135286391U,	// BTC16ri8
-    135286391U,	// BTC16rr
-    1117815U,	// BTC32mi8
-    1117815U,	// BTC32mr
-    135286391U,	// BTC32ri8
-    135286391U,	// BTC32rr
-    1134199U,	// BTC64mi8
-    1134199U,	// BTC64mr
-    135286391U,	// BTC64ri8
-    135286391U,	// BTC64rr
-    1088496U,	// BTR16mi8
-    1088496U,	// BTR16mr
-    135289840U,	// BTR16ri8
-    135289840U,	// BTR16rr
-    1121264U,	// BTR32mi8
-    1121264U,	// BTR32mr
-    135289840U,	// BTR32ri8
-    135289840U,	// BTR32rr
-    1137648U,	// BTR64mi8
-    1137648U,	// BTR64mr
-    135289840U,	// BTR64ri8
-    135289840U,	// BTR64rr
-    1089749U,	// BTS16mi8
-    1089749U,	// BTS16mr
-    135291093U,	// BTS16ri8
-    135291093U,	// BTS16rr
-    1122517U,	// BTS32mi8
-    1122517U,	// BTS32mr
-    135291093U,	// BTS32ri8
-    135291093U,	// BTS32rr
-    1138901U,	// BTS64mi8
-    1138901U,	// BTS64mr
-    135291093U,	// BTS64ri8
-    135291093U,	// BTS64rr
-    2249217697U,	// BZHI32rm
-    2282772129U,	// BZHI32rr
-    2316326561U,	// BZHI64rm
-    2282772129U,	// BZHI64rr
-    38768U,	// CALL16m
-    22384U,	// CALL16r
-    71536U,	// CALL32m
-    22384U,	// CALL32r
-    87920U,	// CALL64m
-    153456U,	// CALL64pcrel32
-    22384U,	// CALL64r
-    153456U,	// CALLpcrel16
-    153456U,	// CALLpcrel32
-    12739U,	// CBW
-    12359U,	// CDQ
-    12033U,	// CDQE
-    12546U,	// CHS_F
+    2416991395U,	// BEXTR32rm
+    2450545827U,	// BEXTR32rr
+    2484100259U,	// BEXTR64rm
+    2450545827U,	// BEXTR64rr
+    2416991395U,	// BEXTRI32mi
+    2450545827U,	// BEXTRI32ri
+    2484100259U,	// BEXTRI64mi
+    2450545827U,	// BEXTRI64ri
+    269506586U,	// BLCFILL32rm
+    303061018U,	// BLCFILL32rr
+    336615450U,	// BLCFILL64rm
+    303061018U,	// BLCFILL64rr
+    269506367U,	// BLCI32rm
+    303060799U,	// BLCI32rr
+    336615231U,	// BLCI64rm
+    303060799U,	// BLCI64rr
+    269504238U,	// BLCIC32rm
+    303058670U,	// BLCIC32rr
+    336613102U,	// BLCIC64rm
+    303058670U,	// BLCIC64rr
+    269506537U,	// BLCMSK32rm
+    303060969U,	// BLCMSK32rr
+    336615401U,	// BLCMSK64rm
+    303060969U,	// BLCMSK64rr
+    269507777U,	// BLCS32rm
+    303062209U,	// BLCS32rr
+    336616641U,	// BLCS64rm
+    303062209U,	// BLCS64rr
+    2316325405U,	// BLENDPDrmi
+    2215662109U,	// BLENDPDrri
+    2316328623U,	// BLENDPSrmi
+    2215665327U,	// BLENDPSrri
+    168841981U,	// BLENDVPDrm0
+    68178685U,	// BLENDVPDrr0
+    168845252U,	// BLENDVPSrm0
+    68181956U,	// BLENDVPSrr0
+    269506595U,	// BLSFILL32rm
+    303061027U,	// BLSFILL32rr
+    336615459U,	// BLSFILL64rm
+    303061027U,	// BLSFILL64rr
+    269506523U,	// BLSI32rm
+    303060955U,	// BLSI32rr
+    336615387U,	// BLSI64rm
+    303060955U,	// BLSI64rr
+    269504245U,	// BLSIC32rm
+    303058677U,	// BLSIC32rr
+    336613109U,	// BLSIC64rm
+    303058677U,	// BLSIC64rr
+    269506545U,	// BLSMSK32rm
+    303060977U,	// BLSMSK32rr
+    336615409U,	// BLSMSK64rm
+    303060977U,	// BLSMSK64rr
+    269507726U,	// BLSR32rm
+    303062158U,	// BLSR32rr
+    336616590U,	// BLSR64rm
+    303062158U,	// BLSR64rr
+    437276765U,	// BOUNDS16rm
+    269504605U,	// BOUNDS32rm
+    437278412U,	// BSF16rm
+    303060684U,	// BSF16rr
+    269506252U,	// BSF32rm
+    303060684U,	// BSF32rr
+    336615116U,	// BSF64rm
+    303060684U,	// BSF64rr
+    437279861U,	// BSR16rm
+    303062133U,	// BSR16rr
+    269507701U,	// BSR32rm
+    303062133U,	// BSR32rr
+    336616565U,	// BSR64rm
+    303062133U,	// BSR64rr
+    22762U,	// BSWAP32r
+    22762U,	// BSWAP64r
+    1089931U,	// BT16mi8
+    1089931U,	// BT16mr
+    303063435U,	// BT16ri8
+    303063435U,	// BT16rr
+    1122699U,	// BT32mi8
+    1122699U,	// BT32mr
+    303063435U,	// BT32ri8
+    303063435U,	// BT32rr
+    1139083U,	// BT64mi8
+    1139083U,	// BT64mr
+    303063435U,	// BT64ri8
+    303063435U,	// BT64rr
+    1085211U,	// BTC16mi8
+    1085211U,	// BTC16mr
+    303058715U,	// BTC16ri8
+    303058715U,	// BTC16rr
+    1117979U,	// BTC32mi8
+    1117979U,	// BTC32mr
+    303058715U,	// BTC32ri8
+    303058715U,	// BTC32rr
+    1134363U,	// BTC64mi8
+    1134363U,	// BTC64mr
+    303058715U,	// BTC64ri8
+    303058715U,	// BTC64rr
+    1088660U,	// BTR16mi8
+    1088660U,	// BTR16mr
+    303062164U,	// BTR16ri8
+    303062164U,	// BTR16rr
+    1121428U,	// BTR32mi8
+    1121428U,	// BTR32mr
+    303062164U,	// BTR32ri8
+    303062164U,	// BTR32rr
+    1137812U,	// BTR64mi8
+    1137812U,	// BTR64mr
+    303062164U,	// BTR64ri8
+    303062164U,	// BTR64rr
+    1089913U,	// BTS16mi8
+    1089913U,	// BTS16mr
+    303063417U,	// BTS16ri8
+    303063417U,	// BTS16rr
+    1122681U,	// BTS32mi8
+    1122681U,	// BTS32mr
+    303063417U,	// BTS32ri8
+    303063417U,	// BTS32rr
+    1139065U,	// BTS64mi8
+    1139065U,	// BTS64mr
+    303063417U,	// BTS64ri8
+    303063417U,	// BTS64rr
+    2416990021U,	// BZHI32rm
+    2450544453U,	// BZHI32rr
+    2484098885U,	// BZHI64rm
+    2450544453U,	// BZHI64rr
+    38932U,	// CALL16m
+    22548U,	// CALL16r
+    71700U,	// CALL32m
+    22548U,	// CALL32r
+    88084U,	// CALL64m
+    153620U,	// CALL64pcrel32
+    22548U,	// CALL64r
+    153620U,	// CALLpcrel16
+    153620U,	// CALLpcrel32
+    12194U,	// CBW
+    11802U,	// CDQ
+    11445U,	// CDQE
+    11989U,	// CHS_F
     0U,	// CHS_Fp32
     0U,	// CHS_Fp64
     0U,	// CHS_Fp80
-    11846U,	// CLAC
-    11878U,	// CLC
-    11928U,	// CLD
-    104076U,	// CLFLUSH
-    12117U,	// CLGI
-    12127U,	// CLI
-    12630U,	// CLTS
-    11882U,	// CMC
-    403721407U,	// CMOVA16rm
-    68177087U,	// CMOVA16rr
-    437275839U,	// CMOVA32rm
-    68177087U,	// CMOVA32rr
-    470830271U,	// CMOVA64rm
-    68177087U,	// CMOVA64rr
-    403723604U,	// CMOVAE16rm
-    68179284U,	// CMOVAE16rr
-    437278036U,	// CMOVAE32rm
-    68179284U,	// CMOVAE32rr
-    470832468U,	// CMOVAE64rm
-    68179284U,	// CMOVAE64rr
-    403721735U,	// CMOVB16rm
-    68177415U,	// CMOVB16rr
-    437276167U,	// CMOVB32rm
-    68177415U,	// CMOVB32rr
-    470830599U,	// CMOVB64rm
-    68177415U,	// CMOVB64rr
-    403723624U,	// CMOVBE16rm
-    68179304U,	// CMOVBE16rr
-    437278056U,	// CMOVBE32rm
-    68179304U,	// CMOVBE32rr
-    470832488U,	// CMOVBE64rm
-    68179304U,	// CMOVBE64rr
-    25854U,	// CMOVBE_F
+    11258U,	// CLAC
+    11290U,	// CLC
+    11340U,	// CLD
+    104240U,	// CLFLUSH
+    11560U,	// CLGI
+    11570U,	// CLI
+    12079U,	// CLTS
+    11294U,	// CMC
+    470830435U,	// CMOVA16rm
+    68177251U,	// CMOVA16rr
+    101731683U,	// CMOVA32rm
+    68177251U,	// CMOVA32rr
+    135286115U,	// CMOVA64rm
+    68177251U,	// CMOVA64rr
+    470832632U,	// CMOVAE16rm
+    68179448U,	// CMOVAE16rr
+    101733880U,	// CMOVAE32rm
+    68179448U,	// CMOVAE32rr
+    135288312U,	// CMOVAE64rm
+    68179448U,	// CMOVAE64rr
+    470830763U,	// CMOVB16rm
+    68177579U,	// CMOVB16rr
+    101732011U,	// CMOVB32rm
+    68177579U,	// CMOVB32rr
+    135286443U,	// CMOVB64rm
+    68177579U,	// CMOVB64rr
+    470832652U,	// CMOVBE16rm
+    68179468U,	// CMOVBE16rr
+    101733900U,	// CMOVBE32rm
+    68179468U,	// CMOVBE32rr
+    135288332U,	// CMOVBE64rm
+    68179468U,	// CMOVBE64rr
+    26018U,	// CMOVBE_F
     0U,	// CMOVBE_Fp32
     0U,	// CMOVBE_Fp64
     0U,	// CMOVBE_Fp80
-    25822U,	// CMOVB_F
+    25986U,	// CMOVB_F
     0U,	// CMOVB_Fp32
     0U,	// CMOVB_Fp64
     0U,	// CMOVB_Fp80
-    403723809U,	// CMOVE16rm
-    68179489U,	// CMOVE16rr
-    437278241U,	// CMOVE32rm
-    68179489U,	// CMOVE32rr
-    470832673U,	// CMOVE64rm
-    68179489U,	// CMOVE64rr
-    25886U,	// CMOVE_F
+    470832837U,	// CMOVE16rm
+    68179653U,	// CMOVE16rr
+    101734085U,	// CMOVE32rm
+    68179653U,	// CMOVE32rr
+    135288517U,	// CMOVE64rm
+    68179653U,	// CMOVE64rr
+    26050U,	// CMOVE_F
     0U,	// CMOVE_Fp32
     0U,	// CMOVE_Fp64
     0U,	// CMOVE_Fp80
-    403723859U,	// CMOVG16rm
-    68179539U,	// CMOVG16rr
-    437278291U,	// CMOVG32rm
-    68179539U,	// CMOVG32rr
-    470832723U,	// CMOVG64rm
-    68179539U,	// CMOVG64rr
-    403723660U,	// CMOVGE16rm
-    68179340U,	// CMOVGE16rr
-    437278092U,	// CMOVGE32rm
-    68179340U,	// CMOVGE32rr
-    470832524U,	// CMOVGE64rm
-    68179340U,	// CMOVGE64rr
-    403724227U,	// CMOVL16rm
-    68179907U,	// CMOVL16rr
-    437278659U,	// CMOVL32rm
-    68179907U,	// CMOVL32rr
-    470833091U,	// CMOVL64rm
-    68179907U,	// CMOVL64rr
-    403723684U,	// CMOVLE16rm
-    68179364U,	// CMOVLE16rr
-    437278116U,	// CMOVLE32rm
-    68179364U,	// CMOVLE32rr
-    470832548U,	// CMOVLE64rm
-    68179364U,	// CMOVLE64rr
-    25837U,	// CMOVNBE_F
+    470832887U,	// CMOVG16rm
+    68179703U,	// CMOVG16rr
+    101734135U,	// CMOVG32rm
+    68179703U,	// CMOVG32rr
+    135288567U,	// CMOVG64rm
+    68179703U,	// CMOVG64rr
+    470832688U,	// CMOVGE16rm
+    68179504U,	// CMOVGE16rr
+    101733936U,	// CMOVGE32rm
+    68179504U,	// CMOVGE32rr
+    135288368U,	// CMOVGE64rm
+    68179504U,	// CMOVGE64rr
+    470833255U,	// CMOVL16rm
+    68180071U,	// CMOVL16rr
+    101734503U,	// CMOVL32rm
+    68180071U,	// CMOVL32rr
+    135288935U,	// CMOVL64rm
+    68180071U,	// CMOVL64rr
+    470832712U,	// CMOVLE16rm
+    68179528U,	// CMOVLE16rr
+    101733960U,	// CMOVLE32rm
+    68179528U,	// CMOVLE32rr
+    135288392U,	// CMOVLE64rm
+    68179528U,	// CMOVLE64rr
+    26001U,	// CMOVNBE_F
     0U,	// CMOVNBE_Fp32
     0U,	// CMOVNBE_Fp64
     0U,	// CMOVNBE_Fp80
-    25806U,	// CMOVNB_F
+    25970U,	// CMOVNB_F
     0U,	// CMOVNB_Fp32
     0U,	// CMOVNB_Fp64
     0U,	// CMOVNB_Fp80
-    403723712U,	// CMOVNE16rm
-    68179392U,	// CMOVNE16rr
-    437278144U,	// CMOVNE32rm
-    68179392U,	// CMOVNE32rr
-    470832576U,	// CMOVNE64rm
-    68179392U,	// CMOVNE64rr
-    25870U,	// CMOVNE_F
+    470832740U,	// CMOVNE16rm
+    68179556U,	// CMOVNE16rr
+    101733988U,	// CMOVNE32rm
+    68179556U,	// CMOVNE32rr
+    135288420U,	// CMOVNE64rm
+    68179556U,	// CMOVNE64rr
+    26034U,	// CMOVNE_F
     0U,	// CMOVNE_Fp32
     0U,	// CMOVNE_Fp64
     0U,	// CMOVNE_Fp80
-    403724337U,	// CMOVNO16rm
-    68180017U,	// CMOVNO16rr
-    437278769U,	// CMOVNO32rm
-    68180017U,	// CMOVNO32rr
-    470833201U,	// CMOVNO64rm
-    68180017U,	// CMOVNO64rr
-    403724449U,	// CMOVNP16rm
-    68180129U,	// CMOVNP16rr
-    437278881U,	// CMOVNP32rm
-    68180129U,	// CMOVNP32rr
-    470833313U,	// CMOVNP64rm
-    68180129U,	// CMOVNP64rr
-    25901U,	// CMOVNP_F
+    470833365U,	// CMOVNO16rm
+    68180181U,	// CMOVNO16rr
+    101734613U,	// CMOVNO32rm
+    68180181U,	// CMOVNO32rr
+    135289045U,	// CMOVNO64rm
+    68180181U,	// CMOVNO64rr
+    470833477U,	// CMOVNP16rm
+    68180293U,	// CMOVNP16rr
+    101734725U,	// CMOVNP32rm
+    68180293U,	// CMOVNP32rr
+    135289157U,	// CMOVNP64rm
+    68180293U,	// CMOVNP64rr
+    26065U,	// CMOVNP_F
     0U,	// CMOVNP_Fp32
     0U,	// CMOVNP_Fp64
     0U,	// CMOVNP_Fp80
-    403725383U,	// CMOVNS16rm
-    68181063U,	// CMOVNS16rr
-    437279815U,	// CMOVNS32rm
-    68181063U,	// CMOVNS32rr
-    470834247U,	// CMOVNS64rm
-    68181063U,	// CMOVNS64rr
-    403724351U,	// CMOVO16rm
-    68180031U,	// CMOVO16rr
-    437278783U,	// CMOVO32rm
-    68180031U,	// CMOVO32rr
-    470833215U,	// CMOVO64rm
-    68180031U,	// CMOVO64rr
-    403724578U,	// CMOVP16rm
-    68180258U,	// CMOVP16rr
-    437279010U,	// CMOVP32rm
-    68180258U,	// CMOVP32rr
-    470833442U,	// CMOVP64rm
-    68180258U,	// CMOVP64rr
-    25917U,	// CMOVP_F
+    470834411U,	// CMOVNS16rm
+    68181227U,	// CMOVNS16rr
+    101735659U,	// CMOVNS32rm
+    68181227U,	// CMOVNS32rr
+    135290091U,	// CMOVNS64rm
+    68181227U,	// CMOVNS64rr
+    470833379U,	// CMOVO16rm
+    68180195U,	// CMOVO16rr
+    101734627U,	// CMOVO32rm
+    68180195U,	// CMOVO32rr
+    135289059U,	// CMOVO64rm
+    68180195U,	// CMOVO64rr
+    470833606U,	// CMOVP16rm
+    68180422U,	// CMOVP16rr
+    101734854U,	// CMOVP32rm
+    68180422U,	// CMOVP32rr
+    135289286U,	// CMOVP64rm
+    68180422U,	// CMOVP64rr
+    26081U,	// CMOVP_F
     0U,	// CMOVP_Fp32
     0U,	// CMOVP_Fp64
     0U,	// CMOVP_Fp80
-    403726560U,	// CMOVS16rm
-    68182240U,	// CMOVS16rr
-    437280992U,	// CMOVS32rm
-    68182240U,	// CMOVS32rr
-    470835424U,	// CMOVS64rm
-    68182240U,	// CMOVS64rr
-    10627U,	// CMOV_FR32
-    10940U,	// CMOV_FR64
-    10194U,	// CMOV_GR16
-    10174U,	// CMOV_GR32
-    11263U,	// CMOV_GR8
-    10607U,	// CMOV_RFP32
-    10920U,	// CMOV_RFP64
-    10214U,	// CMOV_RFP80
-    10527U,	// CMOV_V16F32
-    10761U,	// CMOV_V2F64
-    10821U,	// CMOV_V2I64
-    10507U,	// CMOV_V4F32
-    10781U,	// CMOV_V4F64
-    10841U,	// CMOV_V4I64
-    10548U,	// CMOV_V8F32
-    10801U,	// CMOV_V8F64
-    10861U,	// CMOV_V8I64
-    26119U,	// CMP16i16
-    1087603U,	// CMP16mi
-    1087603U,	// CMP16mi8
-    1087603U,	// CMP16mr
-    135288947U,	// CMP16ri
-    135288947U,	// CMP16ri8
-    370169971U,	// CMP16rm
-    135288947U,	// CMP16rr
-    135288947U,	// CMP16rr_REV
-    26284U,	// CMP32i32
-    1120371U,	// CMP32mi
-    1120371U,	// CMP32mi8
-    1120371U,	// CMP32mr
-    135288947U,	// CMP32ri
-    135288947U,	// CMP32ri8
-    101734515U,	// CMP32rm
-    135288947U,	// CMP32rr
-    135288947U,	// CMP32rr_REV
-    26399U,	// CMP64i32
-    1136755U,	// CMP64mi32
-    1136755U,	// CMP64mi8
-    1136755U,	// CMP64mr
-    135288947U,	// CMP64ri32
-    135288947U,	// CMP64ri8
-    168843379U,	// CMP64rm
-    135288947U,	// CMP64rr
-    135288947U,	// CMP64rr_REV
-    26007U,	// CMP8i8
-    1153139U,	// CMP8mi
-    1153139U,	// CMP8mr
-    135288947U,	// CMP8ri
-    504387699U,	// CMP8rm
-    135288947U,	// CMP8rr
-    135288947U,	// CMP8rr_REV
-    209891354U,	// CMPPDrmi
-    2349879825U,	// CMPPDrmi_alt
-    75690010U,	// CMPPDrri
-    2215662097U,	// CMPPDrri_alt
-    210939930U,	// CMPPSrmi
-    2349883063U,	// CMPPSrmi_alt
-    76738586U,	// CMPPSrri
-    2215665335U,	// CMPPSrri_alt
-    205745U,	// CMPS16
-    218106U,	// CMPS32
-    236233U,	// CMPS64
-    249209U,	// CMPS8
-    245542938U,	// CMPSDrm
-    2383434746U,	// CMPSDrm_alt
-    77787162U,	// CMPSDrr
-    2215662586U,	// CMPSDrr_alt
-    280145946U,	// CMPSSrm
-    2416992387U,	// CMPSSrm_alt
-    78835738U,	// CMPSSrr
-    2215665795U,	// CMPSSrr_alt
-    265414U,	// CMPXCHG16B
-    1087032U,	// CMPXCHG16rm
-    135288376U,	// CMPXCHG16rr
-    1119800U,	// CMPXCHG32rm
-    135288376U,	// CMPXCHG32rr
-    1136184U,	// CMPXCHG64rm
-    135288376U,	// CMPXCHG64rr
-    85202U,	// CMPXCHG8B
-    1152568U,	// CMPXCHG8rm
-    135288376U,	// CMPXCHG8rr
-    537940936U,	// COMISDrm
-    135287752U,	// COMISDrr
-    537944153U,	// COMISSrm
-    135290969U,	// COMISSrr
-    22654U,	// COMP_FST0r
-    22240U,	// COM_FIPr
-    22183U,	// COM_FIr
-    22479U,	// COM_FST0r
-    12602U,	// COS_F
+    470835588U,	// CMOVS16rm
+    68182404U,	// CMOVS16rr
+    101736836U,	// CMOVS32rm
+    68182404U,	// CMOVS32rr
+    135291268U,	// CMOVS64rm
+    68182404U,	// CMOVS64rr
+    10569U,	// CMOV_FR32
+    10728U,	// CMOV_FR64
+    10448U,	// CMOV_GR16
+    10428U,	// CMOV_GR32
+    10747U,	// CMOV_GR8
+    10549U,	// CMOV_RFP32
+    10708U,	// CMOV_RFP64
+    10468U,	// CMOV_RFP80
+    10508U,	// CMOV_V16F32
+    10588U,	// CMOV_V2F64
+    10648U,	// CMOV_V2I64
+    10488U,	// CMOV_V4F32
+    10608U,	// CMOV_V4F64
+    10668U,	// CMOV_V4I64
+    10529U,	// CMOV_V8F32
+    10628U,	// CMOV_V8F64
+    10688U,	// CMOV_V8I64
+    26283U,	// CMP16i16
+    1087767U,	// CMP16mi
+    1087767U,	// CMP16mi8
+    1087767U,	// CMP16mr
+    303061271U,	// CMP16ri
+    303061271U,	// CMP16ri8
+    437278999U,	// CMP16rm
+    303061271U,	// CMP16rr
+    303061271U,	// CMP16rr_REV
+    26448U,	// CMP32i32
+    1120535U,	// CMP32mi
+    1120535U,	// CMP32mi8
+    1120535U,	// CMP32mr
+    303061271U,	// CMP32ri
+    303061271U,	// CMP32ri8
+    269506839U,	// CMP32rm
+    303061271U,	// CMP32rr
+    303061271U,	// CMP32rr_REV
+    26563U,	// CMP64i32
+    1136919U,	// CMP64mi32
+    1136919U,	// CMP64mi8
+    1136919U,	// CMP64mr
+    303061271U,	// CMP64ri32
+    303061271U,	// CMP64ri8
+    336615703U,	// CMP64rm
+    303061271U,	// CMP64rr
+    303061271U,	// CMP64rr_REV
+    26171U,	// CMP8i8
+    1153303U,	// CMP8mi
+    1153303U,	// CMP8mr
+    303061271U,	// CMP8ri
+    504387863U,	// CMP8rm
+    303061271U,	// CMP8rr
+    303061271U,	// CMP8rr_REV
+    176336365U,	// CMPPDrmi
+    2316325557U,	// CMPPDrmi_alt
+    75689453U,	// CMPPDrri
+    2215662261U,	// CMPPDrri_alt
+    177384941U,	// CMPPSrmi
+    2316328795U,	// CMPPSrmi_alt
+    76738029U,	// CMPPSrri
+    2215665499U,	// CMPPSrri_alt
+    200221U,	// CMPSB
+    211987949U,	// CMPSDrm
+    2349880478U,	// CMPSDrm_alt
+    77786605U,	// CMPSDrr
+    2215662750U,	// CMPSDrr_alt
+    218270U,	// CMPSL
+    236397U,	// CMPSQ
+    246590957U,	// CMPSSrm
+    2383438119U,	// CMPSSrm_alt
+    78835181U,	// CMPSSrr
+    2215665959U,	// CMPSSrr_alt
+    255061U,	// CMPSW
+    265578U,	// CMPXCHG16B
+    1087196U,	// CMPXCHG16rm
+    303060700U,	// CMPXCHG16rr
+    1119964U,	// CMPXCHG32rm
+    303060700U,	// CMPXCHG32rr
+    1136348U,	// CMPXCHG64rm
+    303060700U,	// CMPXCHG64rr
+    85366U,	// CMPXCHG8B
+    1152732U,	// CMPXCHG8rm
+    303060700U,	// CMPXCHG8rr
+    537941100U,	// COMISDrm
+    303060076U,	// COMISDrr
+    537944317U,	// COMISSrm
+    303063293U,	// COMISSrr
+    22818U,	// COMP_FST0r
+    22404U,	// COM_FIPr
+    22347U,	// COM_FIr
+    22643U,	// COM_FST0r
+    12051U,	// COS_F
     0U,	// COS_Fp32
     0U,	// COS_Fp64
     0U,	// COS_Fp80
-    11922U,	// CPUID32
-    11922U,	// CPUID64
-    12292U,	// CQO
-    3197750U,	// CRC32r32m16
-    4246326U,	// CRC32r32m32
-    6343478U,	// CRC32r32m8
-    35703606U,	// CRC32r32r16
-    35703606U,	// CRC32r32r32
-    35703606U,	// CRC32r32r8
-    5294902U,	// CRC32r64m64
-    6343478U,	// CRC32r64m8
-    35703606U,	// CRC32r64r64
-    35703606U,	// CRC32r64r8
-    12476U,	// CS_PREFIX
-    168841347U,	// CVTDQ2PDrm
-    135286915U,	// CVTDQ2PDrr
-    336616744U,	// CVTDQ2PSrm
-    135290152U,	// CVTDQ2PSrr
-    537942394U,	// CVTPD2DQrm
-    135289210U,	// CVTPD2DQrr
-    537943292U,	// CVTPD2PSrm
-    135290108U,	// CVTPD2PSrr
-    537942426U,	// CVTPS2DQrm
-    135289242U,	// CVTPS2DQrr
-    571494542U,	// CVTPS2PDrm
-    135286926U,	// CVTPS2PDrr
-    571496214U,	// CVTSD2SI64rm
-    135288598U,	// CVTSD2SI64rr
-    571496214U,	// CVTSD2SIrm
-    135288598U,	// CVTSD2SIrr
-    571498428U,	// CVTSD2SSrm
-    135290812U,	// CVTSD2SSrr
-    168842019U,	// CVTSI2SD64rm
-    135287587U,	// CVTSI2SD64rr
-    101733155U,	// CVTSI2SDrm
-    135287587U,	// CVTSI2SDrr
-    168845255U,	// CVTSI2SS64rm
-    135290823U,	// CVTSI2SS64rr
-    101736391U,	// CVTSI2SSrm
-    135290823U,	// CVTSI2SSrr
-    605049658U,	// CVTSS2SDrm
-    135287610U,	// CVTSS2SDrr
-    605050669U,	// CVTSS2SI64rm
-    135288621U,	// CVTSS2SI64rr
-    605050669U,	// CVTSS2SIrm
-    135288621U,	// CVTSS2SIrr
-    537942382U,	// CVTTPD2DQrm
-    135289198U,	// CVTTPD2DQrr
-    537942414U,	// CVTTPS2DQrm
-    135289230U,	// CVTTPS2DQrr
-    571496202U,	// CVTTSD2SI64rm
-    135288586U,	// CVTTSD2SI64rr
-    571496202U,	// CVTTSD2SIrm
-    135288586U,	// CVTTSD2SIrr
-    605050657U,	// CVTTSS2SI64rm
-    135288609U,	// CVTTSS2SI64rr
-    605050657U,	// CVTTSS2SIrm
-    135288609U,	// CVTTSS2SIrr
-    11974U,	// CWD
-    12006U,	// CWDE
-    11781U,	// DAA
-    12462U,	// DAS
-    11662U,	// DATA16_PREFIX
-    36421U,	// DEC16m
-    20037U,	// DEC16r
-    20037U,	// DEC32_16r
-    20037U,	// DEC32_32r
-    69189U,	// DEC32m
-    20037U,	// DEC32r
-    36421U,	// DEC64_16m
-    20037U,	// DEC64_16r
-    69189U,	// DEC64_32m
-    20037U,	// DEC64_32r
-    85573U,	// DEC64m
-    20037U,	// DEC64r
-    101957U,	// DEC8m
-    20037U,	// DEC8r
-    41426U,	// DIV16m
-    25042U,	// DIV16r
-    74194U,	// DIV32m
-    25042U,	// DIV32r
-    90578U,	// DIV64m
-    25042U,	// DIV64r
-    106962U,	// DIV8m
-    25042U,	// DIV8r
-    202396260U,	// DIVPDrm
-    68178532U,	// DIVPDrr
-    202399531U,	// DIVPSrm
-    68181803U,	// DIVPSrr
-    121862U,	// DIVR_F32m
-    138246U,	// DIVR_F64m
-    39949U,	// DIVR_FI16m
-    72717U,	// DIVR_FI32m
-    22721U,	// DIVR_FPrST0
-    23558U,	// DIVR_FST0r
+    11334U,	// CPUID32
+    11334U,	// CPUID64
+    11735U,	// CQO
+    3197871U,	// CRC32r32m16
+    4246447U,	// CRC32r32m32
+    6343599U,	// CRC32r32m8
+    35703727U,	// CRC32r32r16
+    35703727U,	// CRC32r32r32
+    35703727U,	// CRC32r32r8
+    5295023U,	// CRC32r64m64
+    6343599U,	// CRC32r64m8
+    35703727U,	// CRC32r64r64
+    35703727U,	// CRC32r64r8
+    336613671U,	// CVTDQ2PDrm
+    303059239U,	// CVTDQ2PDrr
+    403725772U,	// CVTDQ2PSrm
+    303062476U,	// CVTDQ2PSrr
+    537942558U,	// CVTPD2DQrm
+    303061534U,	// CVTPD2DQrr
+    537943456U,	// CVTPD2PSrm
+    303062432U,	// CVTPD2PSrr
+    537942590U,	// CVTPS2DQrm
+    303061566U,	// CVTPS2DQrr
+    571494706U,	// CVTPS2PDrm
+    303059250U,	// CVTPS2PDrr
+    571496378U,	// CVTSD2SI64rm
+    303060922U,	// CVTSD2SI64rr
+    571496378U,	// CVTSD2SIrm
+    303060922U,	// CVTSD2SIrr
+    571498592U,	// CVTSD2SSrm
+    303063136U,	// CVTSD2SSrr
+    336614343U,	// CVTSI2SD64rm
+    303059911U,	// CVTSI2SD64rr
+    269505479U,	// CVTSI2SDrm
+    303059911U,	// CVTSI2SDrr
+    336617579U,	// CVTSI2SS64rm
+    303063147U,	// CVTSI2SS64rr
+    269508715U,	// CVTSI2SSrm
+    303063147U,	// CVTSI2SSrr
+    605049822U,	// CVTSS2SDrm
+    303059934U,	// CVTSS2SDrr
+    605050833U,	// CVTSS2SI64rm
+    303060945U,	// CVTSS2SI64rr
+    605050833U,	// CVTSS2SIrm
+    303060945U,	// CVTSS2SIrr
+    537942546U,	// CVTTPD2DQrm
+    303061522U,	// CVTTPD2DQrr
+    537942578U,	// CVTTPS2DQrm
+    303061554U,	// CVTTPS2DQrr
+    571496366U,	// CVTTSD2SI64rm
+    303060910U,	// CVTTSD2SI64rr
+    571496366U,	// CVTTSD2SIrm
+    303060910U,	// CVTTSD2SIrr
+    605050821U,	// CVTTSS2SI64rm
+    303060933U,	// CVTTSS2SI64rr
+    605050821U,	// CVTTSS2SIrm
+    303060933U,	// CVTTSS2SIrr
+    11386U,	// CWD
+    11418U,	// CWDE
+    11193U,	// DAA
+    11905U,	// DAS
+    11074U,	// DATA16_PREFIX
+    36585U,	// DEC16m
+    20201U,	// DEC16r
+    20201U,	// DEC32_16r
+    20201U,	// DEC32_32r
+    69353U,	// DEC32m
+    20201U,	// DEC32r
+    36585U,	// DEC64_16m
+    20201U,	// DEC64_16r
+    69353U,	// DEC64_32m
+    20201U,	// DEC64_32r
+    85737U,	// DEC64m
+    20201U,	// DEC64r
+    102121U,	// DEC8m
+    20201U,	// DEC8r
+    41590U,	// DIV16m
+    25206U,	// DIV16r
+    74358U,	// DIV32m
+    25206U,	// DIV32r
+    90742U,	// DIV64m
+    25206U,	// DIV64r
+    107126U,	// DIV8m
+    25206U,	// DIV8r
+    168841992U,	// DIVPDrm
+    68178696U,	// DIVPDrr
+    168845263U,	// DIVPSrm
+    68181967U,	// DIVPSrr
+    122026U,	// DIVR_F32m
+    138410U,	// DIVR_F64m
+    40113U,	// DIVR_FI16m
+    72881U,	// DIVR_FI32m
+    22885U,	// DIVR_FPrST0
+    23722U,	// DIVR_FST0r
     0U,	// DIVR_Fp32m
     0U,	// DIVR_Fp64m
     0U,	// DIVR_Fp64m32
@@ -754,21 +711,21 @@
     0U,	// DIVR_FpI32m32
     0U,	// DIVR_FpI32m64
     0U,	// DIVR_FpI32m80
-    7363590U,	// DIVR_FrST0
-    235951138U,	// DIVSDrm
-    235951138U,	// DIVSDrm_Int
-    68178978U,	// DIVSDrr
-    68178978U,	// DIVSDrr_Int
-    269508789U,	// DIVSSrm
-    269508789U,	// DIVSSrm_Int
-    68182197U,	// DIVSSrr
-    68182197U,	// DIVSSrr_Int
-    123345U,	// DIV_F32m
-    139729U,	// DIV_F64m
-    41431U,	// DIV_FI16m
-    74199U,	// DIV_FI32m
-    22811U,	// DIV_FPrST0
-    25041U,	// DIV_FST0r
+    7363754U,	// DIVR_FrST0
+    202396870U,	// DIVSDrm
+    202396870U,	// DIVSDrm_Int
+    68179142U,	// DIVSDrr
+    68179142U,	// DIVSDrr_Int
+    235954521U,	// DIVSSrm
+    235954521U,	// DIVSSrm_Int
+    68182361U,	// DIVSSrr
+    68182361U,	// DIVSSrr_Int
+    123509U,	// DIV_F32m
+    139893U,	// DIV_F64m
+    41595U,	// DIV_FI16m
+    74363U,	// DIV_FI32m
+    22975U,	// DIV_FPrST0
+    25205U,	// DIV_FST0r
     0U,	// DIV_Fp32
     0U,	// DIV_Fp32m
     0U,	// DIV_Fp64
@@ -783,64 +740,64 @@
     0U,	// DIV_FpI32m32
     0U,	// DIV_FpI32m64
     0U,	// DIV_FpI32m80
-    7365073U,	// DIV_FrST0
-    2349879818U,	// DPPDrmi
-    2215662090U,	// DPPDrri
-    2349883056U,	// DPPSrmi
-    2215665328U,	// DPPSrri
-    12484U,	// DS_PREFIX
-    26511U,	// EH_RETURN
-    26511U,	// EH_RETURN64
-    11471U,	// EH_SjLj_LongJmp32
-    11575U,	// EH_SjLj_LongJmp64
-    11490U,	// EH_SjLj_SetJmp32
-    11594U,	// EH_SjLj_SetJmp64
-    153867U,	// EH_SjLj_Setup
-    135289746U,	// ENTER
-    12499U,	// ES_PREFIX
-    2148654810U,	// EXTRACTPSmr
-    2282774234U,	// EXTRACTPSrr
-    35707579U,	// EXTRQ
-    639687355U,	// EXTRQI
-    11452U,	// F2XM1
-    135288687U,	// FARCALL16i
-    284527U,	// FARCALL16m
-    135288687U,	// FARCALL32i
-    284527U,	// FARCALL32m
-    284527U,	// FARCALL64
-    135288952U,	// FARJMP16i
-    284792U,	// FARJMP16m
-    135288952U,	// FARJMP32i
-    284792U,	// FARJMP32m
-    284792U,	// FARJMP64
-    118614U,	// FBLDm
-    121039U,	// FBSTPm
-    120783U,	// FCOM32m
-    137167U,	// FCOM64m
-    120958U,	// FCOMP32m
-    137342U,	// FCOMP64m
-    12328U,	// FCOMPP
-    12343U,	// FDECSTP
-    12596U,	// FEMMS
-    21872U,	// FFREE
-    38869U,	// FICOM16m
-    71637U,	// FICOM32m
-    39045U,	// FICOMP16m
-    71813U,	// FICOMP32m
-    12351U,	// FINCSTP
-    41592U,	// FLDCW16m
-    123358U,	// FLDENVm
-    11978U,	// FLDL2E
-    12635U,	// FLDL2T
-    11556U,	// FLDLG2
-    11563U,	// FLDLN2
-    12131U,	// FLDPI
-    12942U,	// FNCLEX
-    12668U,	// FNINIT
-    12323U,	// FNOP
-    41599U,	// FNSTCW16m
-    12769U,	// FNSTSW16r
-    123843U,	// FNSTSWm
+    7365237U,	// DIV_FrST0
+    2316325550U,	// DPPDrmi
+    2215662254U,	// DPPDrri
+    2316328788U,	// DPPSrmi
+    2215665492U,	// DPPSrri
+    26675U,	// EH_RETURN
+    26675U,	// EH_RETURN64
+    10883U,	// EH_SjLj_LongJmp32
+    10987U,	// EH_SjLj_LongJmp64
+    10902U,	// EH_SjLj_SetJmp32
+    11006U,	// EH_SjLj_SetJmp64
+    154031U,	// EH_SjLj_Setup
+    12039U,	// ENCLS
+    12161U,	// ENCLU
+    303062070U,	// ENTER
+    2148654974U,	// EXTRACTPSmr
+    2450546558U,	// EXTRACTPSrr
+    35707743U,	// EXTRQ
+    639687519U,	// EXTRQI
+    10864U,	// F2XM1
+    303061011U,	// FARCALL16i
+    284691U,	// FARCALL16m
+    303061011U,	// FARCALL32i
+    284691U,	// FARCALL32m
+    284691U,	// FARCALL64
+    303061276U,	// FARJMP16i
+    284956U,	// FARJMP16m
+    303061276U,	// FARJMP32i
+    284956U,	// FARJMP32m
+    284956U,	// FARJMP64
+    118778U,	// FBLDm
+    121203U,	// FBSTPm
+    120947U,	// FCOM32m
+    137331U,	// FCOM64m
+    121122U,	// FCOMP32m
+    137506U,	// FCOMP64m
+    11771U,	// FCOMPP
+    11786U,	// FDECSTP
+    12045U,	// FEMMS
+    22036U,	// FFREE
+    39033U,	// FICOM16m
+    71801U,	// FICOM32m
+    39209U,	// FICOMP16m
+    71977U,	// FICOMP32m
+    11794U,	// FINCSTP
+    41756U,	// FLDCW16m
+    123522U,	// FLDENVm
+    11390U,	// FLDL2E
+    12084U,	// FLDL2T
+    10968U,	// FLDLG2
+    10975U,	// FLDLN2
+    11574U,	// FLDPI
+    12397U,	// FNCLEX
+    12117U,	// FNINIT
+    11766U,	// FNOP
+    41763U,	// FNSTCW16m
+    12224U,	// FNSTSW16r
+    124007U,	// FNSTSWm
     0U,	// FP32_TO_INT16_IN_MEM
     0U,	// FP32_TO_INT32_IN_MEM
     0U,	// FP32_TO_INT64_IN_MEM
@@ -850,71 +807,68 @@
     0U,	// FP80_TO_INT16_IN_MEM
     0U,	// FP80_TO_INT32_IN_MEM
     0U,	// FP80_TO_INT64_IN_MEM
-    12247U,	// FPATAN
-    12230U,	// FPREM
-    11445U,	// FPREM1
-    12254U,	// FPTAN
-    12687U,	// FRNDINT
-    121779U,	// FRSTORm
-    120337U,	// FSAVEm
-    12011U,	// FSCALE
-    12236U,	// FSETPM
-    12607U,	// FSINCOS
-    123366U,	// FSTENVm
-    12514U,	// FS_PREFIX
-    12225U,	// FXAM
-    285627U,	// FXRSTOR
-    281483U,	// FXRSTOR64
-    284185U,	// FXSAVE
-    281473U,	// FXSAVE64
-    12642U,	// FXTRACT
-    12763U,	// FYL2X
-    11458U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
-    202396153U,	// FsANDNPDrm
-    68178425U,	// FsANDNPDrr
-    202399383U,	// FsANDNPSrm
-    68181655U,	// FsANDNPSrr
-    202396017U,	// FsANDPDrm
-    68178289U,	// FsANDPDrr
-    202399235U,	// FsANDPSrm
-    68181507U,	// FsANDPSrr
+    11690U,	// FPATAN
+    11673U,	// FPREM
+    10857U,	// FPREM1
+    11697U,	// FPTAN
+    12136U,	// FRNDINT
+    121943U,	// FRSTORm
+    120501U,	// FSAVEm
+    11423U,	// FSCALE
+    11679U,	// FSETPM
+    12056U,	// FSINCOS
+    123530U,	// FSTENVm
+    11668U,	// FXAM
+    285791U,	// FXRSTOR
+    281615U,	// FXRSTOR64
+    284349U,	// FXSAVE
+    281605U,	// FXSAVE64
+    12091U,	// FXTRACT
+    12218U,	// FYL2X
+    10870U,	// FYL2XP1
+    168841885U,	// FsANDNPDrm
+    68178589U,	// FsANDNPDrr
+    168845115U,	// FsANDNPSrm
+    68181819U,	// FsANDNPSrr
+    168841749U,	// FsANDPDrm
+    68178453U,	// FsANDPDrr
+    168844967U,	// FsANDPSrm
+    68181671U,	// FsANDPSrr
     0U,	// FsFLD0SD
     0U,	// FsFLD0SS
-    537940207U,	// FsMOVAPDrm
-    537943433U,	// FsMOVAPSrm
-    202396197U,	// FsORPDrm
-    68178469U,	// FsORPDrr
-    202399435U,	// FsORPSrm
-    68181707U,	// FsORPSrr
-    537940206U,	// FsVMOVAPDrm
-    537943432U,	// FsVMOVAPSrm
-    202396204U,	// FsXORPDrm
-    68178476U,	// FsXORPDrr
-    202399442U,	// FsXORPSrm
-    68181714U,	// FsXORPSrr
-    11866U,	// GETSEC
-    12529U,	// GS_PREFIX
-    202395979U,	// HADDPDrm
-    68178251U,	// HADDPDrr
-    202399197U,	// HADDPSrm
-    68181469U,	// HADDPSrr
-    12683U,	// HLT
-    202395928U,	// HSUBPDrm
-    68178200U,	// HSUBPDrr
-    202399146U,	// HSUBPSrm
-    68181418U,	// HSUBPSrr
-    41432U,	// IDIV16m
-    25048U,	// IDIV16r
-    74200U,	// IDIV32m
-    25048U,	// IDIV32r
-    90584U,	// IDIV64m
-    25048U,	// IDIV64r
-    106968U,	// IDIV8m
-    25048U,	// IDIV8r
-    36713U,	// ILD_F16m
-    69481U,	// ILD_F32m
-    85865U,	// ILD_F64m
+    537940371U,	// FsMOVAPDrm
+    537943597U,	// FsMOVAPSrm
+    168841929U,	// FsORPDrm
+    68178633U,	// FsORPDrr
+    168845167U,	// FsORPSrm
+    68181871U,	// FsORPSrr
+    537940370U,	// FsVMOVAPDrm
+    537943596U,	// FsVMOVAPSrm
+    168841936U,	// FsXORPDrm
+    68178640U,	// FsXORPDrr
+    168845174U,	// FsXORPSrm
+    68181878U,	// FsXORPSrr
+    11278U,	// GETSEC
+    168841711U,	// HADDPDrm
+    68178415U,	// HADDPDrr
+    168844929U,	// HADDPSrm
+    68181633U,	// HADDPSrr
+    12132U,	// HLT
+    168841660U,	// HSUBPDrm
+    68178364U,	// HSUBPDrr
+    168844878U,	// HSUBPSrm
+    68181582U,	// HSUBPSrr
+    41596U,	// IDIV16m
+    25212U,	// IDIV16r
+    74364U,	// IDIV32m
+    25212U,	// IDIV32r
+    90748U,	// IDIV64m
+    25212U,	// IDIV64r
+    107132U,	// IDIV8m
+    25212U,	// IDIV8r
+    36877U,	// ILD_F16m
+    69645U,	// ILD_F32m
+    86029U,	// ILD_F64m
     0U,	// ILD_Fp16m32
     0U,	// ILD_Fp16m64
     0U,	// ILD_Fp16m80
@@ -924,79 +878,79 @@
     0U,	// ILD_Fp64m32
     0U,	// ILD_Fp64m64
     0U,	// ILD_Fp64m80
-    38845U,	// IMUL16m
-    22461U,	// IMUL16r
-    403724221U,	// IMUL16rm
-    2517653437U,	// IMUL16rmi
-    2517653437U,	// IMUL16rmi8
-    68179901U,	// IMUL16rr
-    2282772413U,	// IMUL16rri
-    2282772413U,	// IMUL16rri8
-    71613U,	// IMUL32m
-    22461U,	// IMUL32r
-    437278653U,	// IMUL32rm
-    2249217981U,	// IMUL32rmi
-    2249217981U,	// IMUL32rmi8
-    68179901U,	// IMUL32rr
-    2282772413U,	// IMUL32rri
-    2282772413U,	// IMUL32rri8
-    87997U,	// IMUL64m
-    22461U,	// IMUL64r
-    470833085U,	// IMUL64rm
-    2316326845U,	// IMUL64rmi32
-    2316326845U,	// IMUL64rmi8
-    68179901U,	// IMUL64rr
-    2282772413U,	// IMUL64rri32
-    2282772413U,	// IMUL64rri8
-    104381U,	// IMUL8m
-    22461U,	// IMUL8r
-    12886948U,	// IN16
-    26111U,	// IN16ri
-    12921U,	// IN16rr
-    12899300U,	// IN32
-    26275U,	// IN32ri
-    12931U,	// IN32rr
-    12914028U,	// IN8
-    25999U,	// IN8ri
-    12911U,	// IN8rr
-    36466U,	// INC16m
-    20082U,	// INC16r
-    20082U,	// INC32_16r
-    20082U,	// INC32_32r
-    69234U,	// INC32m
-    20082U,	// INC32r
-    36466U,	// INC64_16m
-    20082U,	// INC64_16r
-    69234U,	// INC64_32m
-    20082U,	// INC64_32r
-    85618U,	// INC64m
-    20082U,	// INC64r
-    102002U,	// INC8m
-    20082U,	// INC8r
-    2416991984U,	// INSERTPSrm
-    2215665392U,	// INSERTPSrr
-    35707633U,	// INSERTQ
-    2787171057U,	// INSERTQI
-    24883U,	// INT
-    11466U,	// INT1
-    11570U,	// INT3
-    12296U,	// INTO
-    11969U,	// INVD
-    336617789U,	// INVEPT32
-    336617789U,	// INVEPT64
-    104005U,	// INVLPG
-    12877U,	// INVLPGA32
-    12894U,	// INVLPGA64
-    336613188U,	// INVPCID32
-    336613188U,	// INVPCID64
-    336613197U,	// INVVPID32
-    336613197U,	// INVVPID64
-    12650U,	// IRET16
-    11957U,	// IRET32
-    12402U,	// IRET64
-    39139U,	// ISTT_FP16m
-    71907U,	// ISTT_FP32m
-    88291U,	// ISTT_FP64m
+    39009U,	// IMUL16m
+    22625U,	// IMUL16r
+    470833249U,	// IMUL16rm
+    2584762465U,	// IMUL16rmi
+    2584762465U,	// IMUL16rmi8
+    68180065U,	// IMUL16rr
+    2450544737U,	// IMUL16rri
+    2450544737U,	// IMUL16rri8
+    71777U,	// IMUL32m
+    22625U,	// IMUL32r
+    101734497U,	// IMUL32rm
+    2416990305U,	// IMUL32rmi
+    2416990305U,	// IMUL32rmi8
+    68180065U,	// IMUL32rr
+    2450544737U,	// IMUL32rri
+    2450544737U,	// IMUL32rri8
+    88161U,	// IMUL64m
+    22625U,	// IMUL64r
+    135288929U,	// IMUL64rm
+    2484099169U,	// IMUL64rmi32
+    2484099169U,	// IMUL64rmi8
+    68180065U,	// IMUL64rr
+    2450544737U,	// IMUL64rri32
+    2450544737U,	// IMUL64rri8
+    104545U,	// IMUL8m
+    22625U,	// IMUL8r
+    26275U,	// IN16ri
+    12376U,	// IN16rr
+    26439U,	// IN32ri
+    12386U,	// IN32rr
+    26163U,	// IN8ri
+    12366U,	// IN8rr
+    36630U,	// INC16m
+    20246U,	// INC16r
+    20246U,	// INC32_16r
+    20246U,	// INC32_32r
+    69398U,	// INC32m
+    20246U,	// INC32r
+    36630U,	// INC64_16m
+    20246U,	// INC64_16r
+    69398U,	// INC64_32m
+    20246U,	// INC64_32r
+    85782U,	// INC64m
+    20246U,	// INC64r
+    102166U,	// INC8m
+    20246U,	// INC8r
+    12881424U,	// INSB
+    2383437716U,	// INSERTPSrm
+    2215665556U,	// INSERTPSrr
+    35707797U,	// INSERTQ
+    2787171221U,	// INSERTQI
+    12899464U,	// INSL
+    12919880U,	// INSW
+    25047U,	// INT
+    10878U,	// INT1
+    10982U,	// INT3
+    11739U,	// INTO
+    11381U,	// INVD
+    403726817U,	// INVEPT32
+    403726817U,	// INVEPT64
+    104169U,	// INVLPG
+    12332U,	// INVLPGA32
+    12349U,	// INVLPGA64
+    403722216U,	// INVPCID32
+    403722216U,	// INVPCID64
+    403722225U,	// INVVPID32
+    403722225U,	// INVVPID64
+    12099U,	// IRET16
+    11369U,	// IRET32
+    11845U,	// IRET64
+    39303U,	// ISTT_FP16m
+    72071U,	// ISTT_FP32m
+    88455U,	// ISTT_FP64m
     0U,	// ISTT_Fp16m32
     0U,	// ISTT_Fp16m64
     0U,	// ISTT_Fp16m80
@@ -1006,11 +960,11 @@
     0U,	// ISTT_Fp64m32
     0U,	// ISTT_Fp64m64
     0U,	// ISTT_Fp64m80
-    41351U,	// IST_F16m
-    74119U,	// IST_F32m
-    39132U,	// IST_FP16m
-    71900U,	// IST_FP32m
-    88284U,	// IST_FP64m
+    41515U,	// IST_F16m
+    74283U,	// IST_F32m
+    39296U,	// IST_FP16m
+    72064U,	// IST_FP32m
+    88448U,	// IST_FP64m
     0U,	// IST_Fp16m32
     0U,	// IST_Fp16m64
     0U,	// IST_Fp16m80
@@ -1020,213 +974,246 @@
     0U,	// IST_Fp64m32
     0U,	// IST_Fp64m64
     0U,	// IST_Fp64m80
-    245542938U,	// Int_CMPSDrm
-    77787162U,	// Int_CMPSDrr
-    280145946U,	// Int_CMPSSrm
-    78835738U,	// Int_CMPSSrr
-    537940936U,	// Int_COMISDrm
-    135287752U,	// Int_COMISDrr
-    537944153U,	// Int_COMISSrm
-    135290969U,	// Int_COMISSrr
-    235954108U,	// Int_CVTSD2SSrm
-    68181948U,	// Int_CVTSD2SSrr
-    470831907U,	// Int_CVTSI2SD64rm
-    68178723U,	// Int_CVTSI2SD64rr
-    437277475U,	// Int_CVTSI2SDrm
-    68178723U,	// Int_CVTSI2SDrr
-    470835143U,	// Int_CVTSI2SS64rm
-    68181959U,	// Int_CVTSI2SS64rr
-    437280711U,	// Int_CVTSI2SSrm
-    68181959U,	// Int_CVTSI2SSrr
-    269505338U,	// Int_CVTSS2SDrm
-    68178746U,	// Int_CVTSS2SDrr
-    571496202U,	// Int_CVTTSD2SI64rm
-    135288586U,	// Int_CVTTSD2SI64rr
-    571496202U,	// Int_CVTTSD2SIrm
-    135288586U,	// Int_CVTTSD2SIrr
-    605050657U,	// Int_CVTTSS2SI64rm
-    135288609U,	// Int_CVTTSS2SI64rr
-    605050657U,	// Int_CVTTSS2SIrm
-    135288609U,	// Int_CVTTSS2SIrr
-    11750U,	// Int_MemBarrier
-    537940935U,	// Int_UCOMISDrm
-    135287751U,	// Int_UCOMISDrr
-    537944152U,	// Int_UCOMISSrm
-    135290968U,	// Int_UCOMISSrr
-    2292543518U,	// Int_VCMPSDrm
-    2292559902U,	// Int_VCMPSDrr
-    2293592094U,	// Int_VCMPSSrm
-    2293608478U,	// Int_VCMPSSrr
-    537940944U,	// Int_VCOMISDZrm
-    135287760U,	// Int_VCOMISDZrr
-    537940944U,	// Int_VCOMISDrm
-    135287760U,	// Int_VCOMISDrr
-    537944161U,	// Int_VCOMISSZrm
-    135290977U,	// Int_VCOMISSZrr
-    537944161U,	// Int_VCOMISSrm
-    135290977U,	// Int_VCOMISSrr
-    2282774459U,	// Int_VCVTSD2SSrm
-    2282774459U,	// Int_VCVTSD2SSrr
-    2282771234U,	// Int_VCVTSI2SD64Zrm
-    2282771234U,	// Int_VCVTSI2SD64Zrr
-    2282771234U,	// Int_VCVTSI2SD64rm
-    2282771234U,	// Int_VCVTSI2SD64rr
-    2282771234U,	// Int_VCVTSI2SDZrm
-    2282771234U,	// Int_VCVTSI2SDZrr
-    2282771234U,	// Int_VCVTSI2SDrm
-    2282771234U,	// Int_VCVTSI2SDrr
-    2282774470U,	// Int_VCVTSI2SS64Zrm
-    2282774470U,	// Int_VCVTSI2SS64Zrr
-    2282774470U,	// Int_VCVTSI2SS64rm
-    2282774470U,	// Int_VCVTSI2SS64rr
-    2282774470U,	// Int_VCVTSI2SSZrm
-    2282774470U,	// Int_VCVTSI2SSZrr
-    2282774470U,	// Int_VCVTSI2SSrm
-    2282774470U,	// Int_VCVTSI2SSrr
-    2282771257U,	// Int_VCVTSS2SDrm
-    2282771257U,	// Int_VCVTSS2SDrr
-    571491524U,	// Int_VCVTTSD2SI64Zrm
-    135283908U,	// Int_VCVTTSD2SI64Zrr
-    571496201U,	// Int_VCVTTSD2SI64rm
-    135288585U,	// Int_VCVTTSD2SI64rr
-    571491524U,	// Int_VCVTTSD2SIZrm
-    135283908U,	// Int_VCVTTSD2SIZrr
-    571496201U,	// Int_VCVTTSD2SIrm
-    135288585U,	// Int_VCVTTSD2SIrr
-    571491574U,	// Int_VCVTTSD2USI64Zrm
-    135283958U,	// Int_VCVTTSD2USI64Zrr
-    571491574U,	// Int_VCVTTSD2USIZrm
-    135283958U,	// Int_VCVTTSD2USIZrr
-    605045981U,	// Int_VCVTTSS2SI64Zrm
-    135283933U,	// Int_VCVTTSS2SI64Zrr
-    605050656U,	// Int_VCVTTSS2SI64rm
-    135288608U,	// Int_VCVTTSS2SI64rr
-    605045981U,	// Int_VCVTTSS2SIZrm
-    135283933U,	// Int_VCVTTSS2SIZrr
-    605050656U,	// Int_VCVTTSS2SIrm
-    135288608U,	// Int_VCVTTSS2SIrr
-    605046033U,	// Int_VCVTTSS2USI64Zrm
-    135283985U,	// Int_VCVTTSS2USI64Zrr
-    605046033U,	// Int_VCVTTSS2USIZrm
-    135283985U,	// Int_VCVTTSS2USIZrr
-    2282771245U,	// Int_VCVTUSI2SD64Zrm
-    2282771245U,	// Int_VCVTUSI2SD64Zrr
-    2282771245U,	// Int_VCVTUSI2SDZrm
-    2282771245U,	// Int_VCVTUSI2SDZrr
-    2282774481U,	// Int_VCVTUSI2SS64Zrm
-    2282774481U,	// Int_VCVTUSI2SS64Zrr
-    2282774481U,	// Int_VCVTUSI2SSZrm
-    2282774481U,	// Int_VCVTUSI2SSZrr
-    537940934U,	// Int_VUCOMISDZrm
-    135287750U,	// Int_VUCOMISDZrr
-    537940934U,	// Int_VUCOMISDrm
-    135287750U,	// Int_VUCOMISDrr
-    537944151U,	// Int_VUCOMISSZrm
-    135290967U,	// Int_VUCOMISSZrr
-    537944151U,	// Int_VUCOMISSrm
-    135290967U,	// Int_VUCOMISSrr
-    152904U,	// JAE_1
-    152904U,	// JAE_2
-    152904U,	// JAE_4
-    150676U,	// JA_1
-    150676U,	// JA_2
-    150676U,	// JA_4
-    152924U,	// JBE_1
-    152924U,	// JBE_2
-    152924U,	// JBE_4
-    150795U,	// JB_1
-    150795U,	// JB_2
-    150795U,	// JB_4
-    156865U,	// JCXZ
-    156858U,	// JECXZ_32
-    156858U,	// JECXZ_64
-    152980U,	// JE_1
-    152980U,	// JE_2
-    152980U,	// JE_4
-    152951U,	// JGE_1
-    152951U,	// JGE_2
-    152951U,	// JGE_4
-    153153U,	// JG_1
-    153153U,	// JG_2
-    153153U,	// JG_4
-    152984U,	// JLE_1
-    152984U,	// JLE_2
-    152984U,	// JLE_4
-    153451U,	// JL_1
-    153451U,	// JL_2
-    153451U,	// JL_4
-    39033U,	// JMP16m
-    22649U,	// JMP16r
-    71801U,	// JMP32m
-    22649U,	// JMP32r
-    88185U,	// JMP64m
-    22649U,	// JMP64r
-    153721U,	// JMP_1
-    153721U,	// JMP_2
-    153721U,	// JMP_4
-    153004U,	// JNE_1
-    153004U,	// JNE_2
-    153004U,	// JNE_4
-    153637U,	// JNO_1
-    153637U,	// JNO_2
-    153637U,	// JNO_4
-    153749U,	// JNP_1
-    153749U,	// JNP_2
-    153749U,	// JNP_4
-    154683U,	// JNS_1
-    154683U,	// JNS_2
-    154683U,	// JNS_4
-    153633U,	// JO_1
-    153633U,	// JO_2
-    153633U,	// JO_4
-    153704U,	// JP_1
-    153704U,	// JP_2
-    153704U,	// JP_4
-    156871U,	// JRCXZ
-    154679U,	// JS_1
-    154679U,	// JS_2
-    154679U,	// JS_4
-    2282769025U,	// KANDNWrr
-    2282768973U,	// KANDWrr
-    135285473U,	// KMOVWkk
-    370166497U,	// KMOVWkm
-    135285473U,	// KMOVWkr
-    1084129U,	// KMOVWmk
-    135285473U,	// KMOVWrk
-    135285454U,	// KNOTWrr
-    135285462U,	// KORTESTWrr
-    2282769067U,	// KORWrr
+    211987949U,	// Int_CMPSDrm
+    77786605U,	// Int_CMPSDrr
+    246590957U,	// Int_CMPSSrm
+    78835181U,	// Int_CMPSSrr
+    537941100U,	// Int_COMISDrm
+    303060076U,	// Int_COMISDrr
+    537944317U,	// Int_COMISSrm
+    303063293U,	// Int_COMISSrr
+    202399840U,	// Int_CVTSD2SSrm
+    68182112U,	// Int_CVTSD2SSrr
+    135287751U,	// Int_CVTSI2SD64rm
+    68178887U,	// Int_CVTSI2SD64rr
+    101733319U,	// Int_CVTSI2SDrm
+    68178887U,	// Int_CVTSI2SDrr
+    135290987U,	// Int_CVTSI2SS64rm
+    68182123U,	// Int_CVTSI2SS64rr
+    101736555U,	// Int_CVTSI2SSrm
+    68182123U,	// Int_CVTSI2SSrr
+    235951070U,	// Int_CVTSS2SDrm
+    68178910U,	// Int_CVTSS2SDrr
+    571496366U,	// Int_CVTTSD2SI64rm
+    303060910U,	// Int_CVTTSD2SI64rr
+    571496366U,	// Int_CVTTSD2SIrm
+    303060910U,	// Int_CVTTSD2SIrr
+    605050821U,	// Int_CVTTSS2SI64rm
+    303060933U,	// Int_CVTTSS2SI64rr
+    605050821U,	// Int_CVTTSS2SIrm
+    303060933U,	// Int_CVTTSS2SIrr
+    11162U,	// Int_MemBarrier
+    537941099U,	// Int_UCOMISDrm
+    303060075U,	// Int_UCOMISDrr
+    537944316U,	// Int_UCOMISSrm
+    303063292U,	// Int_UCOMISSrr
+    2460315121U,	// Int_VCMPSDrm
+    2460331505U,	// Int_VCMPSDrr
+    2461363697U,	// Int_VCMPSSrm
+    2461380081U,	// Int_VCMPSSrr
+    537941108U,	// Int_VCOMISDZrm
+    303060084U,	// Int_VCOMISDZrr
+    537941108U,	// Int_VCOMISDrm
+    303060084U,	// Int_VCOMISDrr
+    537944325U,	// Int_VCOMISSZrm
+    303063301U,	// Int_VCOMISSZrr
+    537944325U,	// Int_VCOMISSrm
+    303063301U,	// Int_VCOMISSrr
+    2450546783U,	// Int_VCVTSD2SSrm
+    2450546783U,	// Int_VCVTSD2SSrr
+    2450543558U,	// Int_VCVTSI2SD64Zrm
+    2450543558U,	// Int_VCVTSI2SD64Zrr
+    2450543558U,	// Int_VCVTSI2SD64rm
+    2450543558U,	// Int_VCVTSI2SD64rr
+    2450543558U,	// Int_VCVTSI2SDZrm
+    2450543558U,	// Int_VCVTSI2SDZrr
+    2450543558U,	// Int_VCVTSI2SDrm
+    2450543558U,	// Int_VCVTSI2SDrr
+    2450546794U,	// Int_VCVTSI2SS64Zrm
+    2450546794U,	// Int_VCVTSI2SS64Zrr
+    2450546794U,	// Int_VCVTSI2SS64rm
+    2450546794U,	// Int_VCVTSI2SS64rr
+    2450546794U,	// Int_VCVTSI2SSZrm
+    2450546794U,	// Int_VCVTSI2SSZrr
+    2450546794U,	// Int_VCVTSI2SSrm
+    2450546794U,	// Int_VCVTSI2SSrr
+    2450543581U,	// Int_VCVTSS2SDrm
+    2450543581U,	// Int_VCVTSS2SDrr
+    571491619U,	// Int_VCVTTSD2SI64Zrm
+    303056163U,	// Int_VCVTTSD2SI64Zrr
+    571496365U,	// Int_VCVTTSD2SI64rm
+    303060909U,	// Int_VCVTTSD2SI64rr
+    571491619U,	// Int_VCVTTSD2SIZrm
+    303056163U,	// Int_VCVTTSD2SIZrr
+    571496365U,	// Int_VCVTTSD2SIrm
+    303060909U,	// Int_VCVTTSD2SIrr
+    571491669U,	// Int_VCVTTSD2USI64Zrm
+    303056213U,	// Int_VCVTTSD2USI64Zrr
+    571491669U,	// Int_VCVTTSD2USIZrm
+    303056213U,	// Int_VCVTTSD2USIZrr
+    605046076U,	// Int_VCVTTSS2SI64Zrm
+    303056188U,	// Int_VCVTTSS2SI64Zrr
+    605050820U,	// Int_VCVTTSS2SI64rm
+    303060932U,	// Int_VCVTTSS2SI64rr
+    605046076U,	// Int_VCVTTSS2SIZrm
+    303056188U,	// Int_VCVTTSS2SIZrr
+    605050820U,	// Int_VCVTTSS2SIrm
+    303060932U,	// Int_VCVTTSS2SIrr
+    605046128U,	// Int_VCVTTSS2USI64Zrm
+    303056240U,	// Int_VCVTTSS2USI64Zrr
+    605046128U,	// Int_VCVTTSS2USIZrm
+    303056240U,	// Int_VCVTTSS2USIZrr
+    2450543569U,	// Int_VCVTUSI2SD64Zrm
+    2450543569U,	// Int_VCVTUSI2SD64Zrr
+    2450543569U,	// Int_VCVTUSI2SDZrm
+    2450543569U,	// Int_VCVTUSI2SDZrr
+    2450546805U,	// Int_VCVTUSI2SS64Zrm
+    2450546805U,	// Int_VCVTUSI2SS64Zrr
+    2450546805U,	// Int_VCVTUSI2SSZrm
+    2450546805U,	// Int_VCVTUSI2SSZrr
+    537941098U,	// Int_VUCOMISDZrm
+    303060074U,	// Int_VUCOMISDZrr
+    537941098U,	// Int_VUCOMISDrm
+    303060074U,	// Int_VUCOMISDrr
+    537944315U,	// Int_VUCOMISSZrm
+    303063291U,	// Int_VUCOMISSZrr
+    537944315U,	// Int_VUCOMISSrm
+    303063291U,	// Int_VUCOMISSrr
+    153068U,	// JAE_1
+    153068U,	// JAE_2
+    153068U,	// JAE_4
+    150840U,	// JA_1
+    150840U,	// JA_2
+    150840U,	// JA_4
+    153088U,	// JBE_1
+    153088U,	// JBE_2
+    153088U,	// JBE_4
+    150959U,	// JB_1
+    150959U,	// JB_2
+    150959U,	// JB_4
+    157029U,	// JCXZ
+    157022U,	// JECXZ_32
+    157022U,	// JECXZ_64
+    153144U,	// JE_1
+    153144U,	// JE_2
+    153144U,	// JE_4
+    153115U,	// JGE_1
+    153115U,	// JGE_2
+    153115U,	// JGE_4
+    153317U,	// JG_1
+    153317U,	// JG_2
+    153317U,	// JG_4
+    153148U,	// JLE_1
+    153148U,	// JLE_2
+    153148U,	// JLE_4
+    153615U,	// JL_1
+    153615U,	// JL_2
+    153615U,	// JL_4
+    39197U,	// JMP16m
+    22813U,	// JMP16r
+    71965U,	// JMP32m
+    22813U,	// JMP32r
+    88349U,	// JMP64m
+    22813U,	// JMP64r
+    153885U,	// JMP_1
+    153885U,	// JMP_2
+    153885U,	// JMP_4
+    153168U,	// JNE_1
+    153168U,	// JNE_2
+    153168U,	// JNE_4
+    153801U,	// JNO_1
+    153801U,	// JNO_2
+    153801U,	// JNO_4
+    153913U,	// JNP_1
+    153913U,	// JNP_2
+    153913U,	// JNP_4
+    154847U,	// JNS_1
+    154847U,	// JNS_2
+    154847U,	// JNS_4
+    153797U,	// JO_1
+    153797U,	// JO_2
+    153797U,	// JO_4
+    153868U,	// JP_1
+    153868U,	// JP_2
+    153868U,	// JP_4
+    157035U,	// JRCXZ
+    154843U,	// JS_1
+    154843U,	// JS_2
+    154843U,	// JS_4
+    2450538533U,	// KANDBrr
+    2450538747U,	// KANDDrr
+    2450538574U,	// KANDNBrr
+    2450538873U,	// KANDNDrr
+    2450540350U,	// KANDNQrr
+    2450541306U,	// KANDNWrr
+    2450540127U,	// KANDQrr
+    2450541254U,	// KANDWrr
+    303055000U,	// KMOVBkk
+    504381592U,	// KMOVBkm
+    303055000U,	// KMOVBkr
+    1147032U,	// KMOVBmk
+    303055000U,	// KMOVBrk
+    303056119U,	// KMOVDkk
+    269501687U,	// KMOVDkm
+    303056119U,	// KMOVDkr
+    1115383U,	// KMOVDmk
+    303056119U,	// KMOVDrk
+    303056926U,	// KMOVQkk
+    336611358U,	// KMOVQkm
+    303056926U,	// KMOVQkr
+    1132574U,	// KMOVQmk
+    303056926U,	// KMOVQrk
+    303057754U,	// KMOVWkk
+    437275482U,	// KMOVWkm
+    303057754U,	// KMOVWkr
+    1084250U,	// KMOVWmk
+    303057754U,	// KMOVWrk
+    303054992U,	// KNOTBrr
+    303056046U,	// KNOTDrr
+    303056853U,	// KNOTQrr
+    303057735U,	// KNOTWrr
+    2450538616U,	// KORBrr
+    2450539430U,	// KORDrr
+    2450540406U,	// KORQrr
+    303057743U,	// KORTESTWrr
+    2450541348U,	// KORWrr
     0U,	// KSET0B
     0U,	// KSET0W
     0U,	// KSET1B
     0U,	// KSET1W
-    2282769014U,	// KSHIFTLWri
-    2282769091U,	// KSHIFTRWri
-    2282768962U,	// KUNPCKBWrr
-    2282769074U,	// KXNORWrr
-    2282769083U,	// KXORWrr
-    12082U,	// LAHF
-    370170739U,	// LAR16rm
-    135289715U,	// LAR16rr
-    370170739U,	// LAR32rm
-    135289715U,	// LAR32rr
-    370170739U,	// LAR64rm
-    135289715U,	// LAR64rr
-    1087032U,	// LCMPXCHG16
-    265414U,	// LCMPXCHG16B
-    1119800U,	// LCMPXCHG32
-    1136184U,	// LCMPXCHG64
-    1152568U,	// LCMPXCHG8
-    85202U,	// LCMPXCHG8B
-    336617908U,	// LDDQUrm
-    72663U,	// LDMXCSR
-    672160803U,	// LDS16rm
-    672160803U,	// LDS32rm
-    12949U,	// LD_F0
-    11440U,	// LD_F1
-    118620U,	// LD_F32m
-    135004U,	// LD_F64m
-    380764U,	// LD_F80m
+    2450541295U,	// KSHIFTLWri
+    2450541372U,	// KSHIFTRWri
+    2450541243U,	// KUNPCKBWrr
+    2450538623U,	// KXNORBrr
+    2450539437U,	// KXNORDrr
+    2450540413U,	// KXNORQrr
+    2450541355U,	// KXNORWrr
+    2450538632U,	// KXORBrr
+    2450539454U,	// KXORDrr
+    2450540430U,	// KXORQrr
+    2450541364U,	// KXORWrr
+    11525U,	// LAHF
+    437279767U,	// LAR16rm
+    303062039U,	// LAR16rr
+    437279767U,	// LAR32rm
+    303062039U,	// LAR32rr
+    437279767U,	// LAR64rm
+    303062039U,	// LAR64rr
+    1087196U,	// LCMPXCHG16
+    265578U,	// LCMPXCHG16B
+    1119964U,	// LCMPXCHG32
+    1136348U,	// LCMPXCHG64
+    1152732U,	// LCMPXCHG8
+    85366U,	// LCMPXCHG8B
+    403726936U,	// LDDQUrm
+    72827U,	// LDMXCSR
+    672160967U,	// LDS16rm
+    672160967U,	// LDS32rm
+    12404U,	// LD_F0
+    10852U,	// LD_F1
+    118784U,	// LD_F32m
+    135168U,	// LD_F64m
+    380928U,	// LD_F80m
     0U,	// LD_Fp032
     0U,	// LD_Fp064
     0U,	// LD_Fp080
@@ -1239,582 +1226,583 @@
     0U,	// LD_Fp64m
     0U,	// LD_Fp64m80
     0U,	// LD_Fp80m
-    20316U,	// LD_Frr
-    101731471U,	// LEA16r
-    101731471U,	// LEA32r
-    101731471U,	// LEA64_32r
-    168840335U,	// LEA64r
-    12069U,	// LEAVE
-    12069U,	// LEAVE64
-    672160808U,	// LES16rm
-    672160808U,	// LES32rm
-    11985U,	// LFENCE
-    672160813U,	// LFS16rm
-    672160813U,	// LFS32rm
-    672160813U,	// LFS64rm
-    286955U,	// LGDT16m
-    286955U,	// LGDT32m
-    286955U,	// LGDT64m
-    672160818U,	// LGS16rm
-    672160818U,	// LGS32rm
-    672160818U,	// LGS64rm
-    286967U,	// LIDT16m
-    286967U,	// LIDT32m
-    286967U,	// LIDT64m
-    41219U,	// LLDT16m
-    24835U,	// LLDT16r
-    41877U,	// LMSW16m
-    25493U,	// LMSW16r
-    1085143U,	// LOCK_ADD16mi
-    1085143U,	// LOCK_ADD16mi8
-    1085143U,	// LOCK_ADD16mr
-    1117911U,	// LOCK_ADD32mi
-    1117911U,	// LOCK_ADD32mi8
-    1117911U,	// LOCK_ADD32mr
-    1134295U,	// LOCK_ADD64mi32
-    1134295U,	// LOCK_ADD64mi8
-    1134295U,	// LOCK_ADD64mr
-    1150679U,	// LOCK_ADD8mi
-    1150679U,	// LOCK_ADD8mr
-    1085347U,	// LOCK_AND16mi
-    1085347U,	// LOCK_AND16mi8
-    1085347U,	// LOCK_AND16mr
-    1118115U,	// LOCK_AND32mi
-    1118115U,	// LOCK_AND32mi8
-    1118115U,	// LOCK_AND32mr
-    1134499U,	// LOCK_AND64mi32
-    1134499U,	// LOCK_AND64mi8
-    1134499U,	// LOCK_AND64mr
-    1150883U,	// LOCK_AND8mi
-    1150883U,	// LOCK_AND8mr
-    36421U,	// LOCK_DEC16m
-    69189U,	// LOCK_DEC32m
-    85573U,	// LOCK_DEC64m
-    101957U,	// LOCK_DEC8m
-    36466U,	// LOCK_INC16m
-    69234U,	// LOCK_INC32m
-    85618U,	// LOCK_INC64m
-    102002U,	// LOCK_INC8m
-    1088426U,	// LOCK_OR16mi
-    1088426U,	// LOCK_OR16mi8
-    1088426U,	// LOCK_OR16mr
-    1121194U,	// LOCK_OR32mi
-    1121194U,	// LOCK_OR32mi8
-    1121194U,	// LOCK_OR32mr
-    1137578U,	// LOCK_OR64mi32
-    1137578U,	// LOCK_OR64mi8
-    1137578U,	// LOCK_OR64mr
-    1153962U,	// LOCK_OR8mi
-    1153962U,	// LOCK_OR8mr
-    12156U,	// LOCK_PREFIX
-    1084903U,	// LOCK_SUB16mi
-    1084903U,	// LOCK_SUB16mi8
-    1084903U,	// LOCK_SUB16mr
-    1117671U,	// LOCK_SUB32mi
-    1117671U,	// LOCK_SUB32mi8
-    1117671U,	// LOCK_SUB32mr
-    1134055U,	// LOCK_SUB64mi32
-    1134055U,	// LOCK_SUB64mi8
-    1134055U,	// LOCK_SUB64mr
-    1150439U,	// LOCK_SUB8mi
-    1150439U,	// LOCK_SUB8mr
-    1088454U,	// LOCK_XOR16mi
-    1088454U,	// LOCK_XOR16mi8
-    1088454U,	// LOCK_XOR16mr
-    1121222U,	// LOCK_XOR32mi
-    1121222U,	// LOCK_XOR32mi8
-    1121222U,	// LOCK_XOR32mr
-    1137606U,	// LOCK_XOR64mi32
-    1137606U,	// LOCK_XOR64mi8
-    1137606U,	// LOCK_XOR64mr
-    1153990U,	// LOCK_XOR8mi
-    1153990U,	// LOCK_XOR8mr
-    402784U,	// LODSB
-    419468U,	// LODSL
-    436021U,	// LODSQ
-    452163U,	// LODSW
-    153774U,	// LOOP
-    153032U,	// LOOPE
-    153009U,	// LOOPNE
-    22061U,	// LRETIL
-    23139U,	// LRETIQ
-    22061U,	// LRETIW
-    12103U,	// LRETL
-    12376U,	// LRETQ
-    12103U,	// LRETW
-    370169770U,	// LSL16rm
-    135288746U,	// LSL16rr
-    101734314U,	// LSL32rm
-    135288746U,	// LSL32rr
-    168843178U,	// LSL64rm
-    135288746U,	// LSL64rr
-    672161901U,	// LSS16rm
-    672161901U,	// LSS32rm
-    672161901U,	// LSS64rm
-    39925U,	// LTRm
-    23541U,	// LTRr
-    462563U,	// LXADD16
-    478947U,	// LXADD32
-    495331U,	// LXADD64
-    511715U,	// LXADD8
-    370172197U,	// LZCNT16rm
-    135291173U,	// LZCNT16rr
-    101736741U,	// LZCNT32rm
-    135291173U,	// LZCNT32rr
-    168845605U,	// LZCNT64rm
-    135291173U,	// LZCNT64rr
-    135291324U,	// MASKMOVDQU
-    135291324U,	// MASKMOVDQU64
-    202396280U,	// MAXCPDrm
-    68178552U,	// MAXCPDrr
-    202399551U,	// MAXCPSrm
-    68181823U,	// MAXCPSrr
-    235951155U,	// MAXCSDrm
-    68178995U,	// MAXCSDrr
-    269508805U,	// MAXCSSrm
-    68182213U,	// MAXCSSrr
-    202396280U,	// MAXPDrm
-    68178552U,	// MAXPDrr
-    202399551U,	// MAXPSrm
-    68181823U,	// MAXPSrr
-    235951155U,	// MAXSDrm
-    235951155U,	// MAXSDrm_Int
-    68178995U,	// MAXSDrr
-    68178995U,	// MAXSDrr_Int
-    269508805U,	// MAXSSrm
-    269508805U,	// MAXSSrm_Int
-    68182213U,	// MAXSSrr
-    68182213U,	// MAXSSrr_Int
-    11992U,	// MFENCE
-    202396162U,	// MINCPDrm
-    68178434U,	// MINCPDrr
-    202399392U,	// MINCPSrm
-    68181664U,	// MINCPSrr
-    235951075U,	// MINCSDrm
-    68178915U,	// MINCSDrr
-    269508723U,	// MINCSSrm
-    68182131U,	// MINCSSrr
-    202396162U,	// MINPDrm
-    68178434U,	// MINPDrr
-    202399392U,	// MINPSrm
-    68181664U,	// MINPSrr
-    235951075U,	// MINSDrm
-    235951075U,	// MINSDrm_Int
-    68178915U,	// MINSDrr
-    68178915U,	// MINSDrr_Int
-    269508723U,	// MINSSrm
-    269508723U,	// MINSSrm_Int
-    68182131U,	// MINSSrr
-    68182131U,	// MINSSrr_Int
-    537941697U,	// MMX_CVTPD2PIirm
-    135288513U,	// MMX_CVTPD2PIirr
-    168841324U,	// MMX_CVTPI2PDirm
-    135286892U,	// MMX_CVTPI2PDirr
-    470834449U,	// MMX_CVTPI2PSirm
-    68181265U,	// MMX_CVTPI2PSirr
-    571496150U,	// MMX_CVTPS2PIirm
-    135288534U,	// MMX_CVTPS2PIirr
-    537941686U,	// MMX_CVTTPD2PIirm
-    135288502U,	// MMX_CVTTPD2PIirr
-    571496139U,	// MMX_CVTTPS2PIirm
-    135288523U,	// MMX_CVTTPS2PIirr
-    12597U,	// MMX_EMMS
-    135289646U,	// MMX_MASKMOVQ
-    135289646U,	// MMX_MASKMOVQ64
-    135287984U,	// MMX_MOVD64from64rr
-    135287984U,	// MMX_MOVD64grr
-    1119408U,	// MMX_MOVD64mr
-    101733552U,	// MMX_MOVD64rm
-    135287984U,	// MMX_MOVD64rr
-    135287984U,	// MMX_MOVD64to64rr
-    135289129U,	// MMX_MOVDQ2Qrr
-    135289129U,	// MMX_MOVFR642Qrr
-    1137377U,	// MMX_MOVNTQmr
-    135289220U,	// MMX_MOVQ2DQrr
-    135289220U,	// MMX_MOVQ2FR64rr
-    1137458U,	// MMX_MOVQ64mr
-    168844082U,	// MMX_MOVQ64rm
-    135289650U,	// MMX_MOVQ64rr
-    168840528U,	// MMX_PABSBrm64
-    135286096U,	// MMX_PABSBrr64
-    168842107U,	// MMX_PABSDrm64
-    135287675U,	// MMX_PABSDrr64
-    168846172U,	// MMX_PABSWrm64
-    135291740U,	// MMX_PABSWrr64
-    470835875U,	// MMX_PACKSSDWirm
-    68182691U,	// MMX_PACKSSDWirr
-    470830607U,	// MMX_PACKSSWBirm
-    68177423U,	// MMX_PACKSSWBirr
-    470830618U,	// MMX_PACKUSWBirm
-    68177434U,	// MMX_PACKUSWBirr
-    470830323U,	// MMX_PADDBirm
-    68177139U,	// MMX_PADDBirr
-    470830835U,	// MMX_PADDDirm
-    68177651U,	// MMX_PADDDirr
-    470833583U,	// MMX_PADDQirm
-    68180399U,	// MMX_PADDQirr
-    470830433U,	// MMX_PADDSBirm
-    68177249U,	// MMX_PADDSBirr
-    470836109U,	// MMX_PADDSWirm
-    68182925U,	// MMX_PADDSWirr
-    470830475U,	// MMX_PADDUSBirm
-    68177291U,	// MMX_PADDUSBirr
-    470836182U,	// MMX_PADDUSWirm
-    68182998U,	// MMX_PADDUSWirr
-    470835857U,	// MMX_PADDWirm
-    68182673U,	// MMX_PADDWirr
-    2618317727U,	// MMX_PALIGNR64irm
-    2215664543U,	// MMX_PALIGNR64irr
-    470833156U,	// MMX_PANDNirm
-    68179972U,	// MMX_PANDNirr
-    470831010U,	// MMX_PANDirm
-    68177826U,	// MMX_PANDirr
-    470830340U,	// MMX_PAVGBirm
-    68177156U,	// MMX_PAVGBirr
-    470835912U,	// MMX_PAVGWirm
-    68182728U,	// MMX_PAVGWirr
-    470830388U,	// MMX_PCMPEQBirm
-    68177204U,	// MMX_PCMPEQBirr
-    470831753U,	// MMX_PCMPEQDirm
-    68178569U,	// MMX_PCMPEQDirr
-    470836017U,	// MMX_PCMPEQWirm
-    68182833U,	// MMX_PCMPEQWirr
-    470830516U,	// MMX_PCMPGTBirm
-    68177332U,	// MMX_PCMPGTBirr
-    470832204U,	// MMX_PCMPGTDirm
-    68179020U,	// MMX_PCMPGTDirr
-    470836208U,	// MMX_PCMPGTWirm
-    68183024U,	// MMX_PCMPGTWirr
-    2282775379U,	// MMX_PEXTRWirri
-    470836099U,	// MMX_PHADDSWrm64
-    68182915U,	// MMX_PHADDSWrr64
-    470835848U,	// MMX_PHADDWrm64
-    68182664U,	// MMX_PHADDWrr64
-    470830826U,	// MMX_PHADDrm64
-    68177642U,	// MMX_PHADDrr64
-    470830767U,	// MMX_PHSUBDrm64
-    68177583U,	// MMX_PHSUBDrr64
-    470836080U,	// MMX_PHSUBSWrm64
-    68182896U,	// MMX_PHSUBSWrr64
-    470835794U,	// MMX_PHSUBWrm64
-    68182610U,	// MMX_PHSUBWrr64
-    2551210826U,	// MMX_PINSRWirmi
-    2215666506U,	// MMX_PINSRWirri
-    470836068U,	// MMX_PMADDUBSWrm64
-    68182884U,	// MMX_PMADDUBSWrr64
-    470832338U,	// MMX_PMADDWDirm
-    68179154U,	// MMX_PMADDWDirr
-    470836199U,	// MMX_PMAXSWirm
-    68183015U,	// MMX_PMAXSWirr
-    470830580U,	// MMX_PMAXUBirm
-    68177396U,	// MMX_PMAXUBirr
-    470836130U,	// MMX_PMINSWirm
-    68182946U,	// MMX_PMINSWirr
-    470830557U,	// MMX_PMINUBirm
-    68177373U,	// MMX_PMINUBirr
-    135286032U,	// MMX_PMOVMSKBrr
-    470836153U,	// MMX_PMULHRSWrm64
-    68182969U,	// MMX_PMULHRSWrr64
-    470836240U,	// MMX_PMULHUWirm
-    68183056U,	// MMX_PMULHUWirr
-    470835941U,	// MMX_PMULHWirm
-    68182757U,	// MMX_PMULHWirr
-    470835983U,	// MMX_PMULLWirm
-    68182799U,	// MMX_PMULLWirr
-    470833723U,	// MMX_PMULUDQirm
-    68180539U,	// MMX_PMULUDQirr
-    470834089U,	// MMX_PORirm
-    68180905U,	// MMX_PORirr
-    470835731U,	// MMX_PSADBWirm
-    68182547U,	// MMX_PSADBWirr
-    470830331U,	// MMX_PSHUFBrm64
-    68177147U,	// MMX_PSHUFBrr64
-    2316329663U,	// MMX_PSHUFWmi
-    2282775231U,	// MMX_PSHUFWri
-    470830379U,	// MMX_PSIGNBrm64
-    68177195U,	// MMX_PSIGNBrr64
-    470831025U,	// MMX_PSIGNDrm64
-    68177841U,	// MMX_PSIGNDrr64
-    470836008U,	// MMX_PSIGNWrm64
-    68182824U,	// MMX_PSIGNWrr64
-    68177776U,	// MMX_PSLLDri
-    470830960U,	// MMX_PSLLDrm
-    68177776U,	// MMX_PSLLDrr
-    68180595U,	// MMX_PSLLQri
-    470833779U,	// MMX_PSLLQrm
-    68180595U,	// MMX_PSLLQrr
-    68182791U,	// MMX_PSLLWri
-    470835975U,	// MMX_PSLLWrm
-    68182791U,	// MMX_PSLLWrr
-    68177554U,	// MMX_PSRADri
-    470830738U,	// MMX_PSRADrm
-    68177554U,	// MMX_PSRADrr
-    68182528U,	// MMX_PSRAWri
-    470835712U,	// MMX_PSRAWrm
-    68182528U,	// MMX_PSRAWrr
-    68177793U,	// MMX_PSRLDri
-    470830977U,	// MMX_PSRLDrm
-    68177793U,	// MMX_PSRLDrr
-    68180603U,	// MMX_PSRLQri
-    470833787U,	// MMX_PSRLQrm
-    68180603U,	// MMX_PSRLQrr
-    68182808U,	// MMX_PSRLWri
-    470835992U,	// MMX_PSRLWrm
-    68182808U,	// MMX_PSRLWrr
-    470830315U,	// MMX_PSUBBirm
-    68177131U,	// MMX_PSUBBirr
-    470830776U,	// MMX_PSUBDirm
-    68177592U,	// MMX_PSUBDirr
-    470833488U,	// MMX_PSUBQirm
-    68180304U,	// MMX_PSUBQirr
-    470830424U,	// MMX_PSUBSBirm
-    68177240U,	// MMX_PSUBSBirr
-    470836090U,	// MMX_PSUBSWirm
-    68182906U,	// MMX_PSUBSWirr
-    470830465U,	// MMX_PSUBUSBirm
-    68177281U,	// MMX_PSUBUSBirr
-    470836172U,	// MMX_PSUBUSWirm
-    68182988U,	// MMX_PSUBUSWirr
-    470835803U,	// MMX_PSUBWirm
-    68182619U,	// MMX_PSUBWirr
-    470835759U,	// MMX_PUNPCKHBWirm
-    68182575U,	// MMX_PUNPCKHBWirr
-    470833601U,	// MMX_PUNPCKHDQirm
-    68180417U,	// MMX_PUNPCKHDQirr
-    470832348U,	// MMX_PUNPCKHWDirm
-    68179164U,	// MMX_PUNPCKHWDirr
-    470835771U,	// MMX_PUNPCKLBWirm
-    68182587U,	// MMX_PUNPCKLBWirr
-    470833613U,	// MMX_PUNPCKLDQirm
-    68180429U,	// MMX_PUNPCKLDQirr
-    470832360U,	// MMX_PUNPCKLWDirm
-    68179176U,	// MMX_PUNPCKLWDirr
-    470834117U,	// MMX_PXORirm
-    68180933U,	// MMX_PXORirr
+    20480U,	// LD_Frr
+    437275955U,	// LEA16r
+    269503795U,	// LEA32r
+    269503795U,	// LEA64_32r
+    336612659U,	// LEA64r
+    11512U,	// LEAVE
+    11512U,	// LEAVE64
+    672160972U,	// LES16rm
+    672160972U,	// LES32rm
+    11397U,	// LFENCE
+    672160977U,	// LFS16rm
+    672160977U,	// LFS32rm
+    672160977U,	// LFS64rm
+    287119U,	// LGDT16m
+    287119U,	// LGDT32m
+    287119U,	// LGDT64m
+    672160982U,	// LGS16rm
+    672160982U,	// LGS32rm
+    672160982U,	// LGS64rm
+    287131U,	// LIDT16m
+    287131U,	// LIDT32m
+    287131U,	// LIDT64m
+    41383U,	// LLDT16m
+    24999U,	// LLDT16r
+    42041U,	// LMSW16m
+    25657U,	// LMSW16r
+    1085307U,	// LOCK_ADD16mi
+    1085307U,	// LOCK_ADD16mi8
+    1085307U,	// LOCK_ADD16mr
+    1118075U,	// LOCK_ADD32mi
+    1118075U,	// LOCK_ADD32mi8
+    1118075U,	// LOCK_ADD32mr
+    1134459U,	// LOCK_ADD64mi32
+    1134459U,	// LOCK_ADD64mi8
+    1134459U,	// LOCK_ADD64mr
+    1150843U,	// LOCK_ADD8mi
+    1150843U,	// LOCK_ADD8mr
+    1085511U,	// LOCK_AND16mi
+    1085511U,	// LOCK_AND16mi8
+    1085511U,	// LOCK_AND16mr
+    1118279U,	// LOCK_AND32mi
+    1118279U,	// LOCK_AND32mi8
+    1118279U,	// LOCK_AND32mr
+    1134663U,	// LOCK_AND64mi32
+    1134663U,	// LOCK_AND64mi8
+    1134663U,	// LOCK_AND64mr
+    1151047U,	// LOCK_AND8mi
+    1151047U,	// LOCK_AND8mr
+    36585U,	// LOCK_DEC16m
+    69353U,	// LOCK_DEC32m
+    85737U,	// LOCK_DEC64m
+    102121U,	// LOCK_DEC8m
+    36630U,	// LOCK_INC16m
+    69398U,	// LOCK_INC32m
+    85782U,	// LOCK_INC64m
+    102166U,	// LOCK_INC8m
+    1088590U,	// LOCK_OR16mi
+    1088590U,	// LOCK_OR16mi8
+    1088590U,	// LOCK_OR16mr
+    1121358U,	// LOCK_OR32mi
+    1121358U,	// LOCK_OR32mi8
+    1121358U,	// LOCK_OR32mr
+    1137742U,	// LOCK_OR64mi32
+    1137742U,	// LOCK_OR64mi8
+    1137742U,	// LOCK_OR64mr
+    1154126U,	// LOCK_OR8mi
+    1154126U,	// LOCK_OR8mr
+    11599U,	// LOCK_PREFIX
+    1085067U,	// LOCK_SUB16mi
+    1085067U,	// LOCK_SUB16mi8
+    1085067U,	// LOCK_SUB16mr
+    1117835U,	// LOCK_SUB32mi
+    1117835U,	// LOCK_SUB32mi8
+    1117835U,	// LOCK_SUB32mr
+    1134219U,	// LOCK_SUB64mi32
+    1134219U,	// LOCK_SUB64mi8
+    1134219U,	// LOCK_SUB64mr
+    1150603U,	// LOCK_SUB8mi
+    1150603U,	// LOCK_SUB8mr
+    1088618U,	// LOCK_XOR16mi
+    1088618U,	// LOCK_XOR16mi8
+    1088618U,	// LOCK_XOR16mr
+    1121386U,	// LOCK_XOR32mi
+    1121386U,	// LOCK_XOR32mi8
+    1121386U,	// LOCK_XOR32mr
+    1137770U,	// LOCK_XOR64mi32
+    1137770U,	// LOCK_XOR64mi8
+    1137770U,	// LOCK_XOR64mr
+    1154154U,	// LOCK_XOR8mi
+    1154154U,	// LOCK_XOR8mr
+    402948U,	// LODSB
+    419632U,	// LODSL
+    436185U,	// LODSQ
+    452327U,	// LODSW
+    153938U,	// LOOP
+    153196U,	// LOOPE
+    153173U,	// LOOPNE
+    22225U,	// LRETIL
+    23303U,	// LRETIQ
+    22225U,	// LRETIW
+    11546U,	// LRETL
+    11819U,	// LRETQ
+    11546U,	// LRETW
+    437278798U,	// LSL16rm
+    303061070U,	// LSL16rr
+    269506638U,	// LSL32rm
+    303061070U,	// LSL32rr
+    336615502U,	// LSL64rm
+    303061070U,	// LSL64rr
+    672162065U,	// LSS16rm
+    672162065U,	// LSS32rm
+    672162065U,	// LSS64rm
+    40089U,	// LTRm
+    23705U,	// LTRr
+    462727U,	// LXADD16
+    479111U,	// LXADD32
+    495495U,	// LXADD64
+    511879U,	// LXADD8
+    437281225U,	// LZCNT16rm
+    303063497U,	// LZCNT16rr
+    269509065U,	// LZCNT32rm
+    303063497U,	// LZCNT32rr
+    336617929U,	// LZCNT64rm
+    303063497U,	// LZCNT64rr
+    303063648U,	// MASKMOVDQU
+    303063648U,	// MASKMOVDQU64
+    168842012U,	// MAXCPDrm
+    68178716U,	// MAXCPDrr
+    168845283U,	// MAXCPSrm
+    68181987U,	// MAXCPSrr
+    202396887U,	// MAXCSDrm
+    68179159U,	// MAXCSDrr
+    235954537U,	// MAXCSSrm
+    68182377U,	// MAXCSSrr
+    168842012U,	// MAXPDrm
+    68178716U,	// MAXPDrr
+    168845283U,	// MAXPSrm
+    68181987U,	// MAXPSrr
+    202396887U,	// MAXSDrm
+    202396887U,	// MAXSDrm_Int
+    68179159U,	// MAXSDrr
+    68179159U,	// MAXSDrr_Int
+    235954537U,	// MAXSSrm
+    235954537U,	// MAXSSrm_Int
+    68182377U,	// MAXSSrr
+    68182377U,	// MAXSSrr_Int
+    11404U,	// MFENCE
+    168841894U,	// MINCPDrm
+    68178598U,	// MINCPDrr
+    168845124U,	// MINCPSrm
+    68181828U,	// MINCPSrr
+    202396807U,	// MINCSDrm
+    68179079U,	// MINCSDrr
+    235954455U,	// MINCSSrm
+    68182295U,	// MINCSSrr
+    168841894U,	// MINPDrm
+    68178598U,	// MINPDrr
+    168845124U,	// MINPSrm
+    68181828U,	// MINPSrr
+    202396807U,	// MINSDrm
+    202396807U,	// MINSDrm_Int
+    68179079U,	// MINSDrr
+    68179079U,	// MINSDrr_Int
+    235954455U,	// MINSSrm
+    235954455U,	// MINSSrm_Int
+    68182295U,	// MINSSrr
+    68182295U,	// MINSSrr_Int
+    537941861U,	// MMX_CVTPD2PIirm
+    303060837U,	// MMX_CVTPD2PIirr
+    336613648U,	// MMX_CVTPI2PDirm
+    303059216U,	// MMX_CVTPI2PDirr
+    135290293U,	// MMX_CVTPI2PSirm
+    68181429U,	// MMX_CVTPI2PSirr
+    571496314U,	// MMX_CVTPS2PIirm
+    303060858U,	// MMX_CVTPS2PIirr
+    537941850U,	// MMX_CVTTPD2PIirm
+    303060826U,	// MMX_CVTTPD2PIirr
+    571496303U,	// MMX_CVTTPS2PIirm
+    303060847U,	// MMX_CVTTPS2PIirr
+    12046U,	// MMX_EMMS
+    303061970U,	// MMX_MASKMOVQ
+    303061970U,	// MMX_MASKMOVQ64
+    303060308U,	// MMX_MOVD64from64rr
+    303060308U,	// MMX_MOVD64grr
+    1119572U,	// MMX_MOVD64mr
+    269505876U,	// MMX_MOVD64rm
+    303060308U,	// MMX_MOVD64rr
+    303060308U,	// MMX_MOVD64to64rr
+    303061453U,	// MMX_MOVDQ2Qrr
+    303061453U,	// MMX_MOVFR642Qrr
+    1137541U,	// MMX_MOVNTQmr
+    303061544U,	// MMX_MOVQ2DQrr
+    303061544U,	// MMX_MOVQ2FR64rr
+    1137622U,	// MMX_MOVQ64mr
+    336616406U,	// MMX_MOVQ64rm
+    303061974U,	// MMX_MOVQ64rr
+    303061974U,	// MMX_MOVQ64rr_REV
+    336612852U,	// MMX_PABSBrm64
+    303058420U,	// MMX_PABSBrr64
+    336614431U,	// MMX_PABSDrm64
+    303059999U,	// MMX_PABSDrr64
+    336618496U,	// MMX_PABSWrm64
+    303064064U,	// MMX_PABSWrr64
+    135291719U,	// MMX_PACKSSDWirm
+    68182855U,	// MMX_PACKSSDWirr
+    135286451U,	// MMX_PACKSSWBirm
+    68177587U,	// MMX_PACKSSWBirr
+    135286462U,	// MMX_PACKUSWBirm
+    68177598U,	// MMX_PACKUSWBirr
+    135286167U,	// MMX_PADDBirm
+    68177303U,	// MMX_PADDBirr
+    135286679U,	// MMX_PADDDirm
+    68177815U,	// MMX_PADDDirr
+    135289427U,	// MMX_PADDQirm
+    68180563U,	// MMX_PADDQirr
+    135286277U,	// MMX_PADDSBirm
+    68177413U,	// MMX_PADDSBirr
+    135291953U,	// MMX_PADDSWirm
+    68183089U,	// MMX_PADDSWirr
+    135286319U,	// MMX_PADDUSBirm
+    68177455U,	// MMX_PADDUSBirr
+    135292026U,	// MMX_PADDUSWirm
+    68183162U,	// MMX_PADDUSWirr
+    135291701U,	// MMX_PADDWirm
+    68182837U,	// MMX_PADDWirr
+    2282773571U,	// MMX_PALIGNR64irm
+    2215664707U,	// MMX_PALIGNR64irr
+    135289000U,	// MMX_PANDNirm
+    68180136U,	// MMX_PANDNirr
+    135286854U,	// MMX_PANDirm
+    68177990U,	// MMX_PANDirr
+    135286184U,	// MMX_PAVGBirm
+    68177320U,	// MMX_PAVGBirr
+    135291756U,	// MMX_PAVGWirm
+    68182892U,	// MMX_PAVGWirr
+    135286232U,	// MMX_PCMPEQBirm
+    68177368U,	// MMX_PCMPEQBirr
+    135287597U,	// MMX_PCMPEQDirm
+    68178733U,	// MMX_PCMPEQDirr
+    135291861U,	// MMX_PCMPEQWirm
+    68182997U,	// MMX_PCMPEQWirr
+    135286360U,	// MMX_PCMPGTBirm
+    68177496U,	// MMX_PCMPGTBirr
+    135288048U,	// MMX_PCMPGTDirm
+    68179184U,	// MMX_PCMPGTDirr
+    135292052U,	// MMX_PCMPGTWirm
+    68183188U,	// MMX_PCMPGTWirr
+    2450547703U,	// MMX_PEXTRWirri
+    135291943U,	// MMX_PHADDSWrm64
+    68183079U,	// MMX_PHADDSWrr64
+    135291692U,	// MMX_PHADDWrm64
+    68182828U,	// MMX_PHADDWrr64
+    135286670U,	// MMX_PHADDrm64
+    68177806U,	// MMX_PHADDrr64
+    135286611U,	// MMX_PHSUBDrm64
+    68177747U,	// MMX_PHSUBDrr64
+    135291924U,	// MMX_PHSUBSWrm64
+    68183060U,	// MMX_PHSUBSWrr64
+    135291638U,	// MMX_PHSUBWrm64
+    68182774U,	// MMX_PHSUBWrr64
+    2618319854U,	// MMX_PINSRWirmi
+    2215666670U,	// MMX_PINSRWirri
+    135291912U,	// MMX_PMADDUBSWrm64
+    68183048U,	// MMX_PMADDUBSWrr64
+    135288182U,	// MMX_PMADDWDirm
+    68179318U,	// MMX_PMADDWDirr
+    135292043U,	// MMX_PMAXSWirm
+    68183179U,	// MMX_PMAXSWirr
+    135286424U,	// MMX_PMAXUBirm
+    68177560U,	// MMX_PMAXUBirr
+    135291974U,	// MMX_PMINSWirm
+    68183110U,	// MMX_PMINSWirr
+    135286401U,	// MMX_PMINUBirm
+    68177537U,	// MMX_PMINUBirr
+    303058356U,	// MMX_PMOVMSKBrr
+    135291997U,	// MMX_PMULHRSWrm64
+    68183133U,	// MMX_PMULHRSWrr64
+    135292084U,	// MMX_PMULHUWirm
+    68183220U,	// MMX_PMULHUWirr
+    135291785U,	// MMX_PMULHWirm
+    68182921U,	// MMX_PMULHWirr
+    135291827U,	// MMX_PMULLWirm
+    68182963U,	// MMX_PMULLWirr
+    135289567U,	// MMX_PMULUDQirm
+    68180703U,	// MMX_PMULUDQirr
+    135289933U,	// MMX_PORirm
+    68181069U,	// MMX_PORirr
+    135291575U,	// MMX_PSADBWirm
+    68182711U,	// MMX_PSADBWirr
+    135286175U,	// MMX_PSHUFBrm64
+    68177311U,	// MMX_PSHUFBrr64
+    2484101987U,	// MMX_PSHUFWmi
+    2450547555U,	// MMX_PSHUFWri
+    135286223U,	// MMX_PSIGNBrm64
+    68177359U,	// MMX_PSIGNBrr64
+    135286869U,	// MMX_PSIGNDrm64
+    68178005U,	// MMX_PSIGNDrr64
+    135291852U,	// MMX_PSIGNWrm64
+    68182988U,	// MMX_PSIGNWrr64
+    68177940U,	// MMX_PSLLDri
+    135286804U,	// MMX_PSLLDrm
+    68177940U,	// MMX_PSLLDrr
+    68180759U,	// MMX_PSLLQri
+    135289623U,	// MMX_PSLLQrm
+    68180759U,	// MMX_PSLLQrr
+    68182955U,	// MMX_PSLLWri
+    135291819U,	// MMX_PSLLWrm
+    68182955U,	// MMX_PSLLWrr
+    68177718U,	// MMX_PSRADri
+    135286582U,	// MMX_PSRADrm
+    68177718U,	// MMX_PSRADrr
+    68182692U,	// MMX_PSRAWri
+    135291556U,	// MMX_PSRAWrm
+    68182692U,	// MMX_PSRAWrr
+    68177957U,	// MMX_PSRLDri
+    135286821U,	// MMX_PSRLDrm
+    68177957U,	// MMX_PSRLDrr
+    68180767U,	// MMX_PSRLQri
+    135289631U,	// MMX_PSRLQrm
+    68180767U,	// MMX_PSRLQrr
+    68182972U,	// MMX_PSRLWri
+    135291836U,	// MMX_PSRLWrm
+    68182972U,	// MMX_PSRLWrr
+    135286159U,	// MMX_PSUBBirm
+    68177295U,	// MMX_PSUBBirr
+    135286620U,	// MMX_PSUBDirm
+    68177756U,	// MMX_PSUBDirr
+    135289332U,	// MMX_PSUBQirm
+    68180468U,	// MMX_PSUBQirr
+    135286268U,	// MMX_PSUBSBirm
+    68177404U,	// MMX_PSUBSBirr
+    135291934U,	// MMX_PSUBSWirm
+    68183070U,	// MMX_PSUBSWirr
+    135286309U,	// MMX_PSUBUSBirm
+    68177445U,	// MMX_PSUBUSBirr
+    135292016U,	// MMX_PSUBUSWirm
+    68183152U,	// MMX_PSUBUSWirr
+    135291647U,	// MMX_PSUBWirm
+    68182783U,	// MMX_PSUBWirr
+    135291603U,	// MMX_PUNPCKHBWirm
+    68182739U,	// MMX_PUNPCKHBWirr
+    135289445U,	// MMX_PUNPCKHDQirm
+    68180581U,	// MMX_PUNPCKHDQirr
+    135288192U,	// MMX_PUNPCKHWDirm
+    68179328U,	// MMX_PUNPCKHWDirr
+    135291615U,	// MMX_PUNPCKLBWirm
+    68182751U,	// MMX_PUNPCKLBWirr
+    135289457U,	// MMX_PUNPCKLDQirm
+    68180593U,	// MMX_PUNPCKLDQirr
+    135288204U,	// MMX_PUNPCKLWDirm
+    68179340U,	// MMX_PUNPCKLWDirr
+    135289961U,	// MMX_PXORirm
+    68181097U,	// MMX_PXORirr
     0U,	// MONITOR
-    12428U,	// MONITORrrr
-    12217U,	// MONTMUL
+    11871U,	// MONITORrrr
+    11660U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    14164466U,	// MOV16ao16
-    14164466U,	// MOV16ao16_16
-    1090034U,	// MOV16mi
-    1090034U,	// MOV16mr
-    1090034U,	// MOV16ms
-    534063U,	// MOV16o16a
-    534063U,	// MOV16o16a_16
-    135291378U,	// MOV16ri
-    135291378U,	// MOV16ri_alt
-    370172402U,	// MOV16rm
-    135291378U,	// MOV16rr
-    135291378U,	// MOV16rr_REV
-    135291378U,	// MOV16rs
-    370172402U,	// MOV16sm
-    135291378U,	// MOV16sr
-    15229426U,	// MOV32ao32
-    15229426U,	// MOV32ao32_16
-    135291378U,	// MOV32cr
-    135291378U,	// MOV32dr
-    1122802U,	// MOV32mi
-    1122802U,	// MOV32mr
-    1122802U,	// MOV32ms
-    550616U,	// MOV32o32a
-    550616U,	// MOV32o32a_16
+    14164630U,	// MOV16ao16
+    14164630U,	// MOV16ao16_16
+    1090198U,	// MOV16mi
+    1090198U,	// MOV16mr
+    1090198U,	// MOV16ms
+    534227U,	// MOV16o16a
+    534227U,	// MOV16o16a_16
+    303063702U,	// MOV16ri
+    303063702U,	// MOV16ri_alt
+    437281430U,	// MOV16rm
+    303063702U,	// MOV16rr
+    303063702U,	// MOV16rr_REV
+    303063702U,	// MOV16rs
+    437281430U,	// MOV16sm
+    303063702U,	// MOV16sr
+    15229590U,	// MOV32ao32
+    15229590U,	// MOV32ao32_16
+    303063702U,	// MOV32cr
+    303063702U,	// MOV32dr
+    1122966U,	// MOV32mi
+    1122966U,	// MOV32mr
+    1122966U,	// MOV32ms
+    550780U,	// MOV32o32a
+    550780U,	// MOV32o32a_16
     0U,	// MOV32r0
-    135291378U,	// MOV32rc
-    135291378U,	// MOV32rd
-    135291378U,	// MOV32ri
+    303063702U,	// MOV32rc
+    303063702U,	// MOV32rd
+    303063702U,	// MOV32ri
     0U,	// MOV32ri64
-    135291378U,	// MOV32ri_alt
-    101736946U,	// MOV32rm
-    135291378U,	// MOV32rr
-    135291378U,	// MOV32rr_REV
-    135291378U,	// MOV32rs
-    101736946U,	// MOV32sm
-    135291378U,	// MOV32sr
-    14162965U,	// MOV64ao16
-    15227925U,	// MOV64ao32
-    16292885U,	// MOV64ao64
-    17357845U,	// MOV64ao8
-    135291378U,	// MOV64cr
-    135291378U,	// MOV64dr
-    1139186U,	// MOV64mi32
-    1139186U,	// MOV64mr
-    1139186U,	// MOV64ms
-    534041U,	// MOV64o16a
-    550592U,	// MOV64o32a
-    567115U,	// MOV64o64a
-    583081U,	// MOV64o8a
-    135291378U,	// MOV64rc
-    135291378U,	// MOV64rd
-    135289877U,	// MOV64ri
-    135291378U,	// MOV64ri32
-    168845810U,	// MOV64rm
-    135291378U,	// MOV64rr
-    135291378U,	// MOV64rr_REV
-    135291378U,	// MOV64rs
-    168845810U,	// MOV64sm
-    135291378U,	// MOV64sr
-    135289650U,	// MOV64toPQIrr
-    168844082U,	// MOV64toSDrm
-    135289650U,	// MOV64toSDrr
-    17359346U,	// MOV8ao8
-    17359346U,	// MOV8ao8_16
-    1155570U,	// MOV8mi
-    1155570U,	// MOV8mr
-    1155570U,	// MOV8mr_NOREX
-    583103U,	// MOV8o8a
-    583103U,	// MOV8o8a_16
-    135291378U,	// MOV8ri
-    135291378U,	// MOV8ri_alt
-    504390130U,	// MOV8rm
-    504390130U,	// MOV8rm_NOREX
-    135291378U,	// MOV8rr
-    135291378U,	// MOV8rr_NOREX
-    135291378U,	// MOV8rr_REV
-    594159U,	// MOVAPDmr
-    537940207U,	// MOVAPDrm
-    135287023U,	// MOVAPDrr
-    135287023U,	// MOVAPDrr_REV
-    597385U,	// MOVAPSmr
-    537943433U,	// MOVAPSrm
-    135290249U,	// MOVAPSrr
-    135290249U,	// MOVAPSrr_REV
-    1086825U,	// MOVBE16mr
-    370169193U,	// MOVBE16rm
-    1119593U,	// MOVBE32mr
-    101733737U,	// MOVBE32rm
-    1135977U,	// MOVBE64mr
-    168842601U,	// MOVBE64rm
-    571496684U,	// MOVDDUPrm
-    135289068U,	// MOVDDUPrr
-    101733552U,	// MOVDI2PDIrm
-    135287984U,	// MOVDI2PDIrr
-    101733552U,	// MOVDI2SSrm
-    135287984U,	// MOVDI2SSrr
-    1313956U,	// MOVDQAmr
-    336612516U,	// MOVDQArm
-    135285924U,	// MOVDQArr
-    135285924U,	// MOVDQArr_REV
-    1319360U,	// MOVDQUmr
-    336617920U,	// MOVDQUrm
-    135291328U,	// MOVDQUrr
-    135291328U,	// MOVDQUrr_REV
-    68181597U,	// MOVHLPSrr
-    1184173U,	// MOVHPDmr
-    235950509U,	// MOVHPDrm
-    1187401U,	// MOVHPSmr
-    235953737U,	// MOVHPSrm
-    68181567U,	// MOVLHPSrr
-    1184223U,	// MOVLPDmr
-    235950559U,	// MOVLPDrm
-    1187461U,	// MOVLPSmr
-    235953797U,	// MOVLPSrm
-    135287222U,	// MOVMSKPDrr
-    135290450U,	// MOVMSKPSrr
-    336612505U,	// MOVNTDQArm
-    596518U,	// MOVNTDQmr
-    1136445U,	// MOVNTI_64mr
-    1120061U,	// MOVNTImr
-    594484U,	// MOVNTPDmr
-    597734U,	// MOVNTPSmr
-    1184769U,	// MOVNTSD
-    1171594U,	// MOVNTSS
+    303063702U,	// MOV32ri_alt
+    269509270U,	// MOV32rm
+    303063702U,	// MOV32rr
+    303063702U,	// MOV32rr_REV
+    303063702U,	// MOV32rs
+    269509270U,	// MOV32sm
+    303063702U,	// MOV32sr
+    14163129U,	// MOV64ao16
+    15228089U,	// MOV64ao32
+    16293049U,	// MOV64ao64
+    17358009U,	// MOV64ao8
+    303063702U,	// MOV64cr
+    303063702U,	// MOV64dr
+    1139350U,	// MOV64mi32
+    1139350U,	// MOV64mr
+    1139350U,	// MOV64ms
+    534205U,	// MOV64o16a
+    550756U,	// MOV64o32a
+    567279U,	// MOV64o64a
+    583245U,	// MOV64o8a
+    303063702U,	// MOV64rc
+    303063702U,	// MOV64rd
+    303062201U,	// MOV64ri
+    303063702U,	// MOV64ri32
+    336618134U,	// MOV64rm
+    303063702U,	// MOV64rr
+    303063702U,	// MOV64rr_REV
+    303063702U,	// MOV64rs
+    336618134U,	// MOV64sm
+    303063702U,	// MOV64sr
+    303061974U,	// MOV64toPQIrr
+    336616406U,	// MOV64toSDrm
+    303061974U,	// MOV64toSDrr
+    17359510U,	// MOV8ao8
+    17359510U,	// MOV8ao8_16
+    1155734U,	// MOV8mi
+    1155734U,	// MOV8mr
+    1155734U,	// MOV8mr_NOREX
+    583267U,	// MOV8o8a
+    583267U,	// MOV8o8a_16
+    303063702U,	// MOV8ri
+    303063702U,	// MOV8ri_alt
+    504390294U,	// MOV8rm
+    504390294U,	// MOV8rm_NOREX
+    303063702U,	// MOV8rr
+    303063702U,	// MOV8rr_NOREX
+    303063702U,	// MOV8rr_REV
+    1642899U,	// MOVAPDmr
+    537940371U,	// MOVAPDrm
+    303059347U,	// MOVAPDrr
+    303059347U,	// MOVAPDrr_REV
+    1646125U,	// MOVAPSmr
+    537943597U,	// MOVAPSrm
+    303062573U,	// MOVAPSrr
+    303062573U,	// MOVAPSrr_REV
+    1086989U,	// MOVBE16mr
+    437278221U,	// MOVBE16rm
+    1119757U,	// MOVBE32mr
+    269506061U,	// MOVBE32rm
+    1136141U,	// MOVBE64mr
+    336614925U,	// MOVBE64rm
+    571496848U,	// MOVDDUPrm
+    303061392U,	// MOVDDUPrr
+    269505876U,	// MOVDI2PDIrm
+    303060308U,	// MOVDI2PDIrr
+    269505876U,	// MOVDI2SSrm
+    303060308U,	// MOVDI2SSrr
+    1314120U,	// MOVDQAmr
+    403721544U,	// MOVDQArm
+    303058248U,	// MOVDQArr
+    303058248U,	// MOVDQArr_REV
+    1319524U,	// MOVDQUmr
+    403726948U,	// MOVDQUrm
+    303063652U,	// MOVDQUrr
+    303063652U,	// MOVDQUrr_REV
+    68181761U,	// MOVHLPSrr
+    1184337U,	// MOVHPDmr
+    202396241U,	// MOVHPDrm
+    1187565U,	// MOVHPSmr
+    202399469U,	// MOVHPSrm
+    68181731U,	// MOVLHPSrr
+    1184387U,	// MOVLPDmr
+    202396291U,	// MOVLPDrm
+    1187625U,	// MOVLPSmr
+    202399529U,	// MOVLPSrm
+    303059546U,	// MOVMSKPDrr
+    303062774U,	// MOVMSKPSrr
+    403721533U,	// MOVNTDQArm
+    1645258U,	// MOVNTDQmr
+    1136609U,	// MOVNTI_64mr
+    1120225U,	// MOVNTImr
+    1643224U,	// MOVNTPDmr
+    1646474U,	// MOVNTPSmr
+    1184933U,	// MOVNTSD
+    1171758U,	// MOVNTSS
     0U,	// MOVPC32r
-    1119408U,	// MOVPDI2DImr
-    135287984U,	// MOVPDI2DIrr
-    1137458U,	// MOVPQI2QImr
-    135289650U,	// MOVPQI2QIrr
-    135289650U,	// MOVPQIto64rr
-    168844082U,	// MOVQI2PQIrm
-    706022813U,	// MOVSB
-    1184810U,	// MOVSDmr
-    571495466U,	// MOVSDrm
-    68178986U,	// MOVSDrr
-    68178986U,	// MOVSDrr_REV
-    1137458U,	// MOVSDto64mr
-    135289650U,	// MOVSDto64rr
-    537942262U,	// MOVSHDUPrm
-    135289078U,	// MOVSHDUPrr
-    739562538U,	// MOVSL
-    537942273U,	// MOVSLDUPrm
-    135289089U,	// MOVSLDUPrr
-    773413584U,	// MOVSQ
-    1119408U,	// MOVSS2DImr
-    135287984U,	// MOVSS2DIrr
-    1171645U,	// MOVSSmr
-    605053117U,	// MOVSSrm
-    68182205U,	// MOVSSrr
-    68182205U,	// MOVSSrr_REV
-    806659039U,	// MOVSW
-    504390828U,	// MOVSX16rm8
-    135292076U,	// MOVSX16rr8
-    370173100U,	// MOVSX32rm16
-    504390828U,	// MOVSX32rm8
-    135292076U,	// MOVSX32rr16
-    135292076U,	// MOVSX32rr8
-    370173100U,	// MOVSX64rm16
-    101733696U,	// MOVSX64rm32
-    504390828U,	// MOVSX64rm8
-    135292076U,	// MOVSX64rr16
-    135288128U,	// MOVSX64rr32
-    135292076U,	// MOVSX64rr8
-    594512U,	// MOVUPDmr
-    537940560U,	// MOVUPDrm
-    135287376U,	// MOVUPDrr
-    135287376U,	// MOVUPDrr_REV
-    597783U,	// MOVUPSmr
-    537943831U,	// MOVUPSrm
-    135290647U,	// MOVUPSrr
-    135290647U,	// MOVUPSrr_REV
-    336616242U,	// MOVZPQILo2PQIrm
-    135289650U,	// MOVZPQILo2PQIrr
-    168844082U,	// MOVZQI2PQIrm
-    135289650U,	// MOVZQI2PQIrr
-    504390835U,	// MOVZX16rm8
-    135292083U,	// MOVZX16rr8
-    504390835U,	// MOVZX32_NOREXrm8
-    135292083U,	// MOVZX32_NOREXrr8
-    370173107U,	// MOVZX32rm16
-    504390835U,	// MOVZX32rm8
-    135292083U,	// MOVZX32rr16
-    135292083U,	// MOVZX32rr8
-    370173107U,	// MOVZX64rm16_Q
-    504390835U,	// MOVZX64rm8_Q
-    135292083U,	// MOVZX64rr16_Q
-    135292083U,	// MOVZX64rr8_Q
-    2450547218U,	// MPSADBWrmi
-    2215666194U,	// MPSADBWrri
-    38839U,	// MUL16m
-    22455U,	// MUL16r
-    71607U,	// MUL32m
-    22455U,	// MUL32r
-    87991U,	// MUL64m
-    22455U,	// MUL64r
-    104375U,	// MUL8m
-    22455U,	// MUL8r
-    202396119U,	// MULPDrm
-    68178391U,	// MULPDrr
-    202399357U,	// MULPSrm
-    68181629U,	// MULPSrr
-    235951066U,	// MULSDrm
-    235951066U,	// MULSDrm_Int
-    68178906U,	// MULSDrr
-    68178906U,	// MULSDrr_Int
-    269508715U,	// MULSSrm
-    269508715U,	// MULSSrm_Int
-    68182123U,	// MULSSrr
-    68182123U,	// MULSSrr_Int
-    2282775657U,	// MULX32rm
-    2282775657U,	// MULX32rr
-    2282775657U,	// MULX64rm
-    2282775657U,	// MULX64rr
-    120758U,	// MUL_F32m
-    137142U,	// MUL_F64m
-    38844U,	// MUL_FI16m
-    71612U,	// MUL_FI32m
-    22636U,	// MUL_FPrST0
-    22454U,	// MUL_FST0r
+    1119572U,	// MOVPDI2DImr
+    303060308U,	// MOVPDI2DIrr
+    1137622U,	// MOVPQI2QImr
+    303061974U,	// MOVPQI2QIrr
+    303061974U,	// MOVPQIto64rr
+    336616406U,	// MOVQI2PQIrm
+    705990209U,	// MOVSB
+    1184974U,	// MOVSDmr
+    571495630U,	// MOVSDrm
+    68179150U,	// MOVSDrr
+    68179150U,	// MOVSDrr_REV
+    1137622U,	// MOVSDto64mr
+    303061974U,	// MOVSDto64rr
+    537942426U,	// MOVSHDUPrm
+    303061402U,	// MOVSHDUPrr
+    739562702U,	// MOVSL
+    537942437U,	// MOVSLDUPrm
+    303061413U,	// MOVSLDUPrr
+    773413748U,	// MOVSQ
+    1119572U,	// MOVSS2DImr
+    303060308U,	// MOVSS2DIrr
+    1171809U,	// MOVSSmr
+    605053281U,	// MOVSSrm
+    68182369U,	// MOVSSrr
+    68182369U,	// MOVSSrr_REV
+    806691971U,	// MOVSW
+    504390992U,	// MOVSX16rm8
+    303064400U,	// MOVSX16rr8
+    437282128U,	// MOVSX32rm16
+    504390992U,	// MOVSX32rm8
+    303064400U,	// MOVSX32rr16
+    303064400U,	// MOVSX32rr8
+    437282128U,	// MOVSX64rm16
+    269506020U,	// MOVSX64rm32
+    504390992U,	// MOVSX64rm8
+    303064400U,	// MOVSX64rr16
+    303060452U,	// MOVSX64rr32
+    303064400U,	// MOVSX64rr8
+    1643252U,	// MOVUPDmr
+    537940724U,	// MOVUPDrm
+    303059700U,	// MOVUPDrr
+    303059700U,	// MOVUPDrr_REV
+    1646523U,	// MOVUPSmr
+    537943995U,	// MOVUPSrm
+    303062971U,	// MOVUPSrr
+    303062971U,	// MOVUPSrr_REV
+    403725270U,	// MOVZPQILo2PQIrm
+    303061974U,	// MOVZPQILo2PQIrr
+    336616406U,	// MOVZQI2PQIrm
+    303061974U,	// MOVZQI2PQIrr
+    504390999U,	// MOVZX16rm8
+    303064407U,	// MOVZX16rr8
+    504390999U,	// MOVZX32_NOREXrm8
+    303064407U,	// MOVZX32_NOREXrr8
+    437282135U,	// MOVZX32rm16
+    504390999U,	// MOVZX32rm8
+    303064407U,	// MOVZX32rr16
+    303064407U,	// MOVZX32rr8
+    437282135U,	// MOVZX64rm16_Q
+    504390999U,	// MOVZX64rm8_Q
+    303064407U,	// MOVZX64rr16_Q
+    303064407U,	// MOVZX64rr8_Q
+    2517656246U,	// MPSADBWrmi
+    2215666358U,	// MPSADBWrri
+    39003U,	// MUL16m
+    22619U,	// MUL16r
+    71771U,	// MUL32m
+    22619U,	// MUL32r
+    88155U,	// MUL64m
+    22619U,	// MUL64r
+    104539U,	// MUL8m
+    22619U,	// MUL8r
+    168841851U,	// MULPDrm
+    68178555U,	// MULPDrr
+    168845089U,	// MULPSrm
+    68181793U,	// MULPSrr
+    202396798U,	// MULSDrm
+    202396798U,	// MULSDrm_Int
+    68179070U,	// MULSDrr
+    68179070U,	// MULSDrr_Int
+    235954447U,	// MULSSrm
+    235954447U,	// MULSSrm_Int
+    68182287U,	// MULSSrr
+    68182287U,	// MULSSrr_Int
+    2450547981U,	// MULX32rm
+    2450547981U,	// MULX32rr
+    2450547981U,	// MULX64rm
+    2450547981U,	// MULX64rr
+    120922U,	// MUL_F32m
+    137306U,	// MUL_F64m
+    39008U,	// MUL_FI16m
+    71776U,	// MUL_FI32m
+    22800U,	// MUL_FPrST0
+    22618U,	// MUL_FST0r
     0U,	// MUL_Fp32
     0U,	// MUL_Fp32m
     0U,	// MUL_Fp64
@@ -1829,946 +1817,953 @@
     0U,	// MUL_FpI32m32
     0U,	// MUL_FpI32m64
     0U,	// MUL_FpI32m80
-    7362486U,	// MUL_FrST0
-    12662U,	// MWAITrr
-    38451U,	// NEG16m
-    22067U,	// NEG16r
-    71219U,	// NEG32m
-    22067U,	// NEG32r
-    87603U,	// NEG64m
-    22067U,	// NEG64r
-    103987U,	// NEG8m
-    22067U,	// NEG8r
-    12324U,	// NOOP
-    39081U,	// NOOP18_16m4
-    39081U,	// NOOP18_16m5
-    39081U,	// NOOP18_16m6
-    39081U,	// NOOP18_16m7
-    22697U,	// NOOP18_16r4
-    22697U,	// NOOP18_16r5
-    22697U,	// NOOP18_16r6
-    22697U,	// NOOP18_16r7
-    71849U,	// NOOP18_m4
-    71849U,	// NOOP18_m5
-    71849U,	// NOOP18_m6
-    71849U,	// NOOP18_m7
-    22697U,	// NOOP18_r4
-    22697U,	// NOOP18_r5
-    22697U,	// NOOP18_r6
-    22697U,	// NOOP18_r7
-    71849U,	// NOOPL
-    71849U,	// NOOPL_19
-    71849U,	// NOOPL_1a
-    71849U,	// NOOPL_1b
-    71849U,	// NOOPL_1c
-    71849U,	// NOOPL_1d
-    71849U,	// NOOPL_1e
-    39081U,	// NOOPW
-    39081U,	// NOOPW_19
-    39081U,	// NOOPW_1a
-    39081U,	// NOOPW_1b
-    39081U,	// NOOPW_1c
-    39081U,	// NOOPW_1d
-    39081U,	// NOOPW_1e
-    41272U,	// NOT16m
-    24888U,	// NOT16r
-    74040U,	// NOT32m
-    24888U,	// NOT32r
-    90424U,	// NOT64m
-    24888U,	// NOT64r
-    106808U,	// NOT8m
-    24888U,	// NOT8r
-    26129U,	// OR16i16
-    1088426U,	// OR16mi
-    1088426U,	// OR16mi8
-    1088426U,	// OR16mr
-    35707818U,	// OR16ri
-    35707818U,	// OR16ri8
-    3201962U,	// OR16rm
-    35707818U,	// OR16rr
-    68180906U,	// OR16rr_REV
-    26295U,	// OR32i32
-    1121194U,	// OR32mi
-    1121194U,	// OR32mi8
-    1121194U,	// OR32mr
-    1121194U,	// OR32mrLocked
-    35707818U,	// OR32ri
-    35707818U,	// OR32ri8
-    4250538U,	// OR32rm
-    35707818U,	// OR32rr
-    68180906U,	// OR32rr_REV
-    26434U,	// OR64i32
-    1137578U,	// OR64mi32
-    1137578U,	// OR64mi8
-    1137578U,	// OR64mr
-    35707818U,	// OR64ri32
-    35707818U,	// OR64ri8
-    5299114U,	// OR64rm
-    35707818U,	// OR64rr
-    68180906U,	// OR64rr_REV
-    26017U,	// OR8i8
-    1153962U,	// OR8mi
-    1153962U,	// OR8mr
-    35707818U,	// OR8ri
-    35707818U,	// OR8ri8
-    6347690U,	// OR8rm
-    35707818U,	// OR8rr
-    68180906U,	// OR8rr_REV
-    202396197U,	// ORPDrm
-    68178469U,	// ORPDrr
-    202399435U,	// ORPSrm
-    68181707U,	// ORPSrr
-    13656488U,	// OUT16ir
-    12779U,	// OUT16rr
-    14705064U,	// OUT32ir
-    12833U,	// OUT32rr
-    16802216U,	// OUT8ir
-    12161U,	// OUT8rr
-    403299U,	// OUTSB
-    419694U,	// OUTSL
-    452473U,	// OUTSW
-    336612688U,	// PABSBrm128
-    135286096U,	// PABSBrr128
-    336614267U,	// PABSDrm128
-    135287675U,	// PABSDrr128
-    336618332U,	// PABSWrm128
-    135291740U,	// PABSWrr128
-    303063715U,	// PACKSSDWrm
-    68182691U,	// PACKSSDWrr
-    303058447U,	// PACKSSWBrm
-    68177423U,	// PACKSSWBrr
-    303063726U,	// PACKUSDWrm
-    68182702U,	// PACKUSDWrr
-    303058458U,	// PACKUSWBrm
-    68177434U,	// PACKUSWBrr
-    303058163U,	// PADDBrm
-    68177139U,	// PADDBrr
-    303058675U,	// PADDDrm
-    68177651U,	// PADDDrr
-    303061423U,	// PADDQrm
-    68180399U,	// PADDQrr
-    303058273U,	// PADDSBrm
-    68177249U,	// PADDSBrr
-    303063949U,	// PADDSWrm
-    68182925U,	// PADDSWrr
-    303058315U,	// PADDUSBrm
-    68177291U,	// PADDUSBrr
-    303064022U,	// PADDUSWrm
-    68182998U,	// PADDUSWrr
-    303063697U,	// PADDWrm
-    68182673U,	// PADDWrr
-    2450545567U,	// PALIGNR128rm
-    2215664543U,	// PALIGNR128rr
-    303060996U,	// PANDNrm
-    68179972U,	// PANDNrr
-    303058850U,	// PANDrm
-    68177826U,	// PANDrr
-    12063U,	// PAUSE
-    303058180U,	// PAVGBrm
-    68177156U,	// PAVGBrr
-    470830484U,	// PAVGUSBrm
-    68177300U,	// PAVGUSBrr
-    303063752U,	// PAVGWrm
-    68182728U,	// PAVGWrr
-    303058429U,	// PBLENDVBrm0
-    68177405U,	// PBLENDVBrr0
-    2450547353U,	// PBLENDWrmi
-    2215666329U,	// PBLENDWrri
-    2450545166U,	// PCLMULQDQrm
-    2215664142U,	// PCLMULQDQrr
-    303058228U,	// PCMPEQBrm
-    68177204U,	// PCMPEQBrr
-    303059593U,	// PCMPEQDrm
-    68178569U,	// PCMPEQDrr
-    303061659U,	// PCMPEQQrm
-    68180635U,	// PCMPEQQrr
-    303063857U,	// PCMPEQWrm
-    68182833U,	// PCMPEQWrr
+    7362650U,	// MUL_FrST0
+    12111U,	// MWAITrr
+    38615U,	// NEG16m
+    22231U,	// NEG16r
+    71383U,	// NEG32m
+    22231U,	// NEG32r
+    87767U,	// NEG64m
+    22231U,	// NEG64r
+    104151U,	// NEG8m
+    22231U,	// NEG8r
+    11767U,	// NOOP
+    39245U,	// NOOP18_16m4
+    39245U,	// NOOP18_16m5
+    39245U,	// NOOP18_16m6
+    39245U,	// NOOP18_16m7
+    22861U,	// NOOP18_16r4
+    22861U,	// NOOP18_16r5
+    22861U,	// NOOP18_16r6
+    22861U,	// NOOP18_16r7
+    72013U,	// NOOP18_m4
+    72013U,	// NOOP18_m5
+    72013U,	// NOOP18_m6
+    72013U,	// NOOP18_m7
+    22861U,	// NOOP18_r4
+    22861U,	// NOOP18_r5
+    22861U,	// NOOP18_r6
+    22861U,	// NOOP18_r7
+    72013U,	// NOOPL
+    72013U,	// NOOPL_19
+    72013U,	// NOOPL_1a
+    72013U,	// NOOPL_1b
+    72013U,	// NOOPL_1c
+    72013U,	// NOOPL_1d
+    72013U,	// NOOPL_1e
+    39245U,	// NOOPW
+    39245U,	// NOOPW_19
+    39245U,	// NOOPW_1a
+    39245U,	// NOOPW_1b
+    39245U,	// NOOPW_1c
+    39245U,	// NOOPW_1d
+    39245U,	// NOOPW_1e
+    41436U,	// NOT16m
+    25052U,	// NOT16r
+    74204U,	// NOT32m
+    25052U,	// NOT32r
+    90588U,	// NOT64m
+    25052U,	// NOT64r
+    106972U,	// NOT8m
+    25052U,	// NOT8r
+    26293U,	// OR16i16
+    1088590U,	// OR16mi
+    1088590U,	// OR16mi8
+    1088590U,	// OR16mr
+    35707982U,	// OR16ri
+    35707982U,	// OR16ri8
+    3202126U,	// OR16rm
+    35707982U,	// OR16rr
+    68181070U,	// OR16rr_REV
+    26459U,	// OR32i32
+    1121358U,	// OR32mi
+    1121358U,	// OR32mi8
+    1121358U,	// OR32mr
+    1121358U,	// OR32mrLocked
+    35707982U,	// OR32ri
+    35707982U,	// OR32ri8
+    4250702U,	// OR32rm
+    35707982U,	// OR32rr
+    68181070U,	// OR32rr_REV
+    26598U,	// OR64i32
+    1137742U,	// OR64mi32
+    1137742U,	// OR64mi8
+    1137742U,	// OR64mr
+    35707982U,	// OR64ri32
+    35707982U,	// OR64ri8
+    5299278U,	// OR64rm
+    35707982U,	// OR64rr
+    68181070U,	// OR64rr_REV
+    26181U,	// OR8i8
+    1154126U,	// OR8mi
+    1154126U,	// OR8mr
+    35707982U,	// OR8ri
+    35707982U,	// OR8ri8
+    6347854U,	// OR8rm
+    35707982U,	// OR8rr
+    68181070U,	// OR8rr_REV
+    168841929U,	// ORPDrm
+    68178633U,	// ORPDrr
+    168845167U,	// ORPSrm
+    68181871U,	// ORPSrr
+    13656652U,	// OUT16ir
+    12234U,	// OUT16rr
+    14705228U,	// OUT32ir
+    12288U,	// OUT32rr
+    16802380U,	// OUT8ir
+    11604U,	// OUT8rr
+    403463U,	// OUTSB
+    419858U,	// OUTSL
+    452637U,	// OUTSW
+    403721716U,	// PABSBrm128
+    303058420U,	// PABSBrr128
+    403723295U,	// PABSDrm128
+    303059999U,	// PABSDrr128
+    403727360U,	// PABSWrm128
+    303064064U,	// PABSWrr128
+    370172743U,	// PACKSSDWrm
+    68182855U,	// PACKSSDWrr
+    370167475U,	// PACKSSWBrm
+    68177587U,	// PACKSSWBrr
+    370172754U,	// PACKUSDWrm
+    68182866U,	// PACKUSDWrr
+    370167486U,	// PACKUSWBrm
+    68177598U,	// PACKUSWBrr
+    370167191U,	// PADDBrm
+    68177303U,	// PADDBrr
+    370167703U,	// PADDDrm
+    68177815U,	// PADDDrr
+    370170451U,	// PADDQrm
+    68180563U,	// PADDQrr
+    370167301U,	// PADDSBrm
+    68177413U,	// PADDSBrr
+    370172977U,	// PADDSWrm
+    68183089U,	// PADDSWrr
+    370167343U,	// PADDUSBrm
+    68177455U,	// PADDUSBrr
+    370173050U,	// PADDUSWrm
+    68183162U,	// PADDUSWrr
+    370172725U,	// PADDWrm
+    68182837U,	// PADDWrr
+    2517654595U,	// PALIGNR128rm
+    2215664707U,	// PALIGNR128rr
+    370170024U,	// PANDNrm
+    68180136U,	// PANDNrr
+    370167878U,	// PANDrm
+    68177990U,	// PANDrr
+    11475U,	// PAUSE
+    370167208U,	// PAVGBrm
+    68177320U,	// PAVGBrr
+    135286328U,	// PAVGUSBrm
+    68177464U,	// PAVGUSBrr
+    370172780U,	// PAVGWrm
+    68182892U,	// PAVGWrr
+    370167457U,	// PBLENDVBrm0
+    68177569U,	// PBLENDVBrr0
+    2517656381U,	// PBLENDWrmi
+    2215666493U,	// PBLENDWrri
+    2517654194U,	// PCLMULQDQrm
+    2215664306U,	// PCLMULQDQrr
+    370167256U,	// PCMPEQBrm
+    68177368U,	// PCMPEQBrr
+    370168621U,	// PCMPEQDrm
+    68178733U,	// PCMPEQDrr
+    370170687U,	// PCMPEQQrm
+    68180799U,	// PCMPEQQrr
+    370172885U,	// PCMPEQWrm
+    68182997U,	// PCMPEQWrr
     0U,	// PCMPESTRIMEM
     0U,	// PCMPESTRIREG
-    2484098802U,	// PCMPESTRIrm
-    2282772210U,	// PCMPESTRIrr
+    2551207830U,	// PCMPESTRIrm
+    2450544534U,	// PCMPESTRIrr
     0U,	// PCMPESTRM128MEM
     0U,	// PCMPESTRM128REG
-    2484099052U,	// PCMPESTRM128rm
-    2282772460U,	// PCMPESTRM128rr
-    303058356U,	// PCMPGTBrm
-    68177332U,	// PCMPGTBrr
-    303060044U,	// PCMPGTDrm
-    68179020U,	// PCMPGTDrr
-    303061720U,	// PCMPGTQrm
-    68180696U,	// PCMPGTQrr
-    303064048U,	// PCMPGTWrm
-    68183024U,	// PCMPGTWrr
+    2551208080U,	// PCMPESTRM128rm
+    2450544784U,	// PCMPESTRM128rr
+    370167384U,	// PCMPGTBrm
+    68177496U,	// PCMPGTBrr
+    370169072U,	// PCMPGTDrm
+    68179184U,	// PCMPGTDrr
+    370170748U,	// PCMPGTQrm
+    68180860U,	// PCMPGTQrr
+    370173076U,	// PCMPGTWrm
+    68183188U,	// PCMPGTWrr
     0U,	// PCMPISTRIMEM
     0U,	// PCMPISTRIREG
-    2484098814U,	// PCMPISTRIrm
-    2282772222U,	// PCMPISTRIrr
+    2551207842U,	// PCMPISTRIrm
+    2450544546U,	// PCMPISTRIrr
     0U,	// PCMPISTRM128MEM
     0U,	// PCMPISTRM128REG
-    2484099064U,	// PCMPISTRM128rm
-    2282772472U,	// PCMPISTRM128rr
-    2282772578U,	// PDEP32rm
-    2282772578U,	// PDEP32rr
-    2282772578U,	// PDEP64rm
-    2282772578U,	// PDEP64rr
-    2282774957U,	// PEXT32rm
-    2282774957U,	// PEXT32rr
-    2282774957U,	// PEXT64rm
-    2282774957U,	// PEXT64rr
-    2148633927U,	// PEXTRBmr
-    2282769735U,	// PEXTRBrr
-    2148602542U,	// PEXTRDmr
-    2282771118U,	// PEXTRDrr
-    2148620986U,	// PEXTRQmr
-    2282773178U,	// PEXTRQrr
-    2148574035U,	// PEXTRWmr
-    2282775379U,	// PEXTRWri
-    2282775379U,	// PEXTRWrr_REV
-    168841021U,	// PF2IDrm
-    135286589U,	// PF2IDrr
-    168846061U,	// PF2IWrm
-    135291629U,	// PF2IWrr
-    470830628U,	// PFACCrm
-    68177444U,	// PFACCrr
-    470830805U,	// PFADDrm
-    68177621U,	// PFADDrr
-    470833754U,	// PFCMPEQrm
-    68180570U,	// PFCMPEQrr
-    470832508U,	// PFCMPGErm
-    68179324U,	// PFCMPGErr
-    470835476U,	// PFCMPGTrm
-    68182292U,	// PFCMPGTrr
-    470836310U,	// PFMAXrm
-    68183126U,	// PFMAXrr
-    470833171U,	// PFMINrm
-    68179987U,	// PFMINrr
-    470833077U,	// PFMULrm
-    68179893U,	// PFMULrr
-    470830635U,	// PFNACCrm
-    68177451U,	// PFNACCrr
-    470830643U,	// PFPNACCrm
-    68177459U,	// PFPNACCrr
-    470829847U,	// PFRCPIT1rm
-    68176663U,	// PFRCPIT1rr
-    470829932U,	// PFRCPIT2rm
-    68176748U,	// PFRCPIT2rr
-    168843348U,	// PFRCPrm
-    135288916U,	// PFRCPrr
-    470829857U,	// PFRSQIT1rm
-    68176673U,	// PFRSQIT1rr
-    168845655U,	// PFRSQRTrm
-    135291223U,	// PFRSQRTrr
-    470834045U,	// PFSUBRrm
-    68180861U,	// PFSUBRrr
-    470830565U,	// PFSUBrm
-    68177381U,	// PFSUBrr
-    303058666U,	// PHADDDrm
-    68177642U,	// PHADDDrr
-    303063939U,	// PHADDSWrm128
-    68182915U,	// PHADDSWrr128
-    303063688U,	// PHADDWrm
-    68182664U,	// PHADDWrr
-    336618540U,	// PHMINPOSUWrm128
-    135291948U,	// PHMINPOSUWrr128
-    303058607U,	// PHSUBDrm
-    68177583U,	// PHSUBDrr
-    303063920U,	// PHSUBSWrm128
-    68182896U,	// PHSUBSWrr128
-    303063634U,	// PHSUBWrm
-    68182610U,	// PHSUBWrr
-    168841005U,	// PI2FDrm
-    135286573U,	// PI2FDrr
-    168846008U,	// PI2FWrm
-    135291576U,	// PI2FWrr
-    839929150U,	// PINSRBrm
-    2215660862U,	// PINSRBrr
-    2584760997U,	// PINSRDrm
-    2215662245U,	// PINSRDrr
-    2618317489U,	// PINSRQrm
-    2215664305U,	// PINSRQrr
-    2551210826U,	// PINSRWrmi
-    2215666506U,	// PINSRWrri
-    303063908U,	// PMADDUBSWrm128
-    68182884U,	// PMADDUBSWrr128
-    303060178U,	// PMADDWDrm
-    68179154U,	// PMADDWDrr
-    303058341U,	// PMAXSBrm
-    68177317U,	// PMAXSBrr
-    303060018U,	// PMAXSDrm
-    68178994U,	// PMAXSDrr
-    303064039U,	// PMAXSWrm
-    68183015U,	// PMAXSWrr
-    303058420U,	// PMAXUBrm
-    68177396U,	// PMAXUBrr
-    303060103U,	// PMAXUDrm
-    68179079U,	// PMAXUDrr
-    303064121U,	// PMAXUWrm
-    68183097U,	// PMAXUWrr
-    303058282U,	// PMINSBrm
-    68177258U,	// PMINSBrr
-    303059938U,	// PMINSDrm
-    68178914U,	// PMINSDrr
-    303063970U,	// PMINSWrm
-    68182946U,	// PMINSWrr
-    303058397U,	// PMINUBrm
-    68177373U,	// PMINUBrr
-    303060085U,	// PMINUDrm
-    68179061U,	// PMINUDrr
-    303064099U,	// PMINUWrm
-    68183075U,	// PMINUWrr
-    135286032U,	// PMOVMSKBrr
-    101732032U,	// PMOVSXBDrm
-    135286464U,	// PMOVSXBDrr
-    370170200U,	// PMOVSXBQrm
-    135289176U,	// PMOVSXBQrr
-    168845923U,	// PMOVSXBWrm
-    135291491U,	// PMOVSXBWrr
-    168843845U,	// PMOVSXDQrm
-    135289413U,	// PMOVSXDQrr
-    168842539U,	// PMOVSXWDrm
-    135288107U,	// PMOVSXWDrr
-    101735253U,	// PMOVSXWQrm
-    135289685U,	// PMOVSXWQrr
-    101732043U,	// PMOVZXBDrm
-    135286475U,	// PMOVZXBDrr
-    370170211U,	// PMOVZXBQrm
-    135289187U,	// PMOVZXBQrr
-    168845934U,	// PMOVZXBWrm
-    135291502U,	// PMOVZXBWrr
-    168843856U,	// PMOVZXDQrm
-    135289424U,	// PMOVZXDQrr
-    168842550U,	// PMOVZXWDrm
-    135288118U,	// PMOVZXWDrr
-    101735264U,	// PMOVZXWQrm
-    135289696U,	// PMOVZXWQrr
-    303061483U,	// PMULDQrm
-    68180459U,	// PMULDQrr
-    303063993U,	// PMULHRSWrm128
-    68182969U,	// PMULHRSWrr128
-    470836032U,	// PMULHRWrm
-    68182848U,	// PMULHRWrr
-    303064080U,	// PMULHUWrm
-    68183056U,	// PMULHUWrr
-    303063781U,	// PMULHWrm
-    68182757U,	// PMULHWrr
-    303058808U,	// PMULLDrm
-    68177784U,	// PMULLDrr
-    303063823U,	// PMULLWrm
-    68182799U,	// PMULLWrr
-    303061563U,	// PMULUDQrm
-    68180539U,	// PMULUDQrr
-    22708U,	// POP16r
-    39092U,	// POP16rmm
-    22708U,	// POP16rmr
-    22708U,	// POP32r
-    71860U,	// POP32rmm
-    22708U,	// POP32rmr
-    22708U,	// POP64r
-    88244U,	// POP64rmm
-    22708U,	// POP64rmr
-    12733U,	// POPA16
-    12179U,	// POPA32
-    370172189U,	// POPCNT16rm
-    135291165U,	// POPCNT16rr
-    101736733U,	// POPCNT32rm
-    135291165U,	// POPCNT32rr
-    168845597U,	// POPCNT64rm
-    135291165U,	// POPCNT64rr
-    12487U,	// POPDS16
-    12487U,	// POPDS32
-    12502U,	// POPES16
-    12502U,	// POPES32
-    12098U,	// POPF16
-    11916U,	// POPF32
-    12370U,	// POPF64
-    12517U,	// POPFS16
-    12517U,	// POPFS32
-    12517U,	// POPFS64
-    12532U,	// POPGS16
-    12532U,	// POPGS32
-    12532U,	// POPGS64
-    12623U,	// POPSS16
-    12623U,	// POPSS32
-    303061929U,	// PORrm
-    68180905U,	// PORrr
-    104026U,	// PREFETCH
-    101554U,	// PREFETCHNTA
-    101097U,	// PREFETCHT0
-    101131U,	// PREFETCHT1
-    101216U,	// PREFETCHT2
-    107215U,	// PREFETCHW
-    303063571U,	// PSADBWrm
-    68182547U,	// PSADBWrr
-    303058171U,	// PSHUFBrm
-    68177147U,	// PSHUFBrr
-    2484096821U,	// PSHUFDmi
-    2282770229U,	// PSHUFDri
-    2484101851U,	// PSHUFHWmi
-    2282775259U,	// PSHUFHWri
-    2484101877U,	// PSHUFLWmi
-    2282775285U,	// PSHUFLWri
-    303058219U,	// PSIGNBrm
-    68177195U,	// PSIGNBrr
-    303058865U,	// PSIGNDrm
-    68177841U,	// PSIGNDrr
-    303063848U,	// PSIGNWrm
-    68182824U,	// PSIGNWrr
-    68180441U,	// PSLLDQri
-    68177776U,	// PSLLDri
-    303058800U,	// PSLLDrm
-    68177776U,	// PSLLDrr
-    68180595U,	// PSLLQri
-    303061619U,	// PSLLQrm
-    68180595U,	// PSLLQrr
-    68182791U,	// PSLLWri
-    303063815U,	// PSLLWrm
-    68182791U,	// PSLLWrr
-    68177554U,	// PSRADri
-    303058578U,	// PSRADrm
-    68177554U,	// PSRADrr
-    68182528U,	// PSRAWri
-    303063552U,	// PSRAWrm
-    68182528U,	// PSRAWrr
-    68180450U,	// PSRLDQri
-    68177793U,	// PSRLDri
-    303058817U,	// PSRLDrm
-    68177793U,	// PSRLDrr
-    68180603U,	// PSRLQri
-    303061627U,	// PSRLQrm
-    68180603U,	// PSRLQrr
-    68182808U,	// PSRLWri
-    303063832U,	// PSRLWrm
-    68182808U,	// PSRLWrr
-    303058155U,	// PSUBBrm
-    68177131U,	// PSUBBrr
-    303058616U,	// PSUBDrm
-    68177592U,	// PSUBDrr
-    303061328U,	// PSUBQrm
-    68180304U,	// PSUBQrr
-    303058264U,	// PSUBSBrm
-    68177240U,	// PSUBSBrr
-    303063930U,	// PSUBSWrm
-    68182906U,	// PSUBSWrr
-    303058305U,	// PSUBUSBrm
-    68177281U,	// PSUBUSBrr
-    303064012U,	// PSUBUSWrm
-    68182988U,	// PSUBUSWrr
-    303063643U,	// PSUBWrm
-    68182619U,	// PSUBWrr
-    168841463U,	// PSWAPDrm
-    135287031U,	// PSWAPDrr
-    537944443U,	// PTESTrm
-    135291259U,	// PTESTrr
-    303063599U,	// PUNPCKHBWrm
-    68182575U,	// PUNPCKHBWrr
-    303061441U,	// PUNPCKHDQrm
-    68180417U,	// PUNPCKHDQrr
-    303061492U,	// PUNPCKHQDQrm
-    68180468U,	// PUNPCKHQDQrr
-    303060188U,	// PUNPCKHWDrm
-    68179164U,	// PUNPCKHWDrr
-    303063611U,	// PUNPCKLBWrm
-    68182587U,	// PUNPCKLBWrr
-    303061453U,	// PUNPCKLDQrm
-    68180429U,	// PUNPCKLDQrr
-    303061505U,	// PUNPCKLQDQrm
-    68180481U,	// PUNPCKLQDQrr
-    303060200U,	// PUNPCKLWDrm
-    68179176U,	// PUNPCKLWDrr
-    22165U,	// PUSH16i8
-    22165U,	// PUSH16r
-    38549U,	// PUSH16rmm
-    22165U,	// PUSH16rmr
-    22165U,	// PUSH32i8
-    22165U,	// PUSH32r
-    71317U,	// PUSH32rmm
-    22165U,	// PUSH32rmr
-    22165U,	// PUSH64i16
-    22165U,	// PUSH64i32
-    22165U,	// PUSH64i8
-    22165U,	// PUSH64r
-    87701U,	// PUSH64rmm
-    22165U,	// PUSH64rmr
-    12726U,	// PUSHA16
-    12172U,	// PUSHA32
-    12471U,	// PUSHCS16
-    12471U,	// PUSHCS32
-    12479U,	// PUSHDS16
-    12479U,	// PUSHDS32
-    12494U,	// PUSHES16
-    12494U,	// PUSHES32
-    12092U,	// PUSHF16
-    11909U,	// PUSHF32
-    12363U,	// PUSHF64
-    12509U,	// PUSHFS16
-    12509U,	// PUSHFS32
-    12509U,	// PUSHFS64
-    12524U,	// PUSHGS16
-    12524U,	// PUSHGS32
-    12524U,	// PUSHGS64
-    12615U,	// PUSHSS16
-    12615U,	// PUSHSS32
-    22165U,	// PUSHi16
-    22165U,	// PUSHi32
-    303061957U,	// PXORrm
-    68180933U,	// PXORrr
-    38753U,	// RCL16m1
-    17864545U,	// RCL16mCL
-    1087329U,	// RCL16mi
-    22369U,	// RCL16r1
-    17848161U,	// RCL16rCL
-    68179809U,	// RCL16ri
-    71521U,	// RCL32m1
-    17897313U,	// RCL32mCL
-    1120097U,	// RCL32mi
-    22369U,	// RCL32r1
-    17848161U,	// RCL32rCL
-    68179809U,	// RCL32ri
-    87905U,	// RCL64m1
-    17913697U,	// RCL64mCL
-    1136481U,	// RCL64mi
-    22369U,	// RCL64r1
-    17848161U,	// RCL64rCL
-    68179809U,	// RCL64ri
-    104289U,	// RCL8m1
-    17930081U,	// RCL8mCL
-    1152865U,	// RCL8mi
-    22369U,	// RCL8r1
-    17848161U,	// RCL8rCL
-    68179809U,	// RCL8ri
-    537943720U,	// RCPPSm
-    537943720U,	// RCPPSm_Int
-    135290536U,	// RCPPSr
-    135290536U,	// RCPPSr_Int
-    605053051U,	// RCPSSm
-    269508731U,	// RCPSSm_Int
-    135291003U,	// RCPSSr
-    68182139U,	// RCPSSr_Int
-    39821U,	// RCR16m1
-    17865613U,	// RCR16mCL
-    1088397U,	// RCR16mi
-    23437U,	// RCR16r1
-    17849229U,	// RCR16rCL
-    68180877U,	// RCR16ri
-    72589U,	// RCR32m1
-    17898381U,	// RCR32mCL
-    1121165U,	// RCR32mi
-    23437U,	// RCR32r1
-    17849229U,	// RCR32rCL
-    68180877U,	// RCR32ri
-    88973U,	// RCR64m1
-    17914765U,	// RCR64mCL
-    1137549U,	// RCR64mi
-    23437U,	// RCR64r1
-    17849229U,	// RCR64rCL
-    68180877U,	// RCR64ri
-    105357U,	// RCR8m1
-    17931149U,	// RCR8mCL
-    1153933U,	// RCR8mi
-    23437U,	// RCR8r1
-    17849229U,	// RCR8rCL
-    68180877U,	// RCR8ri
-    21967U,	// RDFSBASE
-    21967U,	// RDFSBASE64
-    21987U,	// RDGSBASE
-    21987U,	// RDGSBASE64
-    12436U,	// RDMSR
-    11886U,	// RDPMC
-    20392U,	// RDRAND16r
-    20392U,	// RDRAND32r
-    20392U,	// RDRAND64r
-    20261U,	// RDSEED16r
-    20261U,	// RDSEED32r
-    20261U,	// RDSEED64r
-    11899U,	// RDTSC
-    12301U,	// RDTSCP
-    11374U,	// RELEASE_MOV16mr
-    11374U,	// RELEASE_MOV32mr
-    11374U,	// RELEASE_MOV64mr
-    11374U,	// RELEASE_MOV8mr
-    12027U,	// REPNE_PREFIX
-    11830U,	// REP_MOVSB_32
-    11830U,	// REP_MOVSB_64
-    11947U,	// REP_MOVSD_32
-    11947U,	// REP_MOVSD_64
-    12392U,	// REP_MOVSQ_64
-    12753U,	// REP_MOVSW_32
-    12753U,	// REP_MOVSW_64
-    12308U,	// REP_PREFIX
-    11820U,	// REP_STOSB_32
-    11820U,	// REP_STOSB_64
-    11937U,	// REP_STOSD_32
-    11937U,	// REP_STOSD_64
-    12382U,	// REP_STOSQ_64
-    12743U,	// REP_STOSW_32
-    12743U,	// REP_STOSW_64
-    24847U,	// RETIL
-    24847U,	// RETIQ
-    24847U,	// RETIW
-    12651U,	// RETL
-    12651U,	// RETQ
-    12651U,	// RETW
-    11656U,	// REX64_PREFIX
-    18913160U,	// ROL16m1
-    17864584U,	// ROL16mCL
-    1087368U,	// ROL16mi
-    18896776U,	// ROL16r1
-    17848200U,	// ROL16rCL
-    68179848U,	// ROL16ri
-    18945928U,	// ROL32m1
-    17897352U,	// ROL32mCL
-    1120136U,	// ROL32mi
-    18896776U,	// ROL32r1
-    17848200U,	// ROL32rCL
-    68179848U,	// ROL32ri
-    18962312U,	// ROL64m1
-    17913736U,	// ROL64mCL
-    1136520U,	// ROL64mi
-    18896776U,	// ROL64r1
-    17848200U,	// ROL64rCL
-    68179848U,	// ROL64ri
-    18978696U,	// ROL8m1
-    17930120U,	// ROL8mCL
-    1152904U,	// ROL8mi
-    18896776U,	// ROL8r1
-    17848200U,	// ROL8rCL
-    68179848U,	// ROL8ri
-    18914222U,	// ROR16m1
-    17865646U,	// ROR16mCL
-    1088430U,	// ROR16mi
-    18897838U,	// ROR16r1
-    17849262U,	// ROR16rCL
-    68180910U,	// ROR16ri
-    18946990U,	// ROR32m1
-    17898414U,	// ROR32mCL
-    1121198U,	// ROR32mi
-    18897838U,	// ROR32r1
-    17849262U,	// ROR32rCL
-    68180910U,	// ROR32ri
-    18963374U,	// ROR64m1
-    17914798U,	// ROR64mCL
-    1137582U,	// ROR64mi
-    18897838U,	// ROR64r1
-    17849262U,	// ROR64rCL
-    68180910U,	// ROR64ri
-    18979758U,	// ROR8m1
-    17931182U,	// ROR8mCL
-    1153966U,	// ROR8mi
-    18897838U,	// ROR8r1
-    17849262U,	// ROR8rCL
-    68180910U,	// ROR8ri
-    2249221274U,	// RORX32mi
-    2282775706U,	// RORX32ri
-    2316330138U,	// RORX64mi
-    2282775706U,	// RORX64ri
-    2685424003U,	// ROUNDPDm
-    2282770819U,	// ROUNDPDr
-    2685427221U,	// ROUNDPSm
-    2282774037U,	// ROUNDPSr
-    2383434685U,	// ROUNDSDm
-    2215662525U,	// ROUNDSDr
-    2215662525U,	// ROUNDSDr_Int
-    2416992334U,	// ROUNDSSm
-    2215665742U,	// ROUNDSSr
-    2215665742U,	// ROUNDSSr_Int
-    12243U,	// RSM
-    537943803U,	// RSQRTPSm
-    537943803U,	// RSQRTPSm_Int
-    135290619U,	// RSQRTPSr
-    135290619U,	// RSQRTPSr_Int
-    605053076U,	// RSQRTSSm
-    269508756U,	// RSQRTSSm_Int
-    135291028U,	// RSQRTSSr
-    68182164U,	// RSQRTSSr_Int
-    12087U,	// SAHF
-    18913116U,	// SAL16m1
-    17864540U,	// SAL16mCL
-    1087324U,	// SAL16mi
-    18896732U,	// SAL16r1
-    17848156U,	// SAL16rCL
-    68179804U,	// SAL16ri
-    18945884U,	// SAL32m1
-    17897308U,	// SAL32mCL
-    1120092U,	// SAL32mi
-    18896732U,	// SAL32r1
-    17848156U,	// SAL32rCL
-    68179804U,	// SAL32ri
-    18962268U,	// SAL64m1
-    17913692U,	// SAL64mCL
-    1136476U,	// SAL64mi
-    18896732U,	// SAL64r1
-    17848156U,	// SAL64rCL
-    68179804U,	// SAL64ri
-    18978652U,	// SAL8m1
-    17930076U,	// SAL8mCL
-    1152860U,	// SAL8mi
-    18896732U,	// SAL8r1
-    17848156U,	// SAL8rCL
-    68179804U,	// SAL8ri
-    11873U,	// SALC
-    18914168U,	// SAR16m1
-    17865592U,	// SAR16mCL
-    1088376U,	// SAR16mi
-    18897784U,	// SAR16r1
-    17849208U,	// SAR16rCL
-    68180856U,	// SAR16ri
-    18946936U,	// SAR32m1
-    17898360U,	// SAR32mCL
-    1121144U,	// SAR32mi
-    18897784U,	// SAR32r1
-    17849208U,	// SAR32rCL
-    68180856U,	// SAR32ri
-    18963320U,	// SAR64m1
-    17914744U,	// SAR64mCL
-    1137528U,	// SAR64mi
-    18897784U,	// SAR64r1
-    17849208U,	// SAR64rCL
-    68180856U,	// SAR64ri
-    18979704U,	// SAR8m1
-    17931128U,	// SAR8mCL
-    1153912U,	// SAR8mi
-    18897784U,	// SAR8r1
-    17849208U,	// SAR8rCL
-    68180856U,	// SAR8ri
-    2249221262U,	// SARX32rm
-    2282775694U,	// SARX32rr
-    2316330126U,	// SARX64rm
-    2282775694U,	// SARX64rr
-    26056U,	// SBB16i16
-    1084645U,	// SBB16mi
-    1084645U,	// SBB16mi8
-    1084645U,	// SBB16mr
-    35704037U,	// SBB16ri
-    35704037U,	// SBB16ri8
-    3198181U,	// SBB16rm
-    35704037U,	// SBB16rr
-    68177125U,	// SBB16rr_REV
-    26190U,	// SBB32i32
-    1117413U,	// SBB32mi
-    1117413U,	// SBB32mi8
-    1117413U,	// SBB32mr
-    35704037U,	// SBB32ri
-    35704037U,	// SBB32ri8
-    4246757U,	// SBB32rm
-    35704037U,	// SBB32rr
-    68177125U,	// SBB32rr_REV
-    26338U,	// SBB64i32
-    1133797U,	// SBB64mi32
-    1133797U,	// SBB64mi8
-    1133797U,	// SBB64mr
-    35704037U,	// SBB64ri32
-    35704037U,	// SBB64ri8
-    5295333U,	// SBB64rm
-    35704037U,	// SBB64rr
-    68177125U,	// SBB64rr_REV
-    25932U,	// SBB8i8
-    1150181U,	// SBB8mi
-    1150181U,	// SBB8mr
-    35704037U,	// SBB8ri
-    6343909U,	// SBB8rm
-    35704037U,	// SBB8rr
-    68177125U,	// SBB8rr_REV
-    304696U,	// SCAS16
-    321152U,	// SCAS32
-    616233U,	// SCAS64
-    337237U,	// SCAS8
-    12551U,	// SEG_ALLOCA_32
-    12551U,	// SEG_ALLOCA_64
-    103757U,	// SETAEm
-    21837U,	// SETAEr
-    101548U,	// SETAm
-    19628U,	// SETAr
-    103777U,	// SETBEm
-    21857U,	// SETBEr
+    2551208092U,	// PCMPISTRM128rm
+    2450544796U,	// PCMPISTRM128rr
+    2450544902U,	// PDEP32rm
+    2450544902U,	// PDEP32rr
+    2450544902U,	// PDEP64rm
+    2450544902U,	// PDEP64rr
+    2450547281U,	// PEXT32rm
+    2450547281U,	// PEXT32rr
+    2450547281U,	// PEXT64rm
+    2450547281U,	// PEXT64rr
+    2148634091U,	// PEXTRBmr
+    2450542059U,	// PEXTRBrr
+    2148602706U,	// PEXTRDmr
+    2450543442U,	// PEXTRDrr
+    2148621150U,	// PEXTRQmr
+    2450545502U,	// PEXTRQrr
+    2148574199U,	// PEXTRWmr
+    2450547703U,	// PEXTRWri
+    2450547703U,	// PEXTRWrr_REV
+    336613345U,	// PF2IDrm
+    303058913U,	// PF2IDrr
+    336618385U,	// PF2IWrm
+    303063953U,	// PF2IWrr
+    135286472U,	// PFACCrm
+    68177608U,	// PFACCrr
+    135286649U,	// PFADDrm
+    68177785U,	// PFADDrr
+    135289598U,	// PFCMPEQrm
+    68180734U,	// PFCMPEQrr
+    135288352U,	// PFCMPGErm
+    68179488U,	// PFCMPGErr
+    135291320U,	// PFCMPGTrm
+    68182456U,	// PFCMPGTrr
+    135292154U,	// PFMAXrm
+    68183290U,	// PFMAXrr
+    135289015U,	// PFMINrm
+    68180151U,	// PFMINrr
+    135288921U,	// PFMULrm
+    68180057U,	// PFMULrr
+    135286479U,	// PFNACCrm
+    68177615U,	// PFNACCrr
+    135286487U,	// PFPNACCrm
+    68177623U,	// PFPNACCrr
+    135285648U,	// PFRCPIT1rm
+    68176784U,	// PFRCPIT1rr
+    135285744U,	// PFRCPIT2rm
+    68176880U,	// PFRCPIT2rr
+    336615672U,	// PFRCPrm
+    303061240U,	// PFRCPrr
+    135285658U,	// PFRSQIT1rm
+    68176794U,	// PFRSQIT1rr
+    336617979U,	// PFRSQRTrm
+    303063547U,	// PFRSQRTrr
+    135289889U,	// PFSUBRrm
+    68181025U,	// PFSUBRrr
+    135286409U,	// PFSUBrm
+    68177545U,	// PFSUBrr
+    370167694U,	// PHADDDrm
+    68177806U,	// PHADDDrr
+    370172967U,	// PHADDSWrm128
+    68183079U,	// PHADDSWrr128
+    370172716U,	// PHADDWrm
+    68182828U,	// PHADDWrr
+    403727568U,	// PHMINPOSUWrm128
+    303064272U,	// PHMINPOSUWrr128
+    370167635U,	// PHSUBDrm
+    68177747U,	// PHSUBDrr
+    370172948U,	// PHSUBSWrm128
+    68183060U,	// PHSUBSWrr128
+    370172662U,	// PHSUBWrm
+    68182774U,	// PHSUBWrr
+    336613329U,	// PI2FDrm
+    303058897U,	// PI2FDrr
+    336618332U,	// PI2FWrm
+    303063900U,	// PI2FWrr
+    839929314U,	// PINSRBrm
+    2215661026U,	// PINSRBrr
+    2249216841U,	// PINSRDrm
+    2215662409U,	// PINSRDrr
+    2282773333U,	// PINSRQrm
+    2215664469U,	// PINSRQrr
+    2618319854U,	// PINSRWrmi
+    2215666670U,	// PINSRWrri
+    370172936U,	// PMADDUBSWrm128
+    68183048U,	// PMADDUBSWrr128
+    370169206U,	// PMADDWDrm
+    68179318U,	// PMADDWDrr
+    370167369U,	// PMAXSBrm
+    68177481U,	// PMAXSBrr
+    370169046U,	// PMAXSDrm
+    68179158U,	// PMAXSDrr
+    370173067U,	// PMAXSWrm
+    68183179U,	// PMAXSWrr
+    370167448U,	// PMAXUBrm
+    68177560U,	// PMAXUBrr
+    370169131U,	// PMAXUDrm
+    68179243U,	// PMAXUDrr
+    370173149U,	// PMAXUWrm
+    68183261U,	// PMAXUWrr
+    370167310U,	// PMINSBrm
+    68177422U,	// PMINSBrr
+    370168966U,	// PMINSDrm
+    68179078U,	// PMINSDrr
+    370172998U,	// PMINSWrm
+    68183110U,	// PMINSWrr
+    370167425U,	// PMINUBrm
+    68177537U,	// PMINUBrr
+    370169113U,	// PMINUDrm
+    68179225U,	// PMINUDrr
+    370173127U,	// PMINUWrm
+    68183239U,	// PMINUWrr
+    303058356U,	// PMOVMSKBrr
+    269504356U,	// PMOVSXBDrm
+    303058788U,	// PMOVSXBDrr
+    437279228U,	// PMOVSXBQrm
+    303061500U,	// PMOVSXBQrr
+    336618247U,	// PMOVSXBWrm
+    303063815U,	// PMOVSXBWrr
+    336616169U,	// PMOVSXDQrm
+    303061737U,	// PMOVSXDQrr
+    336614863U,	// PMOVSXWDrm
+    303060431U,	// PMOVSXWDrr
+    269507577U,	// PMOVSXWQrm
+    303062009U,	// PMOVSXWQrr
+    269504367U,	// PMOVZXBDrm
+    303058799U,	// PMOVZXBDrr
+    437279239U,	// PMOVZXBQrm
+    303061511U,	// PMOVZXBQrr
+    336618258U,	// PMOVZXBWrm
+    303063826U,	// PMOVZXBWrr
+    336616180U,	// PMOVZXDQrm
+    303061748U,	// PMOVZXDQrr
+    336614874U,	// PMOVZXWDrm
+    303060442U,	// PMOVZXWDrr
+    269507588U,	// PMOVZXWQrm
+    303062020U,	// PMOVZXWQrr
+    370170511U,	// PMULDQrm
+    68180623U,	// PMULDQrr
+    370173021U,	// PMULHRSWrm128
+    68183133U,	// PMULHRSWrr128
+    135291876U,	// PMULHRWrm
+    68183012U,	// PMULHRWrr
+    370173108U,	// PMULHUWrm
+    68183220U,	// PMULHUWrr
+    370172809U,	// PMULHWrm
+    68182921U,	// PMULHWrr
+    370167836U,	// PMULLDrm
+    68177948U,	// PMULLDrr
+    370172851U,	// PMULLWrm
+    68182963U,	// PMULLWrr
+    370170591U,	// PMULUDQrm
+    68180703U,	// PMULUDQrr
+    22872U,	// POP16r
+    39256U,	// POP16rmm
+    22872U,	// POP16rmr
+    22872U,	// POP32r
+    72024U,	// POP32rmm
+    22872U,	// POP32rmr
+    22872U,	// POP64r
+    88408U,	// POP64rmm
+    22872U,	// POP64rmr
+    12188U,	// POPA16
+    11622U,	// POPA32
+    437281217U,	// POPCNT16rm
+    303063489U,	// POPCNT16rr
+    269509057U,	// POPCNT32rm
+    303063489U,	// POPCNT32rr
+    336617921U,	// POPCNT64rm
+    303063489U,	// POPCNT64rr
+    11930U,	// POPDS16
+    11930U,	// POPDS32
+    11945U,	// POPES16
+    11945U,	// POPES32
+    11541U,	// POPF16
+    11328U,	// POPF32
+    11813U,	// POPF64
+    11960U,	// POPFS16
+    11960U,	// POPFS32
+    11960U,	// POPFS64
+    11975U,	// POPGS16
+    11975U,	// POPGS32
+    11975U,	// POPGS64
+    12072U,	// POPSS16
+    12072U,	// POPSS32
+    370170957U,	// PORrm
+    68181069U,	// PORrr
+    104190U,	// PREFETCH
+    101718U,	// PREFETCHNTA
+    101218U,	// PREFETCHT0
+    101252U,	// PREFETCHT1
+    101348U,	// PREFETCHT2
+    107379U,	// PREFETCHW
+    370172599U,	// PSADBWrm
+    68182711U,	// PSADBWrr
+    370167199U,	// PSHUFBrm
+    68177311U,	// PSHUFBrr
+    2551205849U,	// PSHUFDmi
+    2450542553U,	// PSHUFDri
+    2551210879U,	// PSHUFHWmi
+    2450547583U,	// PSHUFHWri
+    2551210905U,	// PSHUFLWmi
+    2450547609U,	// PSHUFLWri
+    370167247U,	// PSIGNBrm
+    68177359U,	// PSIGNBrr
+    370167893U,	// PSIGNDrm
+    68178005U,	// PSIGNDrr
+    370172876U,	// PSIGNWrm
+    68182988U,	// PSIGNWrr
+    68180605U,	// PSLLDQri
+    68177940U,	// PSLLDri
+    370167828U,	// PSLLDrm
+    68177940U,	// PSLLDrr
+    68180759U,	// PSLLQri
+    370170647U,	// PSLLQrm
+    68180759U,	// PSLLQrr
+    68182955U,	// PSLLWri
+    370172843U,	// PSLLWrm
+    68182955U,	// PSLLWrr
+    68177718U,	// PSRADri
+    370167606U,	// PSRADrm
+    68177718U,	// PSRADrr
+    68182692U,	// PSRAWri
+    370172580U,	// PSRAWrm
+    68182692U,	// PSRAWrr
+    68180614U,	// PSRLDQri
+    68177957U,	// PSRLDri
+    370167845U,	// PSRLDrm
+    68177957U,	// PSRLDrr
+    68180767U,	// PSRLQri
+    370170655U,	// PSRLQrm
+    68180767U,	// PSRLQrr
+    68182972U,	// PSRLWri
+    370172860U,	// PSRLWrm
+    68182972U,	// PSRLWrr
+    370167183U,	// PSUBBrm
+    68177295U,	// PSUBBrr
+    370167644U,	// PSUBDrm
+    68177756U,	// PSUBDrr
+    370170356U,	// PSUBQrm
+    68180468U,	// PSUBQrr
+    370167292U,	// PSUBSBrm
+    68177404U,	// PSUBSBrr
+    370172958U,	// PSUBSWrm
+    68183070U,	// PSUBSWrr
+    370167333U,	// PSUBUSBrm
+    68177445U,	// PSUBUSBrr
+    370173040U,	// PSUBUSWrm
+    68183152U,	// PSUBUSWrr
+    370172671U,	// PSUBWrm
+    68182783U,	// PSUBWrr
+    336613787U,	// PSWAPDrm
+    303059355U,	// PSWAPDrr
+    537944607U,	// PTESTrm
+    303063583U,	// PTESTrr
+    370172627U,	// PUNPCKHBWrm
+    68182739U,	// PUNPCKHBWrr
+    370170469U,	// PUNPCKHDQrm
+    68180581U,	// PUNPCKHDQrr
+    370170520U,	// PUNPCKHQDQrm
+    68180632U,	// PUNPCKHQDQrr
+    370169216U,	// PUNPCKHWDrm
+    68179328U,	// PUNPCKHWDrr
+    370172639U,	// PUNPCKLBWrm
+    68182751U,	// PUNPCKLBWrr
+    370170481U,	// PUNPCKLDQrm
+    68180593U,	// PUNPCKLDQrr
+    370170533U,	// PUNPCKLQDQrm
+    68180645U,	// PUNPCKLQDQrr
+    370169228U,	// PUNPCKLWDrm
+    68179340U,	// PUNPCKLWDrr
+    22329U,	// PUSH16i8
+    22329U,	// PUSH16r
+    38713U,	// PUSH16rmm
+    22329U,	// PUSH16rmr
+    22329U,	// PUSH32i8
+    22329U,	// PUSH32r
+    71481U,	// PUSH32rmm
+    22329U,	// PUSH32rmr
+    22329U,	// PUSH64i16
+    22329U,	// PUSH64i32
+    22329U,	// PUSH64i8
+    22329U,	// PUSH64r
+    87865U,	// PUSH64rmm
+    22329U,	// PUSH64rmr
+    12181U,	// PUSHA16
+    11615U,	// PUSHA32
+    11914U,	// PUSHCS16
+    11914U,	// PUSHCS32
+    11922U,	// PUSHDS16
+    11922U,	// PUSHDS32
+    11937U,	// PUSHES16
+    11937U,	// PUSHES32
+    11535U,	// PUSHF16
+    11321U,	// PUSHF32
+    11806U,	// PUSHF64
+    11952U,	// PUSHFS16
+    11952U,	// PUSHFS32
+    11952U,	// PUSHFS64
+    11967U,	// PUSHGS16
+    11967U,	// PUSHGS32
+    11967U,	// PUSHGS64
+    12064U,	// PUSHSS16
+    12064U,	// PUSHSS32
+    22329U,	// PUSHi16
+    22329U,	// PUSHi32
+    370170985U,	// PXORrm
+    68181097U,	// PXORrr
+    38917U,	// RCL16m1
+    17864709U,	// RCL16mCL
+    1087493U,	// RCL16mi
+    22533U,	// RCL16r1
+    17848325U,	// RCL16rCL
+    68179973U,	// RCL16ri
+    71685U,	// RCL32m1
+    17897477U,	// RCL32mCL
+    1120261U,	// RCL32mi
+    22533U,	// RCL32r1
+    17848325U,	// RCL32rCL
+    68179973U,	// RCL32ri
+    88069U,	// RCL64m1
+    17913861U,	// RCL64mCL
+    1136645U,	// RCL64mi
+    22533U,	// RCL64r1
+    17848325U,	// RCL64rCL
+    68179973U,	// RCL64ri
+    104453U,	// RCL8m1
+    17930245U,	// RCL8mCL
+    1153029U,	// RCL8mi
+    22533U,	// RCL8r1
+    17848325U,	// RCL8rCL
+    68179973U,	// RCL8ri
+    537943884U,	// RCPPSm
+    537943884U,	// RCPPSm_Int
+    303062860U,	// RCPPSr
+    303062860U,	// RCPPSr_Int
+    605053215U,	// RCPSSm
+    235954463U,	// RCPSSm_Int
+    303063327U,	// RCPSSr
+    68182303U,	// RCPSSr_Int
+    39985U,	// RCR16m1
+    17865777U,	// RCR16mCL
+    1088561U,	// RCR16mi
+    23601U,	// RCR16r1
+    17849393U,	// RCR16rCL
+    68181041U,	// RCR16ri
+    72753U,	// RCR32m1
+    17898545U,	// RCR32mCL
+    1121329U,	// RCR32mi
+    23601U,	// RCR32r1
+    17849393U,	// RCR32rCL
+    68181041U,	// RCR32ri
+    89137U,	// RCR64m1
+    17914929U,	// RCR64mCL
+    1137713U,	// RCR64mi
+    23601U,	// RCR64r1
+    17849393U,	// RCR64rCL
+    68181041U,	// RCR64ri
+    105521U,	// RCR8m1
+    17931313U,	// RCR8mCL
+    1154097U,	// RCR8mi
+    23601U,	// RCR8r1
+    17849393U,	// RCR8rCL
+    68181041U,	// RCR8ri
+    22131U,	// RDFSBASE
+    22131U,	// RDFSBASE64
+    22151U,	// RDGSBASE
+    22151U,	// RDGSBASE64
+    11879U,	// RDMSR
+    11298U,	// RDPMC
+    20556U,	// RDRAND16r
+    20556U,	// RDRAND32r
+    20556U,	// RDRAND64r
+    20425U,	// RDSEED16r
+    20425U,	// RDSEED32r
+    20425U,	// RDSEED64r
+    11311U,	// RDTSC
+    11744U,	// RDTSCP
+    10786U,	// RELEASE_MOV16mr
+    10786U,	// RELEASE_MOV32mr
+    10786U,	// RELEASE_MOV64mr
+    10786U,	// RELEASE_MOV8mr
+    11439U,	// REPNE_PREFIX
+    11242U,	// REP_MOVSB_32
+    11242U,	// REP_MOVSB_64
+    11359U,	// REP_MOVSD_32
+    11359U,	// REP_MOVSD_64
+    11835U,	// REP_MOVSQ_64
+    12208U,	// REP_MOVSW_32
+    12208U,	// REP_MOVSW_64
+    11751U,	// REP_PREFIX
+    11232U,	// REP_STOSB_32
+    11232U,	// REP_STOSB_64
+    11349U,	// REP_STOSD_32
+    11349U,	// REP_STOSD_64
+    11825U,	// REP_STOSQ_64
+    12198U,	// REP_STOSW_32
+    12198U,	// REP_STOSW_64
+    25011U,	// RETIL
+    25011U,	// RETIQ
+    25011U,	// RETIW
+    12100U,	// RETL
+    12100U,	// RETQ
+    12100U,	// RETW
+    11068U,	// REX64_PREFIX
+    18913324U,	// ROL16m1
+    17864748U,	// ROL16mCL
+    1087532U,	// ROL16mi
+    18896940U,	// ROL16r1
+    17848364U,	// ROL16rCL
+    68180012U,	// ROL16ri
+    18946092U,	// ROL32m1
+    17897516U,	// ROL32mCL
+    1120300U,	// ROL32mi
+    18896940U,	// ROL32r1
+    17848364U,	// ROL32rCL
+    68180012U,	// ROL32ri
+    18962476U,	// ROL64m1
+    17913900U,	// ROL64mCL
+    1136684U,	// ROL64mi
+    18896940U,	// ROL64r1
+    17848364U,	// ROL64rCL
+    68180012U,	// ROL64ri
+    18978860U,	// ROL8m1
+    17930284U,	// ROL8mCL
+    1153068U,	// ROL8mi
+    18896940U,	// ROL8r1
+    17848364U,	// ROL8rCL
+    68180012U,	// ROL8ri
+    18914386U,	// ROR16m1
+    17865810U,	// ROR16mCL
+    1088594U,	// ROR16mi
+    18898002U,	// ROR16r1
+    17849426U,	// ROR16rCL
+    68181074U,	// ROR16ri
+    18947154U,	// ROR32m1
+    17898578U,	// ROR32mCL
+    1121362U,	// ROR32mi
+    18898002U,	// ROR32r1
+    17849426U,	// ROR32rCL
+    68181074U,	// ROR32ri
+    18963538U,	// ROR64m1
+    17914962U,	// ROR64mCL
+    1137746U,	// ROR64mi
+    18898002U,	// ROR64r1
+    17849426U,	// ROR64rCL
+    68181074U,	// ROR64ri
+    18979922U,	// ROR8m1
+    17931346U,	// ROR8mCL
+    1154130U,	// ROR8mi
+    18898002U,	// ROR8r1
+    17849426U,	// ROR8rCL
+    68181074U,	// ROR8ri
+    2416993598U,	// RORX32mi
+    2450548030U,	// RORX32ri
+    2484102462U,	// RORX64mi
+    2450548030U,	// RORX64ri
+    2685424167U,	// ROUNDPDm
+    2450543143U,	// ROUNDPDr
+    2685427385U,	// ROUNDPSm
+    2450546361U,	// ROUNDPSr
+    2349880417U,	// ROUNDSDm
+    2215662689U,	// ROUNDSDr
+    2215662689U,	// ROUNDSDr_Int
+    2383438066U,	// ROUNDSSm
+    2215665906U,	// ROUNDSSr
+    2215665906U,	// ROUNDSSr_Int
+    11686U,	// RSM
+    537943967U,	// RSQRTPSm
+    537943967U,	// RSQRTPSm_Int
+    303062943U,	// RSQRTPSr
+    303062943U,	// RSQRTPSr_Int
+    605053240U,	// RSQRTSSm
+    235954488U,	// RSQRTSSm_Int
+    303063352U,	// RSQRTSSr
+    68182328U,	// RSQRTSSr_Int
+    11530U,	// SAHF
+    18913280U,	// SAL16m1
+    17864704U,	// SAL16mCL
+    1087488U,	// SAL16mi
+    18896896U,	// SAL16r1
+    17848320U,	// SAL16rCL
+    68179968U,	// SAL16ri
+    18946048U,	// SAL32m1
+    17897472U,	// SAL32mCL
+    1120256U,	// SAL32mi
+    18896896U,	// SAL32r1
+    17848320U,	// SAL32rCL
+    68179968U,	// SAL32ri
+    18962432U,	// SAL64m1
+    17913856U,	// SAL64mCL
+    1136640U,	// SAL64mi
+    18896896U,	// SAL64r1
+    17848320U,	// SAL64rCL
+    68179968U,	// SAL64ri
+    18978816U,	// SAL8m1
+    17930240U,	// SAL8mCL
+    1153024U,	// SAL8mi
+    18896896U,	// SAL8r1
+    17848320U,	// SAL8rCL
+    68179968U,	// SAL8ri
+    11285U,	// SALC
+    18914332U,	// SAR16m1
+    17865756U,	// SAR16mCL
+    1088540U,	// SAR16mi
+    18897948U,	// SAR16r1
+    17849372U,	// SAR16rCL
+    68181020U,	// SAR16ri
+    18947100U,	// SAR32m1
+    17898524U,	// SAR32mCL
+    1121308U,	// SAR32mi
+    18897948U,	// SAR32r1
+    17849372U,	// SAR32rCL
+    68181020U,	// SAR32ri
+    18963484U,	// SAR64m1
+    17914908U,	// SAR64mCL
+    1137692U,	// SAR64mi
+    18897948U,	// SAR64r1
+    17849372U,	// SAR64rCL
+    68181020U,	// SAR64ri
+    18979868U,	// SAR8m1
+    17931292U,	// SAR8mCL
+    1154076U,	// SAR8mi
+    18897948U,	// SAR8r1
+    17849372U,	// SAR8rCL
+    68181020U,	// SAR8ri
+    2416993586U,	// SARX32rm
+    2450548018U,	// SARX32rr
+    2484102450U,	// SARX64rm
+    2450548018U,	// SARX64rr
+    26220U,	// SBB16i16
+    1084809U,	// SBB16mi
+    1084809U,	// SBB16mi8
+    1084809U,	// SBB16mr
+    35704201U,	// SBB16ri
+    35704201U,	// SBB16ri8
+    3198345U,	// SBB16rm
+    35704201U,	// SBB16rr
+    68177289U,	// SBB16rr_REV
+    26354U,	// SBB32i32
+    1117577U,	// SBB32mi
+    1117577U,	// SBB32mi8
+    1117577U,	// SBB32mr
+    35704201U,	// SBB32ri
+    35704201U,	// SBB32ri8
+    4246921U,	// SBB32rm
+    35704201U,	// SBB32rr
+    68177289U,	// SBB32rr_REV
+    26502U,	// SBB64i32
+    1133961U,	// SBB64mi32
+    1133961U,	// SBB64mi8
+    1133961U,	// SBB64mr
+    35704201U,	// SBB64ri32
+    35704201U,	// SBB64ri8
+    5295497U,	// SBB64rm
+    35704201U,	// SBB64rr
+    68177289U,	// SBB64rr_REV
+    26096U,	// SBB8i8
+    1150345U,	// SBB8mi
+    1150345U,	// SBB8mr
+    35704201U,	// SBB8ri
+    6344073U,	// SBB8rm
+    35704201U,	// SBB8rr
+    68177289U,	// SBB8rr_REV
+    304633U,	// SCASB
+    321316U,	// SCASL
+    616397U,	// SCASQ
+    337628U,	// SCASW
+    11994U,	// SEG_ALLOCA_32
+    11994U,	// SEG_ALLOCA_64
+    11495U,	// SEH_EndPrologue
+    11481U,	// SEH_Epilogue
+    26753U,	// SEH_PushFrame
+    26798U,	// SEH_PushReg
+    303065248U,	// SEH_SaveReg
+    303065162U,	// SEH_SaveXMM
+    303065233U,	// SEH_SetFrame
+    26736U,	// SEH_StackAlloc
+    103921U,	// SETAEm
+    22001U,	// SETAEr
+    101712U,	// SETAm
+    19792U,	// SETAr
+    103941U,	// SETBEm
+    22021U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    101805U,	// SETBm
-    19885U,	// SETBr
-    103927U,	// SETEm
-    22007U,	// SETEr
-    103813U,	// SETGEm
-    21893U,	// SETGEr
-    104013U,	// SETGm
-    22093U,	// SETGr
-    103837U,	// SETLEm
-    21917U,	// SETLEr
-    104367U,	// SETLm
-    22447U,	// SETLr
-    103865U,	// SETNEm
-    21945U,	// SETNEr
-    104490U,	// SETNOm
-    22570U,	// SETNOr
-    104602U,	// SETNPm
-    22682U,	// SETNPr
-    105536U,	// SETNSm
-    23616U,	// SETNSr
-    104505U,	// SETOm
-    22585U,	// SETOr
-    104649U,	// SETPm
-    22729U,	// SETPr
-    106714U,	// SETSm
-    24794U,	// SETSr
-    11999U,	// SFENCE
-    286961U,	// SGDT16m
-    286961U,	// SGDT32m
-    286961U,	// SGDT64m
-    303057653U,	// SHA1MSG1rm
-    68176629U,	// SHA1MSG1rr
-    303057725U,	// SHA1MSG2rm
-    68176701U,	// SHA1MSG2rr
-    303060486U,	// SHA1NEXTErm
-    68179462U,	// SHA1NEXTErr
-    2450541474U,	// SHA1RNDS4rmi
-    2215660450U,	// SHA1RNDS4rri
-    303057663U,	// SHA256MSG1rm
-    68176639U,	// SHA256MSG1rr
-    303057735U,	// SHA256MSG2rm
-    68176711U,	// SHA256MSG2rr
-    303057747U,	// SHA256RNDS2rm
-    68176723U,	// SHA256RNDS2rr
-    18913126U,	// SHL16m1
-    17864550U,	// SHL16mCL
-    1087334U,	// SHL16mi
-    18896742U,	// SHL16r1
-    17848166U,	// SHL16rCL
-    68179814U,	// SHL16ri
-    18945894U,	// SHL32m1
-    17897318U,	// SHL32mCL
-    1120102U,	// SHL32mi
-    18896742U,	// SHL32r1
-    17848166U,	// SHL32rCL
-    68179814U,	// SHL32ri
-    18962278U,	// SHL64m1
-    17913702U,	// SHL64mCL
-    1136486U,	// SHL64mi
-    18896742U,	// SHL64r1
-    17848166U,	// SHL64rCL
-    68179814U,	// SHL64ri
-    18978662U,	// SHL8m1
-    17930086U,	// SHL8mCL
-    1152870U,	// SHL8mi
-    18896742U,	// SHL8r1
-    17848166U,	// SHL8rCL
-    68179814U,	// SHL8ri
-    2148568931U,	// SHLD16mrCL
-    2148568931U,	// SHLD16mri8
-    2215661411U,	// SHLD16rrCL
-    2215661411U,	// SHLD16rri8
-    2148601699U,	// SHLD32mrCL
-    2148601699U,	// SHLD32mri8
-    2215661411U,	// SHLD32rrCL
-    2215661411U,	// SHLD32rri8
-    2148618083U,	// SHLD64mrCL
-    2148618083U,	// SHLD64mri8
-    2215661411U,	// SHLD64rrCL
-    2215661411U,	// SHLD64rri8
-    2249221219U,	// SHLX32rm
-    2282775651U,	// SHLX32rr
-    2316330083U,	// SHLX64rm
-    2282775651U,	// SHLX64rr
-    18914201U,	// SHR16m1
-    17865625U,	// SHR16mCL
-    1088409U,	// SHR16mi
-    18897817U,	// SHR16r1
-    17849241U,	// SHR16rCL
-    68180889U,	// SHR16ri
-    18946969U,	// SHR32m1
-    17898393U,	// SHR32mCL
-    1121177U,	// SHR32mi
-    18897817U,	// SHR32r1
-    17849241U,	// SHR32rCL
-    68180889U,	// SHR32ri
-    18963353U,	// SHR64m1
-    17914777U,	// SHR64mCL
-    1137561U,	// SHR64mi
-    18897817U,	// SHR64r1
-    17849241U,	// SHR64rCL
-    68180889U,	// SHR64ri
-    18979737U,	// SHR8m1
-    17931161U,	// SHR8mCL
-    1153945U,	// SHR8mi
-    18897817U,	// SHR8r1
-    17849241U,	// SHR8rCL
-    68180889U,	// SHR8ri
-    2148569758U,	// SHRD16mrCL
-    2148569758U,	// SHRD16mri8
-    2215662238U,	// SHRD16rrCL
-    2215662238U,	// SHRD16rri8
-    2148602526U,	// SHRD32mrCL
-    2148602526U,	// SHRD32mri8
-    2215662238U,	// SHRD32rrCL
-    2215662238U,	// SHRD32rri8
-    2148618910U,	// SHRD64mrCL
-    2148618910U,	// SHRD64mri8
-    2215662238U,	// SHRD64rrCL
-    2215662238U,	// SHRD64rri8
-    2249221268U,	// SHRX32rm
-    2282775700U,	// SHRX32rr
-    2316330132U,	// SHRX64rm
-    2282775700U,	// SHRX64rr
-    2349879705U,	// SHUFPDrmi
-    2215661977U,	// SHUFPDrri
-    2349882923U,	// SHUFPSrmi
-    2215665195U,	// SHUFPSrri
-    286973U,	// SIDT16m
-    286973U,	// SIDT32m
-    286973U,	// SIDT64m
-    12260U,	// SIN_F
+    101969U,	// SETBm
+    20049U,	// SETBr
+    104091U,	// SETEm
+    22171U,	// SETEr
+    103977U,	// SETGEm
+    22057U,	// SETGEr
+    104177U,	// SETGm
+    22257U,	// SETGr
+    104001U,	// SETLEm
+    22081U,	// SETLEr
+    104531U,	// SETLm
+    22611U,	// SETLr
+    104029U,	// SETNEm
+    22109U,	// SETNEr
+    104654U,	// SETNOm
+    22734U,	// SETNOr
+    104766U,	// SETNPm
+    22846U,	// SETNPr
+    105700U,	// SETNSm
+    23780U,	// SETNSr
+    104669U,	// SETOm
+    22749U,	// SETOr
+    104813U,	// SETPm
+    22893U,	// SETPr
+    106878U,	// SETSm
+    24958U,	// SETSr
+    11411U,	// SFENCE
+    287125U,	// SGDT16m
+    287125U,	// SGDT32m
+    287125U,	// SGDT64m
+    370166638U,	// SHA1MSG1rm
+    68176750U,	// SHA1MSG1rr
+    370166721U,	// SHA1MSG2rm
+    68176833U,	// SHA1MSG2rr
+    370169514U,	// SHA1NEXTErm
+    68179626U,	// SHA1NEXTErr
+    2517650481U,	// SHA1RNDS4rmi
+    2215660593U,	// SHA1RNDS4rri
+    370166648U,	// SHA256MSG1rm
+    68176760U,	// SHA256MSG1rr
+    370166731U,	// SHA256MSG2rm
+    68176843U,	// SHA256MSG2rr
+    370166743U,	// SHA256RNDS2rm
+    68176855U,	// SHA256RNDS2rr
+    18913290U,	// SHL16m1
+    17864714U,	// SHL16mCL
+    1087498U,	// SHL16mi
+    18896906U,	// SHL16r1
+    17848330U,	// SHL16rCL
+    68179978U,	// SHL16ri
+    18946058U,	// SHL32m1
+    17897482U,	// SHL32mCL
+    1120266U,	// SHL32mi
+    18896906U,	// SHL32r1
+    17848330U,	// SHL32rCL
+    68179978U,	// SHL32ri
+    18962442U,	// SHL64m1
+    17913866U,	// SHL64mCL
+    1136650U,	// SHL64mi
+    18896906U,	// SHL64r1
+    17848330U,	// SHL64rCL
+    68179978U,	// SHL64ri
+    18978826U,	// SHL8m1
+    17930250U,	// SHL8mCL
+    1153034U,	// SHL8mi
+    18896906U,	// SHL8r1
+    17848330U,	// SHL8rCL
+    68179978U,	// SHL8ri
+    2148569095U,	// SHLD16mrCL
+    2148569095U,	// SHLD16mri8
+    2215661575U,	// SHLD16rrCL
+    2215661575U,	// SHLD16rri8
+    2148601863U,	// SHLD32mrCL
+    2148601863U,	// SHLD32mri8
+    2215661575U,	// SHLD32rrCL
+    2215661575U,	// SHLD32rri8
+    2148618247U,	// SHLD64mrCL
+    2148618247U,	// SHLD64mri8
+    2215661575U,	// SHLD64rrCL
+    2215661575U,	// SHLD64rri8
+    2416993543U,	// SHLX32rm
+    2450547975U,	// SHLX32rr
+    2484102407U,	// SHLX64rm
+    2450547975U,	// SHLX64rr
+    18914365U,	// SHR16m1
+    17865789U,	// SHR16mCL
+    1088573U,	// SHR16mi
+    18897981U,	// SHR16r1
+    17849405U,	// SHR16rCL
+    68181053U,	// SHR16ri
+    18947133U,	// SHR32m1
+    17898557U,	// SHR32mCL
+    1121341U,	// SHR32mi
+    18897981U,	// SHR32r1
+    17849405U,	// SHR32rCL
+    68181053U,	// SHR32ri
+    18963517U,	// SHR64m1
+    17914941U,	// SHR64mCL
+    1137725U,	// SHR64mi
+    18897981U,	// SHR64r1
+    17849405U,	// SHR64rCL
+    68181053U,	// SHR64ri
+    18979901U,	// SHR8m1
+    17931325U,	// SHR8mCL
+    1154109U,	// SHR8mi
+    18897981U,	// SHR8r1
+    17849405U,	// SHR8rCL
+    68181053U,	// SHR8ri
+    2148569922U,	// SHRD16mrCL
+    2148569922U,	// SHRD16mri8
+    2215662402U,	// SHRD16rrCL
+    2215662402U,	// SHRD16rri8
+    2148602690U,	// SHRD32mrCL
+    2148602690U,	// SHRD32mri8
+    2215662402U,	// SHRD32rrCL
+    2215662402U,	// SHRD32rri8
+    2148619074U,	// SHRD64mrCL
+    2148619074U,	// SHRD64mri8
+    2215662402U,	// SHRD64rrCL
+    2215662402U,	// SHRD64rri8
+    2416993592U,	// SHRX32rm
+    2450548024U,	// SHRX32rr
+    2484102456U,	// SHRX64rm
+    2450548024U,	// SHRX64rr
+    2316325437U,	// SHUFPDrmi
+    2215662141U,	// SHUFPDrri
+    2316328655U,	// SHUFPSrmi
+    2215665359U,	// SHUFPSrri
+    287137U,	// SIDT16m
+    287137U,	// SIDT32m
+    287137U,	// SIDT64m
+    11703U,	// SIN_F
     0U,	// SIN_Fp32
     0U,	// SIN_Fp64
     0U,	// SIN_Fp80
-    12822U,	// SKINIT
-    41225U,	// SLDT16m
-    24841U,	// SLDT16r
-    24841U,	// SLDT32r
-    41225U,	// SLDT64m
-    24841U,	// SLDT64r
-    41883U,	// SMSW16m
-    25499U,	// SMSW16r
-    25499U,	// SMSW32r
-    25499U,	// SMSW64r
-    537940542U,	// SQRTPDm
-    135287358U,	// SQRTPDr
-    537943804U,	// SQRTPSm
-    135290620U,	// SQRTPSr
-    571495435U,	// SQRTSDm
-    571495435U,	// SQRTSDm_Int
-    135287819U,	// SQRTSDr
-    135287819U,	// SQRTSDr_Int
-    605053077U,	// SQRTSSm
-    605053077U,	// SQRTSSm_Int
-    135291029U,	// SQRTSSr
-    135291029U,	// SQRTSSr_Int
-    12695U,	// SQRT_F
+    12277U,	// SKINIT
+    41389U,	// SLDT16m
+    25005U,	// SLDT16r
+    25005U,	// SLDT32r
+    41389U,	// SLDT64m
+    25005U,	// SLDT64r
+    42047U,	// SMSW16m
+    25663U,	// SMSW16r
+    25663U,	// SMSW32r
+    25663U,	// SMSW64r
+    537940706U,	// SQRTPDm
+    303059682U,	// SQRTPDr
+    537943968U,	// SQRTPSm
+    303062944U,	// SQRTPSr
+    571495599U,	// SQRTSDm
+    571495599U,	// SQRTSDm_Int
+    303060143U,	// SQRTSDr
+    303060143U,	// SQRTSDr_Int
+    605053241U,	// SQRTSSm
+    605053241U,	// SQRTSSm_Int
+    303063353U,	// SQRTSSr
+    303063353U,	// SQRTSSr_Int
+    12144U,	// SQRT_F
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    12620U,	// SS_PREFIX
-    11851U,	// STAC
-    11905U,	// STC
-    11963U,	// STD
-    12122U,	// STGI
-    12137U,	// STI
-    72673U,	// STMXCSR
-    17108338U,	// STOSB
-    14996466U,	// STOSL
-    16341698U,	// STOSQ
-    13935530U,	// STOSW
-    23546U,	// STR16r
-    23546U,	// STR32r
-    23546U,	// STR64r
-    39930U,	// STRm
-    123266U,	// ST_F32m
-    139650U,	// ST_F64m
-    121046U,	// ST_FP32m
-    137430U,	// ST_FP64m
-    383190U,	// ST_FP80m
-    11395U,	// ST_FPNCE
-    11416U,	// ST_FPr0r7
-    22742U,	// ST_FPrr
+    11263U,	// STAC
+    11317U,	// STC
+    11375U,	// STD
+    11565U,	// STGI
+    11580U,	// STI
+    72837U,	// STMXCSR
+    17075734U,	// STOSB
+    14996630U,	// STOSL
+    16341862U,	// STOSQ
+    13968462U,	// STOSW
+    23710U,	// STR16r
+    23710U,	// STR32r
+    23710U,	// STR64r
+    40094U,	// STRm
+    123430U,	// ST_F32m
+    139814U,	// ST_F64m
+    121210U,	// ST_FP32m
+    137594U,	// ST_FP64m
+    383354U,	// ST_FP80m
+    10807U,	// ST_FPNCE
+    10828U,	// ST_FPr0r7
+    22906U,	// ST_FPrr
     0U,	// ST_Fp32m
     0U,	// ST_Fp64m
     0U,	// ST_Fp64m32
@@ -2780,52 +2775,52 @@
     0U,	// ST_FpP80m
     0U,	// ST_FpP80m32
     0U,	// ST_FpP80m64
-    24962U,	// ST_Frr
-    26065U,	// SUB16i16
-    1084903U,	// SUB16mi
-    1084903U,	// SUB16mi8
-    1084903U,	// SUB16mr
-    35704295U,	// SUB16ri
-    35704295U,	// SUB16ri8
-    3198439U,	// SUB16rm
-    35704295U,	// SUB16rr
-    68177383U,	// SUB16rr_REV
-    26200U,	// SUB32i32
-    1117671U,	// SUB32mi
-    1117671U,	// SUB32mi8
-    1117671U,	// SUB32mr
-    35704295U,	// SUB32ri
-    35704295U,	// SUB32ri8
-    4247015U,	// SUB32rm
-    35704295U,	// SUB32rr
-    68177383U,	// SUB32rr_REV
-    26348U,	// SUB64i32
-    1134055U,	// SUB64mi32
-    1134055U,	// SUB64mi8
-    1134055U,	// SUB64mr
-    35704295U,	// SUB64ri32
-    35704295U,	// SUB64ri8
-    5295591U,	// SUB64rm
-    35704295U,	// SUB64rr
-    68177383U,	// SUB64rr_REV
-    25963U,	// SUB8i8
-    1150439U,	// SUB8mi
-    1150439U,	// SUB8mr
-    35704295U,	// SUB8ri
-    35704295U,	// SUB8ri8
-    6344167U,	// SUB8rm
-    35704295U,	// SUB8rr
-    68177383U,	// SUB8rr_REV
-    202395909U,	// SUBPDrm
-    68178181U,	// SUBPDrr
-    202399127U,	// SUBPSrm
-    68181399U,	// SUBPSrr
-    121726U,	// SUBR_F32m
-    138110U,	// SUBR_F64m
-    39813U,	// SUBR_FI16m
-    72581U,	// SUBR_FI32m
-    22713U,	// SUBR_FPrST0
-    23422U,	// SUBR_FST0r
+    25126U,	// ST_Frr
+    26229U,	// SUB16i16
+    1085067U,	// SUB16mi
+    1085067U,	// SUB16mi8
+    1085067U,	// SUB16mr
+    35704459U,	// SUB16ri
+    35704459U,	// SUB16ri8
+    3198603U,	// SUB16rm
+    35704459U,	// SUB16rr
+    68177547U,	// SUB16rr_REV
+    26364U,	// SUB32i32
+    1117835U,	// SUB32mi
+    1117835U,	// SUB32mi8
+    1117835U,	// SUB32mr
+    35704459U,	// SUB32ri
+    35704459U,	// SUB32ri8
+    4247179U,	// SUB32rm
+    35704459U,	// SUB32rr
+    68177547U,	// SUB32rr_REV
+    26512U,	// SUB64i32
+    1134219U,	// SUB64mi32
+    1134219U,	// SUB64mi8
+    1134219U,	// SUB64mr
+    35704459U,	// SUB64ri32
+    35704459U,	// SUB64ri8
+    5295755U,	// SUB64rm
+    35704459U,	// SUB64rr
+    68177547U,	// SUB64rr_REV
+    26127U,	// SUB8i8
+    1150603U,	// SUB8mi
+    1150603U,	// SUB8mr
+    35704459U,	// SUB8ri
+    35704459U,	// SUB8ri8
+    6344331U,	// SUB8rm
+    35704459U,	// SUB8rr
+    68177547U,	// SUB8rr_REV
+    168841641U,	// SUBPDrm
+    68178345U,	// SUBPDrr
+    168844859U,	// SUBPSrm
+    68181563U,	// SUBPSrr
+    121890U,	// SUBR_F32m
+    138274U,	// SUBR_F64m
+    39977U,	// SUBR_FI16m
+    72745U,	// SUBR_FI32m
+    22877U,	// SUBR_FPrST0
+    23586U,	// SUBR_FST0r
     0U,	// SUBR_Fp32m
     0U,	// SUBR_Fp64m
     0U,	// SUBR_Fp64m32
@@ -2837,21 +2832,21 @@
     0U,	// SUBR_FpI32m32
     0U,	// SUBR_FpI32m64
     0U,	// SUBR_FpI32m80
-    7363454U,	// SUBR_FrST0
-    235950981U,	// SUBSDrm
-    235950981U,	// SUBSDrm_Int
-    68178821U,	// SUBSDrr
-    68178821U,	// SUBSDrr_Int
-    269508630U,	// SUBSSrm
-    269508630U,	// SUBSSrm_Int
-    68182038U,	// SUBSSrr
-    68182038U,	// SUBSSrr_Int
-    118246U,	// SUB_F32m
-    134630U,	// SUB_F64m
-    36332U,	// SUB_FI16m
-    69100U,	// SUB_FI32m
-    22605U,	// SUB_FPrST0
-    19942U,	// SUB_FST0r
+    7363618U,	// SUBR_FrST0
+    202396713U,	// SUBSDrm
+    202396713U,	// SUBSDrm_Int
+    68178985U,	// SUBSDrr
+    68178985U,	// SUBSDrr_Int
+    235954362U,	// SUBSSrm
+    235954362U,	// SUBSSrm_Int
+    68182202U,	// SUBSSrr
+    68182202U,	// SUBSSrr_Int
+    118410U,	// SUB_F32m
+    134794U,	// SUB_F64m
+    36496U,	// SUB_FI16m
+    69264U,	// SUB_FI32m
+    22769U,	// SUB_FPrST0
+    20106U,	// SUB_FST0r
     0U,	// SUB_Fp32
     0U,	// SUB_Fp32m
     0U,	// SUB_Fp64
@@ -2866,2611 +2861,3425 @@
     0U,	// SUB_FpI32m32
     0U,	// SUB_FpI32m64
     0U,	// SUB_FpI32m80
-    7359974U,	// SUB_FrST0
-    12539U,	// SWAPGS
-    12200U,	// SYSCALL
-    12419U,	// SYSENTER
-    12675U,	// SYSEXIT
-    12675U,	// SYSEXIT64
-    12655U,	// SYSRET
-    12655U,	// SYSRET64
-    101731928U,	// T1MSKC32rm
-    135286360U,	// T1MSKC32rr
-    168840792U,	// T1MSKC64rm
-    135286360U,	// T1MSKC64rr
-    20076665U,	// TAILJMPd
-    20076665U,	// TAILJMPd64
-    19994745U,	// TAILJMPm
-    20011129U,	// TAILJMPm64
+    7360138U,	// SUB_FrST0
+    11982U,	// SWAPGS
+    11643U,	// SYSCALL
+    11862U,	// SYSENTER
+    12124U,	// SYSEXIT
+    12124U,	// SYSEXIT64
+    12104U,	// SYSRET
+    12104U,	// SYSRET64
+    269504252U,	// T1MSKC32rm
+    303058684U,	// T1MSKC32rr
+    336613116U,	// T1MSKC64rm
+    303058684U,	// T1MSKC64rr
+    20076829U,	// TAILJMPd
+    20076829U,	// TAILJMPd64
+    19994909U,	// TAILJMPm
+    20011293U,	// TAILJMPm64
     0U,	// TAILJMPr
-    19945593U,	// TAILJMPr64
+    19945757U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    26149U,	// TEST16i16
-    1089916U,	// TEST16mi
-    1089916U,	// TEST16mi_alt
-    135291260U,	// TEST16ri
-    135291260U,	// TEST16ri_alt
-    370172284U,	// TEST16rm
-    135291260U,	// TEST16rr
-    26317U,	// TEST32i32
-    1122684U,	// TEST32mi
-    1122684U,	// TEST32mi_alt
-    135291260U,	// TEST32ri
-    135291260U,	// TEST32ri_alt
-    101736828U,	// TEST32rm
-    135291260U,	// TEST32rr
-    26456U,	// TEST64i32
-    1139068U,	// TEST64mi32
-    1139068U,	// TEST64mi32_alt
-    135291260U,	// TEST64ri32
-    135291260U,	// TEST64ri32_alt
-    168845692U,	// TEST64rm
-    135291260U,	// TEST64rr
-    26037U,	// TEST8i8
-    1155452U,	// TEST8mi
-    1155452U,	// TEST8mi_alt
-    135291260U,	// TEST8ri
+    26313U,	// TEST16i16
+    1090080U,	// TEST16mi
+    1090080U,	// TEST16mi_alt
+    303063584U,	// TEST16ri
+    303063584U,	// TEST16ri_alt
+    1090080U,	// TEST16rm
+    303063584U,	// TEST16rr
+    26481U,	// TEST32i32
+    1122848U,	// TEST32mi
+    1122848U,	// TEST32mi_alt
+    303063584U,	// TEST32ri
+    303063584U,	// TEST32ri_alt
+    1122848U,	// TEST32rm
+    303063584U,	// TEST32rr
+    26620U,	// TEST64i32
+    1139232U,	// TEST64mi32
+    1139232U,	// TEST64mi32_alt
+    303063584U,	// TEST64ri32
+    303063584U,	// TEST64ri32_alt
+    1139232U,	// TEST64rm
+    303063584U,	// TEST64rr
+    26201U,	// TEST8i8
+    1155616U,	// TEST8mi
+    1155616U,	// TEST8mi_alt
+    303063584U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    135291260U,	// TEST8ri_alt
-    504390012U,	// TEST8rm
-    135291260U,	// TEST8rr
-    11508U,	// TLSCall_32
-    11612U,	// TLSCall_64
-    11521U,	// TLS_addr32
-    11625U,	// TLS_addr64
-    11534U,	// TLS_base_addr32
-    11638U,	// TLS_base_addr64
-    11552U,	// TRAP
-    12707U,	// TST_F
+    303063584U,	// TEST8ri_alt
+    1155616U,	// TEST8rm
+    303063584U,	// TEST8rr
+    10920U,	// TLSCall_32
+    11024U,	// TLSCall_64
+    10933U,	// TLS_addr32
+    11037U,	// TLS_addr64
+    10946U,	// TLS_base_addr32
+    11050U,	// TLS_base_addr64
+    10964U,	// TRAP
+    12156U,	// TST_F
     0U,	// TST_Fp32
     0U,	// TST_Fp64
     0U,	// TST_Fp80
-    370172204U,	// TZCNT16rm
-    135291180U,	// TZCNT16rr
-    101736748U,	// TZCNT32rm
-    135291180U,	// TZCNT32rr
-    168845612U,	// TZCNT64rm
-    135291180U,	// TZCNT64rr
-    101734229U,	// TZMSK32rm
-    135288661U,	// TZMSK32rr
-    168843093U,	// TZMSK64rm
-    135288661U,	// TZMSK64rr
-    571495367U,	// UCOMISDrm
-    135287751U,	// UCOMISDrr
-    605053016U,	// UCOMISSrm
-    135290968U,	// UCOMISSrr
-    22248U,	// UCOM_FIPr
-    22190U,	// UCOM_FIr
-    12335U,	// UCOM_FPPr
-    22669U,	// UCOM_FPr
+    437281232U,	// TZCNT16rm
+    303063504U,	// TZCNT16rr
+    269509072U,	// TZCNT32rm
+    303063504U,	// TZCNT32rr
+    336617936U,	// TZCNT64rm
+    303063504U,	// TZCNT64rr
+    269506553U,	// TZMSK32rm
+    303060985U,	// TZMSK32rr
+    336615417U,	// TZMSK64rm
+    303060985U,	// TZMSK64rr
+    571495531U,	// UCOMISDrm
+    303060075U,	// UCOMISDrr
+    605053180U,	// UCOMISSrm
+    303063292U,	// UCOMISSrr
+    22412U,	// UCOM_FIPr
+    22354U,	// UCOM_FIr
+    11778U,	// UCOM_FPPr
+    22833U,	// UCOM_FPr
     0U,	// UCOM_FpIr32
     0U,	// UCOM_FpIr64
     0U,	// UCOM_FpIr80
     0U,	// UCOM_Fpr32
     0U,	// UCOM_Fpr64
     0U,	// UCOM_Fpr80
-    22492U,	// UCOM_Fr
-    11785U,	// UD2B
-    202396066U,	// UNPCKHPDrm
-    68178338U,	// UNPCKHPDrr
-    202399284U,	// UNPCKHPSrm
-    68181556U,	// UNPCKHPSrr
-    202396108U,	// UNPCKLPDrm
-    68178380U,	// UNPCKLPDrr
-    202399346U,	// UNPCKLPSrm
-    68181618U,	// UNPCKLPSrr
-    2651875204U,	// VAARG_64
-    2282770792U,	// VADDPDYrm
-    2282770792U,	// VADDPDYrr
-    2282766968U,	// VADDPDZrm
-    2282766968U,	// VADDPDZrmb
-    2282766968U,	// VADDPDZrr
-    2282770792U,	// VADDPDrm
-    2282770792U,	// VADDPDrr
-    2282774010U,	// VADDPSYrm
-    2282774010U,	// VADDPSYrr
-    2282768617U,	// VADDPSZrm
-    2282768617U,	// VADDPSZrmb
-    2282768617U,	// VADDPSZrr
-    2282774010U,	// VADDPSrm
-    2282774010U,	// VADDPSrr
-    2282771380U,	// VADDSDZrm
-    2282771380U,	// VADDSDZrr
-    2282771380U,	// VADDSDrm
-    2282771380U,	// VADDSDrm_Int
-    2282771380U,	// VADDSDrr
-    2282771380U,	// VADDSDrr_Int
-    2282774597U,	// VADDSSZrm
-    2282774597U,	// VADDSSZrr
-    2282774597U,	// VADDSSrm
-    2282774597U,	// VADDSSrm_Int
-    2282774597U,	// VADDSSrr
-    2282774597U,	// VADDSSrr_Int
-    2282770700U,	// VADDSUBPDYrm
-    2282770700U,	// VADDSUBPDYrr
-    2282770700U,	// VADDSUBPDrm
-    2282770700U,	// VADDSUBPDrr
-    2282773918U,	// VADDSUBPSYrm
-    2282773918U,	// VADDSUBPSYrr
-    2282773918U,	// VADDSUBPSrm
-    2282773918U,	// VADDSUBPSrr
-    2282774880U,	// VAESDECLASTrm
-    2282774880U,	// VAESDECLASTrr
-    2282769985U,	// VAESDECrm
-    2282769985U,	// VAESDECrr
-    2282774893U,	// VAESENCLASTrm
-    2282774893U,	// VAESENCLASTrr
-    2282770025U,	// VAESENCrm
-    2282770025U,	// VAESENCrr
-    336612960U,	// VAESIMCrm
-    135286368U,	// VAESIMCrr
-    2484101517U,	// VAESKEYGENASSIST128rm
-    2282774925U,	// VAESKEYGENASSIST128rr
-    2282766646U,	// VALIGNDrmi
-    2282766646U,	// VALIGNDrri
-    2282768097U,	// VALIGNQrmi
-    2282768097U,	// VALIGNQrri
-    2282770936U,	// VANDNPDYrm
-    2282770936U,	// VANDNPDYrr
-    2282770936U,	// VANDNPDrm
-    2282770936U,	// VANDNPDrr
-    2282774166U,	// VANDNPSYrm
-    2282774166U,	// VANDNPSYrr
-    2282774166U,	// VANDNPSrm
-    2282774166U,	// VANDNPSrr
-    2282770800U,	// VANDPDYrm
-    2282770800U,	// VANDPDYrr
-    2282770800U,	// VANDPDrm
-    2282770800U,	// VANDPDrr
-    2282774018U,	// VANDPSYrm
-    2282774018U,	// VANDPSYrr
-    2282774018U,	// VANDPSrm
-    2282774018U,	// VANDPSrr
-    2282776486U,	// VASTART_SAVE_XMM_REGS
-    155206345U,	// VBLENDMPDZrm
-    155206345U,	// VBLENDMPDZrr
-    155207994U,	// VBLENDMPSZrm
-    155207994U,	// VBLENDMPSZrr
-    2282770808U,	// VBLENDPDYrmi
-    2282770808U,	// VBLENDPDYrri
-    2282770808U,	// VBLENDPDrmi
-    2282770808U,	// VBLENDPDrri
-    2282774026U,	// VBLENDPSYrmi
-    2282774026U,	// VBLENDPSYrri
-    2282774026U,	// VBLENDPSrmi
-    2282774026U,	// VBLENDPSrri
-    2282771032U,	// VBLENDVPDYrm
-    2282771032U,	// VBLENDVPDYrr
-    2282771032U,	// VBLENDVPDrm
-    2282771032U,	// VBLENDVPDrr
-    2282774303U,	// VBLENDVPSYrm
-    2282774303U,	// VBLENDVPSYrr
-    2282774303U,	// VBLENDVPSrm
-    2282774303U,	// VBLENDVPSrr
-    537939016U,	// VBROADCASTF128
-    336612479U,	// VBROADCASTI128
-    571495443U,	// VBROADCASTSDYrm
-    135287827U,	// VBROADCASTSDYrr
-    571491364U,	// VBROADCASTSDZrm
-    135283748U,	// VBROADCASTSDZrr
-    605053094U,	// VBROADCASTSSYrm
-    135291046U,	// VBROADCASTSSYrr
-    605047338U,	// VBROADCASTSSZrm
-    135285290U,	// VBROADCASTSSZrr
-    605053094U,	// VBROADCASTSSrm
-    135291046U,	// VBROADCASTSSrr
-    2290446366U,	// VCMPPDYrmi
-    2282770960U,	// VCMPPDYrmi_alt
-    2290462750U,	// VCMPPDYrri
-    2282770960U,	// VCMPPDYrri_alt
-    894791710U,	// VCMPPDZrmi
-    2282767080U,	// VCMPPDZrmi_alt
-    89501726U,	// VCMPPDZrri
-    2282767080U,	// VCMPPDZrri_alt
-    2236985374U,	// VCMPPDZrrib
-    2290446366U,	// VCMPPDrmi
-    2282770960U,	// VCMPPDrmi_alt
-    2290462750U,	// VCMPPDrri
-    2282770960U,	// VCMPPDrri_alt
-    2291494942U,	// VCMPPSYrmi
-    2282774198U,	// VCMPPSYrmi_alt
-    2291511326U,	// VCMPPSYrri
-    2282774198U,	// VCMPPSYrri_alt
-    895840286U,	// VCMPPSZrmi
-    2282768729U,	// VCMPPSZrmi_alt
-    90550302U,	// VCMPPSZrri
-    2282768729U,	// VCMPPSZrri_alt
-    2238033950U,	// VCMPPSZrrib
-    2291494942U,	// VCMPPSrmi
-    2282774198U,	// VCMPPSrmi_alt
-    2291511326U,	// VCMPPSrri
-    2282774198U,	// VCMPPSrri_alt
-    2292543518U,	// VCMPSDZrm
-    2282771449U,	// VCMPSDZrmi_alt
-    2292559902U,	// VCMPSDZrr
-    2282771449U,	// VCMPSDZrri_alt
-    2292543518U,	// VCMPSDrm
-    2282771449U,	// VCMPSDrm_alt
-    2292559902U,	// VCMPSDrr
-    2282771449U,	// VCMPSDrr_alt
-    2293592094U,	// VCMPSSZrm
-    2282774658U,	// VCMPSSZrmi_alt
-    2293608478U,	// VCMPSSZrr
-    2282774658U,	// VCMPSSZrri_alt
-    2293592094U,	// VCMPSSrm
-    2282774658U,	// VCMPSSrm_alt
-    2293608478U,	// VCMPSSrr
-    2282774658U,	// VCMPSSrr_alt
-    537940944U,	// VCOMISDZrm
-    135287760U,	// VCOMISDZrr
-    537940944U,	// VCOMISDrm
-    135287760U,	// VCOMISDrr
-    537944161U,	// VCOMISSZrm
-    135290977U,	// VCOMISSZrr
-    537944161U,	// VCOMISSrm
-    135290977U,	// VCOMISSrr
-    336613506U,	// VCVTDQ2PDYrm
-    135286914U,	// VCVTDQ2PDYrr
-    907035048U,	// VCVTDQ2PDZrm
-    135283112U,	// VCVTDQ2PDZrr
-    168841346U,	// VCVTDQ2PDrm
-    135286914U,	// VCVTDQ2PDrr
-    907042087U,	// VCVTDQ2PSYrm
-    135290151U,	// VCVTDQ2PSYrr
-    940591141U,	// VCVTDQ2PSZrm
-    135284773U,	// VCVTDQ2PSZrr
-    2282768421U,	// VCVTDQ2PSZrrb
-    336616743U,	// VCVTDQ2PSrm
-    135290151U,	// VCVTDQ2PSrr
-    537945218U,	// VCVTPD2DQXrm
-    974150009U,	// VCVTPD2DQYrm
-    135289209U,	// VCVTPD2DQYrr
-    1007699374U,	// VCVTPD2DQZrm
-    135284142U,	// VCVTPD2DQZrr
-    2282767790U,	// VCVTPD2DQZrrb
-    135289209U,	// VCVTPD2DQrr
-    537945248U,	// VCVTPD2PSXrm
-    974150907U,	// VCVTPD2PSYrm
-    135290107U,	// VCVTPD2PSYrr
-    1007699981U,	// VCVTPD2PSZrm
-    135284749U,	// VCVTPD2PSZrr
-    2282768397U,	// VCVTPD2PSZrrb
-    135290107U,	// VCVTPD2PSrr
-    1007699534U,	// VCVTPD2UDQZrm
-    135284302U,	// VCVTPD2UDQZrr
-    2282767950U,	// VCVTPD2UDQZrrb
-    537943302U,	// VCVTPH2PSYrm
-    135290118U,	// VCVTPH2PSYrr
-    974150918U,	// VCVTPH2PSZrm
-    135290118U,	// VCVTPH2PSZrr
-    571497734U,	// VCVTPH2PSrm
-    135290118U,	// VCVTPH2PSrr
-    974150041U,	// VCVTPS2DQYrm
-    135289241U,	// VCVTPS2DQYrr
-    1007699399U,	// VCVTPS2DQZrm
-    135284167U,	// VCVTPS2DQZrr
-    2282767815U,	// VCVTPS2DQZrrb
-    537942425U,	// VCVTPS2DQrm
-    135289241U,	// VCVTPS2DQrr
-    537940109U,	// VCVTPS2PDYrm
-    135286925U,	// VCVTPS2PDYrr
-    974143937U,	// VCVTPS2PDZrm
-    135283137U,	// VCVTPS2PDZrr
-    571494541U,	// VCVTPS2PDrm
-    135286925U,	// VCVTPS2PDrr
-    1041831530U,	// VCVTPS2PHYmr
-    2282772074U,	// VCVTPS2PHYrr
-    1041859768U,	// VCVTPS2PHZmr
-    2282767544U,	// VCVTPS2PHZrr
-    2148669034U,	// VCVTPS2PHmr
-    2282772074U,	// VCVTPS2PHrr
-    1007699561U,	// VCVTPS2UDQZrm
-    135284329U,	// VCVTPS2UDQZrr
-    2282767977U,	// VCVTPS2UDQZrrb
-    571491537U,	// VCVTSD2SI64Zrm
-    135283921U,	// VCVTSD2SI64Zrr
-    571496213U,	// VCVTSD2SI64rm
-    135288597U,	// VCVTSD2SI64rr
-    571491537U,	// VCVTSD2SIZrm
-    135283921U,	// VCVTSD2SIZrr
-    571496213U,	// VCVTSD2SIrm
-    135288597U,	// VCVTSD2SIrr
-    2282774459U,	// VCVTSD2SSZrm
-    2282774459U,	// VCVTSD2SSZrr
-    2282774459U,	// VCVTSD2SSrm
-    2282774459U,	// VCVTSD2SSrr
-    571491588U,	// VCVTSD2USI64Zrm
-    135283972U,	// VCVTSD2USI64Zrr
-    571491588U,	// VCVTSD2USIZrm
-    135283972U,	// VCVTSD2USIZrr
-    2282771234U,	// VCVTSI2SD64rm
-    2282771234U,	// VCVTSI2SD64rr
-    2282767232U,	// VCVTSI2SDZrm
-    2282767232U,	// VCVTSI2SDZrr
-    2282771234U,	// VCVTSI2SDrm
-    2282771234U,	// VCVTSI2SDrr
-    2282774470U,	// VCVTSI2SS64rm
-    2282774470U,	// VCVTSI2SS64rr
-    2282768793U,	// VCVTSI2SSZrm
-    2282768793U,	// VCVTSI2SSZrr
-    2282774470U,	// VCVTSI2SSrm
-    2282774470U,	// VCVTSI2SSrr
-    2282767232U,	// VCVTSI642SDZrm
-    2282767232U,	// VCVTSI642SDZrr
-    2282768793U,	// VCVTSI642SSZrm
-    2282768793U,	// VCVTSI642SSZrr
-    2282771257U,	// VCVTSS2SDZrm
-    2282771257U,	// VCVTSS2SDZrr
-    2282771257U,	// VCVTSS2SDrm
-    2282771257U,	// VCVTSS2SDrr
-    605045994U,	// VCVTSS2SI64Zrm
-    135283946U,	// VCVTSS2SI64Zrr
-    605050668U,	// VCVTSS2SI64rm
-    135288620U,	// VCVTSS2SI64rr
-    605045994U,	// VCVTSS2SIZrm
-    135283946U,	// VCVTSS2SIZrr
-    605050668U,	// VCVTSS2SIrm
-    135288620U,	// VCVTSS2SIrr
-    605046047U,	// VCVTSS2USI64Zrm
-    135283999U,	// VCVTSS2USI64Zrr
-    605046047U,	// VCVTSS2USIZrm
-    135283999U,	// VCVTSS2USIZrr
-    537945205U,	// VCVTTPD2DQXrm
-    974149997U,	// VCVTTPD2DQYrm
-    135289197U,	// VCVTTPD2DQYrr
-    1007699361U,	// VCVTTPD2DQZrm
-    135284129U,	// VCVTTPD2DQZrr
-    135289197U,	// VCVTTPD2DQrr
-    1007699520U,	// VCVTTPD2UDQZrm
-    135284288U,	// VCVTTPD2UDQZrr
-    974150029U,	// VCVTTPS2DQYrm
-    135289229U,	// VCVTTPS2DQYrr
-    1007699386U,	// VCVTTPS2DQZrm
-    135284154U,	// VCVTTPS2DQZrr
-    537942413U,	// VCVTTPS2DQrm
-    135289229U,	// VCVTTPS2DQrr
-    1007699547U,	// VCVTTPS2UDQZrm
-    135284315U,	// VCVTTPS2UDQZrr
-    571491524U,	// VCVTTSD2SI64Zrm
-    135283908U,	// VCVTTSD2SI64Zrr
-    571496201U,	// VCVTTSD2SI64rm
-    135288585U,	// VCVTTSD2SI64rr
-    571491524U,	// VCVTTSD2SIZrm
-    135283908U,	// VCVTTSD2SIZrr
-    571496201U,	// VCVTTSD2SIrm
-    135288585U,	// VCVTTSD2SIrr
-    571491574U,	// VCVTTSD2USI64Zrm
-    135283958U,	// VCVTTSD2USI64Zrr
-    571491574U,	// VCVTTSD2USIZrm
-    135283958U,	// VCVTTSD2USIZrr
-    605045981U,	// VCVTTSS2SI64Zrm
-    135283933U,	// VCVTTSS2SI64Zrr
-    605050656U,	// VCVTTSS2SI64rm
-    135288608U,	// VCVTTSS2SI64rr
-    605045981U,	// VCVTTSS2SIZrm
-    135283933U,	// VCVTTSS2SIZrr
-    605050656U,	// VCVTTSS2SIrm
-    135288608U,	// VCVTTSS2SIrr
-    605046033U,	// VCVTTSS2USI64Zrm
-    135283985U,	// VCVTTSS2USI64Zrr
-    605046033U,	// VCVTTSS2USIZrm
-    135283985U,	// VCVTTSS2USIZrr
-    974143924U,	// VCVTUDQ2PDZrm
-    135283124U,	// VCVTUDQ2PDZrr
-    1007700017U,	// VCVTUDQ2PSZrm
-    135284785U,	// VCVTUDQ2PSZrr
-    2282768433U,	// VCVTUDQ2PSZrrb
-    2282767244U,	// VCVTUSI2SDZrm
-    2282767244U,	// VCVTUSI2SDZrr
-    2282768805U,	// VCVTUSI2SSZrm
-    2282768805U,	// VCVTUSI2SSZrr
-    2282767244U,	// VCVTUSI642SDZrm
-    2282767244U,	// VCVTUSI642SDZrr
-    2282768805U,	// VCVTUSI642SSZrm
-    2282768805U,	// VCVTUSI642SSZrr
-    2282771043U,	// VDIVPDYrm
-    2282771043U,	// VDIVPDYrr
-    2282767126U,	// VDIVPDZrm
-    2282767126U,	// VDIVPDZrmb
-    2282767126U,	// VDIVPDZrr
-    2282771043U,	// VDIVPDrm
-    2282771043U,	// VDIVPDrr
-    2282774314U,	// VDIVPSYrm
-    2282774314U,	// VDIVPSYrr
-    2282768775U,	// VDIVPSZrm
-    2282768775U,	// VDIVPSZrmb
-    2282768775U,	// VDIVPSZrr
-    2282774314U,	// VDIVPSrm
-    2282774314U,	// VDIVPSrr
-    2282771489U,	// VDIVSDZrm
-    2282771489U,	// VDIVSDZrr
-    2282771489U,	// VDIVSDrm
-    2282771489U,	// VDIVSDrm_Int
-    2282771489U,	// VDIVSDrr
-    2282771489U,	// VDIVSDrr_Int
-    2282774708U,	// VDIVSSZrm
-    2282774708U,	// VDIVSSZrr
-    2282774708U,	// VDIVSSrm
-    2282774708U,	// VDIVSSrm_Int
-    2282774708U,	// VDIVSSrr
-    2282774708U,	// VDIVSSrr_Int
-    2282770953U,	// VDPPDrmi
-    2282770953U,	// VDPPDrri
-    2282774191U,	// VDPPSYrmi
-    2282774191U,	// VDPPSYrri
-    2282774191U,	// VDPPSrmi
-    2282774191U,	// VDPPSrri
-    39883U,	// VERRm
-    23499U,	// VERRr
-    41786U,	// VERWm
-    25402U,	// VERWr
-    1041828909U,	// VEXTRACTF128mr
-    2282769453U,	// VEXTRACTF128rr
-    1041828781U,	// VEXTRACTF32x4mr
-    2282769325U,	// VEXTRACTF32x4rr
-    1041861607U,	// VEXTRACTF64x4mr
-    2282769383U,	// VEXTRACTF64x4rr
-    2148797540U,	// VEXTRACTI128mr
-    2282769508U,	// VEXTRACTI128rr
-    2148797386U,	// VEXTRACTI32x4mr
-    2282769354U,	// VEXTRACTI32x4rr
-    1041878020U,	// VEXTRACTI64x4mr
-    2282769412U,	// VEXTRACTI64x4rr
-    2148654809U,	// VEXTRACTPSmr
-    2282774233U,	// VEXTRACTPSrr
-    2148654809U,	// VEXTRACTPSzmr
-    2282774233U,	// VEXTRACTPSzrr
-    2215657855U,	// VFMADD132PDZm
-    2215657855U,	// VFMADD132PDZmb
-    2215659504U,	// VFMADD132PSZm
-    2215659504U,	// VFMADD132PSZmb
-    2215658008U,	// VFMADD213PDZm
-    2215658008U,	// VFMADD213PDZmb
-    2215658008U,	// VFMADD213PDZr
-    2215659657U,	// VFMADD213PSZm
-    2215659657U,	// VFMADD213PSZmb
-    2215659657U,	// VFMADD213PSZr
-    2282770771U,	// VFMADDPD4mr
-    2282770771U,	// VFMADDPD4mrY
-    2282770771U,	// VFMADDPD4rm
-    2282770771U,	// VFMADDPD4rmY
-    2282770771U,	// VFMADDPD4rr
-    2282770771U,	// VFMADDPD4rrY
-    2282770771U,	// VFMADDPD4rrY_REV
-    2282770771U,	// VFMADDPD4rr_REV
-    2215661649U,	// VFMADDPDr132m
-    2215661649U,	// VFMADDPDr132mY
-    2215661649U,	// VFMADDPDr132r
-    2215661649U,	// VFMADDPDr132rY
-    2215661779U,	// VFMADDPDr213m
-    2215661779U,	// VFMADDPDr213mY
-    2215661779U,	// VFMADDPDr213r
-    2215661779U,	// VFMADDPDr213rY
-    2215661563U,	// VFMADDPDr231m
-    2215661563U,	// VFMADDPDr231mY
-    2215661563U,	// VFMADDPDr231r
-    2215661563U,	// VFMADDPDr231rY
-    2282773989U,	// VFMADDPS4mr
-    2282773989U,	// VFMADDPS4mrY
-    2282773989U,	// VFMADDPS4rm
-    2282773989U,	// VFMADDPS4rmY
-    2282773989U,	// VFMADDPS4rr
-    2282773989U,	// VFMADDPS4rrY
-    2282773989U,	// VFMADDPS4rrY_REV
-    2282773989U,	// VFMADDPS4rr_REV
-    2215664864U,	// VFMADDPSr132m
-    2215664864U,	// VFMADDPSr132mY
-    2215664864U,	// VFMADDPSr132r
-    2215664864U,	// VFMADDPSr132rY
-    2215665005U,	// VFMADDPSr213m
-    2215665005U,	// VFMADDPSr213mY
-    2215665005U,	// VFMADDPSr213r
-    2215665005U,	// VFMADDPSr213rY
-    2215664778U,	// VFMADDPSr231m
-    2215664778U,	// VFMADDPSr231mY
-    2215664778U,	// VFMADDPSr231r
-    2215664778U,	// VFMADDPSr231rY
-    2282771359U,	// VFMADDSD4mr
-    2282771359U,	// VFMADDSD4mr_Int
-    2282771359U,	// VFMADDSD4rm
-    2282771359U,	// VFMADDSD4rm_Int
-    2282771359U,	// VFMADDSD4rr
-    2282771359U,	// VFMADDSD4rr_Int
-    2282771359U,	// VFMADDSD4rr_REV
-    2215658422U,	// VFMADDSDZm
-    2215658422U,	// VFMADDSDZr
-    2215662343U,	// VFMADDSDr132m
-    2215662343U,	// VFMADDSDr132r
-    2215662431U,	// VFMADDSDr213m
-    2215662431U,	// VFMADDSDr213r
-    2215662289U,	// VFMADDSDr231m
-    2215662289U,	// VFMADDSDr231r
-    2282774576U,	// VFMADDSS4mr
-    2282774576U,	// VFMADDSS4mr_Int
-    2282774576U,	// VFMADDSS4rm
-    2282774576U,	// VFMADDSS4rm_Int
-    2282774576U,	// VFMADDSS4rr
-    2282774576U,	// VFMADDSS4rr_Int
-    2282774576U,	// VFMADDSS4rr_REV
-    2215659983U,	// VFMADDSSZm
-    2215659983U,	// VFMADDSSZr
-    2215665568U,	// VFMADDSSr132m
-    2215665568U,	// VFMADDSSr132r
-    2215665656U,	// VFMADDSSr213m
-    2215665656U,	// VFMADDSSr213r
-    2215665514U,	// VFMADDSSr231m
-    2215665514U,	// VFMADDSSr231r
-    2215657792U,	// VFMADDSUB132PDZm
-    2215657792U,	// VFMADDSUB132PDZmb
-    2215659441U,	// VFMADDSUB132PSZm
-    2215659441U,	// VFMADDSUB132PSZmb
-    2215657945U,	// VFMADDSUB213PDZm
-    2215657945U,	// VFMADDSUB213PDZmb
-    2215657945U,	// VFMADDSUB213PDZr
-    2215659594U,	// VFMADDSUB213PSZm
-    2215659594U,	// VFMADDSUB213PSZmb
-    2215659594U,	// VFMADDSUB213PSZr
-    2282770687U,	// VFMADDSUBPD4mr
-    2282770687U,	// VFMADDSUBPD4mrY
-    2282770687U,	// VFMADDSUBPD4rm
-    2282770687U,	// VFMADDSUBPD4rmY
-    2282770687U,	// VFMADDSUBPD4rr
-    2282770687U,	// VFMADDSUBPD4rrY
-    2282770687U,	// VFMADDSUBPD4rrY_REV
-    2282770687U,	// VFMADDSUBPD4rr_REV
-    2215661590U,	// VFMADDSUBPDr132m
-    2215661590U,	// VFMADDSUBPDr132mY
-    2215661590U,	// VFMADDSUBPDr132r
-    2215661590U,	// VFMADDSUBPDr132rY
-    2215661720U,	// VFMADDSUBPDr213m
-    2215661720U,	// VFMADDSUBPDr213mY
-    2215661720U,	// VFMADDSUBPDr213r
-    2215661720U,	// VFMADDSUBPDr213rY
-    2215661504U,	// VFMADDSUBPDr231m
-    2215661504U,	// VFMADDSUBPDr231mY
-    2215661504U,	// VFMADDSUBPDr231r
-    2215661504U,	// VFMADDSUBPDr231rY
-    2282773905U,	// VFMADDSUBPS4mr
-    2282773905U,	// VFMADDSUBPS4mrY
-    2282773905U,	// VFMADDSUBPS4rm
-    2282773905U,	// VFMADDSUBPS4rmY
-    2282773905U,	// VFMADDSUBPS4rr
-    2282773905U,	// VFMADDSUBPS4rrY
-    2282773905U,	// VFMADDSUBPS4rrY_REV
-    2282773905U,	// VFMADDSUBPS4rr_REV
-    2215664805U,	// VFMADDSUBPSr132m
-    2215664805U,	// VFMADDSUBPSr132mY
-    2215664805U,	// VFMADDSUBPSr132r
-    2215664805U,	// VFMADDSUBPSr132rY
-    2215664946U,	// VFMADDSUBPSr213m
-    2215664946U,	// VFMADDSUBPSr213mY
-    2215664946U,	// VFMADDSUBPSr213r
-    2215664946U,	// VFMADDSUBPSr213rY
-    2215664719U,	// VFMADDSUBPSr231m
-    2215664719U,	// VFMADDSUBPSr231mY
-    2215664719U,	// VFMADDSUBPSr231r
-    2215664719U,	// VFMADDSUBPSr231rY
-    2215657809U,	// VFMSUB132PDZm
-    2215657809U,	// VFMSUB132PDZmb
-    2215659458U,	// VFMSUB132PSZm
-    2215659458U,	// VFMSUB132PSZmb
-    2215657962U,	// VFMSUB213PDZm
-    2215657962U,	// VFMSUB213PDZmb
-    2215657962U,	// VFMSUB213PDZr
-    2215659611U,	// VFMSUB213PSZm
-    2215659611U,	// VFMSUB213PSZmb
-    2215659611U,	// VFMSUB213PSZr
-    2215657838U,	// VFMSUBADD132PDZm
-    2215657838U,	// VFMSUBADD132PDZmb
-    2215659487U,	// VFMSUBADD132PSZm
-    2215659487U,	// VFMSUBADD132PSZmb
-    2215657991U,	// VFMSUBADD213PDZm
-    2215657991U,	// VFMSUBADD213PDZmb
-    2215657991U,	// VFMSUBADD213PDZr
-    2215659640U,	// VFMSUBADD213PSZm
-    2215659640U,	// VFMSUBADD213PSZmb
-    2215659640U,	// VFMSUBADD213PSZr
-    2282770749U,	// VFMSUBADDPD4mr
-    2282770749U,	// VFMSUBADDPD4mrY
-    2282770749U,	// VFMSUBADDPD4rm
-    2282770749U,	// VFMSUBADDPD4rmY
-    2282770749U,	// VFMSUBADDPD4rr
-    2282770749U,	// VFMSUBADDPD4rrY
-    2282770749U,	// VFMSUBADDPD4rrY_REV
-    2282770749U,	// VFMSUBADDPD4rr_REV
-    2215661633U,	// VFMSUBADDPDr132m
-    2215661633U,	// VFMSUBADDPDr132mY
-    2215661633U,	// VFMSUBADDPDr132r
-    2215661633U,	// VFMSUBADDPDr132rY
-    2215661763U,	// VFMSUBADDPDr213m
-    2215661763U,	// VFMSUBADDPDr213mY
-    2215661763U,	// VFMSUBADDPDr213r
-    2215661763U,	// VFMSUBADDPDr213rY
-    2215661547U,	// VFMSUBADDPDr231m
-    2215661547U,	// VFMSUBADDPDr231mY
-    2215661547U,	// VFMSUBADDPDr231r
-    2215661547U,	// VFMSUBADDPDr231rY
-    2282773967U,	// VFMSUBADDPS4mr
-    2282773967U,	// VFMSUBADDPS4mrY
-    2282773967U,	// VFMSUBADDPS4rm
-    2282773967U,	// VFMSUBADDPS4rmY
-    2282773967U,	// VFMSUBADDPS4rr
-    2282773967U,	// VFMSUBADDPS4rrY
-    2282773967U,	// VFMSUBADDPS4rrY_REV
-    2282773967U,	// VFMSUBADDPS4rr_REV
-    2215664848U,	// VFMSUBADDPSr132m
-    2215664848U,	// VFMSUBADDPSr132mY
-    2215664848U,	// VFMSUBADDPSr132r
-    2215664848U,	// VFMSUBADDPSr132rY
-    2215664989U,	// VFMSUBADDPSr213m
-    2215664989U,	// VFMSUBADDPSr213mY
-    2215664989U,	// VFMSUBADDPSr213r
-    2215664989U,	// VFMSUBADDPSr213rY
-    2215664762U,	// VFMSUBADDPSr231m
-    2215664762U,	// VFMSUBADDPSr231mY
-    2215664762U,	// VFMSUBADDPSr231r
-    2215664762U,	// VFMSUBADDPSr231rY
-    2282770720U,	// VFMSUBPD4mr
-    2282770720U,	// VFMSUBPD4mrY
-    2282770720U,	// VFMSUBPD4rm
-    2282770720U,	// VFMSUBPD4rmY
-    2282770720U,	// VFMSUBPD4rr
-    2282770720U,	// VFMSUBPD4rrY
-    2282770720U,	// VFMSUBPD4rrY_REV
-    2282770720U,	// VFMSUBPD4rr_REV
-    2215661606U,	// VFMSUBPDr132m
-    2215661606U,	// VFMSUBPDr132mY
-    2215661606U,	// VFMSUBPDr132r
-    2215661606U,	// VFMSUBPDr132rY
-    2215661736U,	// VFMSUBPDr213m
-    2215661736U,	// VFMSUBPDr213mY
-    2215661736U,	// VFMSUBPDr213r
-    2215661736U,	// VFMSUBPDr213rY
-    2215661520U,	// VFMSUBPDr231m
-    2215661520U,	// VFMSUBPDr231mY
-    2215661520U,	// VFMSUBPDr231r
-    2215661520U,	// VFMSUBPDr231rY
-    2282773938U,	// VFMSUBPS4mr
-    2282773938U,	// VFMSUBPS4mrY
-    2282773938U,	// VFMSUBPS4rm
-    2282773938U,	// VFMSUBPS4rmY
-    2282773938U,	// VFMSUBPS4rr
-    2282773938U,	// VFMSUBPS4rrY
-    2282773938U,	// VFMSUBPS4rrY_REV
-    2282773938U,	// VFMSUBPS4rr_REV
-    2215664821U,	// VFMSUBPSr132m
-    2215664821U,	// VFMSUBPSr132mY
-    2215664821U,	// VFMSUBPSr132r
-    2215664821U,	// VFMSUBPSr132rY
-    2215664962U,	// VFMSUBPSr213m
-    2215664962U,	// VFMSUBPSr213mY
-    2215664962U,	// VFMSUBPSr213r
-    2215664962U,	// VFMSUBPSr213rY
-    2215664735U,	// VFMSUBPSr231m
-    2215664735U,	// VFMSUBPSr231mY
-    2215664735U,	// VFMSUBPSr231r
-    2215664735U,	// VFMSUBPSr231rY
-    2282771330U,	// VFMSUBSD4mr
-    2282771330U,	// VFMSUBSD4mr_Int
-    2282771330U,	// VFMSUBSD4rm
-    2282771330U,	// VFMSUBSD4rm_Int
-    2282771330U,	// VFMSUBSD4rr
-    2282771330U,	// VFMSUBSD4rr_Int
-    2282771330U,	// VFMSUBSD4rr_REV
-    2215658393U,	// VFMSUBSDZm
-    2215658393U,	// VFMSUBSDZr
-    2215662316U,	// VFMSUBSDr132m
-    2215662316U,	// VFMSUBSDr132r
-    2215662404U,	// VFMSUBSDr213m
-    2215662404U,	// VFMSUBSDr213r
-    2215662262U,	// VFMSUBSDr231m
-    2215662262U,	// VFMSUBSDr231r
-    2282774547U,	// VFMSUBSS4mr
-    2282774547U,	// VFMSUBSS4mr_Int
-    2282774547U,	// VFMSUBSS4rm
-    2282774547U,	// VFMSUBSS4rm_Int
-    2282774547U,	// VFMSUBSS4rr
-    2282774547U,	// VFMSUBSS4rr_Int
-    2282774547U,	// VFMSUBSS4rr_REV
-    2215659954U,	// VFMSUBSSZm
-    2215659954U,	// VFMSUBSSZr
-    2215665541U,	// VFMSUBSSr132m
-    2215665541U,	// VFMSUBSSr132r
-    2215665629U,	// VFMSUBSSr213m
-    2215665629U,	// VFMSUBSSr213r
-    2215665487U,	// VFMSUBSSr231m
-    2215665487U,	// VFMSUBSSr231r
-    2215657869U,	// VFNMADD132PDZm
-    2215657869U,	// VFNMADD132PDZmb
-    2215659518U,	// VFNMADD132PSZm
-    2215659518U,	// VFNMADD132PSZmb
-    2215658022U,	// VFNMADD213PDZm
-    2215658022U,	// VFNMADD213PDZmb
-    2215658022U,	// VFNMADD213PDZr
-    2215659671U,	// VFNMADD213PSZm
-    2215659671U,	// VFNMADD213PSZmb
-    2215659671U,	// VFNMADD213PSZr
-    2282770781U,	// VFNMADDPD4mr
-    2282770781U,	// VFNMADDPD4mrY
-    2282770781U,	// VFNMADDPD4rm
-    2282770781U,	// VFNMADDPD4rmY
-    2282770781U,	// VFNMADDPD4rr
-    2282770781U,	// VFNMADDPD4rrY
-    2282770781U,	// VFNMADDPD4rrY_REV
-    2282770781U,	// VFNMADDPD4rr_REV
-    2215661662U,	// VFNMADDPDr132m
-    2215661662U,	// VFNMADDPDr132mY
-    2215661662U,	// VFNMADDPDr132r
-    2215661662U,	// VFNMADDPDr132rY
-    2215661792U,	// VFNMADDPDr213m
-    2215661792U,	// VFNMADDPDr213mY
-    2215661792U,	// VFNMADDPDr213r
-    2215661792U,	// VFNMADDPDr213rY
-    2215661576U,	// VFNMADDPDr231m
-    2215661576U,	// VFNMADDPDr231mY
-    2215661576U,	// VFNMADDPDr231r
-    2215661576U,	// VFNMADDPDr231rY
-    2282773999U,	// VFNMADDPS4mr
-    2282773999U,	// VFNMADDPS4mrY
-    2282773999U,	// VFNMADDPS4rm
-    2282773999U,	// VFNMADDPS4rmY
-    2282773999U,	// VFNMADDPS4rr
-    2282773999U,	// VFNMADDPS4rrY
-    2282773999U,	// VFNMADDPS4rrY_REV
-    2282773999U,	// VFNMADDPS4rr_REV
-    2215664877U,	// VFNMADDPSr132m
-    2215664877U,	// VFNMADDPSr132mY
-    2215664877U,	// VFNMADDPSr132r
-    2215664877U,	// VFNMADDPSr132rY
-    2215665018U,	// VFNMADDPSr213m
-    2215665018U,	// VFNMADDPSr213mY
-    2215665018U,	// VFNMADDPSr213r
-    2215665018U,	// VFNMADDPSr213rY
-    2215664791U,	// VFNMADDPSr231m
-    2215664791U,	// VFNMADDPSr231mY
-    2215664791U,	// VFNMADDPSr231r
-    2215664791U,	// VFNMADDPSr231rY
-    2282771369U,	// VFNMADDSD4mr
-    2282771369U,	// VFNMADDSD4mr_Int
-    2282771369U,	// VFNMADDSD4rm
-    2282771369U,	// VFNMADDSD4rm_Int
-    2282771369U,	// VFNMADDSD4rr
-    2282771369U,	// VFNMADDSD4rr_Int
-    2282771369U,	// VFNMADDSD4rr_REV
-    2215658436U,	// VFNMADDSDZm
-    2215658436U,	// VFNMADDSDZr
-    2215662356U,	// VFNMADDSDr132m
-    2215662356U,	// VFNMADDSDr132r
-    2215662444U,	// VFNMADDSDr213m
-    2215662444U,	// VFNMADDSDr213r
-    2215662302U,	// VFNMADDSDr231m
-    2215662302U,	// VFNMADDSDr231r
-    2282774586U,	// VFNMADDSS4mr
-    2282774586U,	// VFNMADDSS4mr_Int
-    2282774586U,	// VFNMADDSS4rm
-    2282774586U,	// VFNMADDSS4rm_Int
-    2282774586U,	// VFNMADDSS4rr
-    2282774586U,	// VFNMADDSS4rr_Int
-    2282774586U,	// VFNMADDSS4rr_REV
-    2215659997U,	// VFNMADDSSZm
-    2215659997U,	// VFNMADDSSZr
-    2215665581U,	// VFNMADDSSr132m
-    2215665581U,	// VFNMADDSSr132r
-    2215665669U,	// VFNMADDSSr213m
-    2215665669U,	// VFNMADDSSr213r
-    2215665527U,	// VFNMADDSSr231m
-    2215665527U,	// VFNMADDSSr231r
-    2215657823U,	// VFNMSUB132PDZm
-    2215657823U,	// VFNMSUB132PDZmb
-    2215659472U,	// VFNMSUB132PSZm
-    2215659472U,	// VFNMSUB132PSZmb
-    2215657976U,	// VFNMSUB213PDZm
-    2215657976U,	// VFNMSUB213PDZmb
-    2215657976U,	// VFNMSUB213PDZr
-    2215659625U,	// VFNMSUB213PSZm
-    2215659625U,	// VFNMSUB213PSZmb
-    2215659625U,	// VFNMSUB213PSZr
-    2282770730U,	// VFNMSUBPD4mr
-    2282770730U,	// VFNMSUBPD4mrY
-    2282770730U,	// VFNMSUBPD4rm
-    2282770730U,	// VFNMSUBPD4rmY
-    2282770730U,	// VFNMSUBPD4rr
-    2282770730U,	// VFNMSUBPD4rrY
-    2282770730U,	// VFNMSUBPD4rrY_REV
-    2282770730U,	// VFNMSUBPD4rr_REV
-    2215661619U,	// VFNMSUBPDr132m
-    2215661619U,	// VFNMSUBPDr132mY
-    2215661619U,	// VFNMSUBPDr132r
-    2215661619U,	// VFNMSUBPDr132rY
-    2215661749U,	// VFNMSUBPDr213m
-    2215661749U,	// VFNMSUBPDr213mY
-    2215661749U,	// VFNMSUBPDr213r
-    2215661749U,	// VFNMSUBPDr213rY
-    2215661533U,	// VFNMSUBPDr231m
-    2215661533U,	// VFNMSUBPDr231mY
-    2215661533U,	// VFNMSUBPDr231r
-    2215661533U,	// VFNMSUBPDr231rY
-    2282773948U,	// VFNMSUBPS4mr
-    2282773948U,	// VFNMSUBPS4mrY
-    2282773948U,	// VFNMSUBPS4rm
-    2282773948U,	// VFNMSUBPS4rmY
-    2282773948U,	// VFNMSUBPS4rr
-    2282773948U,	// VFNMSUBPS4rrY
-    2282773948U,	// VFNMSUBPS4rrY_REV
-    2282773948U,	// VFNMSUBPS4rr_REV
-    2215664834U,	// VFNMSUBPSr132m
-    2215664834U,	// VFNMSUBPSr132mY
-    2215664834U,	// VFNMSUBPSr132r
-    2215664834U,	// VFNMSUBPSr132rY
-    2215664975U,	// VFNMSUBPSr213m
-    2215664975U,	// VFNMSUBPSr213mY
-    2215664975U,	// VFNMSUBPSr213r
-    2215664975U,	// VFNMSUBPSr213rY
-    2215664748U,	// VFNMSUBPSr231m
-    2215664748U,	// VFNMSUBPSr231mY
-    2215664748U,	// VFNMSUBPSr231r
-    2215664748U,	// VFNMSUBPSr231rY
-    2282771340U,	// VFNMSUBSD4mr
-    2282771340U,	// VFNMSUBSD4mr_Int
-    2282771340U,	// VFNMSUBSD4rm
-    2282771340U,	// VFNMSUBSD4rm_Int
-    2282771340U,	// VFNMSUBSD4rr
-    2282771340U,	// VFNMSUBSD4rr_Int
-    2282771340U,	// VFNMSUBSD4rr_REV
-    2215658407U,	// VFNMSUBSDZm
-    2215658407U,	// VFNMSUBSDZr
-    2215662329U,	// VFNMSUBSDr132m
-    2215662329U,	// VFNMSUBSDr132r
-    2215662417U,	// VFNMSUBSDr213m
-    2215662417U,	// VFNMSUBSDr213r
-    2215662275U,	// VFNMSUBSDr231m
-    2215662275U,	// VFNMSUBSDr231r
-    2282774557U,	// VFNMSUBSS4mr
-    2282774557U,	// VFNMSUBSS4mr_Int
-    2282774557U,	// VFNMSUBSS4rm
-    2282774557U,	// VFNMSUBSS4rm_Int
-    2282774557U,	// VFNMSUBSS4rr
-    2282774557U,	// VFNMSUBSS4rr_Int
-    2282774557U,	// VFNMSUBSS4rr_REV
-    2215659968U,	// VFNMSUBSSZm
-    2215659968U,	// VFNMSUBSSZr
-    2215665554U,	// VFNMSUBSSr132m
-    2215665554U,	// VFNMSUBSSr132r
-    2215665642U,	// VFNMSUBSSr213m
-    2215665642U,	// VFNMSUBSSr213r
-    2215665500U,	// VFNMSUBSSr231m
-    2215665500U,	// VFNMSUBSSr231r
-    537940607U,	// VFRCZPDrm
-    974148223U,	// VFRCZPDrmY
-    135287423U,	// VFRCZPDrr
-    135287423U,	// VFRCZPDrrY
-    537943878U,	// VFRCZPSrm
-    974151494U,	// VFRCZPSrmY
-    135290694U,	// VFRCZPSrr
-    135290694U,	// VFRCZPSrrY
-    571495490U,	// VFRCZSDrm
-    135287874U,	// VFRCZSDrr
-    605053132U,	// VFRCZSSrm
-    135291084U,	// VFRCZSSrr
-    2282770936U,	// VFsANDNPDrm
-    2282770936U,	// VFsANDNPDrr
-    2282774166U,	// VFsANDNPSrm
-    2282774166U,	// VFsANDNPSrr
-    2282770800U,	// VFsANDPDrm
-    2282770800U,	// VFsANDPDrr
-    2282774018U,	// VFsANDPSrm
-    2282774018U,	// VFsANDPSrr
-    2282770980U,	// VFsORPDrm
-    2282770980U,	// VFsORPDrr
-    2282774218U,	// VFsORPSrm
-    2282774218U,	// VFsORPSrr
-    2282770987U,	// VFsXORPDrm
-    2282770987U,	// VFsXORPDrr
-    2282774225U,	// VFsXORPSrm
-    2282774225U,	// VFsXORPSrr
-    1074811276U,	// VGATHERDPDYrm
-    1128284801U,	// VGATHERDPDZrm
-    1074811276U,	// VGATHERDPDrm
-    1141923358U,	// VGATHERDPSYrm
-    3275770098U,	// VGATHERDPSZrm
-    1141923358U,	// VGATHERDPSrm
-    1074811416U,	// VGATHERQPDYrm
-    1128284913U,	// VGATHERQPDZrm
-    1074811416U,	// VGATHERQPDrm
-    1141923518U,	// VGATHERQPSYrm
-    1128286562U,	// VGATHERQPSZrm
-    1141923518U,	// VGATHERQPSrm
-    2282770762U,	// VHADDPDYrm
-    2282770762U,	// VHADDPDYrr
-    2282770762U,	// VHADDPDrm
-    2282770762U,	// VHADDPDrr
-    2282773980U,	// VHADDPSYrm
-    2282773980U,	// VHADDPSYrr
-    2282773980U,	// VHADDPSrm
-    2282773980U,	// VHADDPSrr
-    2282770711U,	// VHSUBPDYrm
-    2282770711U,	// VHSUBPDYrr
-    2282770711U,	// VHSUBPDrm
-    2282770711U,	// VHSUBPDrr
-    2282773929U,	// VHSUBPSYrm
-    2282773929U,	// VHSUBPSYrr
-    2282773929U,	// VHSUBPSrm
-    2282773929U,	// VHSUBPSrr
-    2282769467U,	// VINSERTF128rm
-    2282769467U,	// VINSERTF128rr
-    2282769340U,	// VINSERTF32x4rm
-    2282769340U,	// VINSERTF32x4rr
-    2282769398U,	// VINSERTF64x4rm
-    2282769398U,	// VINSERTF64x4rr
-    2282769522U,	// VINSERTI128rm
-    2282769522U,	// VINSERTI128rr
-    2282769369U,	// VINSERTI32x4rm
-    2282769369U,	// VINSERTI32x4rr
-    2282769427U,	// VINSERTI64x4rm
-    2282769427U,	// VINSERTI64x4rr
-    2282774255U,	// VINSERTPSrm
-    2282774255U,	// VINSERTPSrr
-    2282774255U,	// VINSERTPSzrm
-    2282774255U,	// VINSERTPSzrr
-    907043251U,	// VLDDQUYrm
-    336617907U,	// VLDDQUrm
-    72662U,	// VLDMXCSR
-    135291323U,	// VMASKMOVDQU
-    135291323U,	// VMASKMOVDQU64
-    1041863275U,	// VMASKMOVPDYmr
-    2282771051U,	// VMASKMOVPDYrm
-    1041830507U,	// VMASKMOVPDmr
-    2282771051U,	// VMASKMOVPDrm
-    1041866546U,	// VMASKMOVPSYmr
-    2282774322U,	// VMASKMOVPSYrm
-    1041833778U,	// VMASKMOVPSmr
-    2282774322U,	// VMASKMOVPSrm
-    2282771063U,	// VMAXCPDYrm
-    2282771063U,	// VMAXCPDYrr
-    2282771063U,	// VMAXCPDrm
-    2282771063U,	// VMAXCPDrr
-    2282774334U,	// VMAXCPSYrm
-    2282774334U,	// VMAXCPSYrr
-    2282774334U,	// VMAXCPSrm
-    2282774334U,	// VMAXCPSrr
-    2282771514U,	// VMAXCSDrm
-    2282771514U,	// VMAXCSDrr
-    2282774724U,	// VMAXCSSrm
-    2282774724U,	// VMAXCSSrr
-    2282771063U,	// VMAXPDYrm
-    2282771063U,	// VMAXPDYrr
-    2282767135U,	// VMAXPDZrm
-    2282767135U,	// VMAXPDZrmb
-    2282767135U,	// VMAXPDZrr
-    2282771063U,	// VMAXPDrm
-    2282771063U,	// VMAXPDrr
-    2282774334U,	// VMAXPSYrm
-    2282774334U,	// VMAXPSYrr
-    2282768784U,	// VMAXPSZrm
-    2282768784U,	// VMAXPSZrmb
-    2282768784U,	// VMAXPSZrr
-    2282774334U,	// VMAXPSrm
-    2282774334U,	// VMAXPSrr
-    2282771514U,	// VMAXSDZrm
-    2282771514U,	// VMAXSDZrr
-    2282771514U,	// VMAXSDrm
-    2282771514U,	// VMAXSDrm_Int
-    2282771514U,	// VMAXSDrr
-    2282771514U,	// VMAXSDrr_Int
-    2282774724U,	// VMAXSSZrm
-    2282774724U,	// VMAXSSZrr
-    2282774724U,	// VMAXSSrm
-    2282774724U,	// VMAXSSrm_Int
-    2282774724U,	// VMAXSSrr
-    2282774724U,	// VMAXSSrr_Int
-    12193U,	// VMCALL
-    88938U,	// VMCLEARm
-    11892U,	// VMFUNC
-    2282770945U,	// VMINCPDYrm
-    2282770945U,	// VMINCPDYrr
-    2282770945U,	// VMINCPDrm
-    2282770945U,	// VMINCPDrr
-    2282774175U,	// VMINCPSYrm
-    2282774175U,	// VMINCPSYrr
-    2282774175U,	// VMINCPSrm
-    2282774175U,	// VMINCPSrr
-    2282771434U,	// VMINCSDrm
-    2282771434U,	// VMINCSDrr
-    2282774642U,	// VMINCSSrm
-    2282774642U,	// VMINCSSrr
-    2282770945U,	// VMINPDYrm
-    2282770945U,	// VMINPDYrr
-    2282767071U,	// VMINPDZrm
-    2282767071U,	// VMINPDZrmb
-    2282767071U,	// VMINPDZrr
-    2282770945U,	// VMINPDrm
-    2282770945U,	// VMINPDrr
-    2282774175U,	// VMINPSYrm
-    2282774175U,	// VMINPSYrr
-    2282768720U,	// VMINPSZrm
-    2282768720U,	// VMINPSZrmb
-    2282768720U,	// VMINPSZrr
-    2282774175U,	// VMINPSrm
-    2282774175U,	// VMINPSrr
-    2282771434U,	// VMINSDZrm
-    2282771434U,	// VMINSDZrr
-    2282771434U,	// VMINSDrm
-    2282771434U,	// VMINSDrm_Int
-    2282771434U,	// VMINSDrr
-    2282771434U,	// VMINSDrr_Int
-    2282774642U,	// VMINSSZrm
-    2282774642U,	// VMINSSZrr
-    2282774642U,	// VMINSSrm
-    2282774642U,	// VMINSSrm_Int
-    2282774642U,	// VMINSSrr
-    2282774642U,	// VMINSSrr_Int
-    12108U,	// VMLAUNCH
-    12790U,	// VMLOAD32
-    12845U,	// VMLOAD64
-    12185U,	// VMMCALL
-    135289656U,	// VMOV64toPQIZrr
-    135289656U,	// VMOV64toPQIrr
-    135289656U,	// VMOV64toSDZrr
-    168844088U,	// VMOV64toSDrm
-    135289656U,	// VMOV64toSDrr
-    626926U,	// VMOVAPDYmr
-    974147822U,	// VMOVAPDYrm
-    135287022U,	// VMOVAPDYrr
-    135287022U,	// VMOVAPDYrr_REV
-    659694U,	// VMOVAPDZmr
-    1007698533U,	// VMOVAPDZrm
-    88097381U,	// VMOVAPDZrmk
-    135283301U,	// VMOVAPDZrr
-    88097381U,	// VMOVAPDZrrk
-    594158U,	// VMOVAPDmr
-    537940206U,	// VMOVAPDrm
-    135287022U,	// VMOVAPDrr
-    135287022U,	// VMOVAPDrr_REV
-    630152U,	// VMOVAPSYmr
-    974151048U,	// VMOVAPSYrm
-    135290248U,	// VMOVAPSYrr
-    135290248U,	// VMOVAPSYrr_REV
-    662920U,	// VMOVAPSZmr
-    1007700182U,	// VMOVAPSZrm
-    88099030U,	// VMOVAPSZrmk
-    135284950U,	// VMOVAPSZrr
-    88099030U,	// VMOVAPSZrrk
-    597384U,	// VMOVAPSmr
-    537943432U,	// VMOVAPSrm
-    135290248U,	// VMOVAPSrr
-    135290248U,	// VMOVAPSrr_REV
-    974149867U,	// VMOVDDUPYrm
-    135289067U,	// VMOVDDUPYrr
-    1007699244U,	// VMOVDDUPZrm
-    135284012U,	// VMOVDDUPZrr
-    571496683U,	// VMOVDDUPrm
-    135289067U,	// VMOVDDUPrr
-    101733558U,	// VMOVDI2PDIZrm
-    135287990U,	// VMOVDI2PDIZrr
-    101733558U,	// VMOVDI2PDIrm
-    135287990U,	// VMOVDI2PDIrr
-    101733558U,	// VMOVDI2SSZrm
-    135287990U,	// VMOVDI2SSZrr
-    101733558U,	// VMOVDI2SSrm
-    135287990U,	// VMOVDI2SSrr
-    674603U,	// VMOVDQA32mr
-    940591915U,	// VMOVDQA32rm
-    135285547U,	// VMOVDQA32rr
-    674678U,	// VMOVDQA64mr
-    940591990U,	// VMOVDQA64rm
-    135285622U,	// VMOVDQA64rr
-    642211U,	// VMOVDQAYmr
-    907037859U,	// VMOVDQAYrm
-    135285923U,	// VMOVDQAYrr
-    135285923U,	// VMOVDQAYrr_REV
-    1313955U,	// VMOVDQAmr
-    336612515U,	// VMOVDQArm
-    135285923U,	// VMOVDQArr
-    135285923U,	// VMOVDQArr_REV
-    671745U,	// VMOVDQU32mr
-    940589057U,	// VMOVDQU32rm
-    88096769U,	// VMOVDQU32rmk
-    135282689U,	// VMOVDQU32rr
-    88096769U,	// VMOVDQU32rrk
-    155205633U,	// VMOVDQU32rrkz
-    671757U,	// VMOVDQU64mr
-    940589069U,	// VMOVDQU64rm
-    88096781U,	// VMOVDQU64rmk
-    135282701U,	// VMOVDQU64rr
-    88096781U,	// VMOVDQU64rrk
-    155205645U,	// VMOVDQU64rrkz
-    647624U,	// VMOVDQUYmr
-    907043272U,	// VMOVDQUYrm
-    135291336U,	// VMOVDQUYrr
-    135291336U,	// VMOVDQUYrr_REV
-    1319368U,	// VMOVDQUmr
-    336617928U,	// VMOVDQUrm
-    135291336U,	// VMOVDQUrr
-    135291336U,	// VMOVDQUrr_REV
-    2282774108U,	// VMOVHLPSZrr
-    2282774108U,	// VMOVHLPSrr
-    1184172U,	// VMOVHPDmr
-    2282770860U,	// VMOVHPDrm
-    1187400U,	// VMOVHPSmr
-    2282774088U,	// VMOVHPSrm
-    2282774078U,	// VMOVLHPSZrr
-    2282774078U,	// VMOVLHPSrr
-    1184222U,	// VMOVLPDmr
-    2282770910U,	// VMOVLPDrm
-    1187460U,	// VMOVLPSmr
-    2282774148U,	// VMOVLPSrm
-    135287221U,	// VMOVMSKPDYrr
-    135287221U,	// VMOVMSKPDrr
-    135290449U,	// VMOVMSKPSYrr
-    135290449U,	// VMOVMSKPSrr
-    907037848U,	// VMOVNTDQAYrm
-    336612504U,	// VMOVNTDQArm
-    629285U,	// VMOVNTDQYmr
-    596517U,	// VMOVNTDQmr
-    627251U,	// VMOVNTPDYmr
-    594483U,	// VMOVNTPDmr
-    630501U,	// VMOVNTPSYmr
-    597733U,	// VMOVNTPSmr
-    1119414U,	// VMOVPDI2DIZmr
-    135287990U,	// VMOVPDI2DIZrr
-    1119414U,	// VMOVPDI2DImr
-    135287990U,	// VMOVPDI2DIrr
-    1137464U,	// VMOVPQI2QImr
-    135289656U,	// VMOVPQI2QIrr
-    1137464U,	// VMOVPQIto64Zmr
-    135289656U,	// VMOVPQIto64Zrr
-    135289656U,	// VMOVPQIto64rr
-    168844088U,	// VMOVQI2PQIZrm
-    168844088U,	// VMOVQI2PQIrm
-    1180723U,	// VMOVSDZmr
-    571491379U,	// VMOVSDZrm
-    2282767411U,	// VMOVSDZrr
-    2282771497U,	// VMOVSDZrr_REV
-    88097843U,	// VMOVSDZrrk
-    1184809U,	// VMOVSDmr
-    571495465U,	// VMOVSDrm
-    2282771497U,	// VMOVSDrr
-    2282771497U,	// VMOVSDrr_REV
-    1137464U,	// VMOVSDto64Zmr
-    135289656U,	// VMOVSDto64Zrr
-    1137464U,	// VMOVSDto64mr
-    135289656U,	// VMOVSDto64rr
-    974149877U,	// VMOVSHDUPYrm
-    135289077U,	// VMOVSHDUPYrr
-    1007699255U,	// VMOVSHDUPZrm
-    135284023U,	// VMOVSHDUPZrr
-    537942261U,	// VMOVSHDUPrm
-    135289077U,	// VMOVSHDUPrr
-    974149888U,	// VMOVSLDUPYrm
-    135289088U,	// VMOVSLDUPYrr
-    1007699267U,	// VMOVSLDUPZrm
-    135284035U,	// VMOVSLDUPZrr
-    537942272U,	// VMOVSLDUPrm
-    135289088U,	// VMOVSLDUPrr
-    1119414U,	// VMOVSS2DIZmr
-    135287990U,	// VMOVSS2DIZrr
-    1119414U,	// VMOVSS2DImr
-    135287990U,	// VMOVSS2DIrr
-    1165881U,	// VMOVSSZmr
-    605047353U,	// VMOVSSZrm
-    2282768953U,	// VMOVSSZrr
-    2282774716U,	// VMOVSSZrr_REV
-    88099385U,	// VMOVSSZrrk
-    1171644U,	// VMOVSSmr
-    605053116U,	// VMOVSSrm
-    2282774716U,	// VMOVSSrr
-    2282774716U,	// VMOVSSrr_REV
-    627279U,	// VMOVUPDYmr
-    974148175U,	// VMOVUPDYrm
-    135287375U,	// VMOVUPDYrr
-    135287375U,	// VMOVUPDYrr_REV
-    660047U,	// VMOVUPDZmr
-    1007698700U,	// VMOVUPDZrm
-    88097548U,	// VMOVUPDZrmk
-    135283468U,	// VMOVUPDZrr
-    88097548U,	// VMOVUPDZrrk
-    594511U,	// VMOVUPDmr
-    537940559U,	// VMOVUPDrm
-    135287375U,	// VMOVUPDrr
-    135287375U,	// VMOVUPDrr_REV
-    630550U,	// VMOVUPSYmr
-    974151446U,	// VMOVUPSYrm
-    135290646U,	// VMOVUPSYrr
-    135290646U,	// VMOVUPSYrr_REV
-    663318U,	// VMOVUPSZmr
-    1007700349U,	// VMOVUPSZrm
-    88099197U,	// VMOVUPSZrmk
-    135285117U,	// VMOVUPSZrr
-    88099197U,	// VMOVUPSZrrk
-    597782U,	// VMOVUPSmr
-    537943830U,	// VMOVUPSrm
-    135290646U,	// VMOVUPSrr
-    135290646U,	// VMOVUPSrr_REV
-    336616248U,	// VMOVZPQILo2PQIZrm
-    135289656U,	// VMOVZPQILo2PQIZrr
-    336616248U,	// VMOVZPQILo2PQIrm
-    135289656U,	// VMOVZPQILo2PQIrr
-    168844088U,	// VMOVZQI2PQIrm
-    135289656U,	// VMOVZQI2PQIrr
-    2282775057U,	// VMPSADBWYrmi
-    2282775057U,	// VMPSADBWYrri
-    2282775057U,	// VMPSADBWrmi
-    2282775057U,	// VMPSADBWrri
-    85896U,	// VMPTRLDm
-    90527U,	// VMPTRSTm
-    1117825U,	// VMREAD32rm
-    135286401U,	// VMREAD32rr
-    1134209U,	// VMREAD64rm
-    135286401U,	// VMREAD64rr
-    12018U,	// VMRESUME
-    12812U,	// VMRUN32
-    12867U,	// VMRUN64
-    12801U,	// VMSAVE32
-    12856U,	// VMSAVE64
-    2282770902U,	// VMULPDYrm
-    2282770902U,	// VMULPDYrr
-    2282767040U,	// VMULPDZrm
-    2282767040U,	// VMULPDZrmb
-    2282767040U,	// VMULPDZrr
-    2282770902U,	// VMULPDrm
-    2282770902U,	// VMULPDrr
-    2282774140U,	// VMULPSYrm
-    2282774140U,	// VMULPSYrr
-    2282768689U,	// VMULPSZrm
-    2282768689U,	// VMULPSZrmb
-    2282768689U,	// VMULPSZrr
-    2282774140U,	// VMULPSrm
-    2282774140U,	// VMULPSrr
-    2282771417U,	// VMULSDZrm
-    2282771417U,	// VMULSDZrr
-    2282771417U,	// VMULSDrm
-    2282771417U,	// VMULSDrm_Int
-    2282771417U,	// VMULSDrr
-    2282771417U,	// VMULSDrr_Int
-    2282774634U,	// VMULSSZrm
-    2282774634U,	// VMULSSZrr
-    2282774634U,	// VMULSSrm
-    2282774634U,	// VMULSSrm_Int
-    2282774634U,	// VMULSSrr
-    2282774634U,	// VMULSSrr_Int
-    101733885U,	// VMWRITE32rm
-    135288317U,	// VMWRITE32rr
-    168842749U,	// VMWRITE64rm
-    135288317U,	// VMWRITE64rr
-    12075U,	// VMXOFF
-    88090U,	// VMXON
-    2282770980U,	// VORPDYrm
-    2282770980U,	// VORPDYrr
-    2282770980U,	// VORPDrm
-    2282770980U,	// VORPDrr
-    2282774218U,	// VORPSYrm
-    2282774218U,	// VORPSYrr
-    2282774218U,	// VORPSrm
-    2282774218U,	// VORPSrr
-    336612687U,	// VPABSBrm128
-    907038031U,	// VPABSBrm256
-    135286095U,	// VPABSBrr128
-    135286095U,	// VPABSBrr256
-    940590083U,	// VPABSDrm
-    336614266U,	// VPABSDrm128
-    907039610U,	// VPABSDrm256
-    135283715U,	// VPABSDrr
-    135287674U,	// VPABSDrr128
-    135287674U,	// VPABSDrr256
-    940590882U,	// VPABSQrm
-    135284514U,	// VPABSQrr
-    336618331U,	// VPABSWrm128
-    907043675U,	// VPABSWrm256
-    135291739U,	// VPABSWrr128
-    135291739U,	// VPABSWrr256
-    2282775202U,	// VPACKSSDWYrm
-    2282775202U,	// VPACKSSDWYrr
-    2282775202U,	// VPACKSSDWrm
-    2282775202U,	// VPACKSSDWrr
-    2282769934U,	// VPACKSSWBYrm
-    2282769934U,	// VPACKSSWBYrr
-    2282769934U,	// VPACKSSWBrm
-    2282769934U,	// VPACKSSWBrr
-    2282775213U,	// VPACKUSDWYrm
-    2282775213U,	// VPACKUSDWYrr
-    2282775213U,	// VPACKUSDWrm
-    2282775213U,	// VPACKUSDWrr
-    2282769945U,	// VPACKUSWBYrm
-    2282769945U,	// VPACKUSWBYrr
-    2282769945U,	// VPACKUSWBrm
-    2282769945U,	// VPACKUSWBrr
-    2282769650U,	// VPADDBYrm
-    2282769650U,	// VPADDBYrr
-    2282769650U,	// VPADDBrm
-    2282769650U,	// VPADDBrr
-    2282770162U,	// VPADDDYrm
-    2282770162U,	// VPADDDYrr
-    2282766509U,	// VPADDDZrm
-    2282766509U,	// VPADDDZrmb
-    2282766509U,	// VPADDDZrr
-    2282770162U,	// VPADDDrm
-    2282770162U,	// VPADDDrr
-    2282772910U,	// VPADDQYrm
-    2282772910U,	// VPADDQYrr
-    2282767827U,	// VPADDQZrm
-    2282767827U,	// VPADDQZrmb
-    2282767827U,	// VPADDQZrr
-    2282772910U,	// VPADDQrm
-    2282772910U,	// VPADDQrr
-    2282769760U,	// VPADDSBYrm
-    2282769760U,	// VPADDSBYrr
-    2282769760U,	// VPADDSBrm
-    2282769760U,	// VPADDSBrr
-    2282775436U,	// VPADDSWYrm
-    2282775436U,	// VPADDSWYrr
-    2282775436U,	// VPADDSWrm
-    2282775436U,	// VPADDSWrr
-    2282769802U,	// VPADDUSBYrm
-    2282769802U,	// VPADDUSBYrr
-    2282769802U,	// VPADDUSBrm
-    2282769802U,	// VPADDUSBrr
-    2282775509U,	// VPADDUSWYrm
-    2282775509U,	// VPADDUSWYrr
-    2282775509U,	// VPADDUSWrm
-    2282775509U,	// VPADDUSWrr
-    2282775184U,	// VPADDWYrm
-    2282775184U,	// VPADDWYrr
-    2282775184U,	// VPADDWrm
-    2282775184U,	// VPADDWrr
-    2282773406U,	// VPALIGNR128rm
-    2282773406U,	// VPALIGNR128rr
-    2282773406U,	// VPALIGNR256rm
-    2282773406U,	// VPALIGNR256rr
-    2282766518U,	// VPANDDZrm
-    2282766518U,	// VPANDDZrmb
-    2282766518U,	// VPANDDZrr
-    2282766636U,	// VPANDNDZrm
-    2282766636U,	// VPANDNDZrmb
-    2282766636U,	// VPANDNDZrr
-    2282768087U,	// VPANDNQZrm
-    2282768087U,	// VPANDNQZrmb
-    2282768087U,	// VPANDNQZrr
-    2282772483U,	// VPANDNYrm
-    2282772483U,	// VPANDNYrr
-    2282772483U,	// VPANDNrm
-    2282772483U,	// VPANDNrr
-    2282767872U,	// VPANDQZrm
-    2282767872U,	// VPANDQZrmb
-    2282767872U,	// VPANDQZrr
-    2282770337U,	// VPANDYrm
-    2282770337U,	// VPANDYrr
-    2282770337U,	// VPANDrm
-    2282770337U,	// VPANDrr
-    2282769667U,	// VPAVGBYrm
-    2282769667U,	// VPAVGBYrr
-    2282769667U,	// VPAVGBrm
-    2282769667U,	// VPAVGBrr
-    2282775239U,	// VPAVGWYrm
-    2282775239U,	// VPAVGWYrr
-    2282775239U,	// VPAVGWrm
-    2282775239U,	// VPAVGWrr
-    2282770170U,	// VPBLENDDYrmi
-    2282770170U,	// VPBLENDDYrri
-    2282770170U,	// VPBLENDDrmi
-    2282770170U,	// VPBLENDDrri
-    155205888U,	// VPBLENDMDZrm
-    155205888U,	// VPBLENDMDZrr
-    155207339U,	// VPBLENDMQZrm
-    155207339U,	// VPBLENDMQZrr
-    2282769916U,	// VPBLENDVBYrm
-    2282769916U,	// VPBLENDVBYrr
-    2282769916U,	// VPBLENDVBrm
-    2282769916U,	// VPBLENDVBrr
-    2282775192U,	// VPBLENDWYrmi
-    2282775192U,	// VPBLENDWYrri
-    2282775192U,	// VPBLENDWrmi
-    2282775192U,	// VPBLENDWrri
-    504384965U,	// VPBROADCASTBYrm
-    135286213U,	// VPBROADCASTBYrr
-    504384965U,	// VPBROADCASTBrm
-    135286213U,	// VPBROADCASTBrr
-    101733469U,	// VPBROADCASTDYrm
-    135287901U,	// VPBROADCASTDYrr
-    155206751U,	// VPBROADCASTDZkrm
-    155206751U,	// VPBROADCASTDZkrr
-    101729375U,	// VPBROADCASTDZrm
-    135283807U,	// VPBROADCASTDZrr
-    155206751U,	// VPBROADCASTDrZkrr
-    135283807U,	// VPBROADCASTDrZrr
-    101733469U,	// VPBROADCASTDrm
-    135287901U,	// VPBROADCASTDrr
-    135284047U,	// VPBROADCASTMB2Qrr
-    135282801U,	// VPBROADCASTMW2Drr
-    168844026U,	// VPBROADCASTQYrm
-    135289594U,	// VPBROADCASTQYrr
-    155207512U,	// VPBROADCASTQZkrm
-    155207512U,	// VPBROADCASTQZkrr
-    168839000U,	// VPBROADCASTQZrm
-    135284568U,	// VPBROADCASTQZrr
-    155207512U,	// VPBROADCASTQrZkrr
-    135284568U,	// VPBROADCASTQrZrr
-    168844026U,	// VPBROADCASTQrm
-    135289594U,	// VPBROADCASTQrr
-    370172929U,	// VPBROADCASTWYrm
-    135291905U,	// VPBROADCASTWYrr
-    370172929U,	// VPBROADCASTWrm
-    135291905U,	// VPBROADCASTWrr
-    2282773005U,	// VPCLMULQDQrm
-    2282773005U,	// VPCLMULQDQrr
-    2282775023U,	// VPCMOVmr
-    2282775023U,	// VPCMOVmrY
-    2282775023U,	// VPCMOVrm
-    2282775023U,	// VPCMOVrmY
-    2282775023U,	// VPCMOVrr
-    2282775023U,	// VPCMOVrrY
-    1198878744U,	// VPCMPDZrmi
-    2282770919U,	// VPCMPDZrmi_alt
-    91598872U,	// VPCMPDZrri
-    2282770919U,	// VPCMPDZrri_alt
-    2282769715U,	// VPCMPEQBYrm
-    2282769715U,	// VPCMPEQBYrr
-    2282769715U,	// VPCMPEQBrm
-    2282769715U,	// VPCMPEQBrr
-    2282771080U,	// VPCMPEQDYrm
-    2282771080U,	// VPCMPEQDYrr
-    2282767144U,	// VPCMPEQDZrm
-    2282767144U,	// VPCMPEQDZrr
-    2282771080U,	// VPCMPEQDrm
-    2282771080U,	// VPCMPEQDrr
-    2282773146U,	// VPCMPEQQYrm
-    2282773146U,	// VPCMPEQQYrr
-    2282768107U,	// VPCMPEQQZrm
-    2282768107U,	// VPCMPEQQZrr
-    2282773146U,	// VPCMPEQQrm
-    2282773146U,	// VPCMPEQQrr
-    2282775344U,	// VPCMPEQWYrm
-    2282775344U,	// VPCMPEQWYrr
-    2282775344U,	// VPCMPEQWrm
-    2282775344U,	// VPCMPEQWrr
+    22656U,	// UCOM_Fr
+    11197U,	// UD2B
+    168841798U,	// UNPCKHPDrm
+    68178502U,	// UNPCKHPDrr
+    168845016U,	// UNPCKHPSrm
+    68181720U,	// UNPCKHPSrr
+    168841840U,	// UNPCKLPDrm
+    68178544U,	// UNPCKLPDrr
+    168845078U,	// UNPCKLPSrm
+    68181782U,	// UNPCKLPSrr
+    2651875368U,	// VAARG_64
+    2450543116U,	// VADDPDYrm
+    2450543116U,	// VADDPDYrr
+    2450539204U,	// VADDPDZrm
+    2450539204U,	// VADDPDZrmb
+    322978500U,	// VADDPDZrmbk
+    2470462148U,	// VADDPDZrmbkz
+    322982412U,	// VADDPDZrmk
+    2470466060U,	// VADDPDZrmkz
+    2450539204U,	// VADDPDZrr
+    322978500U,	// VADDPDZrrk
+    2470462148U,	// VADDPDZrrkz
+    2450543116U,	// VADDPDrm
+    2450543116U,	// VADDPDrr
+    2450546334U,	// VADDPSYrm
+    2450546334U,	// VADDPSYrr
+    2450540908U,	// VADDPSZrm
+    2450540908U,	// VADDPSZrmb
+    322980204U,	// VADDPSZrmbk
+    2470463852U,	// VADDPSZrmbkz
+    322985630U,	// VADDPSZrmk
+    2470469278U,	// VADDPSZrmkz
+    2450540908U,	// VADDPSZrr
+    322980204U,	// VADDPSZrrk
+    2470463852U,	// VADDPSZrrkz
+    2450546334U,	// VADDPSrm
+    2450546334U,	// VADDPSrr
+    2450543704U,	// VADDSDZrm
+    2450543704U,	// VADDSDZrr
+    2450543704U,	// VADDSDrm
+    2450543704U,	// VADDSDrm_Int
+    2450543704U,	// VADDSDrr
+    2450543704U,	// VADDSDrr_Int
+    2450546921U,	// VADDSSZrm
+    2450546921U,	// VADDSSZrr
+    2450546921U,	// VADDSSrm
+    2450546921U,	// VADDSSrm_Int
+    2450546921U,	// VADDSSrr
+    2450546921U,	// VADDSSrr_Int
+    2450543024U,	// VADDSUBPDYrm
+    2450543024U,	// VADDSUBPDYrr
+    2450543024U,	// VADDSUBPDrm
+    2450543024U,	// VADDSUBPDrr
+    2450546242U,	// VADDSUBPSYrm
+    2450546242U,	// VADDSUBPSYrr
+    2450546242U,	// VADDSUBPSrm
+    2450546242U,	// VADDSUBPSrr
+    2450547204U,	// VAESDECLASTrm
+    2450547204U,	// VAESDECLASTrr
+    2450542309U,	// VAESDECrm
+    2450542309U,	// VAESDECrr
+    2450547217U,	// VAESENCLASTrm
+    2450547217U,	// VAESENCLASTrr
+    2450542349U,	// VAESENCrm
+    2450542349U,	// VAESENCrr
+    403721988U,	// VAESIMCrm
+    303058692U,	// VAESIMCrr
+    2551210545U,	// VAESKEYGENASSIST128rm
+    2450547249U,	// VAESKEYGENASSIST128rr
+    2450538892U,	// VALIGNDrmi
+    2450538892U,	// VALIGNDrri
+    88097164U,	// VALIGNDrrik
+    2470461836U,	// VALIGNDrrikz
+    2450540369U,	// VALIGNQrmi
+    2450540369U,	// VALIGNQrri
+    88098641U,	// VALIGNQrrik
+    2470463313U,	// VALIGNQrrikz
+    2450543260U,	// VANDNPDYrm
+    2450543260U,	// VANDNPDYrr
+    2450543260U,	// VANDNPDrm
+    2450543260U,	// VANDNPDrr
+    2450546490U,	// VANDNPSYrm
+    2450546490U,	// VANDNPSYrr
+    2450546490U,	// VANDNPSrm
+    2450546490U,	// VANDNPSrr
+    2450543124U,	// VANDPDYrm
+    2450543124U,	// VANDPDYrr
+    2450543124U,	// VANDPDrm
+    2450543124U,	// VANDPDrr
+    2450546342U,	// VANDPSYrm
+    2450546342U,	// VANDPSYrr
+    2450546342U,	// VANDPSrm
+    2450546342U,	// VANDPSrr
+    2450548824U,	// VASTART_SAVE_XMM_REGS
+    322978581U,	// VBLENDMPDZrm
+    322978581U,	// VBLENDMPDZrr
+    322980285U,	// VBLENDMPSZrm
+    322980285U,	// VBLENDMPSZrr
+    2450543132U,	// VBLENDPDYrmi
+    2450543132U,	// VBLENDPDYrri
+    2450543132U,	// VBLENDPDrmi
+    2450543132U,	// VBLENDPDrri
+    2450546350U,	// VBLENDPSYrmi
+    2450546350U,	// VBLENDPSYrri
+    2450546350U,	// VBLENDPSrmi
+    2450546350U,	// VBLENDPSrri
+    2450543356U,	// VBLENDVPDYrm
+    2450543356U,	// VBLENDVPDYrr
+    2450543356U,	// VBLENDVPDrm
+    2450543356U,	// VBLENDVPDrr
+    2450546627U,	// VBLENDVPSYrm
+    2450546627U,	// VBLENDVPSYrr
+    2450546627U,	// VBLENDVPSrm
+    2450546627U,	// VBLENDVPSrr
+    537939170U,	// VBROADCASTF128
+    403721497U,	// VBROADCASTI128
+    2470461441U,	// VBROADCASTI32X4krm
+    403718145U,	// VBROADCASTI32X4rm
+    2470461459U,	// VBROADCASTI64X4krm
+    873480211U,	// VBROADCASTI64X4rm
+    571495607U,	// VBROADCASTSDYrm
+    303060151U,	// VBROADCASTSDYrr
+    571491443U,	// VBROADCASTSDZrm
+    303055987U,	// VBROADCASTSDZrr
+    605053258U,	// VBROADCASTSSYrm
+    303063370U,	// VBROADCASTSSYrr
+    605047459U,	// VBROADCASTSSZrm
+    303057571U,	// VBROADCASTSSZrr
+    605053258U,	// VBROADCASTSSrm
+    303063370U,	// VBROADCASTSSrr
+    2458217969U,	// VCMPPDYrmi
+    2450543284U,	// VCMPPDYrmi_alt
+    2458234353U,	// VCMPPDYrri
+    2450543284U,	// VCMPPDYrri_alt
+    928345585U,	// VCMPPDZrmi
+    2450539316U,	// VCMPPDZrmi_alt
+    89501169U,	// VCMPPDZrri
+    2450539316U,	// VCMPPDZrri_alt
+    89501169U,	// VCMPPDZrrib
+    2458217969U,	// VCMPPDrmi
+    2450543284U,	// VCMPPDrmi_alt
+    2458234353U,	// VCMPPDrri
+    2450543284U,	// VCMPPDrri_alt
+    2459266545U,	// VCMPPSYrmi
+    2450546522U,	// VCMPPSYrmi_alt
+    2459282929U,	// VCMPPSYrri
+    2450546522U,	// VCMPPSYrri_alt
+    929394161U,	// VCMPPSZrmi
+    2450541020U,	// VCMPPSZrmi_alt
+    90549745U,	// VCMPPSZrri
+    2450541020U,	// VCMPPSZrri_alt
+    90549745U,	// VCMPPSZrrib
+    2459266545U,	// VCMPPSrmi
+    2450546522U,	// VCMPPSrmi_alt
+    2459282929U,	// VCMPPSrri
+    2450546522U,	// VCMPPSrri_alt
+    2460315121U,	// VCMPSDZrm
+    2450543773U,	// VCMPSDZrmi_alt
+    2460331505U,	// VCMPSDZrr
+    2450543773U,	// VCMPSDZrri_alt
+    2460315121U,	// VCMPSDrm
+    2450543773U,	// VCMPSDrm_alt
+    2460331505U,	// VCMPSDrr
+    2450543773U,	// VCMPSDrr_alt
+    2461363697U,	// VCMPSSZrm
+    2450546982U,	// VCMPSSZrmi_alt
+    2461380081U,	// VCMPSSZrr
+    2450546982U,	// VCMPSSZrri_alt
+    2461363697U,	// VCMPSSrm
+    2450546982U,	// VCMPSSrm_alt
+    2461380081U,	// VCMPSSrr
+    2450546982U,	// VCMPSSrr_alt
+    537941108U,	// VCOMISDZrm
+    303060084U,	// VCOMISDZrr
+    537941108U,	// VCOMISDrm
+    303060084U,	// VCOMISDrr
+    537944325U,	// VCOMISSZrm
+    303063301U,	// VCOMISSZrr
+    537944325U,	// VCOMISSrm
+    303063301U,	// VCOMISSrr
+    403722534U,	// VCVTDQ2PDYrm
+    303059238U,	// VCVTDQ2PDYrr
+    873480702U,	// VCVTDQ2PDZrm
+    303055358U,	// VCVTDQ2PDZrr
+    336613670U,	// VCVTDQ2PDrm
+    303059238U,	// VCVTDQ2PDrr
+    873487819U,	// VCVTDQ2PSYrm
+    303062475U,	// VCVTDQ2PSYrr
+    940591282U,	// VCVTDQ2PSZrm
+    303057074U,	// VCVTDQ2PSZrr
+    2450540722U,	// VCVTDQ2PSZrrb
+    403725771U,	// VCVTDQ2PSrm
+    303062475U,	// VCVTDQ2PSrr
+    537945382U,	// VCVTPD2DQXrm
+    974150173U,	// VCVTPD2DQYrm
+    303061533U,	// VCVTPD2DQYrr
+    1007699469U,	// VCVTPD2DQZrm
+    303056397U,	// VCVTPD2DQZrr
+    2450540045U,	// VCVTPD2DQZrrb
+    303061533U,	// VCVTPD2DQrr
+    537945412U,	// VCVTPD2PSXrm
+    974151071U,	// VCVTPD2PSYrm
+    303062431U,	// VCVTPD2PSYrr
+    1007700122U,	// VCVTPD2PSZrm
+    303057050U,	// VCVTPD2PSZrr
+    2450540698U,	// VCVTPD2PSZrrb
+    303062431U,	// VCVTPD2PSrr
+    1007699637U,	// VCVTPD2UDQZrm
+    303056565U,	// VCVTPD2UDQZrr
+    2450540213U,	// VCVTPD2UDQZrrb
+    537943466U,	// VCVTPH2PSYrm
+    303062442U,	// VCVTPH2PSYrr
+    974151082U,	// VCVTPH2PSZrm
+    303062442U,	// VCVTPH2PSZrr
+    571497898U,	// VCVTPH2PSrm
+    303062442U,	// VCVTPH2PSrr
+    974150205U,	// VCVTPS2DQYrm
+    303061565U,	// VCVTPS2DQYrr
+    1007699494U,	// VCVTPS2DQZrm
+    303056422U,	// VCVTPS2DQZrr
+    2450540070U,	// VCVTPS2DQZrrb
+    537942589U,	// VCVTPS2DQrm
+    303061565U,	// VCVTPS2DQrr
+    537940273U,	// VCVTPS2PDYrm
+    303059249U,	// VCVTPS2PDYrr
+    974144023U,	// VCVTPS2PDZrm
+    303055383U,	// VCVTPS2PDZrr
+    571494705U,	// VCVTPS2PDrm
+    303059249U,	// VCVTPS2PDrr
+    2149127950U,	// VCVTPS2PHYmr
+    2450544398U,	// VCVTPS2PHYrr
+    2149156119U,	// VCVTPS2PHZmr
+    2450539799U,	// VCVTPS2PHZrr
+    2148669198U,	// VCVTPS2PHmr
+    2450544398U,	// VCVTPS2PHrr
+    1007699664U,	// VCVTPS2UDQZrm
+    303056592U,	// VCVTPS2UDQZrr
+    2450540240U,	// VCVTPS2UDQZrrb
+    571491632U,	// VCVTSD2SI64Zrm
+    303056176U,	// VCVTSD2SI64Zrr
+    571496377U,	// VCVTSD2SI64rm
+    303060921U,	// VCVTSD2SI64rr
+    571491632U,	// VCVTSD2SIZrm
+    303056176U,	// VCVTSD2SIZrr
+    571496377U,	// VCVTSD2SIrm
+    303060921U,	// VCVTSD2SIrr
+    2450546783U,	// VCVTSD2SSZrm
+    2450546783U,	// VCVTSD2SSZrr
+    2450546783U,	// VCVTSD2SSrm
+    2450546783U,	// VCVTSD2SSrr
+    571491683U,	// VCVTSD2USI64Zrm
+    303056227U,	// VCVTSD2USI64Zrr
+    571491683U,	// VCVTSD2USIZrm
+    303056227U,	// VCVTSD2USIZrr
+    2450543558U,	// VCVTSI2SD64rm
+    2450543558U,	// VCVTSI2SD64rr
+    2450539471U,	// VCVTSI2SDZrm
+    2450539471U,	// VCVTSI2SDZrr
+    2450543558U,	// VCVTSI2SDrm
+    2450543558U,	// VCVTSI2SDrr
+    2450546794U,	// VCVTSI2SS64rm
+    2450546794U,	// VCVTSI2SS64rr
+    2450541074U,	// VCVTSI2SSZrm
+    2450541074U,	// VCVTSI2SSZrr
+    2450546794U,	// VCVTSI2SSrm
+    2450546794U,	// VCVTSI2SSrr
+    2450539471U,	// VCVTSI642SDZrm
+    2450539471U,	// VCVTSI642SDZrr
+    2450541074U,	// VCVTSI642SSZrm
+    2450541074U,	// VCVTSI642SSZrr
+    2450543581U,	// VCVTSS2SDZrm
+    2450543581U,	// VCVTSS2SDZrr
+    2450543581U,	// VCVTSS2SDrm
+    2450543581U,	// VCVTSS2SDrr
+    605046089U,	// VCVTSS2SI64Zrm
+    303056201U,	// VCVTSS2SI64Zrr
+    605050832U,	// VCVTSS2SI64rm
+    303060944U,	// VCVTSS2SI64rr
+    605046089U,	// VCVTSS2SIZrm
+    303056201U,	// VCVTSS2SIZrr
+    605050832U,	// VCVTSS2SIrm
+    303060944U,	// VCVTSS2SIrr
+    605046142U,	// VCVTSS2USI64Zrm
+    303056254U,	// VCVTSS2USI64Zrr
+    605046142U,	// VCVTSS2USIZrm
+    303056254U,	// VCVTSS2USIZrr
+    537945369U,	// VCVTTPD2DQXrm
+    974150161U,	// VCVTTPD2DQYrm
+    303061521U,	// VCVTTPD2DQYrr
+    1007699456U,	// VCVTTPD2DQZrm
+    303056384U,	// VCVTTPD2DQZrr
+    303061521U,	// VCVTTPD2DQrr
+    1007699623U,	// VCVTTPD2UDQZrm
+    303056551U,	// VCVTTPD2UDQZrr
+    974150193U,	// VCVTTPS2DQYrm
+    303061553U,	// VCVTTPS2DQYrr
+    1007699481U,	// VCVTTPS2DQZrm
+    303056409U,	// VCVTTPS2DQZrr
+    537942577U,	// VCVTTPS2DQrm
+    303061553U,	// VCVTTPS2DQrr
+    1007699650U,	// VCVTTPS2UDQZrm
+    303056578U,	// VCVTTPS2UDQZrr
+    571491619U,	// VCVTTSD2SI64Zrm
+    303056163U,	// VCVTTSD2SI64Zrr
+    571496365U,	// VCVTTSD2SI64rm
+    303060909U,	// VCVTTSD2SI64rr
+    571491619U,	// VCVTTSD2SIZrm
+    303056163U,	// VCVTTSD2SIZrr
+    571496365U,	// VCVTTSD2SIrm
+    303060909U,	// VCVTTSD2SIrr
+    571491669U,	// VCVTTSD2USI64Zrm
+    303056213U,	// VCVTTSD2USI64Zrr
+    571491669U,	// VCVTTSD2USIZrm
+    303056213U,	// VCVTTSD2USIZrr
+    605046076U,	// VCVTTSS2SI64Zrm
+    303056188U,	// VCVTTSS2SI64Zrr
+    605050820U,	// VCVTTSS2SI64rm
+    303060932U,	// VCVTTSS2SI64rr
+    605046076U,	// VCVTTSS2SIZrm
+    303056188U,	// VCVTTSS2SIZrr
+    605050820U,	// VCVTTSS2SIrm
+    303060932U,	// VCVTTSS2SIrr
+    605046128U,	// VCVTTSS2USI64Zrm
+    303056240U,	// VCVTTSS2USI64Zrr
+    605046128U,	// VCVTTSS2USIZrm
+    303056240U,	// VCVTTSS2USIZrr
+    974144010U,	// VCVTUDQ2PDZrm
+    303055370U,	// VCVTUDQ2PDZrr
+    1007700158U,	// VCVTUDQ2PSZrm
+    303057086U,	// VCVTUDQ2PSZrr
+    2450540734U,	// VCVTUDQ2PSZrrb
+    2450539483U,	// VCVTUSI2SDZrm
+    2450539483U,	// VCVTUSI2SDZrr
+    2450541086U,	// VCVTUSI2SSZrm
+    2450541086U,	// VCVTUSI2SSZrr
+    2450539483U,	// VCVTUSI642SDZrm
+    2450539483U,	// VCVTUSI642SDZrr
+    2450541086U,	// VCVTUSI642SSZrm
+    2450541086U,	// VCVTUSI642SSZrr
+    2450543367U,	// VDIVPDYrm
+    2450543367U,	// VDIVPDYrr
+    2450539352U,	// VDIVPDZrm
+    2450539352U,	// VDIVPDZrmb
+    322978648U,	// VDIVPDZrmbk
+    2470462296U,	// VDIVPDZrmbkz
+    322982663U,	// VDIVPDZrmk
+    2470466311U,	// VDIVPDZrmkz
+    2450539352U,	// VDIVPDZrr
+    322978648U,	// VDIVPDZrrk
+    2470462296U,	// VDIVPDZrrkz
+    2450543367U,	// VDIVPDrm
+    2450543367U,	// VDIVPDrr
+    2450546638U,	// VDIVPSYrm
+    2450546638U,	// VDIVPSYrr
+    2450541056U,	// VDIVPSZrm
+    2450541056U,	// VDIVPSZrmb
+    322980352U,	// VDIVPSZrmbk
+    2470464000U,	// VDIVPSZrmbkz
+    322985934U,	// VDIVPSZrmk
+    2470469582U,	// VDIVPSZrmkz
+    2450541056U,	// VDIVPSZrr
+    322980352U,	// VDIVPSZrrk
+    2470464000U,	// VDIVPSZrrkz
+    2450546638U,	// VDIVPSrm
+    2450546638U,	// VDIVPSrr
+    2450543813U,	// VDIVSDZrm
+    2450543813U,	// VDIVSDZrr
+    2450543813U,	// VDIVSDrm
+    2450543813U,	// VDIVSDrm_Int
+    2450543813U,	// VDIVSDrr
+    2450543813U,	// VDIVSDrr_Int
+    2450547032U,	// VDIVSSZrm
+    2450547032U,	// VDIVSSZrr
+    2450547032U,	// VDIVSSrm
+    2450547032U,	// VDIVSSrm_Int
+    2450547032U,	// VDIVSSrr
+    2450547032U,	// VDIVSSrr_Int
+    2450543277U,	// VDPPDrmi
+    2450543277U,	// VDPPDrri
+    2450546515U,	// VDPPSYrmi
+    2450546515U,	// VDPPSYrri
+    2450546515U,	// VDPPSrmi
+    2450546515U,	// VDPPSrri
+    40047U,	// VERRm
+    23663U,	// VERRr
+    41950U,	// VERWm
+    25566U,	// VERWr
+    2149125319U,	// VEXTRACTF128mr
+    2450541767U,	// VEXTRACTF128rr
+    2149125180U,	// VEXTRACTF32x4mr
+    2450541628U,	// VEXTRACTF32x4rr
+    2149158006U,	// VEXTRACTF64x4mr
+    2450541686U,	// VEXTRACTF64x4rr
+    2148797694U,	// VEXTRACTI128mr
+    2450541822U,	// VEXTRACTI128rr
+    2148797529U,	// VEXTRACTI32x4mr
+    2450541657U,	// VEXTRACTI32x4rr
+    2149174419U,	// VEXTRACTI64x4mr
+    2450541715U,	// VEXTRACTI64x4rr
+    2148654973U,	// VEXTRACTPSmr
+    2450546557U,	// VEXTRACTPSrr
+    2148654973U,	// VEXTRACTPSzmr
+    2450546557U,	// VEXTRACTPSzrr
+    2215657941U,	// VFMADD132PDZm
+    2215657941U,	// VFMADD132PDZmb
+    2215659645U,	// VFMADD132PSZm
+    2215659645U,	// VFMADD132PSZmb
+    2215658094U,	// VFMADD213PDZm
+    2215658094U,	// VFMADD213PDZmb
+    2215658094U,	// VFMADD213PDZr
+    88097390U,	// VFMADD213PDZrk
+    2235581038U,	// VFMADD213PDZrkz
+    2215659798U,	// VFMADD213PSZm
+    2215659798U,	// VFMADD213PSZmb
+    2215659798U,	// VFMADD213PSZr
+    88099094U,	// VFMADD213PSZrk
+    2235582742U,	// VFMADD213PSZrkz
+    2450543095U,	// VFMADDPD4mr
+    2450543095U,	// VFMADDPD4mrY
+    2450543095U,	// VFMADDPD4rm
+    2450543095U,	// VFMADDPD4rmY
+    2450543095U,	// VFMADDPD4rr
+    2450543095U,	// VFMADDPD4rrY
+    2450543095U,	// VFMADDPD4rrY_REV
+    2450543095U,	// VFMADDPD4rr_REV
+    2215661813U,	// VFMADDPDr132m
+    2215661813U,	// VFMADDPDr132mY
+    2215661813U,	// VFMADDPDr132r
+    2215661813U,	// VFMADDPDr132rY
+    2215661943U,	// VFMADDPDr213m
+    2215661943U,	// VFMADDPDr213mY
+    2215661943U,	// VFMADDPDr213r
+    2215661943U,	// VFMADDPDr213rY
+    2215661727U,	// VFMADDPDr231m
+    2215661727U,	// VFMADDPDr231mY
+    2215661727U,	// VFMADDPDr231r
+    2215661727U,	// VFMADDPDr231rY
+    2450546313U,	// VFMADDPS4mr
+    2450546313U,	// VFMADDPS4mrY
+    2450546313U,	// VFMADDPS4rm
+    2450546313U,	// VFMADDPS4rmY
+    2450546313U,	// VFMADDPS4rr
+    2450546313U,	// VFMADDPS4rrY
+    2450546313U,	// VFMADDPS4rrY_REV
+    2450546313U,	// VFMADDPS4rr_REV
+    2215665028U,	// VFMADDPSr132m
+    2215665028U,	// VFMADDPSr132mY
+    2215665028U,	// VFMADDPSr132r
+    2215665028U,	// VFMADDPSr132rY
+    2215665169U,	// VFMADDPSr213m
+    2215665169U,	// VFMADDPSr213mY
+    2215665169U,	// VFMADDPSr213r
+    2215665169U,	// VFMADDPSr213rY
+    2215664942U,	// VFMADDPSr231m
+    2215664942U,	// VFMADDPSr231mY
+    2215664942U,	// VFMADDPSr231r
+    2215664942U,	// VFMADDPSr231rY
+    2450543683U,	// VFMADDSD4mr
+    2450543683U,	// VFMADDSD4mr_Int
+    2450543683U,	// VFMADDSD4rm
+    2450543683U,	// VFMADDSD4rm_Int
+    2450543683U,	// VFMADDSD4rr
+    2450543683U,	// VFMADDSD4rr_Int
+    2450543683U,	// VFMADDSD4rr_REV
+    2215658501U,	// VFMADDSDZm
+    2215658501U,	// VFMADDSDZr
+    2215662507U,	// VFMADDSDr132m
+    2215662507U,	// VFMADDSDr132r
+    2215662595U,	// VFMADDSDr213m
+    2215662595U,	// VFMADDSDr213r
+    2215662453U,	// VFMADDSDr231m
+    2215662453U,	// VFMADDSDr231r
+    2450546900U,	// VFMADDSS4mr
+    2450546900U,	// VFMADDSS4mr_Int
+    2450546900U,	// VFMADDSS4rm
+    2450546900U,	// VFMADDSS4rm_Int
+    2450546900U,	// VFMADDSS4rr
+    2450546900U,	// VFMADDSS4rr_Int
+    2450546900U,	// VFMADDSS4rr_REV
+    2215660104U,	// VFMADDSSZm
+    2215660104U,	// VFMADDSSZr
+    2215665732U,	// VFMADDSSr132m
+    2215665732U,	// VFMADDSSr132r
+    2215665820U,	// VFMADDSSr213m
+    2215665820U,	// VFMADDSSr213r
+    2215665678U,	// VFMADDSSr231m
+    2215665678U,	// VFMADDSSr231r
+    2215657878U,	// VFMADDSUB132PDZm
+    2215657878U,	// VFMADDSUB132PDZmb
+    2215659582U,	// VFMADDSUB132PSZm
+    2215659582U,	// VFMADDSUB132PSZmb
+    2215658031U,	// VFMADDSUB213PDZm
+    2215658031U,	// VFMADDSUB213PDZmb
+    2215658031U,	// VFMADDSUB213PDZr
+    88097327U,	// VFMADDSUB213PDZrk
+    2235580975U,	// VFMADDSUB213PDZrkz
+    2215659735U,	// VFMADDSUB213PSZm
+    2215659735U,	// VFMADDSUB213PSZmb
+    2215659735U,	// VFMADDSUB213PSZr
+    88099031U,	// VFMADDSUB213PSZrk
+    2235582679U,	// VFMADDSUB213PSZrkz
+    2450543011U,	// VFMADDSUBPD4mr
+    2450543011U,	// VFMADDSUBPD4mrY
+    2450543011U,	// VFMADDSUBPD4rm
+    2450543011U,	// VFMADDSUBPD4rmY
+    2450543011U,	// VFMADDSUBPD4rr
+    2450543011U,	// VFMADDSUBPD4rrY
+    2450543011U,	// VFMADDSUBPD4rrY_REV
+    2450543011U,	// VFMADDSUBPD4rr_REV
+    2215661754U,	// VFMADDSUBPDr132m
+    2215661754U,	// VFMADDSUBPDr132mY
+    2215661754U,	// VFMADDSUBPDr132r
+    2215661754U,	// VFMADDSUBPDr132rY
+    2215661884U,	// VFMADDSUBPDr213m
+    2215661884U,	// VFMADDSUBPDr213mY
+    2215661884U,	// VFMADDSUBPDr213r
+    2215661884U,	// VFMADDSUBPDr213rY
+    2215661668U,	// VFMADDSUBPDr231m
+    2215661668U,	// VFMADDSUBPDr231mY
+    2215661668U,	// VFMADDSUBPDr231r
+    2215661668U,	// VFMADDSUBPDr231rY
+    2450546229U,	// VFMADDSUBPS4mr
+    2450546229U,	// VFMADDSUBPS4mrY
+    2450546229U,	// VFMADDSUBPS4rm
+    2450546229U,	// VFMADDSUBPS4rmY
+    2450546229U,	// VFMADDSUBPS4rr
+    2450546229U,	// VFMADDSUBPS4rrY
+    2450546229U,	// VFMADDSUBPS4rrY_REV
+    2450546229U,	// VFMADDSUBPS4rr_REV
+    2215664969U,	// VFMADDSUBPSr132m
+    2215664969U,	// VFMADDSUBPSr132mY
+    2215664969U,	// VFMADDSUBPSr132r
+    2215664969U,	// VFMADDSUBPSr132rY
+    2215665110U,	// VFMADDSUBPSr213m
+    2215665110U,	// VFMADDSUBPSr213mY
+    2215665110U,	// VFMADDSUBPSr213r
+    2215665110U,	// VFMADDSUBPSr213rY
+    2215664883U,	// VFMADDSUBPSr231m
+    2215664883U,	// VFMADDSUBPSr231mY
+    2215664883U,	// VFMADDSUBPSr231r
+    2215664883U,	// VFMADDSUBPSr231rY
+    2215657895U,	// VFMSUB132PDZm
+    2215657895U,	// VFMSUB132PDZmb
+    2215659599U,	// VFMSUB132PSZm
+    2215659599U,	// VFMSUB132PSZmb
+    2215658048U,	// VFMSUB213PDZm
+    2215658048U,	// VFMSUB213PDZmb
+    2215658048U,	// VFMSUB213PDZr
+    88097344U,	// VFMSUB213PDZrk
+    2235580992U,	// VFMSUB213PDZrkz
+    2215659752U,	// VFMSUB213PSZm
+    2215659752U,	// VFMSUB213PSZmb
+    2215659752U,	// VFMSUB213PSZr
+    88099048U,	// VFMSUB213PSZrk
+    2235582696U,	// VFMSUB213PSZrkz
+    2215657924U,	// VFMSUBADD132PDZm
+    2215657924U,	// VFMSUBADD132PDZmb
+    2215659628U,	// VFMSUBADD132PSZm
+    2215659628U,	// VFMSUBADD132PSZmb
+    2215658077U,	// VFMSUBADD213PDZm
+    2215658077U,	// VFMSUBADD213PDZmb
+    2215658077U,	// VFMSUBADD213PDZr
+    88097373U,	// VFMSUBADD213PDZrk
+    2235581021U,	// VFMSUBADD213PDZrkz
+    2215659781U,	// VFMSUBADD213PSZm
+    2215659781U,	// VFMSUBADD213PSZmb
+    2215659781U,	// VFMSUBADD213PSZr
+    88099077U,	// VFMSUBADD213PSZrk
+    2235582725U,	// VFMSUBADD213PSZrkz
+    2450543073U,	// VFMSUBADDPD4mr
+    2450543073U,	// VFMSUBADDPD4mrY
+    2450543073U,	// VFMSUBADDPD4rm
+    2450543073U,	// VFMSUBADDPD4rmY
+    2450543073U,	// VFMSUBADDPD4rr
+    2450543073U,	// VFMSUBADDPD4rrY
+    2450543073U,	// VFMSUBADDPD4rrY_REV
+    2450543073U,	// VFMSUBADDPD4rr_REV
+    2215661797U,	// VFMSUBADDPDr132m
+    2215661797U,	// VFMSUBADDPDr132mY
+    2215661797U,	// VFMSUBADDPDr132r
+    2215661797U,	// VFMSUBADDPDr132rY
+    2215661927U,	// VFMSUBADDPDr213m
+    2215661927U,	// VFMSUBADDPDr213mY
+    2215661927U,	// VFMSUBADDPDr213r
+    2215661927U,	// VFMSUBADDPDr213rY
+    2215661711U,	// VFMSUBADDPDr231m
+    2215661711U,	// VFMSUBADDPDr231mY
+    2215661711U,	// VFMSUBADDPDr231r
+    2215661711U,	// VFMSUBADDPDr231rY
+    2450546291U,	// VFMSUBADDPS4mr
+    2450546291U,	// VFMSUBADDPS4mrY
+    2450546291U,	// VFMSUBADDPS4rm
+    2450546291U,	// VFMSUBADDPS4rmY
+    2450546291U,	// VFMSUBADDPS4rr
+    2450546291U,	// VFMSUBADDPS4rrY
+    2450546291U,	// VFMSUBADDPS4rrY_REV
+    2450546291U,	// VFMSUBADDPS4rr_REV
+    2215665012U,	// VFMSUBADDPSr132m
+    2215665012U,	// VFMSUBADDPSr132mY
+    2215665012U,	// VFMSUBADDPSr132r
+    2215665012U,	// VFMSUBADDPSr132rY
+    2215665153U,	// VFMSUBADDPSr213m
+    2215665153U,	// VFMSUBADDPSr213mY
+    2215665153U,	// VFMSUBADDPSr213r
+    2215665153U,	// VFMSUBADDPSr213rY
+    2215664926U,	// VFMSUBADDPSr231m
+    2215664926U,	// VFMSUBADDPSr231mY
+    2215664926U,	// VFMSUBADDPSr231r
+    2215664926U,	// VFMSUBADDPSr231rY
+    2450543044U,	// VFMSUBPD4mr
+    2450543044U,	// VFMSUBPD4mrY
+    2450543044U,	// VFMSUBPD4rm
+    2450543044U,	// VFMSUBPD4rmY
+    2450543044U,	// VFMSUBPD4rr
+    2450543044U,	// VFMSUBPD4rrY
+    2450543044U,	// VFMSUBPD4rrY_REV
+    2450543044U,	// VFMSUBPD4rr_REV
+    2215661770U,	// VFMSUBPDr132m
+    2215661770U,	// VFMSUBPDr132mY
+    2215661770U,	// VFMSUBPDr132r
+    2215661770U,	// VFMSUBPDr132rY
+    2215661900U,	// VFMSUBPDr213m
+    2215661900U,	// VFMSUBPDr213mY
+    2215661900U,	// VFMSUBPDr213r
+    2215661900U,	// VFMSUBPDr213rY
+    2215661684U,	// VFMSUBPDr231m
+    2215661684U,	// VFMSUBPDr231mY
+    2215661684U,	// VFMSUBPDr231r
+    2215661684U,	// VFMSUBPDr231rY
+    2450546262U,	// VFMSUBPS4mr
+    2450546262U,	// VFMSUBPS4mrY
+    2450546262U,	// VFMSUBPS4rm
+    2450546262U,	// VFMSUBPS4rmY
+    2450546262U,	// VFMSUBPS4rr
+    2450546262U,	// VFMSUBPS4rrY
+    2450546262U,	// VFMSUBPS4rrY_REV
+    2450546262U,	// VFMSUBPS4rr_REV
+    2215664985U,	// VFMSUBPSr132m
+    2215664985U,	// VFMSUBPSr132mY
+    2215664985U,	// VFMSUBPSr132r
+    2215664985U,	// VFMSUBPSr132rY
+    2215665126U,	// VFMSUBPSr213m
+    2215665126U,	// VFMSUBPSr213mY
+    2215665126U,	// VFMSUBPSr213r
+    2215665126U,	// VFMSUBPSr213rY
+    2215664899U,	// VFMSUBPSr231m
+    2215664899U,	// VFMSUBPSr231mY
+    2215664899U,	// VFMSUBPSr231r
+    2215664899U,	// VFMSUBPSr231rY
+    2450543654U,	// VFMSUBSD4mr
+    2450543654U,	// VFMSUBSD4mr_Int
+    2450543654U,	// VFMSUBSD4rm
+    2450543654U,	// VFMSUBSD4rm_Int
+    2450543654U,	// VFMSUBSD4rr
+    2450543654U,	// VFMSUBSD4rr_Int
+    2450543654U,	// VFMSUBSD4rr_REV
+    2215658472U,	// VFMSUBSDZm
+    2215658472U,	// VFMSUBSDZr
+    2215662480U,	// VFMSUBSDr132m
+    2215662480U,	// VFMSUBSDr132r
+    2215662568U,	// VFMSUBSDr213m
+    2215662568U,	// VFMSUBSDr213r
+    2215662426U,	// VFMSUBSDr231m
+    2215662426U,	// VFMSUBSDr231r
+    2450546871U,	// VFMSUBSS4mr
+    2450546871U,	// VFMSUBSS4mr_Int
+    2450546871U,	// VFMSUBSS4rm
+    2450546871U,	// VFMSUBSS4rm_Int
+    2450546871U,	// VFMSUBSS4rr
+    2450546871U,	// VFMSUBSS4rr_Int
+    2450546871U,	// VFMSUBSS4rr_REV
+    2215660075U,	// VFMSUBSSZm
+    2215660075U,	// VFMSUBSSZr
+    2215665705U,	// VFMSUBSSr132m
+    2215665705U,	// VFMSUBSSr132r
+    2215665793U,	// VFMSUBSSr213m
+    2215665793U,	// VFMSUBSSr213r
+    2215665651U,	// VFMSUBSSr231m
+    2215665651U,	// VFMSUBSSr231r
+    2215657955U,	// VFNMADD132PDZm
+    2215657955U,	// VFNMADD132PDZmb
+    2215659659U,	// VFNMADD132PSZm
+    2215659659U,	// VFNMADD132PSZmb
+    2215658108U,	// VFNMADD213PDZm
+    2215658108U,	// VFNMADD213PDZmb
+    2215658108U,	// VFNMADD213PDZr
+    88097404U,	// VFNMADD213PDZrk
+    2235581052U,	// VFNMADD213PDZrkz
+    2215659812U,	// VFNMADD213PSZm
+    2215659812U,	// VFNMADD213PSZmb
+    2215659812U,	// VFNMADD213PSZr
+    88099108U,	// VFNMADD213PSZrk
+    2235582756U,	// VFNMADD213PSZrkz
+    2450543105U,	// VFNMADDPD4mr
+    2450543105U,	// VFNMADDPD4mrY
+    2450543105U,	// VFNMADDPD4rm
+    2450543105U,	// VFNMADDPD4rmY
+    2450543105U,	// VFNMADDPD4rr
+    2450543105U,	// VFNMADDPD4rrY
+    2450543105U,	// VFNMADDPD4rrY_REV
+    2450543105U,	// VFNMADDPD4rr_REV
+    2215661826U,	// VFNMADDPDr132m
+    2215661826U,	// VFNMADDPDr132mY
+    2215661826U,	// VFNMADDPDr132r
+    2215661826U,	// VFNMADDPDr132rY
+    2215661956U,	// VFNMADDPDr213m
+    2215661956U,	// VFNMADDPDr213mY
+    2215661956U,	// VFNMADDPDr213r
+    2215661956U,	// VFNMADDPDr213rY
+    2215661740U,	// VFNMADDPDr231m
+    2215661740U,	// VFNMADDPDr231mY
+    2215661740U,	// VFNMADDPDr231r
+    2215661740U,	// VFNMADDPDr231rY
+    2450546323U,	// VFNMADDPS4mr
+    2450546323U,	// VFNMADDPS4mrY
+    2450546323U,	// VFNMADDPS4rm
+    2450546323U,	// VFNMADDPS4rmY
+    2450546323U,	// VFNMADDPS4rr
+    2450546323U,	// VFNMADDPS4rrY
+    2450546323U,	// VFNMADDPS4rrY_REV
+    2450546323U,	// VFNMADDPS4rr_REV
+    2215665041U,	// VFNMADDPSr132m
+    2215665041U,	// VFNMADDPSr132mY
+    2215665041U,	// VFNMADDPSr132r
+    2215665041U,	// VFNMADDPSr132rY
+    2215665182U,	// VFNMADDPSr213m
+    2215665182U,	// VFNMADDPSr213mY
+    2215665182U,	// VFNMADDPSr213r
+    2215665182U,	// VFNMADDPSr213rY
+    2215664955U,	// VFNMADDPSr231m
+    2215664955U,	// VFNMADDPSr231mY
+    2215664955U,	// VFNMADDPSr231r
+    2215664955U,	// VFNMADDPSr231rY
+    2450543693U,	// VFNMADDSD4mr
+    2450543693U,	// VFNMADDSD4mr_Int
+    2450543693U,	// VFNMADDSD4rm
+    2450543693U,	// VFNMADDSD4rm_Int
+    2450543693U,	// VFNMADDSD4rr
+    2450543693U,	// VFNMADDSD4rr_Int
+    2450543693U,	// VFNMADDSD4rr_REV
+    2215658515U,	// VFNMADDSDZm
+    2215658515U,	// VFNMADDSDZr
+    2215662520U,	// VFNMADDSDr132m
+    2215662520U,	// VFNMADDSDr132r
+    2215662608U,	// VFNMADDSDr213m
+    2215662608U,	// VFNMADDSDr213r
+    2215662466U,	// VFNMADDSDr231m
+    2215662466U,	// VFNMADDSDr231r
+    2450546910U,	// VFNMADDSS4mr
+    2450546910U,	// VFNMADDSS4mr_Int
+    2450546910U,	// VFNMADDSS4rm
+    2450546910U,	// VFNMADDSS4rm_Int
+    2450546910U,	// VFNMADDSS4rr
+    2450546910U,	// VFNMADDSS4rr_Int
+    2450546910U,	// VFNMADDSS4rr_REV
+    2215660118U,	// VFNMADDSSZm
+    2215660118U,	// VFNMADDSSZr
+    2215665745U,	// VFNMADDSSr132m
+    2215665745U,	// VFNMADDSSr132r
+    2215665833U,	// VFNMADDSSr213m
+    2215665833U,	// VFNMADDSSr213r
+    2215665691U,	// VFNMADDSSr231m
+    2215665691U,	// VFNMADDSSr231r
+    2215657909U,	// VFNMSUB132PDZm
+    2215657909U,	// VFNMSUB132PDZmb
+    2215659613U,	// VFNMSUB132PSZm
+    2215659613U,	// VFNMSUB132PSZmb
+    2215658062U,	// VFNMSUB213PDZm
+    2215658062U,	// VFNMSUB213PDZmb
+    2215658062U,	// VFNMSUB213PDZr
+    88097358U,	// VFNMSUB213PDZrk
+    2235581006U,	// VFNMSUB213PDZrkz
+    2215659766U,	// VFNMSUB213PSZm
+    2215659766U,	// VFNMSUB213PSZmb
+    2215659766U,	// VFNMSUB213PSZr
+    88099062U,	// VFNMSUB213PSZrk
+    2235582710U,	// VFNMSUB213PSZrkz
+    2450543054U,	// VFNMSUBPD4mr
+    2450543054U,	// VFNMSUBPD4mrY
+    2450543054U,	// VFNMSUBPD4rm
+    2450543054U,	// VFNMSUBPD4rmY
+    2450543054U,	// VFNMSUBPD4rr
+    2450543054U,	// VFNMSUBPD4rrY
+    2450543054U,	// VFNMSUBPD4rrY_REV
+    2450543054U,	// VFNMSUBPD4rr_REV
+    2215661783U,	// VFNMSUBPDr132m
+    2215661783U,	// VFNMSUBPDr132mY
+    2215661783U,	// VFNMSUBPDr132r
+    2215661783U,	// VFNMSUBPDr132rY
+    2215661913U,	// VFNMSUBPDr213m
+    2215661913U,	// VFNMSUBPDr213mY
+    2215661913U,	// VFNMSUBPDr213r
+    2215661913U,	// VFNMSUBPDr213rY
+    2215661697U,	// VFNMSUBPDr231m
+    2215661697U,	// VFNMSUBPDr231mY
+    2215661697U,	// VFNMSUBPDr231r
+    2215661697U,	// VFNMSUBPDr231rY
+    2450546272U,	// VFNMSUBPS4mr
+    2450546272U,	// VFNMSUBPS4mrY
+    2450546272U,	// VFNMSUBPS4rm
+    2450546272U,	// VFNMSUBPS4rmY
+    2450546272U,	// VFNMSUBPS4rr
+    2450546272U,	// VFNMSUBPS4rrY
+    2450546272U,	// VFNMSUBPS4rrY_REV
+    2450546272U,	// VFNMSUBPS4rr_REV
+    2215664998U,	// VFNMSUBPSr132m
+    2215664998U,	// VFNMSUBPSr132mY
+    2215664998U,	// VFNMSUBPSr132r
+    2215664998U,	// VFNMSUBPSr132rY
+    2215665139U,	// VFNMSUBPSr213m
+    2215665139U,	// VFNMSUBPSr213mY
+    2215665139U,	// VFNMSUBPSr213r
+    2215665139U,	// VFNMSUBPSr213rY
+    2215664912U,	// VFNMSUBPSr231m
+    2215664912U,	// VFNMSUBPSr231mY
+    2215664912U,	// VFNMSUBPSr231r
+    2215664912U,	// VFNMSUBPSr231rY
+    2450543664U,	// VFNMSUBSD4mr
+    2450543664U,	// VFNMSUBSD4mr_Int
+    2450543664U,	// VFNMSUBSD4rm
+    2450543664U,	// VFNMSUBSD4rm_Int
+    2450543664U,	// VFNMSUBSD4rr
+    2450543664U,	// VFNMSUBSD4rr_Int
+    2450543664U,	// VFNMSUBSD4rr_REV
+    2215658486U,	// VFNMSUBSDZm
+    2215658486U,	// VFNMSUBSDZr
+    2215662493U,	// VFNMSUBSDr132m
+    2215662493U,	// VFNMSUBSDr132r
+    2215662581U,	// VFNMSUBSDr213m
+    2215662581U,	// VFNMSUBSDr213r
+    2215662439U,	// VFNMSUBSDr231m
+    2215662439U,	// VFNMSUBSDr231r
+    2450546881U,	// VFNMSUBSS4mr
+    2450546881U,	// VFNMSUBSS4mr_Int
+    2450546881U,	// VFNMSUBSS4rm
+    2450546881U,	// VFNMSUBSS4rm_Int
+    2450546881U,	// VFNMSUBSS4rr
+    2450546881U,	// VFNMSUBSS4rr_Int
+    2450546881U,	// VFNMSUBSS4rr_REV
+    2215660089U,	// VFNMSUBSSZm
+    2215660089U,	// VFNMSUBSSZr
+    2215665718U,	// VFNMSUBSSr132m
+    2215665718U,	// VFNMSUBSSr132r
+    2215665806U,	// VFNMSUBSSr213m
+    2215665806U,	// VFNMSUBSSr213r
+    2215665664U,	// VFNMSUBSSr231m
+    2215665664U,	// VFNMSUBSSr231r
+    537940771U,	// VFRCZPDrm
+    974148387U,	// VFRCZPDrmY
+    303059747U,	// VFRCZPDrr
+    303059747U,	// VFRCZPDrrY
+    537944042U,	// VFRCZPSrm
+    974151658U,	// VFRCZPSrmY
+    303063018U,	// VFRCZPSrr
+    303063018U,	// VFRCZPSrrY
+    571495654U,	// VFRCZSDrm
+    303060198U,	// VFRCZSDrr
+    605053296U,	// VFRCZSSrm
+    303063408U,	// VFRCZSSrr
+    2450543260U,	// VFsANDNPDrm
+    2450543260U,	// VFsANDNPDrr
+    2450546490U,	// VFsANDNPSrm
+    2450546490U,	// VFsANDNPSrr
+    2450543124U,	// VFsANDPDrm
+    2450543124U,	// VFsANDPDrr
+    2450546342U,	// VFsANDPSrm
+    2450546342U,	// VFsANDPSrr
+    2450543304U,	// VFsORPDrm
+    2450543304U,	// VFsORPDrr
+    2450546542U,	// VFsORPSrm
+    2450546542U,	// VFsORPSrr
+    2450543311U,	// VFsXORPDrm
+    2450543311U,	// VFsXORPDrr
+    2450546549U,	// VFsXORPSrm
+    2450546549U,	// VFsXORPSrr
+    1041257008U,	// VGATHERDPDYrm
+    3242214093U,	// VGATHERDPDZrm
+    1041257008U,	// VGATHERDPDrm
+    1108369090U,	// VGATHERDPSYrm
+    1094732149U,	// VGATHERDPSZrm
+    1108369090U,	// VGATHERDPSrm
+    292581497U,	// VGATHERPF0DPDm
+    292581637U,	// VGATHERPF0DPSm
+    359690431U,	// VGATHERPF0QPDm
+    359690571U,	// VGATHERPF0QPSm
+    292581532U,	// VGATHERPF1DPDm
+    292581672U,	// VGATHERPF1DPSm
+    359690466U,	// VGATHERPF1QPDm
+    359690606U,	// VGATHERPF1QPSm
+    1041257148U,	// VGATHERQPDYrm
+    3242214205U,	// VGATHERQPDZrm
+    1041257148U,	// VGATHERQPDrm
+    1108369250U,	// VGATHERQPSYrm
+    3242215909U,	// VGATHERQPSZrm
+    1108369250U,	// VGATHERQPSrm
+    2450543086U,	// VHADDPDYrm
+    2450543086U,	// VHADDPDYrr
+    2450543086U,	// VHADDPDrm
+    2450543086U,	// VHADDPDrr
+    2450546304U,	// VHADDPSYrm
+    2450546304U,	// VHADDPSYrr
+    2450546304U,	// VHADDPSrm
+    2450546304U,	// VHADDPSrr
+    2450543035U,	// VHSUBPDYrm
+    2450543035U,	// VHSUBPDYrr
+    2450543035U,	// VHSUBPDrm
+    2450543035U,	// VHSUBPDrr
+    2450546253U,	// VHSUBPSYrm
+    2450546253U,	// VHSUBPSYrr
+    2450546253U,	// VHSUBPSrm
+    2450546253U,	// VHSUBPSrr
+    2450541781U,	// VINSERTF128rm
+    2450541781U,	// VINSERTF128rr
+    2450541643U,	// VINSERTF32x4rm
+    2450541643U,	// VINSERTF32x4rr
+    2450541701U,	// VINSERTF64x4rm
+    2450541701U,	// VINSERTF64x4rr
+    2450541836U,	// VINSERTI128rm
+    2450541836U,	// VINSERTI128rr
+    2450541672U,	// VINSERTI32x4rm
+    2450541672U,	// VINSERTI32x4rr
+    2450541730U,	// VINSERTI64x4rm
+    2450541730U,	// VINSERTI64x4rr
+    2450546579U,	// VINSERTPSrm
+    2450546579U,	// VINSERTPSrr
+    2450546579U,	// VINSERTPSzrm
+    2450546579U,	// VINSERTPSzrr
+    873488983U,	// VLDDQUYrm
+    403726935U,	// VLDDQUrm
+    72826U,	// VLDMXCSR
+    303063647U,	// VMASKMOVDQU
+    303063647U,	// VMASKMOVDQU64
+    2149159695U,	// VMASKMOVPDYmr
+    2450543375U,	// VMASKMOVPDYrm
+    2149126927U,	// VMASKMOVPDmr
+    2450543375U,	// VMASKMOVPDrm
+    2149162966U,	// VMASKMOVPSYmr
+    2450546646U,	// VMASKMOVPSYrm
+    2149130198U,	// VMASKMOVPSmr
+    2450546646U,	// VMASKMOVPSrm
+    2450543387U,	// VMAXCPDYrm
+    2450543387U,	// VMAXCPDYrr
+    2450543387U,	// VMAXCPDrm
+    2450543387U,	// VMAXCPDrr
+    2450546658U,	// VMAXCPSYrm
+    2450546658U,	// VMAXCPSYrr
+    2450546658U,	// VMAXCPSrm
+    2450546658U,	// VMAXCPSrr
+    2450543838U,	// VMAXCSDrm
+    2450543838U,	// VMAXCSDrr
+    2450547048U,	// VMAXCSSrm
+    2450547048U,	// VMAXCSSrr
+    2450543387U,	// VMAXPDYrm
+    2450543387U,	// VMAXPDYrr
+    2450539361U,	// VMAXPDZrm
+    2450539361U,	// VMAXPDZrmb
+    322978657U,	// VMAXPDZrmbk
+    2470462305U,	// VMAXPDZrmbkz
+    322982683U,	// VMAXPDZrmk
+    2470466331U,	// VMAXPDZrmkz
+    2450539361U,	// VMAXPDZrr
+    322978657U,	// VMAXPDZrrk
+    2470462305U,	// VMAXPDZrrkz
+    2450543387U,	// VMAXPDrm
+    2450543387U,	// VMAXPDrr
+    2450546658U,	// VMAXPSYrm
+    2450546658U,	// VMAXPSYrr
+    2450541065U,	// VMAXPSZrm
+    2450541065U,	// VMAXPSZrmb
+    322980361U,	// VMAXPSZrmbk
+    2470464009U,	// VMAXPSZrmbkz
+    322985954U,	// VMAXPSZrmk
+    2470469602U,	// VMAXPSZrmkz
+    2450541065U,	// VMAXPSZrr
+    322980361U,	// VMAXPSZrrk
+    2470464009U,	// VMAXPSZrrkz
+    2450546658U,	// VMAXPSrm
+    2450546658U,	// VMAXPSrr
+    2450543838U,	// VMAXSDZrm
+    2450543838U,	// VMAXSDZrr
+    2450543838U,	// VMAXSDrm
+    2450543838U,	// VMAXSDrm_Int
+    2450543838U,	// VMAXSDrr
+    2450543838U,	// VMAXSDrr_Int
+    2450547048U,	// VMAXSSZrm
+    2450547048U,	// VMAXSSZrr
+    2450547048U,	// VMAXSSrm
+    2450547048U,	// VMAXSSrm_Int
+    2450547048U,	// VMAXSSrr
+    2450547048U,	// VMAXSSrr_Int
+    11636U,	// VMCALL
+    89102U,	// VMCLEARm
+    11304U,	// VMFUNC
+    2450543269U,	// VMINCPDYrm
+    2450543269U,	// VMINCPDYrr
+    2450543269U,	// VMINCPDrm
+    2450543269U,	// VMINCPDrr
+    2450546499U,	// VMINCPSYrm
+    2450546499U,	// VMINCPSYrr
+    2450546499U,	// VMINCPSrm
+    2450546499U,	// VMINCPSrr
+    2450543758U,	// VMINCSDrm
+    2450543758U,	// VMINCSDrr
+    2450546966U,	// VMINCSSrm
+    2450546966U,	// VMINCSSrr
+    2450543269U,	// VMINPDYrm
+    2450543269U,	// VMINPDYrr
+    2450539307U,	// VMINPDZrm
+    2450539307U,	// VMINPDZrmb
+    322978603U,	// VMINPDZrmbk
+    2470462251U,	// VMINPDZrmbkz
+    322982565U,	// VMINPDZrmk
+    2470466213U,	// VMINPDZrmkz
+    2450539307U,	// VMINPDZrr
+    322978603U,	// VMINPDZrrk
+    2470462251U,	// VMINPDZrrkz
+    2450543269U,	// VMINPDrm
+    2450543269U,	// VMINPDrr
+    2450546499U,	// VMINPSYrm
+    2450546499U,	// VMINPSYrr
+    2450541011U,	// VMINPSZrm
+    2450541011U,	// VMINPSZrmb
+    322980307U,	// VMINPSZrmbk
+    2470463955U,	// VMINPSZrmbkz
+    322985795U,	// VMINPSZrmk
+    2470469443U,	// VMINPSZrmkz
+    2450541011U,	// VMINPSZrr
+    322980307U,	// VMINPSZrrk
+    2470463955U,	// VMINPSZrrkz
+    2450546499U,	// VMINPSrm
+    2450546499U,	// VMINPSrr
+    2450543758U,	// VMINSDZrm
+    2450543758U,	// VMINSDZrr
+    2450543758U,	// VMINSDrm
+    2450543758U,	// VMINSDrm_Int
+    2450543758U,	// VMINSDrr
+    2450543758U,	// VMINSDrr_Int
+    2450546966U,	// VMINSSZrm
+    2450546966U,	// VMINSSZrr
+    2450546966U,	// VMINSSrm
+    2450546966U,	// VMINSSrm_Int
+    2450546966U,	// VMINSSrr
+    2450546966U,	// VMINSSrr_Int
+    11551U,	// VMLAUNCH
+    12245U,	// VMLOAD32
+    12300U,	// VMLOAD64
+    11628U,	// VMMCALL
+    303061980U,	// VMOV64toPQIZrr
+    303061980U,	// VMOV64toPQIrr
+    303061980U,	// VMOV64toSDZrr
+    336616412U,	// VMOV64toSDrm
+    303061980U,	// VMOV64toSDrr
+    1675666U,	// VMOVAPDYmr
+    974147986U,	// VMOVAPDYrm
+    303059346U,	// VMOVAPDYrr
+    303059346U,	// VMOVAPDYrr_REV
+    1642898U,	// VMOVAPDZ128mr
+    21565842U,	// VMOVAPDZ128mrk
+    537940370U,	// VMOVAPDZ128rm
+    88101266U,	// VMOVAPDZ128rmk
+    2470465938U,	// VMOVAPDZ128rmkz
+    303059346U,	// VMOVAPDZ128rr
+    303059346U,	// VMOVAPDZ128rr_alt
+    88101266U,	// VMOVAPDZ128rrk
+    88101266U,	// VMOVAPDZ128rrk_alt
+    2470465938U,	// VMOVAPDZ128rrkz
+    2470465938U,	// VMOVAPDZ128rrkz_alt
+    1675666U,	// VMOVAPDZ256mr
+    21598610U,	// VMOVAPDZ256mrk
+    974147986U,	// VMOVAPDZ256rm
+    88101266U,	// VMOVAPDZ256rmk
+    2470465938U,	// VMOVAPDZ256rmkz
+    303059346U,	// VMOVAPDZ256rr
+    303059346U,	// VMOVAPDZ256rr_alt
+    88101266U,	// VMOVAPDZ256rrk
+    88101266U,	// VMOVAPDZ256rrk_alt
+    2470465938U,	// VMOVAPDZ256rrkz
+    2470465938U,	// VMOVAPDZ256rrkz_alt
+    1708434U,	// VMOVAPDZmr
+    21631378U,	// VMOVAPDZmrk
+    1007702418U,	// VMOVAPDZrm
+    88101266U,	// VMOVAPDZrmk
+    2470465938U,	// VMOVAPDZrmkz
+    303059346U,	// VMOVAPDZrr
+    303059346U,	// VMOVAPDZrr_alt
+    88101266U,	// VMOVAPDZrrk
+    88101266U,	// VMOVAPDZrrk_alt
+    2470465938U,	// VMOVAPDZrrkz
+    2470465938U,	// VMOVAPDZrrkz_alt
+    1642898U,	// VMOVAPDmr
+    537940370U,	// VMOVAPDrm
+    303059346U,	// VMOVAPDrr
+    303059346U,	// VMOVAPDrr_REV
+    1678892U,	// VMOVAPSYmr
+    974151212U,	// VMOVAPSYrm
+    303062572U,	// VMOVAPSYrr
+    303062572U,	// VMOVAPSYrr_REV
+    1646124U,	// VMOVAPSZ128mr
+    21569068U,	// VMOVAPSZ128mrk
+    537943596U,	// VMOVAPSZ128rm
+    88104492U,	// VMOVAPSZ128rmk
+    2470469164U,	// VMOVAPSZ128rmkz
+    303062572U,	// VMOVAPSZ128rr
+    303062572U,	// VMOVAPSZ128rr_alt
+    88104492U,	// VMOVAPSZ128rrk
+    88104492U,	// VMOVAPSZ128rrk_alt
+    2470469164U,	// VMOVAPSZ128rrkz
+    2470469164U,	// VMOVAPSZ128rrkz_alt
+    1678892U,	// VMOVAPSZ256mr
+    21601836U,	// VMOVAPSZ256mrk
+    974151212U,	// VMOVAPSZ256rm
+    88104492U,	// VMOVAPSZ256rmk
+    2470469164U,	// VMOVAPSZ256rmkz
+    303062572U,	// VMOVAPSZ256rr
+    303062572U,	// VMOVAPSZ256rr_alt
+    88104492U,	// VMOVAPSZ256rrk
+    88104492U,	// VMOVAPSZ256rrk_alt
+    2470469164U,	// VMOVAPSZ256rrkz
+    2470469164U,	// VMOVAPSZ256rrkz_alt
+    1711660U,	// VMOVAPSZmr
+    21634604U,	// VMOVAPSZmrk
+    1007705644U,	// VMOVAPSZrm
+    88104492U,	// VMOVAPSZrmk
+    2470469164U,	// VMOVAPSZrmkz
+    303062572U,	// VMOVAPSZrr
+    303062572U,	// VMOVAPSZrr_alt
+    88104492U,	// VMOVAPSZrrk
+    88104492U,	// VMOVAPSZrrk_alt
+    2470469164U,	// VMOVAPSZrrkz
+    2470469164U,	// VMOVAPSZrrkz_alt
+    1646124U,	// VMOVAPSmr
+    537943596U,	// VMOVAPSrm
+    303062572U,	// VMOVAPSrr
+    303062572U,	// VMOVAPSrr_REV
+    974150031U,	// VMOVDDUPYrm
+    303061391U,	// VMOVDDUPYrr
+    1007699339U,	// VMOVDDUPZrm
+    303056267U,	// VMOVDDUPZrr
+    571496847U,	// VMOVDDUPrm
+    303061391U,	// VMOVDDUPrr
+    269505882U,	// VMOVDI2PDIZrm
+    303060314U,	// VMOVDI2PDIZrr
+    269505882U,	// VMOVDI2PDIrm
+    303060314U,	// VMOVDI2PDIrr
+    269505882U,	// VMOVDI2SSZrm
+    303060314U,	// VMOVDI2SSZrr
+    269505882U,	// VMOVDI2SSrm
+    303060314U,	// VMOVDI2SSrr
+    1313700U,	// VMOVDQA32Z128mr
+    21236644U,	// VMOVDQA32Z128mrk
+    403721124U,	// VMOVDQA32Z128rm
+    88099748U,	// VMOVDQA32Z128rmk
+    2470464420U,	// VMOVDQA32Z128rmkz
+    303057828U,	// VMOVDQA32Z128rr
+    303057828U,	// VMOVDQA32Z128rr_alt
+    88099748U,	// VMOVDQA32Z128rrk
+    88099748U,	// VMOVDQA32Z128rrk_alt
+    2470464420U,	// VMOVDQA32Z128rrkz
+    2470464420U,	// VMOVDQA32Z128rrkz_alt
+    1690532U,	// VMOVDQA32Z256mr
+    21613476U,	// VMOVDQA32Z256mrk
+    873483172U,	// VMOVDQA32Z256rm
+    88099748U,	// VMOVDQA32Z256rmk
+    2470464420U,	// VMOVDQA32Z256rmkz
+    303057828U,	// VMOVDQA32Z256rr
+    303057828U,	// VMOVDQA32Z256rr_alt
+    88099748U,	// VMOVDQA32Z256rrk
+    88099748U,	// VMOVDQA32Z256rrk_alt
+    2470464420U,	// VMOVDQA32Z256rrkz
+    2470464420U,	// VMOVDQA32Z256rrkz_alt
+    1723300U,	// VMOVDQA32Zmr
+    21646244U,	// VMOVDQA32Zmrk
+    940592036U,	// VMOVDQA32Zrm
+    88099748U,	// VMOVDQA32Zrmk
+    2470464420U,	// VMOVDQA32Zrmkz
+    303057828U,	// VMOVDQA32Zrr
+    303057828U,	// VMOVDQA32Zrr_alt
+    88099748U,	// VMOVDQA32Zrrk
+    88099748U,	// VMOVDQA32Zrrk_alt
+    2470464420U,	// VMOVDQA32Zrrkz
+    2470464420U,	// VMOVDQA32Zrrkz_alt
+    1313786U,	// VMOVDQA64Z128mr
+    21236730U,	// VMOVDQA64Z128mrk
+    403721210U,	// VMOVDQA64Z128rm
+    88099834U,	// VMOVDQA64Z128rmk
+    2470464506U,	// VMOVDQA64Z128rmkz
+    303057914U,	// VMOVDQA64Z128rr
+    303057914U,	// VMOVDQA64Z128rr_alt
+    88099834U,	// VMOVDQA64Z128rrk
+    88099834U,	// VMOVDQA64Z128rrk_alt
+    2470464506U,	// VMOVDQA64Z128rrkz
+    2470464506U,	// VMOVDQA64Z128rrkz_alt
+    1690618U,	// VMOVDQA64Z256mr
+    21613562U,	// VMOVDQA64Z256mrk
+    873483258U,	// VMOVDQA64Z256rm
+    88099834U,	// VMOVDQA64Z256rmk
+    2470464506U,	// VMOVDQA64Z256rmkz
+    303057914U,	// VMOVDQA64Z256rr
+    303057914U,	// VMOVDQA64Z256rr_alt
+    88099834U,	// VMOVDQA64Z256rrk
+    88099834U,	// VMOVDQA64Z256rrk_alt
+    2470464506U,	// VMOVDQA64Z256rrkz
+    2470464506U,	// VMOVDQA64Z256rrkz_alt
+    1723386U,	// VMOVDQA64Zmr
+    21646330U,	// VMOVDQA64Zmrk
+    940592122U,	// VMOVDQA64Zrm
+    88099834U,	// VMOVDQA64Zrmk
+    2470464506U,	// VMOVDQA64Zrmkz
+    303057914U,	// VMOVDQA64Zrr
+    303057914U,	// VMOVDQA64Zrr_alt
+    88099834U,	// VMOVDQA64Zrrk
+    88099834U,	// VMOVDQA64Zrrk_alt
+    2470464506U,	// VMOVDQA64Zrrkz
+    2470464506U,	// VMOVDQA64Zrrkz_alt
+    1690951U,	// VMOVDQAYmr
+    873483591U,	// VMOVDQAYrm
+    303058247U,	// VMOVDQAYrr
+    303058247U,	// VMOVDQAYrr_REV
+    1314119U,	// VMOVDQAmr
+    403721543U,	// VMOVDQArm
+    303058247U,	// VMOVDQArr
+    303058247U,	// VMOVDQArr_REV
+    1313968U,	// VMOVDQU16Z128mr
+    21236912U,	// VMOVDQU16Z128mrk
+    403721392U,	// VMOVDQU16Z128rm
+    88100016U,	// VMOVDQU16Z128rmk
+    2470464688U,	// VMOVDQU16Z128rmkz
+    303058096U,	// VMOVDQU16Z128rr
+    303058096U,	// VMOVDQU16Z128rr_alt
+    88100016U,	// VMOVDQU16Z128rrk
+    88100016U,	// VMOVDQU16Z128rrk_alt
+    2470464688U,	// VMOVDQU16Z128rrkz
+    2470464688U,	// VMOVDQU16Z128rrkz_alt
+    1690800U,	// VMOVDQU16Z256mr
+    21613744U,	// VMOVDQU16Z256mrk
+    873483440U,	// VMOVDQU16Z256rm
+    88100016U,	// VMOVDQU16Z256rmk
+    2470464688U,	// VMOVDQU16Z256rmkz
+    303058096U,	// VMOVDQU16Z256rr
+    303058096U,	// VMOVDQU16Z256rr_alt
+    88100016U,	// VMOVDQU16Z256rrk
+    88100016U,	// VMOVDQU16Z256rrk_alt
+    2470464688U,	// VMOVDQU16Z256rrkz
+    2470464688U,	// VMOVDQU16Z256rrkz_alt
+    1723568U,	// VMOVDQU16Zmr
+    21646512U,	// VMOVDQU16Zmrk
+    940592304U,	// VMOVDQU16Zrm
+    88100016U,	// VMOVDQU16Zrmk
+    2470464688U,	// VMOVDQU16Zrmkz
+    303058096U,	// VMOVDQU16Zrr
+    303058096U,	// VMOVDQU16Zrr_alt
+    88100016U,	// VMOVDQU16Zrrk
+    88100016U,	// VMOVDQU16Zrrk_alt
+    2470464688U,	// VMOVDQU16Zrrkz
+    2470464688U,	// VMOVDQU16Zrrkz_alt
+    1313718U,	// VMOVDQU32Z128mr
+    21236662U,	// VMOVDQU32Z128mrk
+    403721142U,	// VMOVDQU32Z128rm
+    88099766U,	// VMOVDQU32Z128rmk
+    2470464438U,	// VMOVDQU32Z128rmkz
+    303057846U,	// VMOVDQU32Z128rr
+    303057846U,	// VMOVDQU32Z128rr_alt
+    88099766U,	// VMOVDQU32Z128rrk
+    88099766U,	// VMOVDQU32Z128rrk_alt
+    2470464438U,	// VMOVDQU32Z128rrkz
+    2470464438U,	// VMOVDQU32Z128rrkz_alt
+    1690550U,	// VMOVDQU32Z256mr
+    21613494U,	// VMOVDQU32Z256mrk
+    873483190U,	// VMOVDQU32Z256rm
+    88099766U,	// VMOVDQU32Z256rmk
+    2470464438U,	// VMOVDQU32Z256rmkz
+    303057846U,	// VMOVDQU32Z256rr
+    303057846U,	// VMOVDQU32Z256rr_alt
+    88099766U,	// VMOVDQU32Z256rrk
+    88099766U,	// VMOVDQU32Z256rrk_alt
+    2470464438U,	// VMOVDQU32Z256rrkz
+    2470464438U,	// VMOVDQU32Z256rrkz_alt
+    1723318U,	// VMOVDQU32Zmr
+    21646262U,	// VMOVDQU32Zmrk
+    940592054U,	// VMOVDQU32Zrm
+    88099766U,	// VMOVDQU32Zrmk
+    2470464438U,	// VMOVDQU32Zrmkz
+    303057846U,	// VMOVDQU32Zrr
+    303057846U,	// VMOVDQU32Zrr_alt
+    88099766U,	// VMOVDQU32Zrrk
+    88099766U,	// VMOVDQU32Zrrk_alt
+    2470464438U,	// VMOVDQU32Zrrkz
+    2470464438U,	// VMOVDQU32Zrrkz_alt
+    1313830U,	// VMOVDQU64Z128mr
+    21236774U,	// VMOVDQU64Z128mrk
+    403721254U,	// VMOVDQU64Z128rm
+    88099878U,	// VMOVDQU64Z128rmk
+    2470464550U,	// VMOVDQU64Z128rmkz
+    303057958U,	// VMOVDQU64Z128rr
+    303057958U,	// VMOVDQU64Z128rr_alt
+    88099878U,	// VMOVDQU64Z128rrk
+    88099878U,	// VMOVDQU64Z128rrk_alt
+    2470464550U,	// VMOVDQU64Z128rrkz
+    2470464550U,	// VMOVDQU64Z128rrkz_alt
+    1690662U,	// VMOVDQU64Z256mr
+    21613606U,	// VMOVDQU64Z256mrk
+    873483302U,	// VMOVDQU64Z256rm
+    88099878U,	// VMOVDQU64Z256rmk
+    2470464550U,	// VMOVDQU64Z256rmkz
+    303057958U,	// VMOVDQU64Z256rr
+    303057958U,	// VMOVDQU64Z256rr_alt
+    88099878U,	// VMOVDQU64Z256rrk
+    88099878U,	// VMOVDQU64Z256rrk_alt
+    2470464550U,	// VMOVDQU64Z256rrkz
+    2470464550U,	// VMOVDQU64Z256rrkz_alt
+    1723430U,	// VMOVDQU64Zmr
+    21646374U,	// VMOVDQU64Zmrk
+    940592166U,	// VMOVDQU64Zrm
+    88099878U,	// VMOVDQU64Zrmk
+    2470464550U,	// VMOVDQU64Zrmkz
+    303057958U,	// VMOVDQU64Zrr
+    303057958U,	// VMOVDQU64Zrr_alt
+    88099878U,	// VMOVDQU64Zrrk
+    88099878U,	// VMOVDQU64Zrrk_alt
+    2470464550U,	// VMOVDQU64Zrrkz
+    2470464550U,	// VMOVDQU64Zrrkz_alt
+    1314089U,	// VMOVDQU8Z128mr
+    21237033U,	// VMOVDQU8Z128mrk
+    403721513U,	// VMOVDQU8Z128rm
+    88100137U,	// VMOVDQU8Z128rmk
+    2470464809U,	// VMOVDQU8Z128rmkz
+    303058217U,	// VMOVDQU8Z128rr
+    303058217U,	// VMOVDQU8Z128rr_alt
+    88100137U,	// VMOVDQU8Z128rrk
+    88100137U,	// VMOVDQU8Z128rrk_alt
+    2470464809U,	// VMOVDQU8Z128rrkz
+    2470464809U,	// VMOVDQU8Z128rrkz_alt
+    1690921U,	// VMOVDQU8Z256mr
+    21613865U,	// VMOVDQU8Z256mrk
+    873483561U,	// VMOVDQU8Z256rm
+    88100137U,	// VMOVDQU8Z256rmk
+    2470464809U,	// VMOVDQU8Z256rmkz
+    303058217U,	// VMOVDQU8Z256rr
+    303058217U,	// VMOVDQU8Z256rr_alt
+    88100137U,	// VMOVDQU8Z256rrk
+    88100137U,	// VMOVDQU8Z256rrk_alt
+    2470464809U,	// VMOVDQU8Z256rrkz
+    2470464809U,	// VMOVDQU8Z256rrkz_alt
+    1723689U,	// VMOVDQU8Zmr
+    21646633U,	// VMOVDQU8Zmrk
+    940592425U,	// VMOVDQU8Zrm
+    88100137U,	// VMOVDQU8Zrmk
+    2470464809U,	// VMOVDQU8Zrmkz
+    303058217U,	// VMOVDQU8Zrr
+    303058217U,	// VMOVDQU8Zrr_alt
+    88100137U,	// VMOVDQU8Zrrk
+    88100137U,	// VMOVDQU8Zrrk_alt
+    2470464809U,	// VMOVDQU8Zrrkz
+    2470464809U,	// VMOVDQU8Zrrkz_alt
+    1696364U,	// VMOVDQUYmr
+    873489004U,	// VMOVDQUYrm
+    303063660U,	// VMOVDQUYrr
+    303063660U,	// VMOVDQUYrr_REV
+    1319532U,	// VMOVDQUmr
+    403726956U,	// VMOVDQUrm
+    303063660U,	// VMOVDQUrr
+    303063660U,	// VMOVDQUrr_REV
+    2450546432U,	// VMOVHLPSZrr
+    2450546432U,	// VMOVHLPSrr
+    1184336U,	// VMOVHPDmr
+    2450543184U,	// VMOVHPDrm
+    1187564U,	// VMOVHPSmr
+    2450546412U,	// VMOVHPSrm
+    2450546402U,	// VMOVLHPSZrr
+    2450546402U,	// VMOVLHPSrr
+    1184386U,	// VMOVLPDmr
+    2450543234U,	// VMOVLPDrm
+    1187624U,	// VMOVLPSmr
+    2450546472U,	// VMOVLPSrm
+    303059545U,	// VMOVMSKPDYrr
+    303059545U,	// VMOVMSKPDrr
+    303062773U,	// VMOVMSKPSYrr
+    303062773U,	// VMOVMSKPSrr
+    873483580U,	// VMOVNTDQAYrm
+    403721532U,	// VMOVNTDQAZ128rm
+    873483580U,	// VMOVNTDQAZ256rm
+    940592444U,	// VMOVNTDQAZrm
+    403721532U,	// VMOVNTDQArm
+    1678025U,	// VMOVNTDQYmr
+    1317577U,	// VMOVNTDQZ128mr
+    1694409U,	// VMOVNTDQZ256mr
+    1727177U,	// VMOVNTDQZmr
+    1645257U,	// VMOVNTDQmr
+    1675991U,	// VMOVNTPDYmr
+    1643223U,	// VMOVNTPDZ128mr
+    1675991U,	// VMOVNTPDZ256mr
+    1708759U,	// VMOVNTPDZmr
+    1643223U,	// VMOVNTPDmr
+    1679241U,	// VMOVNTPSYmr
+    1646473U,	// VMOVNTPSZ128mr
+    1679241U,	// VMOVNTPSZ256mr
+    1712009U,	// VMOVNTPSZmr
+    1646473U,	// VMOVNTPSmr
+    1119578U,	// VMOVPDI2DIZmr
+    303060314U,	// VMOVPDI2DIZrr
+    1119578U,	// VMOVPDI2DImr
+    303060314U,	// VMOVPDI2DIrr
+    1137628U,	// VMOVPQI2QImr
+    303061980U,	// VMOVPQI2QIrr
+    1137628U,	// VMOVPQIto64Zmr
+    303061980U,	// VMOVPQIto64Zrr
+    303061980U,	// VMOVPQIto64rr
+    336616412U,	// VMOVQI2PQIZrm
+    336616412U,	// VMOVQI2PQIrm
+    1180802U,	// VMOVSDZmr
+    571491458U,	// VMOVSDZrm
+    2450539650U,	// VMOVSDZrr
+    2450543821U,	// VMOVSDZrr_REV
+    88097922U,	// VMOVSDZrrk
+    1184973U,	// VMOVSDmr
+    571495629U,	// VMOVSDrm
+    2450543821U,	// VMOVSDrr
+    2450543821U,	// VMOVSDrr_REV
+    1137628U,	// VMOVSDto64Zmr
+    303061980U,	// VMOVSDto64Zrr
+    1137628U,	// VMOVSDto64mr
+    303061980U,	// VMOVSDto64rr
+    974150041U,	// VMOVSHDUPYrm
+    303061401U,	// VMOVSHDUPYrr
+    1007699350U,	// VMOVSHDUPZrm
+    303056278U,	// VMOVSHDUPZrr
+    537942425U,	// VMOVSHDUPrm
+    303061401U,	// VMOVSHDUPrr
+    974150052U,	// VMOVSLDUPYrm
+    303061412U,	// VMOVSLDUPYrr
+    1007699362U,	// VMOVSLDUPZrm
+    303056290U,	// VMOVSLDUPZrr
+    537942436U,	// VMOVSLDUPrm
+    303061412U,	// VMOVSLDUPrr
+    1119578U,	// VMOVSS2DIZmr
+    303060314U,	// VMOVSS2DIZrr
+    1119578U,	// VMOVSS2DImr
+    303060314U,	// VMOVSS2DIrr
+    1166002U,	// VMOVSSZmr
+    605047474U,	// VMOVSSZrm
+    2450541234U,	// VMOVSSZrr
+    2450547040U,	// VMOVSSZrr_REV
+    88099506U,	// VMOVSSZrrk
+    1171808U,	// VMOVSSmr
+    605053280U,	// VMOVSSrm
+    2450547040U,	// VMOVSSrr
+    2450547040U,	// VMOVSSrr_REV
+    1676019U,	// VMOVUPDYmr
+    974148339U,	// VMOVUPDYrm
+    303059699U,	// VMOVUPDYrr
+    303059699U,	// VMOVUPDYrr_REV
+    1643251U,	// VMOVUPDZ128mr
+    21566195U,	// VMOVUPDZ128mrk
+    537940723U,	// VMOVUPDZ128rm
+    88101619U,	// VMOVUPDZ128rmk
+    2470466291U,	// VMOVUPDZ128rmkz
+    303059699U,	// VMOVUPDZ128rr
+    303059699U,	// VMOVUPDZ128rr_alt
+    88101619U,	// VMOVUPDZ128rrk
+    88101619U,	// VMOVUPDZ128rrk_alt
+    2470466291U,	// VMOVUPDZ128rrkz
+    2470466291U,	// VMOVUPDZ128rrkz_alt
+    1676019U,	// VMOVUPDZ256mr
+    21598963U,	// VMOVUPDZ256mrk
+    974148339U,	// VMOVUPDZ256rm
+    88101619U,	// VMOVUPDZ256rmk
+    2470466291U,	// VMOVUPDZ256rmkz
+    303059699U,	// VMOVUPDZ256rr
+    303059699U,	// VMOVUPDZ256rr_alt
+    88101619U,	// VMOVUPDZ256rrk
+    88101619U,	// VMOVUPDZ256rrk_alt
+    2470466291U,	// VMOVUPDZ256rrkz
+    2470466291U,	// VMOVUPDZ256rrkz_alt
+    1708787U,	// VMOVUPDZmr
+    21631731U,	// VMOVUPDZmrk
+    1007702771U,	// VMOVUPDZrm
+    88101619U,	// VMOVUPDZrmk
+    2470466291U,	// VMOVUPDZrmkz
+    303059699U,	// VMOVUPDZrr
+    303059699U,	// VMOVUPDZrr_alt
+    88101619U,	// VMOVUPDZrrk
+    88101619U,	// VMOVUPDZrrk_alt
+    2470466291U,	// VMOVUPDZrrkz
+    2470466291U,	// VMOVUPDZrrkz_alt
+    1643251U,	// VMOVUPDmr
+    537940723U,	// VMOVUPDrm
+    303059699U,	// VMOVUPDrr
+    303059699U,	// VMOVUPDrr_REV
+    1679290U,	// VMOVUPSYmr
+    974151610U,	// VMOVUPSYrm
+    303062970U,	// VMOVUPSYrr
+    303062970U,	// VMOVUPSYrr_REV
+    1646522U,	// VMOVUPSZ128mr
+    21569466U,	// VMOVUPSZ128mrk
+    537943994U,	// VMOVUPSZ128rm
+    88104890U,	// VMOVUPSZ128rmk
+    2470469562U,	// VMOVUPSZ128rmkz
+    303062970U,	// VMOVUPSZ128rr
+    303062970U,	// VMOVUPSZ128rr_alt
+    88104890U,	// VMOVUPSZ128rrk
+    88104890U,	// VMOVUPSZ128rrk_alt
+    2470469562U,	// VMOVUPSZ128rrkz
+    2470469562U,	// VMOVUPSZ128rrkz_alt
+    1679290U,	// VMOVUPSZ256mr
+    21602234U,	// VMOVUPSZ256mrk
+    974151610U,	// VMOVUPSZ256rm
+    88104890U,	// VMOVUPSZ256rmk
+    2470469562U,	// VMOVUPSZ256rmkz
+    303062970U,	// VMOVUPSZ256rr
+    303062970U,	// VMOVUPSZ256rr_alt
+    88104890U,	// VMOVUPSZ256rrk
+    88104890U,	// VMOVUPSZ256rrk_alt
+    2470469562U,	// VMOVUPSZ256rrkz
+    2470469562U,	// VMOVUPSZ256rrkz_alt
+    1712058U,	// VMOVUPSZmr
+    21635002U,	// VMOVUPSZmrk
+    1007706042U,	// VMOVUPSZrm
+    88104890U,	// VMOVUPSZrmk
+    2470469562U,	// VMOVUPSZrmkz
+    303062970U,	// VMOVUPSZrr
+    303062970U,	// VMOVUPSZrr_alt
+    88104890U,	// VMOVUPSZrrk
+    88104890U,	// VMOVUPSZrrk_alt
+    2470469562U,	// VMOVUPSZrrkz
+    2470469562U,	// VMOVUPSZrrkz_alt
+    1646522U,	// VMOVUPSmr
+    537943994U,	// VMOVUPSrm
+    303062970U,	// VMOVUPSrr
+    303062970U,	// VMOVUPSrr_REV
+    403725276U,	// VMOVZPQILo2PQIZrm
+    303061980U,	// VMOVZPQILo2PQIZrr
+    403725276U,	// VMOVZPQILo2PQIrm
+    303061980U,	// VMOVZPQILo2PQIrr
+    336616412U,	// VMOVZQI2PQIrm
+    303061980U,	// VMOVZQI2PQIrr
+    2450547381U,	// VMPSADBWYrmi
+    2450547381U,	// VMPSADBWYrri
+    2450547381U,	// VMPSADBWrmi
+    2450547381U,	// VMPSADBWrri
+    86060U,	// VMPTRLDm
+    90691U,	// VMPTRSTm
+    1117989U,	// VMREAD32rm
+    303058725U,	// VMREAD32rr
+    1134373U,	// VMREAD64rm
+    303058725U,	// VMREAD64rr
+    11430U,	// VMRESUME
+    12267U,	// VMRUN32
+    12322U,	// VMRUN64
+    12256U,	// VMSAVE32
+    12311U,	// VMSAVE64
+    2450543226U,	// VMULPDYrm
+    2450543226U,	// VMULPDYrr
+    2450539276U,	// VMULPDZrm
+    2450539276U,	// VMULPDZrmb
+    322978572U,	// VMULPDZrmbk
+    2470462220U,	// VMULPDZrmbkz
+    322982522U,	// VMULPDZrmk
+    2470466170U,	// VMULPDZrmkz
+    2450539276U,	// VMULPDZrr
+    322978572U,	// VMULPDZrrk
+    2470462220U,	// VMULPDZrrkz
+    2450543226U,	// VMULPDrm
+    2450543226U,	// VMULPDrr
+    2450546464U,	// VMULPSYrm
+    2450546464U,	// VMULPSYrr
+    2450540980U,	// VMULPSZrm
+    2450540980U,	// VMULPSZrmb
+    322980276U,	// VMULPSZrmbk
+    2470463924U,	// VMULPSZrmbkz
+    322985760U,	// VMULPSZrmk
+    2470469408U,	// VMULPSZrmkz
+    2450540980U,	// VMULPSZrr
+    322980276U,	// VMULPSZrrk
+    2470463924U,	// VMULPSZrrkz
+    2450546464U,	// VMULPSrm
+    2450546464U,	// VMULPSrr
+    2450543741U,	// VMULSDZrm
+    2450543741U,	// VMULSDZrr
+    2450543741U,	// VMULSDrm
+    2450543741U,	// VMULSDrm_Int
+    2450543741U,	// VMULSDrr
+    2450543741U,	// VMULSDrr_Int
+    2450546958U,	// VMULSSZrm
+    2450546958U,	// VMULSSZrr
+    2450546958U,	// VMULSSrm
+    2450546958U,	// VMULSSrm_Int
+    2450546958U,	// VMULSSrr
+    2450546958U,	// VMULSSrr_Int
+    269506209U,	// VMWRITE32rm
+    303060641U,	// VMWRITE32rr
+    336615073U,	// VMWRITE64rm
+    303060641U,	// VMWRITE64rr
+    11518U,	// VMXOFF
+    88254U,	// VMXON
+    2450543304U,	// VORPDYrm
+    2450543304U,	// VORPDYrr
+    2450543304U,	// VORPDrm
+    2450543304U,	// VORPDrr
+    2450546542U,	// VORPSYrm
+    2450546542U,	// VORPSYrr
+    2450546542U,	// VORPSrm
+    2450546542U,	// VORPSrr
+    403721715U,	// VPABSBrm128
+    873483763U,	// VPABSBrm256
+    303058419U,	// VPABSBrr128
+    303058419U,	// VPABSBrr256
+    940590162U,	// VPABSDZrm
+    2416985170U,	// VPABSDZrmb
+    322978898U,	// VPABSDZrmbk
+    2470462546U,	// VPABSDZrmbkz
+    322978898U,	// VPABSDZrmk
+    2470462546U,	// VPABSDZrmkz
+    303055954U,	// VPABSDZrr
+    322978898U,	// VPABSDZrrk
+    2470462546U,	// VPABSDZrrkz
+    403723294U,	// VPABSDrm128
+    873485342U,	// VPABSDrm256
+    303059998U,	// VPABSDrr128
+    303059998U,	// VPABSDrr256
+    940591007U,	// VPABSQZrm
+    336611231U,	// VPABSQZrmb
+    322979743U,	// VPABSQZrmbk
+    2470463391U,	// VPABSQZrmbkz
+    322979743U,	// VPABSQZrmk
+    2470463391U,	// VPABSQZrmkz
+    303056799U,	// VPABSQZrr
+    322979743U,	// VPABSQZrrk
+    2470463391U,	// VPABSQZrrkz
+    403727359U,	// VPABSWrm128
+    873489407U,	// VPABSWrm256
+    303064063U,	// VPABSWrr128
+    303064063U,	// VPABSWrr256
+    2450547526U,	// VPACKSSDWYrm
+    2450547526U,	// VPACKSSDWYrr
+    2450547526U,	// VPACKSSDWrm
+    2450547526U,	// VPACKSSDWrr
+    2450542258U,	// VPACKSSWBYrm
+    2450542258U,	// VPACKSSWBYrr
+    2450542258U,	// VPACKSSWBrm
+    2450542258U,	// VPACKSSWBrr
+    2450547537U,	// VPACKUSDWYrm
+    2450547537U,	// VPACKUSDWYrr
+    2450547537U,	// VPACKUSDWrm
+    2450547537U,	// VPACKUSDWrr
+    2450542269U,	// VPACKUSWBYrm
+    2450542269U,	// VPACKUSWBYrr
+    2450542269U,	// VPACKUSWBrm
+    2450542269U,	// VPACKUSWBrr
+    2450541974U,	// VPADDBYrm
+    2450541974U,	// VPADDBYrr
+    2450541974U,	// VPADDBrm
+    2450541974U,	// VPADDBrr
+    2450542486U,	// VPADDDYrm
+    2450542486U,	// VPADDDYrr
+    2450538738U,	// VPADDDZrm
+    2450538738U,	// VPADDDZrmb
+    88097010U,	// VPADDDZrmbk
+    2470461682U,	// VPADDDZrmbkz
+    88097010U,	// VPADDDZrmk
+    2470461682U,	// VPADDDZrmkz
+    2450538738U,	// VPADDDZrr
+    88097010U,	// VPADDDZrrk
+    2470461682U,	// VPADDDZrrkz
+    2450542486U,	// VPADDDrm
+    2450542486U,	// VPADDDrr
+    2450545234U,	// VPADDQYrm
+    2450545234U,	// VPADDQYrr
+    2450540082U,	// VPADDQZrm
+    2450540082U,	// VPADDQZrmb
+    88098354U,	// VPADDQZrmbk
+    2470463026U,	// VPADDQZrmbkz
+    88098354U,	// VPADDQZrmk
+    2470463026U,	// VPADDQZrmkz
+    2450540082U,	// VPADDQZrr
+    88098354U,	// VPADDQZrrk
+    2470463026U,	// VPADDQZrrkz
+    2450545234U,	// VPADDQrm
+    2450545234U,	// VPADDQrr
+    2450542084U,	// VPADDSBYrm
+    2450542084U,	// VPADDSBYrr
+    2450542084U,	// VPADDSBrm
+    2450542084U,	// VPADDSBrr
+    2450547760U,	// VPADDSWYrm
+    2450547760U,	// VPADDSWYrr
+    2450547760U,	// VPADDSWrm
+    2450547760U,	// VPADDSWrr
+    2450542126U,	// VPADDUSBYrm
+    2450542126U,	// VPADDUSBYrr
+    2450542126U,	// VPADDUSBrm
+    2450542126U,	// VPADDUSBrr
+    2450547833U,	// VPADDUSWYrm
+    2450547833U,	// VPADDUSWYrr
+    2450547833U,	// VPADDUSWrm
+    2450547833U,	// VPADDUSWrr
+    2450547508U,	// VPADDWYrm
+    2450547508U,	// VPADDWYrr
+    2450547508U,	// VPADDWrm
+    2450547508U,	// VPADDWrr
+    2450545730U,	// VPALIGNR128rm
+    2450545730U,	// VPALIGNR128rr
+    2450545730U,	// VPALIGNR256rm
+    2450545730U,	// VPALIGNR256rr
+    2450538755U,	// VPANDDZrm
+    2450538755U,	// VPANDDZrmb
+    88097027U,	// VPANDDZrmbk
+    2470461699U,	// VPANDDZrmbkz
+    88097027U,	// VPANDDZrmk
+    2470461699U,	// VPANDDZrmkz
+    2450538755U,	// VPANDDZrr
+    88097027U,	// VPANDDZrrk
+    2470461699U,	// VPANDDZrrkz
+    2450538882U,	// VPANDNDZrm
+    2450538882U,	// VPANDNDZrmb
+    88097154U,	// VPANDNDZrmbk
+    2470461826U,	// VPANDNDZrmbkz
+    88097154U,	// VPANDNDZrmk
+    2470461826U,	// VPANDNDZrmkz
+    2450538882U,	// VPANDNDZrr
+    88097154U,	// VPANDNDZrrk
+    2470461826U,	// VPANDNDZrrkz
+    2450540359U,	// VPANDNQZrm
+    2450540359U,	// VPANDNQZrmb
+    88098631U,	// VPANDNQZrmbk
+    2470463303U,	// VPANDNQZrmbkz
+    88098631U,	// VPANDNQZrmk
+    2470463303U,	// VPANDNQZrmkz
+    2450540359U,	// VPANDNQZrr
+    88098631U,	// VPANDNQZrrk
+    2470463303U,	// VPANDNQZrrkz
+    2450544807U,	// VPANDNYrm
+    2450544807U,	// VPANDNYrr
+    2450544807U,	// VPANDNrm
+    2450544807U,	// VPANDNrr
+    2450540135U,	// VPANDQZrm
+    2450540135U,	// VPANDQZrmb
+    88098407U,	// VPANDQZrmbk
+    2470463079U,	// VPANDQZrmbkz
+    88098407U,	// VPANDQZrmk
+    2470463079U,	// VPANDQZrmkz
+    2450540135U,	// VPANDQZrr
+    88098407U,	// VPANDQZrrk
+    2470463079U,	// VPANDQZrrkz
+    2450542661U,	// VPANDYrm
+    2450542661U,	// VPANDYrr
+    2450542661U,	// VPANDrm
+    2450542661U,	// VPANDrr
+    2450541991U,	// VPAVGBYrm
+    2450541991U,	// VPAVGBYrr
+    2450541991U,	// VPAVGBrm
+    2450541991U,	// VPAVGBrr
+    2450547563U,	// VPAVGWYrm
+    2450547563U,	// VPAVGWYrr
+    2450547563U,	// VPAVGWrm
+    2450547563U,	// VPAVGWrr
+    2450542494U,	// VPBLENDDYrmi
+    2450542494U,	// VPBLENDDYrri
+    2450542494U,	// VPBLENDDrmi
+    2450542494U,	// VPBLENDDrri
+    322978125U,	// VPBLENDMDZrm
+    322978125U,	// VPBLENDMDZrr
+    322979602U,	// VPBLENDMQZrm
+    322979602U,	// VPBLENDMQZrr
+    2450542240U,	// VPBLENDVBYrm
+    2450542240U,	// VPBLENDVBYrr
+    2450542240U,	// VPBLENDVBrm
+    2450542240U,	// VPBLENDVBrr
+    2450547516U,	// VPBLENDWYrmi
+    2450547516U,	// VPBLENDWYrri
+    2450547516U,	// VPBLENDWrmi
+    2450547516U,	// VPBLENDWrri
+    504385129U,	// VPBROADCASTBYrm
+    303058537U,	// VPBROADCASTBYrr
+    504385129U,	// VPBROADCASTBrm
+    303058537U,	// VPBROADCASTBrr
+    269505793U,	// VPBROADCASTDYrm
+    303060225U,	// VPBROADCASTDYrr
+    2470462646U,	// VPBROADCASTDZkrm
+    2470462646U,	// VPBROADCASTDZkrr
+    269501622U,	// VPBROADCASTDZrm
+    303056054U,	// VPBROADCASTDZrr
+    2470462646U,	// VPBROADCASTDrZkrr
+    303056054U,	// VPBROADCASTDrZrr
+    269505793U,	// VPBROADCASTDrm
+    303060225U,	// VPBROADCASTDrr
+    303056302U,	// VPBROADCASTMB2Qrr
+    303055030U,	// VPBROADCASTMW2Drr
+    336616350U,	// VPBROADCASTQYrm
+    303061918U,	// VPBROADCASTQYrr
+    2470463453U,	// VPBROADCASTQZkrm
+    2470463453U,	// VPBROADCASTQZkrr
+    336611293U,	// VPBROADCASTQZrm
+    303056861U,	// VPBROADCASTQZrr
+    2470463453U,	// VPBROADCASTQrZkrr
+    303056861U,	// VPBROADCASTQrZrr
+    336616350U,	// VPBROADCASTQrm
+    303061918U,	// VPBROADCASTQrr
+    437281957U,	// VPBROADCASTWYrm
+    303064229U,	// VPBROADCASTWYrr
+    437281957U,	// VPBROADCASTWrm
+    303064229U,	// VPBROADCASTWrr
+    2450545329U,	// VPCLMULQDQrm
+    2450545329U,	// VPCLMULQDQrr
+    2450547347U,	// VPCMOVmr
+    2450547347U,	// VPCMOVmrY
+    2450547347U,	// VPCMOVrm
+    2450547347U,	// VPCMOVrmY
+    2450547347U,	// VPCMOVrr
+    2450547347U,	// VPCMOVrrY
+    1166372331U,	// VPCMPDZrmi
+    2450543243U,	// VPCMPDZrmi_alt
+    322982539U,	// VPCMPDZrmik_alt
+    92646891U,	// VPCMPDZrri
+    2450543243U,	// VPCMPDZrri_alt
+    322982539U,	// VPCMPDZrrik_alt
+    2450542039U,	// VPCMPEQBYrm
+    2450542039U,	// VPCMPEQBYrr
+    2450542039U,	// VPCMPEQBZ128rm
+    322981335U,	// VPCMPEQBZ128rmk
+    2450542039U,	// VPCMPEQBZ128rr
+    322981335U,	// VPCMPEQBZ128rrk
+    2450542039U,	// VPCMPEQBZ256rm
+    322981335U,	// VPCMPEQBZ256rmk
+    2450542039U,	// VPCMPEQBZ256rr
+    322981335U,	// VPCMPEQBZ256rrk
+    2450542039U,	// VPCMPEQBZrm
+    322981335U,	// VPCMPEQBZrmk
+    2450542039U,	// VPCMPEQBZrr
+    322981335U,	// VPCMPEQBZrrk
+    2450542039U,	// VPCMPEQBrm
+    2450542039U,	// VPCMPEQBrr
+    2450543404U,	// VPCMPEQDYrm
+    2450543404U,	// VPCMPEQDYrr
+    2450543404U,	// VPCMPEQDZ128rm
+    2450543404U,	// VPCMPEQDZ128rmb
+    322982700U,	// VPCMPEQDZ128rmbk
+    322982700U,	// VPCMPEQDZ128rmk
+    2450543404U,	// VPCMPEQDZ128rr
+    322982700U,	// VPCMPEQDZ128rrk
+    2450543404U,	// VPCMPEQDZ256rm
+    2450543404U,	// VPCMPEQDZ256rmb
+    322982700U,	// VPCMPEQDZ256rmbk
+    322982700U,	// VPCMPEQDZ256rmk
+    2450543404U,	// VPCMPEQDZ256rr
+    322982700U,	// VPCMPEQDZ256rrk
+    2450543404U,	// VPCMPEQDZrm
+    2450543404U,	// VPCMPEQDZrmb
+    322982700U,	// VPCMPEQDZrmbk
+    322982700U,	// VPCMPEQDZrmk
+    2450543404U,	// VPCMPEQDZrr
+    322982700U,	// VPCMPEQDZrrk
+    2450543404U,	// VPCMPEQDrm
+    2450543404U,	// VPCMPEQDrr
+    2450545470U,	// VPCMPEQQYrm
+    2450545470U,	// VPCMPEQQYrr
+    2450545470U,	// VPCMPEQQZ128rm
+    2450545470U,	// VPCMPEQQZ128rmb
+    322984766U,	// VPCMPEQQZ128rmbk
+    322984766U,	// VPCMPEQQZ128rmk
+    2450545470U,	// VPCMPEQQZ128rr
+    322984766U,	// VPCMPEQQZ128rrk
+    2450545470U,	// VPCMPEQQZ256rm
+    2450545470U,	// VPCMPEQQZ256rmb
+    322984766U,	// VPCMPEQQZ256rmbk
+    322984766U,	// VPCMPEQQZ256rmk
+    2450545470U,	// VPCMPEQQZ256rr
+    322984766U,	// VPCMPEQQZ256rrk
+    2450545470U,	// VPCMPEQQZrm
+    2450545470U,	// VPCMPEQQZrmb
+    322984766U,	// VPCMPEQQZrmbk
+    322984766U,	// VPCMPEQQZrmk
+    2450545470U,	// VPCMPEQQZrr
+    322984766U,	// VPCMPEQQZrrk
+    2450545470U,	// VPCMPEQQrm
+    2450545470U,	// VPCMPEQQrr
+    2450547668U,	// VPCMPEQWYrm
+    2450547668U,	// VPCMPEQWYrr
+    2450547668U,	// VPCMPEQWZ128rm
+    322986964U,	// VPCMPEQWZ128rmk
+    2450547668U,	// VPCMPEQWZ128rr
+    322986964U,	// VPCMPEQWZ128rrk
+    2450547668U,	// VPCMPEQWZ256rm
+    322986964U,	// VPCMPEQWZ256rmk
+    2450547668U,	// VPCMPEQWZ256rr
+    322986964U,	// VPCMPEQWZ256rrk
+    2450547668U,	// VPCMPEQWZrm
+    322986964U,	// VPCMPEQWZrmk
+    2450547668U,	// VPCMPEQWZrr
+    322986964U,	// VPCMPEQWZrrk
+    2450547668U,	// VPCMPEQWrm
+    2450547668U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
     0U,	// VPCMPESTRIREG
-    2484098801U,	// VPCMPESTRIrm
-    2282772209U,	// VPCMPESTRIrr
+    2551207829U,	// VPCMPESTRIrm
+    2450544533U,	// VPCMPESTRIrr
     0U,	// VPCMPESTRM128MEM
     0U,	// VPCMPESTRM128REG
-    2484099051U,	// VPCMPESTRM128rm
-    2282772459U,	// VPCMPESTRM128rr
-    2282769843U,	// VPCMPGTBYrm
-    2282769843U,	// VPCMPGTBYrr
-    2282769843U,	// VPCMPGTBrm
-    2282769843U,	// VPCMPGTBrr
-    2282771531U,	// VPCMPGTDYrm
-    2282771531U,	// VPCMPGTDYrr
-    2282767444U,	// VPCMPGTDZrm
-    2282767444U,	// VPCMPGTDZrr
-    2282771531U,	// VPCMPGTDrm
-    2282771531U,	// VPCMPGTDrr
-    2282773207U,	// VPCMPGTQYrm
-    2282773207U,	// VPCMPGTQYrr
-    2282768205U,	// VPCMPGTQZrm
-    2282768205U,	// VPCMPGTQZrr
-    2282773207U,	// VPCMPGTQrm
-    2282773207U,	// VPCMPGTQrr
-    2282775535U,	// VPCMPGTWYrm
-    2282775535U,	// VPCMPGTWYrr
-    2282775535U,	// VPCMPGTWrm
-    2282775535U,	// VPCMPGTWrr
+    2551208079U,	// VPCMPESTRM128rm
+    2450544783U,	// VPCMPESTRM128rr
+    2450542167U,	// VPCMPGTBYrm
+    2450542167U,	// VPCMPGTBYrr
+    2450542167U,	// VPCMPGTBZ128rm
+    322981463U,	// VPCMPGTBZ128rmk
+    2450542167U,	// VPCMPGTBZ128rr
+    322981463U,	// VPCMPGTBZ128rrk
+    2450542167U,	// VPCMPGTBZ256rm
+    322981463U,	// VPCMPGTBZ256rmk
+    2450542167U,	// VPCMPGTBZ256rr
+    322981463U,	// VPCMPGTBZ256rrk
+    2450542167U,	// VPCMPGTBZrm
+    322981463U,	// VPCMPGTBZrmk
+    2450542167U,	// VPCMPGTBZrr
+    322981463U,	// VPCMPGTBZrrk
+    2450542167U,	// VPCMPGTBrm
+    2450542167U,	// VPCMPGTBrr
+    2450543855U,	// VPCMPGTDYrm
+    2450543855U,	// VPCMPGTDYrr
+    2450543855U,	// VPCMPGTDZ128rm
+    2450543855U,	// VPCMPGTDZ128rmb
+    322983151U,	// VPCMPGTDZ128rmbk
+    322983151U,	// VPCMPGTDZ128rmk
+    2450543855U,	// VPCMPGTDZ128rr
+    322983151U,	// VPCMPGTDZ128rrk
+    2450543855U,	// VPCMPGTDZ256rm
+    2450543855U,	// VPCMPGTDZ256rmb
+    322983151U,	// VPCMPGTDZ256rmbk
+    322983151U,	// VPCMPGTDZ256rmk
+    2450543855U,	// VPCMPGTDZ256rr
+    322983151U,	// VPCMPGTDZ256rrk
+    2450543855U,	// VPCMPGTDZrm
+    2450543855U,	// VPCMPGTDZrmb
+    322983151U,	// VPCMPGTDZrmbk
+    322983151U,	// VPCMPGTDZrmk
+    2450543855U,	// VPCMPGTDZrr
+    322983151U,	// VPCMPGTDZrrk
+    2450543855U,	// VPCMPGTDrm
+    2450543855U,	// VPCMPGTDrr
+    2450545531U,	// VPCMPGTQYrm
+    2450545531U,	// VPCMPGTQYrr
+    2450545531U,	// VPCMPGTQZ128rm
+    2450545531U,	// VPCMPGTQZ128rmb
+    322984827U,	// VPCMPGTQZ128rmbk
+    322984827U,	// VPCMPGTQZ128rmk
+    2450545531U,	// VPCMPGTQZ128rr
+    322984827U,	// VPCMPGTQZ128rrk
+    2450545531U,	// VPCMPGTQZ256rm
+    2450545531U,	// VPCMPGTQZ256rmb
+    322984827U,	// VPCMPGTQZ256rmbk
+    322984827U,	// VPCMPGTQZ256rmk
+    2450545531U,	// VPCMPGTQZ256rr
+    322984827U,	// VPCMPGTQZ256rrk
+    2450545531U,	// VPCMPGTQZrm
+    2450545531U,	// VPCMPGTQZrmb
+    322984827U,	// VPCMPGTQZrmbk
+    322984827U,	// VPCMPGTQZrmk
+    2450545531U,	// VPCMPGTQZrr
+    322984827U,	// VPCMPGTQZrrk
+    2450545531U,	// VPCMPGTQrm
+    2450545531U,	// VPCMPGTQrr
+    2450547859U,	// VPCMPGTWYrm
+    2450547859U,	// VPCMPGTWYrr
+    2450547859U,	// VPCMPGTWZ128rm
+    322987155U,	// VPCMPGTWZ128rmk
+    2450547859U,	// VPCMPGTWZ128rr
+    322987155U,	// VPCMPGTWZ128rrk
+    2450547859U,	// VPCMPGTWZ256rm
+    322987155U,	// VPCMPGTWZ256rmk
+    2450547859U,	// VPCMPGTWZ256rr
+    322987155U,	// VPCMPGTWZ256rrk
+    2450547859U,	// VPCMPGTWZrm
+    322987155U,	// VPCMPGTWZrmk
+    2450547859U,	// VPCMPGTWZrr
+    322987155U,	// VPCMPGTWZrrk
+    2450547859U,	// VPCMPGTWrm
+    2450547859U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
     0U,	// VPCMPISTRIREG
-    2484098813U,	// VPCMPISTRIrm
-    2282772221U,	// VPCMPISTRIrr
+    2551207841U,	// VPCMPISTRIrm
+    2450544545U,	// VPCMPISTRIrr
     0U,	// VPCMPISTRM128MEM
     0U,	// VPCMPISTRM128REG
-    2484099063U,	// VPCMPISTRM128rm
-    2282772471U,	// VPCMPISTRM128rr
-    1199927320U,	// VPCMPQZrmi
-    2282773138U,	// VPCMPQZrmi_alt
-    92647448U,	// VPCMPQZrri
-    2282773138U,	// VPCMPQZrri_alt
-    1200975896U,	// VPCMPUDZrmi
-    2282771581U,	// VPCMPUDZrmi_alt
-    93696024U,	// VPCMPUDZrri
-    2282771581U,	// VPCMPUDZrri_alt
-    1202024472U,	// VPCMPUQZrmi
-    2282773265U,	// VPCMPUQZrmi_alt
-    94744600U,	// VPCMPUQZrri
-    2282773265U,	// VPCMPUQZrri_alt
-    2282769698U,	// VPCOMBmi
-    2282769698U,	// VPCOMBri
-    2282770321U,	// VPCOMDmi
-    2282770321U,	// VPCOMDri
-    2282773122U,	// VPCOMQmi
-    2282773122U,	// VPCOMQri
-    2282769875U,	// VPCOMUBmi
-    2282769875U,	// VPCOMUBri
-    2282771563U,	// VPCOMUDmi
-    2282771563U,	// VPCOMUDri
-    2282773256U,	// VPCOMUQmi
-    2282773256U,	// VPCOMUQri
-    2282775577U,	// VPCOMUWmi
-    2282775577U,	// VPCOMUWri
-    2282775327U,	// VPCOMWmi
-    2282775327U,	// VPCOMWri
-    940590150U,	// VPCONFLICTDrm
-    2249212998U,	// VPCONFLICTDrmb
-    88097862U,	// VPCONFLICTDrmbk
-    155206726U,	// VPCONFLICTDrmbkz
-    88097862U,	// VPCONFLICTDrmk
-    155206726U,	// VPCONFLICTDrmkz
-    135283782U,	// VPCONFLICTDrr
-    88097862U,	// VPCONFLICTDrrk
-    155206726U,	// VPCONFLICTDrrkz
-    940590911U,	// VPCONFLICTQrm
-    168838975U,	// VPCONFLICTQrmb
-    88098623U,	// VPCONFLICTQrmbk
-    155207487U,	// VPCONFLICTQrmbkz
-    88098623U,	// VPCONFLICTQrmk
-    155207487U,	// VPCONFLICTQrmkz
-    135284543U,	// VPCONFLICTQrr
-    88098623U,	// VPCONFLICTQrrk
-    155207487U,	// VPCONFLICTQrrkz
-    2282769441U,	// VPERM2F128rm
-    2282769441U,	// VPERM2F128rr
-    2282769496U,	// VPERM2I128rm
-    2282769496U,	// VPERM2I128rr
-    2282770329U,	// VPERMDYrm
-    2282770329U,	// VPERMDYrr
-    2282766616U,	// VPERMDZrm
-    2282766616U,	// VPERMDZrr
-    2215657563U,	// VPERMI2Drm
-    2215657563U,	// VPERMI2Drr
-    2215657884U,	// VPERMI2PDrm
-    2215657884U,	// VPERMI2PDrr
-    2215659545U,	// VPERMI2PSrm
-    2215659545U,	// VPERMI2PSrr
-    2215658849U,	// VPERMI2Qrm
-    2215658849U,	// VPERMI2Qrr
-    2282770550U,	// VPERMIL2PDmr
-    2282770550U,	// VPERMIL2PDmrY
-    2282770550U,	// VPERMIL2PDrm
-    2282770550U,	// VPERMIL2PDrmY
-    2282770550U,	// VPERMIL2PDrr
-    2282770550U,	// VPERMIL2PDrrY
-    2282773787U,	// VPERMIL2PSmr
-    2282773787U,	// VPERMIL2PSmrY
-    2282773787U,	// VPERMIL2PSrm
-    2282773787U,	// VPERMIL2PSrmY
-    2282773787U,	// VPERMIL2PSrr
-    2282773787U,	// VPERMIL2PSrrY
-    3121631680U,	// VPERMILPDYmi
-    2282770880U,	// VPERMILPDYri
-    2282770880U,	// VPERMILPDYrm
-    2282770880U,	// VPERMILPDYrr
-    3088073396U,	// VPERMILPDZmi
-    2282767028U,	// VPERMILPDZri
-    2685424064U,	// VPERMILPDmi
-    2282770880U,	// VPERMILPDri
-    2282770880U,	// VPERMILPDrm
-    2282770880U,	// VPERMILPDrr
-    3121634918U,	// VPERMILPSYmi
-    2282774118U,	// VPERMILPSYri
-    2282774118U,	// VPERMILPSYrm
-    2282774118U,	// VPERMILPSYrr
-    3088075045U,	// VPERMILPSZmi
-    2282768677U,	// VPERMILPSZri
-    2685427302U,	// VPERMILPSmi
-    2282774118U,	// VPERMILPSri
-    2282774118U,	// VPERMILPSrm
-    2282774118U,	// VPERMILPSrr
-    3054522863U,	// VPERMPDYmi
-    2282770927U,	// VPERMPDYri
-    3155182293U,	// VPERMPDZmi
-    2282767061U,	// VPERMPDZri
-    2282767061U,	// VPERMPDZrm
-    2282767061U,	// VPERMPDZrr
-    2282774157U,	// VPERMPSYrm
-    2282774157U,	// VPERMPSYrr
-    2282768710U,	// VPERMPSZrm
-    2282768710U,	// VPERMPSZrr
-    3054525066U,	// VPERMQYmi
-    2282773130U,	// VPERMQYri
-    3088074435U,	// VPERMQZmi
-    2282768067U,	// VPERMQZri
-    2282768067U,	// VPERMQZrm
-    2282768067U,	// VPERMQZrr
-    2215657574U,	// VPERMT2Drm
-    2215657574U,	// VPERMT2Drr
-    2215657933U,	// VPERMT2PDrm
-    2215657933U,	// VPERMT2PDrr
-    2215659582U,	// VPERMT2PSrm
-    2215659582U,	// VPERMT2PSrr
-    2215658860U,	// VPERMT2Qrm
-    2215658860U,	// VPERMT2Qrr
-    2148633926U,	// VPEXTRBmr
-    2282769734U,	// VPEXTRBrr
-    2148602541U,	// VPEXTRDmr
-    2282771117U,	// VPEXTRDrr
-    2148620985U,	// VPEXTRQmr
-    2282773177U,	// VPEXTRQrr
-    2148574034U,	// VPEXTRWmr
-    2282775378U,	// VPEXTRWri
-    2282775378U,	// VPEXTRWrr_REV
-    1141919492U,	// VPGATHERDDYrm
-    3275767999U,	// VPGATHERDDZrm
-    1141919492U,	// VPGATHERDDrm
-    1074813465U,	// VPGATHERDQYrm
-    1128285733U,	// VPGATHERDQZrm
-    1074813465U,	// VPGATHERDQrm
-    1141920402U,	// VPGATHERQDYrm
-    1128284979U,	// VPGATHERQDZrm
-    1141920402U,	// VPGATHERQDrm
-    1074813604U,	// VPGATHERQQYrm
-    1128285942U,	// VPGATHERQQZrm
-    1074813604U,	// VPGATHERQQrm
-    336613017U,	// VPHADDBDrm
-    135286425U,	// VPHADDBDrr
-    336615738U,	// VPHADDBQrm
-    135289146U,	// VPHADDBQrr
-    336618020U,	// VPHADDBWrm
-    135291428U,	// VPHADDBWrr
-    336615862U,	// VPHADDDQrm
-    135289270U,	// VPHADDDQrr
-    2282770153U,	// VPHADDDYrm
-    2282770153U,	// VPHADDDYrr
-    2282770153U,	// VPHADDDrm
-    2282770153U,	// VPHADDDrr
-    2282775426U,	// VPHADDSWrm128
-    2282775426U,	// VPHADDSWrm256
-    2282775426U,	// VPHADDSWrr128
-    2282775426U,	// VPHADDSWrr256
-    336613027U,	// VPHADDUBDrm
-    135286435U,	// VPHADDUBDrr
-    336615748U,	// VPHADDUBQrm
-    135289156U,	// VPHADDUBQrr
-    336618054U,	// VPHADDUBWrm
-    135291462U,	// VPHADDUBWrr
-    336615983U,	// VPHADDUDQrm
-    135289391U,	// VPHADDUDQrr
-    336614687U,	// VPHADDUWDrm
-    135288095U,	// VPHADDUWDrr
-    336616265U,	// VPHADDUWQrm
-    135289673U,	// VPHADDUWQrr
-    336614599U,	// VPHADDWDrm
-    135288007U,	// VPHADDWDrr
-    336616255U,	// VPHADDWQrm
-    135289663U,	// VPHADDWQrr
-    2282775175U,	// VPHADDWYrm
-    2282775175U,	// VPHADDWYrr
-    2282775175U,	// VPHADDWrm
-    2282775175U,	// VPHADDWrr
-    336618539U,	// VPHMINPOSUWrm128
-    135291947U,	// VPHMINPOSUWrr128
-    336617991U,	// VPHSUBBWrm
-    135291399U,	// VPHSUBBWrr
-    336615844U,	// VPHSUBDQrm
-    135289252U,	// VPHSUBDQrr
-    2282770094U,	// VPHSUBDYrm
-    2282770094U,	// VPHSUBDYrr
-    2282770094U,	// VPHSUBDrm
-    2282770094U,	// VPHSUBDrr
-    2282775407U,	// VPHSUBSWrm128
-    2282775407U,	// VPHSUBSWrm256
-    2282775407U,	// VPHSUBSWrr128
-    2282775407U,	// VPHSUBSWrr256
-    336614589U,	// VPHSUBWDrm
-    135287997U,	// VPHSUBWDrr
-    2282775121U,	// VPHSUBWYrm
-    2282775121U,	// VPHSUBWYrr
-    2282775121U,	// VPHSUBWrm
-    2282775121U,	// VPHSUBWrr
-    2282769725U,	// VPINSRBrm
-    2282769725U,	// VPINSRBrr
-    2282771108U,	// VPINSRDrm
-    2282771108U,	// VPINSRDrr
-    2282773168U,	// VPINSRQrm
-    2282773168U,	// VPINSRQrr
-    2282775369U,	// VPINSRWrmi
-    2282775369U,	// VPINSRWrri
-    2282770192U,	// VPMACSDDrm
-    2282770192U,	// VPMACSDDrr
-    2282772085U,	// VPMACSDQHrm
-    2282772085U,	// VPMACSDQHrr
-    2282772371U,	// VPMACSDQLrm
-    2282772371U,	// VPMACSDQLrr
-    2282770202U,	// VPMACSSDDrm
-    2282770202U,	// VPMACSSDDrr
-    2282772096U,	// VPMACSSDQHrm
-    2282772096U,	// VPMACSSDQHrr
-    2282772382U,	// VPMACSSDQLrm
-    2282772382U,	// VPMACSSDQLrr
-    2282771720U,	// VPMACSSWDrm
-    2282771720U,	// VPMACSSWDrr
-    2282775627U,	// VPMACSSWWrm
-    2282775627U,	// VPMACSSWWrr
-    2282771699U,	// VPMACSWDrm
-    2282771699U,	// VPMACSWDrr
-    2282775617U,	// VPMACSWWrm
-    2282775617U,	// VPMACSWWrr
-    2282771731U,	// VPMADCSSWDrm
-    2282771731U,	// VPMADCSSWDrr
-    2282771709U,	// VPMADCSWDrm
-    2282771709U,	// VPMADCSWDrr
-    2282775395U,	// VPMADDUBSWrm128
-    2282775395U,	// VPMADDUBSWrm256
-    2282775395U,	// VPMADDUBSWrr128
-    2282775395U,	// VPMADDUBSWrr256
-    2282771665U,	// VPMADDWDYrm
-    2282771665U,	// VPMADDWDYrr
-    2282771665U,	// VPMADDWDrm
-    2282771665U,	// VPMADDWDrr
-    1041880234U,	// VPMASKMOVDYmr
-    2282771626U,	// VPMASKMOVDYrm
-    2148799658U,	// VPMASKMOVDmr
-    2282771626U,	// VPMASKMOVDrm
-    1041881900U,	// VPMASKMOVQYmr
-    2282773292U,	// VPMASKMOVQYrm
-    2148801324U,	// VPMASKMOVQmr
-    2282773292U,	// VPMASKMOVQrm
-    2282769828U,	// VPMAXSBYrm
-    2282769828U,	// VPMAXSBYrr
-    2282769828U,	// VPMAXSBrm
-    2282769828U,	// VPMAXSBrr
-    2282771505U,	// VPMAXSDYrm
-    2282771505U,	// VPMAXSDYrr
-    2282767420U,	// VPMAXSDZrm
-    2282767420U,	// VPMAXSDZrmb
-    2282767420U,	// VPMAXSDZrr
-    2282771505U,	// VPMAXSDrm
-    2282771505U,	// VPMAXSDrr
-    2282768181U,	// VPMAXSQZrm
-    2282768181U,	// VPMAXSQZrmb
-    2282768181U,	// VPMAXSQZrr
-    2282775526U,	// VPMAXSWYrm
-    2282775526U,	// VPMAXSWYrr
-    2282775526U,	// VPMAXSWrm
-    2282775526U,	// VPMAXSWrr
-    2282769907U,	// VPMAXUBYrm
-    2282769907U,	// VPMAXUBYrr
-    2282769907U,	// VPMAXUBrm
-    2282769907U,	// VPMAXUBrr
-    2282771590U,	// VPMAXUDYrm
-    2282771590U,	// VPMAXUDYrr
-    2282767480U,	// VPMAXUDZrm
-    2282767480U,	// VPMAXUDZrmb
-    2282767480U,	// VPMAXUDZrr
-    2282771590U,	// VPMAXUDrm
-    2282771590U,	// VPMAXUDrr
-    2282768241U,	// VPMAXUQZrm
-    2282768241U,	// VPMAXUQZrmb
-    2282768241U,	// VPMAXUQZrr
-    2282775608U,	// VPMAXUWYrm
-    2282775608U,	// VPMAXUWYrr
-    2282775608U,	// VPMAXUWrm
-    2282775608U,	// VPMAXUWrr
-    2282769769U,	// VPMINSBYrm
-    2282769769U,	// VPMINSBYrr
-    2282769769U,	// VPMINSBrm
-    2282769769U,	// VPMINSBrr
-    2282771425U,	// VPMINSDYrm
-    2282771425U,	// VPMINSDYrr
-    2282767386U,	// VPMINSDZrm
-    2282767386U,	// VPMINSDZrmb
-    2282767386U,	// VPMINSDZrr
-    2282771425U,	// VPMINSDrm
-    2282771425U,	// VPMINSDrr
-    2282768171U,	// VPMINSQZrm
-    2282768171U,	// VPMINSQZrmb
-    2282768171U,	// VPMINSQZrr
-    2282775457U,	// VPMINSWYrm
-    2282775457U,	// VPMINSWYrr
-    2282775457U,	// VPMINSWrm
-    2282775457U,	// VPMINSWrr
-    2282769884U,	// VPMINUBYrm
-    2282769884U,	// VPMINUBYrr
-    2282769884U,	// VPMINUBrm
-    2282769884U,	// VPMINUBrr
-    2282771572U,	// VPMINUDYrm
-    2282771572U,	// VPMINUDYrr
-    2282767470U,	// VPMINUDZrm
-    2282767470U,	// VPMINUDZrmb
-    2282767470U,	// VPMINUDZrr
-    2282771572U,	// VPMINUDrm
-    2282771572U,	// VPMINUDrr
-    2282768231U,	// VPMINUQZrm
-    2282768231U,	// VPMINUQZrmb
-    2282768231U,	// VPMINUQZrr
-    2282775586U,	// VPMINUWYrm
-    2282775586U,	// VPMINUWYrr
-    2282775586U,	// VPMINUWrm
-    2282775586U,	// VPMINUWrr
-    155205680U,	// VPMOVDBkrr
-    1310768U,	// VPMOVDBmr
-    135282736U,	// VPMOVDBrr
-    155208300U,	// VPMOVDWkrr
-    641644U,	// VPMOVDWmr
-    135285356U,	// VPMOVDWrr
-    135286031U,	// VPMOVMSKBYrr
-    135286031U,	// VPMOVMSKBrr
-    155205713U,	// VPMOVQBkrr
-    1310801U,	// VPMOVQBmr
-    135282769U,	// VPMOVQBrr
-    155206501U,	// VPMOVQDkrr
-    639845U,	// VPMOVQDmr
-    135283557U,	// VPMOVQDrr
-    155208353U,	// VPMOVQWkrr
-    1313441U,	// VPMOVQWmr
-    135285409U,	// VPMOVQWrr
-    155205669U,	// VPMOVSDBkrr
-    1310757U,	// VPMOVSDBmr
-    135282725U,	// VPMOVSDBrr
-    155208289U,	// VPMOVSDWkrr
-    641633U,	// VPMOVSDWmr
-    135285345U,	// VPMOVSDWrr
-    155205702U,	// VPMOVSQBkrr
-    1310790U,	// VPMOVSQBmr
-    135282758U,	// VPMOVSQBrr
-    155206490U,	// VPMOVSQDkrr
-    639834U,	// VPMOVSQDmr
-    135283546U,	// VPMOVSQDrr
-    155208342U,	// VPMOVSQWkrr
-    1313430U,	// VPMOVSQWmr
-    135285398U,	// VPMOVSQWrr
-    101732031U,	// VPMOVSXBDYrm
-    135286463U,	// VPMOVSXBDYrr
-    336609429U,	// VPMOVSXBDZrm
-    135282837U,	// VPMOVSXBDZrr
-    101732031U,	// VPMOVSXBDrm
-    135286463U,	// VPMOVSXBDrr
-    370170199U,	// VPMOVSXBQYrm
-    135289175U,	// VPMOVSXBQYrr
-    336610697U,	// VPMOVSXBQZrm
-    135284105U,	// VPMOVSXBQZrr
-    370170199U,	// VPMOVSXBQrm
-    135289175U,	// VPMOVSXBQrr
-    336618082U,	// VPMOVSXBWYrm
-    135291490U,	// VPMOVSXBWYrr
-    168845922U,	// VPMOVSXBWrm
-    135291490U,	// VPMOVSXBWrr
-    336616004U,	// VPMOVSXDQYrm
-    135289412U,	// VPMOVSXDQYrr
-    907036289U,	// VPMOVSXDQZrm
-    135284353U,	// VPMOVSXDQZrr
-    168843844U,	// VPMOVSXDQrm
-    135289412U,	// VPMOVSXDQrr
-    336614698U,	// VPMOVSXWDYrm
-    135288106U,	// VPMOVSXWDYrr
-    907035808U,	// VPMOVSXWDZrm
-    135283872U,	// VPMOVSXWDZrr
-    168842538U,	// VPMOVSXWDrm
-    135288106U,	// VPMOVSXWDrr
-    101735252U,	// VPMOVSXWQYrm
-    135289684U,	// VPMOVSXWQYrr
-    336611225U,	// VPMOVSXWQZrm
-    135284633U,	// VPMOVSXWQZrr
-    101735252U,	// VPMOVSXWQrm
-    135289684U,	// VPMOVSXWQrr
-    155205657U,	// VPMOVUSDBkrr
-    1310745U,	// VPMOVUSDBmr
-    135282713U,	// VPMOVUSDBrr
-    155208277U,	// VPMOVUSDWkrr
-    641621U,	// VPMOVUSDWmr
-    135285333U,	// VPMOVUSDWrr
-    155205690U,	// VPMOVUSQBkrr
-    1310778U,	// VPMOVUSQBmr
-    135282746U,	// VPMOVUSQBrr
-    155206478U,	// VPMOVUSQDkrr
-    639822U,	// VPMOVUSQDmr
-    135283534U,	// VPMOVUSQDrr
-    155208330U,	// VPMOVUSQWkrr
-    1313418U,	// VPMOVUSQWmr
-    135285386U,	// VPMOVUSQWrr
-    101732042U,	// VPMOVZXBDYrm
-    135286474U,	// VPMOVZXBDYrr
-    336609441U,	// VPMOVZXBDZrm
-    135282849U,	// VPMOVZXBDZrr
-    101732042U,	// VPMOVZXBDrm
-    135286474U,	// VPMOVZXBDrr
-    370170210U,	// VPMOVZXBQYrm
-    135289186U,	// VPMOVZXBQYrr
-    336610709U,	// VPMOVZXBQZrm
-    135284117U,	// VPMOVZXBQZrr
-    370170210U,	// VPMOVZXBQrm
-    135289186U,	// VPMOVZXBQrr
-    336618093U,	// VPMOVZXBWYrm
-    135291501U,	// VPMOVZXBWYrr
-    168845933U,	// VPMOVZXBWrm
-    135291501U,	// VPMOVZXBWrr
-    336616015U,	// VPMOVZXDQYrm
-    135289423U,	// VPMOVZXDQYrr
-    907036301U,	// VPMOVZXDQZrm
-    135284365U,	// VPMOVZXDQZrr
-    168843855U,	// VPMOVZXDQrm
-    135289423U,	// VPMOVZXDQrr
-    336614709U,	// VPMOVZXWDYrm
-    135288117U,	// VPMOVZXWDYrr
-    907035820U,	// VPMOVZXWDZrm
-    135283884U,	// VPMOVZXWDZrr
-    168842549U,	// VPMOVZXWDrm
-    135288117U,	// VPMOVZXWDrr
-    101735263U,	// VPMOVZXWQYrm
-    135289695U,	// VPMOVZXWQYrr
-    336611237U,	// VPMOVZXWQZrm
-    135284645U,	// VPMOVZXWQZrr
-    101735263U,	// VPMOVZXWQrm
-    135289695U,	// VPMOVZXWQrr
-    2282772970U,	// VPMULDQYrm
-    2282772970U,	// VPMULDQYrr
-    2282767862U,	// VPMULDQZrm
-    2282767862U,	// VPMULDQZrr
-    2282772970U,	// VPMULDQrm
-    2282772970U,	// VPMULDQrr
-    2282775480U,	// VPMULHRSWrm128
-    2282775480U,	// VPMULHRSWrm256
-    2282775480U,	// VPMULHRSWrr128
-    2282775480U,	// VPMULHRSWrr256
-    2282775567U,	// VPMULHUWYrm
-    2282775567U,	// VPMULHUWYrr
-    2282775567U,	// VPMULHUWrm
-    2282775567U,	// VPMULHUWrr
-    2282775268U,	// VPMULHWYrm
-    2282775268U,	// VPMULHWYrr
-    2282775268U,	// VPMULHWrm
-    2282775268U,	// VPMULHWrr
-    2282770295U,	// VPMULLDYrm
-    2282770295U,	// VPMULLDYrr
-    2282766573U,	// VPMULLDZrm
-    2282766573U,	// VPMULLDZrmb
-    2282766573U,	// VPMULLDZrr
-    2282770295U,	// VPMULLDrm
-    2282770295U,	// VPMULLDrr
-    2282775310U,	// VPMULLWYrm
-    2282775310U,	// VPMULLWYrr
-    2282775310U,	// VPMULLWrm
-    2282775310U,	// VPMULLWrr
-    2282773050U,	// VPMULUDQYrm
-    2282773050U,	// VPMULUDQYrr
-    2282767990U,	// VPMULUDQZrm
-    2282767990U,	// VPMULUDQZrr
-    2282773050U,	// VPMULUDQrm
-    2282773050U,	// VPMULUDQrr
-    2282767215U,	// VPORDZrm
-    2282767215U,	// VPORDZrmb
-    2282767215U,	// VPORDZrr
-    2282768145U,	// VPORQZrm
-    2282768145U,	// VPORQZrmb
-    2282768145U,	// VPORQZrr
-    2282773416U,	// VPORYrm
-    2282773416U,	// VPORYrr
-    2282773416U,	// VPORrm
-    2282773416U,	// VPORrr
-    2282772451U,	// VPPERMmr
-    2282772451U,	// VPPERMrm
-    2282772451U,	// VPPERMrr
-    2484096445U,	// VPROTBmi
-    2484096445U,	// VPROTBmr
-    2282769853U,	// VPROTBri
-    2282769853U,	// VPROTBrm
-    2282769853U,	// VPROTBrr
-    2484098133U,	// VPROTDmi
-    2484098133U,	// VPROTDmr
-    2282771541U,	// VPROTDri
-    2282771541U,	// VPROTDrm
-    2282771541U,	// VPROTDrr
-    2484099817U,	// VPROTQmi
-    2484099817U,	// VPROTQmr
-    2282773225U,	// VPROTQri
-    2282773225U,	// VPROTQrm
-    2282773225U,	// VPROTQrr
-    2484102137U,	// VPROTWmi
-    2484102137U,	// VPROTWmr
-    2282775545U,	// VPROTWri
-    2282775545U,	// VPROTWrm
-    2282775545U,	// VPROTWrr
-    2282775067U,	// VPSADBWYrm
-    2282775067U,	// VPSADBWYrr
-    2282775067U,	// VPSADBWrm
-    2282775067U,	// VPSADBWrr
-    688332U,	// VPSCATTERDDZmr
-    706098U,	// VPSCATTERDQZmr
-    705344U,	// VPSCATTERQDZmr
-    706307U,	// VPSCATTERQQZmr
-    2484096221U,	// VPSHABmr
-    2282769629U,	// VPSHABrm
-    2282769629U,	// VPSHABrr
-    2484096649U,	// VPSHADmr
-    2282770057U,	// VPSHADrm
-    2282770057U,	// VPSHADrr
-    2484099378U,	// VPSHAQmr
-    2282772786U,	// VPSHAQrm
-    2282772786U,	// VPSHAQrr
-    2484101623U,	// VPSHAWmr
-    2282775031U,	// VPSHAWrm
-    2282775031U,	// VPSHAWrr
-    2484096282U,	// VPSHLBmr
-    2282769690U,	// VPSHLBrm
-    2282769690U,	// VPSHLBrr
-    2484096865U,	// VPSHLDmr
-    2282770273U,	// VPSHLDrm
-    2282770273U,	// VPSHLDrr
-    2484099690U,	// VPSHLQmr
-    2282773098U,	// VPSHLQrm
-    2282773098U,	// VPSHLQrr
-    2484101886U,	// VPSHLWmr
-    2282775294U,	// VPSHLWrm
-    2282775294U,	// VPSHLWrr
-    2282769658U,	// VPSHUFBYrm
-    2282769658U,	// VPSHUFBYrr
-    2282769658U,	// VPSHUFBrm
-    2282769658U,	// VPSHUFBrr
-    3054522164U,	// VPSHUFDYmi
-    2282770228U,	// VPSHUFDYri
-    3088072922U,	// VPSHUFDZmi
-    2282766554U,	// VPSHUFDZri
-    2484096820U,	// VPSHUFDmi
-    2282770228U,	// VPSHUFDri
-    3054527194U,	// VPSHUFHWYmi
-    2282775258U,	// VPSHUFHWYri
-    2484101850U,	// VPSHUFHWmi
-    2282775258U,	// VPSHUFHWri
-    3054527220U,	// VPSHUFLWYmi
-    2282775284U,	// VPSHUFLWYri
-    2484101876U,	// VPSHUFLWmi
-    2282775284U,	// VPSHUFLWri
-    2282769706U,	// VPSIGNBYrm
-    2282769706U,	// VPSIGNBYrr
-    2282769706U,	// VPSIGNBrm
-    2282769706U,	// VPSIGNBrr
-    2282770352U,	// VPSIGNDYrm
-    2282770352U,	// VPSIGNDYrr
-    2282770352U,	// VPSIGNDrm
-    2282770352U,	// VPSIGNDrr
-    2282775335U,	// VPSIGNWYrm
-    2282775335U,	// VPSIGNWYrr
-    2282775335U,	// VPSIGNWrm
-    2282775335U,	// VPSIGNWrr
-    2282772952U,	// VPSLLDQYri
-    2282772952U,	// VPSLLDQri
-    2282770287U,	// VPSLLDYri
-    2282770287U,	// VPSLLDYrm
-    2282770287U,	// VPSLLDYrr
-    3088072932U,	// VPSLLDZmi
-    155205860U,	// VPSLLDZmik
-    2282766564U,	// VPSLLDZri
-    155205860U,	// VPSLLDZrik
-    2282766564U,	// VPSLLDZrm
-    155205860U,	// VPSLLDZrmk
-    2282766564U,	// VPSLLDZrr
-    155205860U,	// VPSLLDZrrk
-    2282770287U,	// VPSLLDri
-    2282770287U,	// VPSLLDrm
-    2282770287U,	// VPSLLDrr
-    2282773106U,	// VPSLLQYri
-    2282773106U,	// VPSLLQYrm
-    2282773106U,	// VPSLLQYrr
-    3088074393U,	// VPSLLQZmi
-    155207321U,	// VPSLLQZmik
-    2282768025U,	// VPSLLQZri
-    155207321U,	// VPSLLQZrik
-    2282768025U,	// VPSLLQZrm
-    155207321U,	// VPSLLQZrmk
-    2282768025U,	// VPSLLQZrr
-    155207321U,	// VPSLLQZrrk
-    2282773106U,	// VPSLLQri
-    2282773106U,	// VPSLLQrm
-    2282773106U,	// VPSLLQrr
-    2282771608U,	// VPSLLVDYrm
-    2282771608U,	// VPSLLVDYrr
-    2282767500U,	// VPSLLVDZrm
-    2282767500U,	// VPSLLVDZrr
-    2282771608U,	// VPSLLVDrm
-    2282771608U,	// VPSLLVDrr
-    2282773274U,	// VPSLLVQYrm
-    2282773274U,	// VPSLLVQYrr
-    2282768261U,	// VPSLLVQZrm
-    2282768261U,	// VPSLLVQZrr
-    2282773274U,	// VPSLLVQrm
-    2282773274U,	// VPSLLVQrr
-    2282775302U,	// VPSLLWYri
-    2282775302U,	// VPSLLWYrm
-    2282775302U,	// VPSLLWYrr
-    2282775302U,	// VPSLLWri
-    2282775302U,	// VPSLLWrm
-    2282775302U,	// VPSLLWrr
-    2282770065U,	// VPSRADYri
-    2282770065U,	// VPSRADYrm
-    2282770065U,	// VPSRADYrr
-    3088072835U,	// VPSRADZmi
-    155205763U,	// VPSRADZmik
-    2282766467U,	// VPSRADZri
-    155205763U,	// VPSRADZrik
-    2282766467U,	// VPSRADZrm
-    155205763U,	// VPSRADZrmk
-    2282766467U,	// VPSRADZrr
-    155205763U,	// VPSRADZrrk
-    2282770065U,	// VPSRADri
-    2282770065U,	// VPSRADrm
-    2282770065U,	// VPSRADrr
-    3088074103U,	// VPSRAQZmi
-    155207031U,	// VPSRAQZmik
-    2282767735U,	// VPSRAQZri
-    155207031U,	// VPSRAQZrik
-    2282767735U,	// VPSRAQZrm
-    155207031U,	// VPSRAQZrmk
-    2282767735U,	// VPSRAQZrr
-    155207031U,	// VPSRAQZrrk
-    2282771599U,	// VPSRAVDYrm
-    2282771599U,	// VPSRAVDYrr
-    2282767490U,	// VPSRAVDZrm
-    2282767490U,	// VPSRAVDZrr
-    2282771599U,	// VPSRAVDrm
-    2282771599U,	// VPSRAVDrr
-    2282768251U,	// VPSRAVQZrm
-    2282768251U,	// VPSRAVQZrr
-    2282775039U,	// VPSRAWYri
-    2282775039U,	// VPSRAWYrm
-    2282775039U,	// VPSRAWYrr
-    2282775039U,	// VPSRAWri
-    2282775039U,	// VPSRAWrm
-    2282775039U,	// VPSRAWrr
-    2282772961U,	// VPSRLDQYri
-    2282772961U,	// VPSRLDQri
-    2282770304U,	// VPSRLDYri
-    2282770304U,	// VPSRLDYrm
-    2282770304U,	// VPSRLDYrr
-    3088072951U,	// VPSRLDZmi
-    155205879U,	// VPSRLDZmik
-    2282766583U,	// VPSRLDZri
-    155205879U,	// VPSRLDZrik
-    2282766583U,	// VPSRLDZrm
-    155205879U,	// VPSRLDZrmk
-    2282766583U,	// VPSRLDZrr
-    155205879U,	// VPSRLDZrrk
-    2282770304U,	// VPSRLDri
-    2282770304U,	// VPSRLDrm
-    2282770304U,	// VPSRLDrr
-    2282773114U,	// VPSRLQYri
-    2282773114U,	// VPSRLQYrm
-    2282773114U,	// VPSRLQYrr
-    3088074402U,	// VPSRLQZmi
-    155207330U,	// VPSRLQZmik
-    2282768034U,	// VPSRLQZri
-    155207330U,	// VPSRLQZrik
-    2282768034U,	// VPSRLQZrm
-    155207330U,	// VPSRLQZrmk
-    2282768034U,	// VPSRLQZrr
-    155207330U,	// VPSRLQZrrk
-    2282773114U,	// VPSRLQri
-    2282773114U,	// VPSRLQrm
-    2282773114U,	// VPSRLQrr
-    2282771617U,	// VPSRLVDYrm
-    2282771617U,	// VPSRLVDYrr
-    2282767510U,	// VPSRLVDZrm
-    2282767510U,	// VPSRLVDZrr
-    2282771617U,	// VPSRLVDrm
-    2282771617U,	// VPSRLVDrr
-    2282773283U,	// VPSRLVQYrm
-    2282773283U,	// VPSRLVQYrr
-    2282768271U,	// VPSRLVQZrm
-    2282768271U,	// VPSRLVQZrr
-    2282773283U,	// VPSRLVQrm
-    2282773283U,	// VPSRLVQrr
-    2282775319U,	// VPSRLWYri
-    2282775319U,	// VPSRLWYrm
-    2282775319U,	// VPSRLWYrr
-    2282775319U,	// VPSRLWri
-    2282775319U,	// VPSRLWrm
-    2282775319U,	// VPSRLWrr
-    2282769642U,	// VPSUBBYrm
-    2282769642U,	// VPSUBBYrr
-    2282769642U,	// VPSUBBrm
-    2282769642U,	// VPSUBBrr
-    2282770103U,	// VPSUBDYrm
-    2282770103U,	// VPSUBDYrr
-    2282766476U,	// VPSUBDZrm
-    2282766476U,	// VPSUBDZrmb
-    2282766476U,	// VPSUBDZrr
-    2282770103U,	// VPSUBDrm
-    2282770103U,	// VPSUBDrr
-    2282772815U,	// VPSUBQYrm
-    2282772815U,	// VPSUBQYrr
-    2282767744U,	// VPSUBQZrm
-    2282767744U,	// VPSUBQZrmb
-    2282767744U,	// VPSUBQZrr
-    2282772815U,	// VPSUBQrm
-    2282772815U,	// VPSUBQrr
-    2282769751U,	// VPSUBSBYrm
-    2282769751U,	// VPSUBSBYrr
-    2282769751U,	// VPSUBSBrm
-    2282769751U,	// VPSUBSBrr
-    2282775417U,	// VPSUBSWYrm
-    2282775417U,	// VPSUBSWYrr
-    2282775417U,	// VPSUBSWrm
-    2282775417U,	// VPSUBSWrr
-    2282769792U,	// VPSUBUSBYrm
-    2282769792U,	// VPSUBUSBYrr
-    2282769792U,	// VPSUBUSBrm
-    2282769792U,	// VPSUBUSBrr
-    2282775499U,	// VPSUBUSWYrm
-    2282775499U,	// VPSUBUSWYrr
-    2282775499U,	// VPSUBUSWrm
-    2282775499U,	// VPSUBUSWrr
-    2282775130U,	// VPSUBWYrm
-    2282775130U,	// VPSUBWYrr
-    2282775130U,	// VPSUBWrm
-    2282775130U,	// VPSUBWrr
-    2282766625U,	// VPTESTMDZrm
-    2282766625U,	// VPTESTMDZrr
-    2282768076U,	// VPTESTMQZrm
-    2282768076U,	// VPTESTMQZrr
-    2282766604U,	// VPTESTNMDZrm
-    2282766604U,	// VPTESTNMDZrr
-    2282768055U,	// VPTESTNMQZrm
-    2282768055U,	// VPTESTNMQZrr
-    907043194U,	// VPTESTYrm
-    135291258U,	// VPTESTYrr
-    537944442U,	// VPTESTrm
-    135291258U,	// VPTESTrr
-    2282775086U,	// VPUNPCKHBWYrm
-    2282775086U,	// VPUNPCKHBWYrr
-    2282775086U,	// VPUNPCKHBWrm
-    2282775086U,	// VPUNPCKHBWrr
-    2282772928U,	// VPUNPCKHDQYrm
-    2282772928U,	// VPUNPCKHDQYrr
-    2282767836U,	// VPUNPCKHDQZrm
-    2282767836U,	// VPUNPCKHDQZrr
-    2282772928U,	// VPUNPCKHDQrm
-    2282772928U,	// VPUNPCKHDQrr
-    2282772979U,	// VPUNPCKHQDQYrm
-    2282772979U,	// VPUNPCKHQDQYrr
-    2282767881U,	// VPUNPCKHQDQZrm
-    2282767881U,	// VPUNPCKHQDQZrr
-    2282772979U,	// VPUNPCKHQDQrm
-    2282772979U,	// VPUNPCKHQDQrr
-    2282771675U,	// VPUNPCKHWDYrm
-    2282771675U,	// VPUNPCKHWDYrr
-    2282771675U,	// VPUNPCKHWDrm
-    2282771675U,	// VPUNPCKHWDrr
-    2282775098U,	// VPUNPCKLBWYrm
-    2282775098U,	// VPUNPCKLBWYrr
-    2282775098U,	// VPUNPCKLBWrm
-    2282775098U,	// VPUNPCKLBWrr
-    2282772940U,	// VPUNPCKLDQYrm
-    2282772940U,	// VPUNPCKLDQYrr
-    2282767849U,	// VPUNPCKLDQZrm
-    2282767849U,	// VPUNPCKLDQZrr
-    2282772940U,	// VPUNPCKLDQrm
-    2282772940U,	// VPUNPCKLDQrr
-    2282772992U,	// VPUNPCKLQDQYrm
-    2282772992U,	// VPUNPCKLQDQYrr
-    2282767895U,	// VPUNPCKLQDQZrm
-    2282767895U,	// VPUNPCKLQDQZrr
-    2282772992U,	// VPUNPCKLQDQrm
-    2282772992U,	// VPUNPCKLQDQrr
-    2282771687U,	// VPUNPCKLWDYrm
-    2282771687U,	// VPUNPCKLWDYrr
-    2282771687U,	// VPUNPCKLWDrm
-    2282771687U,	// VPUNPCKLWDrr
-    2282767223U,	// VPXORDZrm
-    2282767223U,	// VPXORDZrmb
-    2282767223U,	// VPXORDZrr
-    2282768153U,	// VPXORQZrm
-    2282768153U,	// VPXORQZrmb
-    2282768153U,	// VPXORQZrr
-    2282773444U,	// VPXORYrm
-    2282773444U,	// VPXORYrr
-    2282773444U,	// VPXORrm
-    2282773444U,	// VPXORrr
-    1007698485U,	// VRCP14PDZm
-    135283253U,	// VRCP14PDZr
-    1007700134U,	// VRCP14PSZm
-    135284902U,	// VRCP14PSZr
-    2282767315U,	// VRCP14SDrm
-    2282767315U,	// VRCP14SDrr
-    2282768876U,	// VRCP14SSrm
-    2282768876U,	// VRCP14SSrr
-    1007698509U,	// VRCP28PDZm
-    135283277U,	// VRCP28PDZr
-    2282766925U,	// VRCP28PDZrb
-    1007700158U,	// VRCP28PSZm
-    135284926U,	// VRCP28PSZr
-    2282768574U,	// VRCP28PSZrb
-    2282767339U,	// VRCP28SDrm
-    2282767339U,	// VRCP28SDrr
-    2282767339U,	// VRCP28SDrrb
-    2282768900U,	// VRCP28SSrm
-    2282768900U,	// VRCP28SSrr
-    2282768900U,	// VRCP28SSrrb
-    974151335U,	// VRCPPSYm
-    974151335U,	// VRCPPSYm_Int
-    135290535U,	// VRCPPSYr
-    135290535U,	// VRCPPSYr_Int
-    537943719U,	// VRCPPSm
-    537943719U,	// VRCPPSm_Int
-    135290535U,	// VRCPPSr
-    135290535U,	// VRCPPSr_Int
-    2282774650U,	// VRCPSSm
-    2282774650U,	// VRCPSSm_Int
-    2282774650U,	// VRCPSSr
-    3155182236U,	// VRNDSCALEPDZm
-    2282767004U,	// VRNDSCALEPDZr
-    3155183885U,	// VRNDSCALEPSZm
-    2282768653U,	// VRNDSCALEPSZr
-    2282767372U,	// VRNDSCALESDm
-    2282767372U,	// VRNDSCALESDr
-    2282768924U,	// VRNDSCALESSm
-    2282768924U,	// VRNDSCALESSr
-    2685424002U,	// VROUNDPDm
-    2282770818U,	// VROUNDPDr
-    2685427220U,	// VROUNDPSm
-    2282774036U,	// VROUNDPSr
-    2282771388U,	// VROUNDSDm
-    2282771388U,	// VROUNDSDr
-    2282771388U,	// VROUNDSDr_Int
-    2282774605U,	// VROUNDSSm
-    2282774605U,	// VROUNDSSr
-    2282774605U,	// VROUNDSSr_Int
-    3121631618U,	// VROUNDYPDm
-    2282770818U,	// VROUNDYPDr
-    3121634836U,	// VROUNDYPSm
-    2282774036U,	// VROUNDYPSr
-    1007698496U,	// VRSQRT14PDZm
-    135283264U,	// VRSQRT14PDZr
-    1007700145U,	// VRSQRT14PSZm
-    135284913U,	// VRSQRT14PSZr
-    2282767326U,	// VRSQRT14SDrm
-    2282767326U,	// VRSQRT14SDrr
-    2282768887U,	// VRSQRT14SSrm
-    2282768887U,	// VRSQRT14SSrr
-    1007698520U,	// VRSQRT28PDZm
-    135283288U,	// VRSQRT28PDZr
-    2282766936U,	// VRSQRT28PDZrb
-    1007700169U,	// VRSQRT28PSZm
-    135284937U,	// VRSQRT28PSZr
-    2282768585U,	// VRSQRT28PSZrb
-    2282767350U,	// VRSQRT28SDrm
-    2282767350U,	// VRSQRT28SDrr
-    2282767350U,	// VRSQRT28SDrrb
-    2282768911U,	// VRSQRT28SSrm
-    2282768911U,	// VRSQRT28SSrr
-    2282768911U,	// VRSQRT28SSrrb
-    974151418U,	// VRSQRTPSYm
-    974151418U,	// VRSQRTPSYm_Int
-    135290618U,	// VRSQRTPSYr
-    135290618U,	// VRSQRTPSYr_Int
-    537943802U,	// VRSQRTPSm
-    537943802U,	// VRSQRTPSm_Int
-    135290618U,	// VRSQRTPSr
-    135290618U,	// VRSQRTPSr_Int
-    2282774675U,	// VRSQRTSSm
-    2282774675U,	// VRSQRTSSm_Int
-    2282774675U,	// VRSQRTSSr
-    705166U,	// VSCATTERDPDZmr
-    690431U,	// VSCATTERDPSZmr
-    705278U,	// VSCATTERQPDZmr
-    706927U,	// VSCATTERQPSZmr
-    2282770840U,	// VSHUFPDYrmi
-    2282770840U,	// VSHUFPDYrri
-    2282767018U,	// VSHUFPDZrmi
-    2282767018U,	// VSHUFPDZrri
-    2282770840U,	// VSHUFPDrmi
-    2282770840U,	// VSHUFPDrri
-    2282774058U,	// VSHUFPSYrmi
-    2282774058U,	// VSHUFPSYrri
-    2282768667U,	// VSHUFPSZrmi
-    2282768667U,	// VSHUFPSZrri
-    2282774058U,	// VSHUFPSrmi
-    2282774058U,	// VSHUFPSrri
-    974148157U,	// VSQRTPDYm
-    135287357U,	// VSQRTPDYr
-    1007702589U,	// VSQRTPDZm_Int
-    135287357U,	// VSQRTPDZr_Int
-    1007702589U,	// VSQRTPDZrm
-    135287357U,	// VSQRTPDZrr
-    537940541U,	// VSQRTPDm
-    135287357U,	// VSQRTPDr
-    974151428U,	// VSQRTPSYm
-    135290628U,	// VSQRTPSYr
-    1007705860U,	// VSQRTPSZm_Int
-    135290628U,	// VSQRTPSZr_Int
-    1007705860U,	// VSQRTPSZrm
-    135290628U,	// VSQRTPSZrr
-    537943812U,	// VSQRTPSm
-    135290628U,	// VSQRTPSr
-    2282771466U,	// VSQRTSDZm
-    2282771466U,	// VSQRTSDZm_Int
-    2282771466U,	// VSQRTSDZr
-    2282771466U,	// VSQRTSDZr_Int
-    2282771466U,	// VSQRTSDm
-    2282771466U,	// VSQRTSDm_Int
-    2282771466U,	// VSQRTSDr
-    2282774685U,	// VSQRTSSZm
-    2282774685U,	// VSQRTSSZm_Int
-    2282774685U,	// VSQRTSSZr
-    2282774685U,	// VSQRTSSZr_Int
-    2282774685U,	// VSQRTSSm
-    2282774685U,	// VSQRTSSm_Int
-    2282774685U,	// VSQRTSSr
-    72672U,	// VSTMXCSR
-    2282770741U,	// VSUBPDYrm
-    2282770741U,	// VSUBPDYrr
-    2282766959U,	// VSUBPDZrm
-    2282766959U,	// VSUBPDZrmb
-    2282766959U,	// VSUBPDZrr
-    2282770741U,	// VSUBPDrm
-    2282770741U,	// VSUBPDrr
-    2282773959U,	// VSUBPSYrm
-    2282773959U,	// VSUBPSYrr
-    2282768608U,	// VSUBPSZrm
-    2282768608U,	// VSUBPSZrmb
-    2282768608U,	// VSUBPSZrr
-    2282773959U,	// VSUBPSrm
-    2282773959U,	// VSUBPSrr
-    2282771351U,	// VSUBSDZrm
-    2282771351U,	// VSUBSDZrr
-    2282771351U,	// VSUBSDrm
-    2282771351U,	// VSUBSDrm_Int
-    2282771351U,	// VSUBSDrr
-    2282771351U,	// VSUBSDrr_Int
-    2282774568U,	// VSUBSSZrm
-    2282774568U,	// VSUBSSZrr
-    2282774568U,	// VSUBSSrm
-    2282774568U,	// VSUBSSrm_Int
-    2282774568U,	// VSUBSSrr
-    2282774568U,	// VSUBSSrr_Int
-    974148166U,	// VTESTPDYrm
-    135287366U,	// VTESTPDYrr
-    537940550U,	// VTESTPDrm
-    135287366U,	// VTESTPDrr
-    974151437U,	// VTESTPSYrm
-    135290637U,	// VTESTPSYrr
-    537943821U,	// VTESTPSrm
-    135290637U,	// VTESTPSrr
-    571495366U,	// VUCOMISDZrm
-    135287750U,	// VUCOMISDZrr
-    571495366U,	// VUCOMISDrm
-    135287750U,	// VUCOMISDrr
-    605053015U,	// VUCOMISSZrm
-    135290967U,	// VUCOMISSZrr
-    605053015U,	// VUCOMISSrm
-    135290967U,	// VUCOMISSrr
-    2282770849U,	// VUNPCKHPDYrm
-    2282770849U,	// VUNPCKHPDYrr
-    2282770849U,	// VUNPCKHPDZrm
-    2282770849U,	// VUNPCKHPDZrr
-    2282770849U,	// VUNPCKHPDrm
-    2282770849U,	// VUNPCKHPDrr
-    2282774067U,	// VUNPCKHPSYrm
-    2282774067U,	// VUNPCKHPSYrr
-    2282774067U,	// VUNPCKHPSZrm
-    2282774067U,	// VUNPCKHPSZrr
-    2282774067U,	// VUNPCKHPSrm
-    2282774067U,	// VUNPCKHPSrr
-    2282770891U,	// VUNPCKLPDYrm
-    2282770891U,	// VUNPCKLPDYrr
-    2282770891U,	// VUNPCKLPDZrm
-    2282770891U,	// VUNPCKLPDZrr
-    2282770891U,	// VUNPCKLPDrm
-    2282770891U,	// VUNPCKLPDrr
-    2282774129U,	// VUNPCKLPSYrm
-    2282774129U,	// VUNPCKLPSYrr
-    2282774129U,	// VUNPCKLPSZrm
-    2282774129U,	// VUNPCKLPSZrr
-    2282774129U,	// VUNPCKLPSrm
-    2282774129U,	// VUNPCKLPSrr
-    2282770987U,	// VXORPDYrm
-    2282770987U,	// VXORPDYrr
-    2282770987U,	// VXORPDrm
-    2282770987U,	// VXORPDrr
-    2282774225U,	// VXORPSYrm
-    2282774225U,	// VXORPSYrr
-    2282774225U,	// VXORPSrm
-    2282774225U,	// VXORPSrr
-    12208U,	// VZEROALL
-    12408U,	// VZEROUPPER
+    2551208091U,	// VPCMPISTRM128rm
+    2450544795U,	// VPCMPISTRM128rr
+    1167420907U,	// VPCMPQZrmi
+    2450545462U,	// VPCMPQZrmi_alt
+    322984758U,	// VPCMPQZrmik_alt
+    93695467U,	// VPCMPQZrri
+    2450545462U,	// VPCMPQZrri_alt
+    322984758U,	// VPCMPQZrrik_alt
+    1168469483U,	// VPCMPUDZrmi
+    2450543905U,	// VPCMPUDZrmi_alt
+    322983201U,	// VPCMPUDZrmik_alt
+    94744043U,	// VPCMPUDZrri
+    2450543905U,	// VPCMPUDZrri_alt
+    322983201U,	// VPCMPUDZrrik_alt
+    1169518059U,	// VPCMPUQZrmi
+    2450545589U,	// VPCMPUQZrmi_alt
+    322984885U,	// VPCMPUQZrmik_alt
+    95792619U,	// VPCMPUQZrri
+    2450545589U,	// VPCMPUQZrri_alt
+    322984885U,	// VPCMPUQZrrik_alt
+    2450542022U,	// VPCOMBmi
+    2450542022U,	// VPCOMBri
+    2450542645U,	// VPCOMDmi
+    2450542645U,	// VPCOMDri
+    2450545446U,	// VPCOMQmi
+    2450545446U,	// VPCOMQri
+    2450542199U,	// VPCOMUBmi
+    2450542199U,	// VPCOMUBri
+    2450543887U,	// VPCOMUDmi
+    2450543887U,	// VPCOMUDri
+    2450545580U,	// VPCOMUQmi
+    2450545580U,	// VPCOMUQri
+    2450547901U,	// VPCOMUWmi
+    2450547901U,	// VPCOMUWri
+    2450547651U,	// VPCOMWmi
+    2450547651U,	// VPCOMWri
+    940590229U,	// VPCONFLICTDrm
+    2416985237U,	// VPCONFLICTDrmb
+    88097941U,	// VPCONFLICTDrmbk
+    2470462613U,	// VPCONFLICTDrmbkz
+    88097941U,	// VPCONFLICTDrmk
+    2470462613U,	// VPCONFLICTDrmkz
+    303056021U,	// VPCONFLICTDrr
+    88097941U,	// VPCONFLICTDrrk
+    2470462613U,	// VPCONFLICTDrrkz
+    940591036U,	// VPCONFLICTQrm
+    336611260U,	// VPCONFLICTQrmb
+    88098748U,	// VPCONFLICTQrmbk
+    2470463420U,	// VPCONFLICTQrmbkz
+    88098748U,	// VPCONFLICTQrmk
+    2470463420U,	// VPCONFLICTQrmkz
+    303056828U,	// VPCONFLICTQrr
+    88098748U,	// VPCONFLICTQrrk
+    2470463420U,	// VPCONFLICTQrrkz
+    2450541755U,	// VPERM2F128rm
+    2450541755U,	// VPERM2F128rr
+    2450541810U,	// VPERM2I128rm
+    2450541810U,	// VPERM2I128rr
+    2450542653U,	// VPERMDYrm
+    2450542653U,	// VPERMDYrr
+    2450538853U,	// VPERMDZrm
+    2450538853U,	// VPERMDZrr
+    2215657632U,	// VPERMI2Drm
+    88096928U,	// VPERMI2Drmk
+    2235580576U,	// VPERMI2Drmkz
+    2215657632U,	// VPERMI2Drr
+    88096928U,	// VPERMI2Drrk
+    2235580576U,	// VPERMI2Drrkz
+    2215657970U,	// VPERMI2PDrm
+    88097266U,	// VPERMI2PDrmk
+    2235580914U,	// VPERMI2PDrmkz
+    2215657970U,	// VPERMI2PDrr
+    88097266U,	// VPERMI2PDrrk
+    2235580914U,	// VPERMI2PDrrkz
+    2215659686U,	// VPERMI2PSrm
+    88098982U,	// VPERMI2PSrmk
+    2235582630U,	// VPERMI2PSrmkz
+    2215659686U,	// VPERMI2PSrr
+    88098982U,	// VPERMI2PSrrk
+    2235582630U,	// VPERMI2PSrrkz
+    2215658944U,	// VPERMI2Qrm
+    88098240U,	// VPERMI2Qrmk
+    2235581888U,	// VPERMI2Qrmkz
+    2215658944U,	// VPERMI2Qrr
+    88098240U,	// VPERMI2Qrrk
+    2235581888U,	// VPERMI2Qrrkz
+    2450542874U,	// VPERMIL2PDmr
+    2450542874U,	// VPERMIL2PDmrY
+    2450542874U,	// VPERMIL2PDrm
+    2450542874U,	// VPERMIL2PDrmY
+    2450542874U,	// VPERMIL2PDrr
+    2450542874U,	// VPERMIL2PDrrY
+    2450546111U,	// VPERMIL2PSmr
+    2450546111U,	// VPERMIL2PSmrY
+    2450546111U,	// VPERMIL2PSrm
+    2450546111U,	// VPERMIL2PSrmY
+    2450546111U,	// VPERMIL2PSrr
+    2450546111U,	// VPERMIL2PSrrY
+    3121631844U,	// VPERMILPDYmi
+    2450543204U,	// VPERMILPDYri
+    2450543204U,	// VPERMILPDYrm
+    2450543204U,	// VPERMILPDYrr
+    3088073472U,	// VPERMILPDZmi
+    2450539264U,	// VPERMILPDZri
+    2685424228U,	// VPERMILPDmi
+    2450543204U,	// VPERMILPDri
+    2450543204U,	// VPERMILPDrm
+    2450543204U,	// VPERMILPDrr
+    3121635082U,	// VPERMILPSYmi
+    2450546442U,	// VPERMILPSYri
+    2450546442U,	// VPERMILPSYrm
+    2450546442U,	// VPERMILPSYrr
+    3088075176U,	// VPERMILPSZmi
+    2450540968U,	// VPERMILPSZri
+    2685427466U,	// VPERMILPSmi
+    2450546442U,	// VPERMILPSri
+    2450546442U,	// VPERMILPSrm
+    2450546442U,	// VPERMILPSrr
+    3020968595U,	// VPERMPDYmi
+    2450543251U,	// VPERMPDYri
+    3155182369U,	// VPERMPDZmi
+    2450539297U,	// VPERMPDZri
+    2450539297U,	// VPERMPDZrm
+    2450539297U,	// VPERMPDZrr
+    2450546481U,	// VPERMPSYrm
+    2450546481U,	// VPERMPSYrr
+    2450541001U,	// VPERMPSZrm
+    2450541001U,	// VPERMPSZrr
+    3020970798U,	// VPERMQYmi
+    2450545454U,	// VPERMQYri
+    3088074538U,	// VPERMQZmi
+    2450540330U,	// VPERMQZri
+    2450540330U,	// VPERMQZrm
+    2450540330U,	// VPERMQZrr
+    2215657643U,	// VPERMT2Drm
+    88096939U,	// VPERMT2Drmk
+    2235580587U,	// VPERMT2Drmkz
+    2215657643U,	// VPERMT2Drr
+    88096939U,	// VPERMT2Drrk
+    2235580587U,	// VPERMT2Drrkz
+    2215658019U,	// VPERMT2PDrm
+    88097315U,	// VPERMT2PDrmk
+    2235580963U,	// VPERMT2PDrmkz
+    2215658019U,	// VPERMT2PDrr
+    88097315U,	// VPERMT2PDrrk
+    2235580963U,	// VPERMT2PDrrkz
+    2215659723U,	// VPERMT2PSrm
+    88099019U,	// VPERMT2PSrmk
+    2235582667U,	// VPERMT2PSrmkz
+    2215659723U,	// VPERMT2PSrr
+    88099019U,	// VPERMT2PSrrk
+    2235582667U,	// VPERMT2PSrrkz
+    2215658955U,	// VPERMT2Qrm
+    88098251U,	// VPERMT2Qrmk
+    2235581899U,	// VPERMT2Qrmkz
+    2215658955U,	// VPERMT2Qrr
+    88098251U,	// VPERMT2Qrrk
+    2235581899U,	// VPERMT2Qrrkz
+    2148634090U,	// VPEXTRBmr
+    2450542058U,	// VPEXTRBrr
+    2148602705U,	// VPEXTRDmr
+    2450543441U,	// VPEXTRDrr
+    2148621149U,	// VPEXTRQmr
+    2450545501U,	// VPEXTRQrr
+    2148574198U,	// VPEXTRWmr
+    2450547702U,	// VPEXTRWri
+    2450547702U,	// VPEXTRWrr_REV
+    1108365224U,	// VPGATHERDDYrm
+    1094729996U,	// VPGATHERDDZrm
+    1108365224U,	// VPGATHERDDrm
+    1041259197U,	// VPGATHERDQYrm
+    3242215052U,	// VPGATHERDQZrm
+    1041259197U,	// VPGATHERDQrm
+    1108366134U,	// VPGATHERQDYrm
+    3242214250U,	// VPGATHERQDZrm
+    1108366134U,	// VPGATHERQDrm
+    1041259336U,	// VPGATHERQQYrm
+    3242215259U,	// VPGATHERQQZrm
+    1041259336U,	// VPGATHERQQrm
+    403722045U,	// VPHADDBDrm
+    303058749U,	// VPHADDBDrr
+    403724766U,	// VPHADDBQrm
+    303061470U,	// VPHADDBQrr
+    403727048U,	// VPHADDBWrm
+    303063752U,	// VPHADDBWrr
+    403724890U,	// VPHADDDQrm
+    303061594U,	// VPHADDDQrr
+    2450542477U,	// VPHADDDYrm
+    2450542477U,	// VPHADDDYrr
+    2450542477U,	// VPHADDDrm
+    2450542477U,	// VPHADDDrr
+    2450547750U,	// VPHADDSWrm128
+    2450547750U,	// VPHADDSWrm256
+    2450547750U,	// VPHADDSWrr128
+    2450547750U,	// VPHADDSWrr256
+    403722055U,	// VPHADDUBDrm
+    303058759U,	// VPHADDUBDrr
+    403724776U,	// VPHADDUBQrm
+    303061480U,	// VPHADDUBQrr
+    403727082U,	// VPHADDUBWrm
+    303063786U,	// VPHADDUBWrr
+    403725011U,	// VPHADDUDQrm
+    303061715U,	// VPHADDUDQrr
+    403723715U,	// VPHADDUWDrm
+    303060419U,	// VPHADDUWDrr
+    403725293U,	// VPHADDUWQrm
+    303061997U,	// VPHADDUWQrr
+    403723627U,	// VPHADDWDrm
+    303060331U,	// VPHADDWDrr
+    403725283U,	// VPHADDWQrm
+    303061987U,	// VPHADDWQrr
+    2450547499U,	// VPHADDWYrm
+    2450547499U,	// VPHADDWYrr
+    2450547499U,	// VPHADDWrm
+    2450547499U,	// VPHADDWrr
+    403727567U,	// VPHMINPOSUWrm128
+    303064271U,	// VPHMINPOSUWrr128
+    403727019U,	// VPHSUBBWrm
+    303063723U,	// VPHSUBBWrr
+    403724872U,	// VPHSUBDQrm
+    303061576U,	// VPHSUBDQrr
+    2450542418U,	// VPHSUBDYrm
+    2450542418U,	// VPHSUBDYrr
+    2450542418U,	// VPHSUBDrm
+    2450542418U,	// VPHSUBDrr
+    2450547731U,	// VPHSUBSWrm128
+    2450547731U,	// VPHSUBSWrm256
+    2450547731U,	// VPHSUBSWrr128
+    2450547731U,	// VPHSUBSWrr256
+    403723617U,	// VPHSUBWDrm
+    303060321U,	// VPHSUBWDrr
+    2450547445U,	// VPHSUBWYrm
+    2450547445U,	// VPHSUBWYrr
+    2450547445U,	// VPHSUBWrm
+    2450547445U,	// VPHSUBWrr
+    2450542049U,	// VPINSRBrm
+    2450542049U,	// VPINSRBrr
+    2450543432U,	// VPINSRDrm
+    2450543432U,	// VPINSRDrr
+    2450545492U,	// VPINSRQrm
+    2450545492U,	// VPINSRQrr
+    2450547693U,	// VPINSRWrmi
+    2450547693U,	// VPINSRWrri
+    940590243U,	// VPLZCNTDrm
+    2416985251U,	// VPLZCNTDrmb
+    88097955U,	// VPLZCNTDrmbk
+    2470462627U,	// VPLZCNTDrmbkz
+    88097955U,	// VPLZCNTDrmk
+    2470462627U,	// VPLZCNTDrmkz
+    303056035U,	// VPLZCNTDrr
+    88097955U,	// VPLZCNTDrrk
+    2470462627U,	// VPLZCNTDrrkz
+    940591050U,	// VPLZCNTQrm
+    336611274U,	// VPLZCNTQrmb
+    88098762U,	// VPLZCNTQrmbk
+    2470463434U,	// VPLZCNTQrmbkz
+    88098762U,	// VPLZCNTQrmk
+    2470463434U,	// VPLZCNTQrmkz
+    303056842U,	// VPLZCNTQrr
+    88098762U,	// VPLZCNTQrrk
+    2470463434U,	// VPLZCNTQrrkz
+    2450542516U,	// VPMACSDDrm
+    2450542516U,	// VPMACSDDrr
+    2450544409U,	// VPMACSDQHrm
+    2450544409U,	// VPMACSDQHrr
+    2450544695U,	// VPMACSDQLrm
+    2450544695U,	// VPMACSDQLrr
+    2450542526U,	// VPMACSSDDrm
+    2450542526U,	// VPMACSSDDrr
+    2450544420U,	// VPMACSSDQHrm
+    2450544420U,	// VPMACSSDQHrr
+    2450544706U,	// VPMACSSDQLrm
+    2450544706U,	// VPMACSSDQLrr
+    2450544044U,	// VPMACSSWDrm
+    2450544044U,	// VPMACSSWDrr
+    2450547951U,	// VPMACSSWWrm
+    2450547951U,	// VPMACSSWWrr
+    2450544023U,	// VPMACSWDrm
+    2450544023U,	// VPMACSWDrr
+    2450547941U,	// VPMACSWWrm
+    2450547941U,	// VPMACSWWrr
+    2450544055U,	// VPMADCSSWDrm
+    2450544055U,	// VPMADCSSWDrr
+    2450544033U,	// VPMADCSWDrm
+    2450544033U,	// VPMADCSWDrr
+    2450547719U,	// VPMADDUBSWrm128
+    2450547719U,	// VPMADDUBSWrm256
+    2450547719U,	// VPMADDUBSWrr128
+    2450547719U,	// VPMADDUBSWrr256
+    2450543989U,	// VPMADDWDYrm
+    2450543989U,	// VPMADDWDYrr
+    2450543989U,	// VPMADDWDrm
+    2450543989U,	// VPMADDWDrr
+    2149176654U,	// VPMASKMOVDYmr
+    2450543950U,	// VPMASKMOVDYrm
+    2148799822U,	// VPMASKMOVDmr
+    2450543950U,	// VPMASKMOVDrm
+    2149178320U,	// VPMASKMOVQYmr
+    2450545616U,	// VPMASKMOVQYrm
+    2148801488U,	// VPMASKMOVQmr
+    2450545616U,	// VPMASKMOVQrm
+    2450542152U,	// VPMAXSBYrm
+    2450542152U,	// VPMAXSBYrr
+    2450542152U,	// VPMAXSBrm
+    2450542152U,	// VPMAXSBrr
+    2450543829U,	// VPMAXSDYrm
+    2450543829U,	// VPMAXSDYrr
+    2450539659U,	// VPMAXSDZrm
+    2450539659U,	// VPMAXSDZrmb
+    88097931U,	// VPMAXSDZrmbk
+    2470462603U,	// VPMAXSDZrmbkz
+    88097931U,	// VPMAXSDZrmk
+    2470462603U,	// VPMAXSDZrmkz
+    2450539659U,	// VPMAXSDZrr
+    88097931U,	// VPMAXSDZrrk
+    2470462603U,	// VPMAXSDZrrkz
+    2450543829U,	// VPMAXSDrm
+    2450543829U,	// VPMAXSDrr
+    2450540466U,	// VPMAXSQZrm
+    2450540466U,	// VPMAXSQZrmb
+    88098738U,	// VPMAXSQZrmbk
+    2470463410U,	// VPMAXSQZrmbkz
+    88098738U,	// VPMAXSQZrmk
+    2470463410U,	// VPMAXSQZrmkz
+    2450540466U,	// VPMAXSQZrr
+    88098738U,	// VPMAXSQZrrk
+    2470463410U,	// VPMAXSQZrrkz
+    2450547850U,	// VPMAXSWYrm
+    2450547850U,	// VPMAXSWYrr
+    2450547850U,	// VPMAXSWrm
+    2450547850U,	// VPMAXSWrr
+    2450542231U,	// VPMAXUBYrm
+    2450542231U,	// VPMAXUBYrr
+    2450542231U,	// VPMAXUBrm
+    2450542231U,	// VPMAXUBrr
+    2450543914U,	// VPMAXUDYrm
+    2450543914U,	// VPMAXUDYrr
+    2450539727U,	// VPMAXUDZrm
+    2450539727U,	// VPMAXUDZrmb
+    88097999U,	// VPMAXUDZrmbk
+    2470462671U,	// VPMAXUDZrmbkz
+    88097999U,	// VPMAXUDZrmk
+    2470462671U,	// VPMAXUDZrmkz
+    2450539727U,	// VPMAXUDZrr
+    88097999U,	// VPMAXUDZrrk
+    2470462671U,	// VPMAXUDZrrkz
+    2450543914U,	// VPMAXUDrm
+    2450543914U,	// VPMAXUDrr
+    2450540534U,	// VPMAXUQZrm
+    2450540534U,	// VPMAXUQZrmb
+    88098806U,	// VPMAXUQZrmbk
+    2470463478U,	// VPMAXUQZrmbkz
+    88098806U,	// VPMAXUQZrmk
+    2470463478U,	// VPMAXUQZrmkz
+    2450540534U,	// VPMAXUQZrr
+    88098806U,	// VPMAXUQZrrk
+    2470463478U,	// VPMAXUQZrrkz
+    2450547932U,	// VPMAXUWYrm
+    2450547932U,	// VPMAXUWYrr
+    2450547932U,	// VPMAXUWrm
+    2450547932U,	// VPMAXUWrr
+    2450542093U,	// VPMINSBYrm
+    2450542093U,	// VPMINSBYrr
+    2450542093U,	// VPMINSBrm
+    2450542093U,	// VPMINSBrr
+    2450543749U,	// VPMINSDYrm
+    2450543749U,	// VPMINSDYrr
+    2450539625U,	// VPMINSDZrm
+    2450539625U,	// VPMINSDZrmb
+    88097897U,	// VPMINSDZrmbk
+    2470462569U,	// VPMINSDZrmbkz
+    88097897U,	// VPMINSDZrmk
+    2470462569U,	// VPMINSDZrmkz
+    2450539625U,	// VPMINSDZrr
+    88097897U,	// VPMINSDZrrk
+    2470462569U,	// VPMINSDZrrkz
+    2450543749U,	// VPMINSDrm
+    2450543749U,	// VPMINSDrr
+    2450540456U,	// VPMINSQZrm
+    2450540456U,	// VPMINSQZrmb
+    88098728U,	// VPMINSQZrmbk
+    2470463400U,	// VPMINSQZrmbkz
+    88098728U,	// VPMINSQZrmk
+    2470463400U,	// VPMINSQZrmkz
+    2450540456U,	// VPMINSQZrr
+    88098728U,	// VPMINSQZrrk
+    2470463400U,	// VPMINSQZrrkz
+    2450547781U,	// VPMINSWYrm
+    2450547781U,	// VPMINSWYrr
+    2450547781U,	// VPMINSWrm
+    2450547781U,	// VPMINSWrr
+    2450542208U,	// VPMINUBYrm
+    2450542208U,	// VPMINUBYrr
+    2450542208U,	// VPMINUBrm
+    2450542208U,	// VPMINUBrr
+    2450543896U,	// VPMINUDYrm
+    2450543896U,	// VPMINUDYrr
+    2450539717U,	// VPMINUDZrm
+    2450539717U,	// VPMINUDZrmb
+    88097989U,	// VPMINUDZrmbk
+    2470462661U,	// VPMINUDZrmbkz
+    88097989U,	// VPMINUDZrmk
+    2470462661U,	// VPMINUDZrmkz
+    2450539717U,	// VPMINUDZrr
+    88097989U,	// VPMINUDZrrk
+    2470462661U,	// VPMINUDZrrkz
+    2450543896U,	// VPMINUDrm
+    2450543896U,	// VPMINUDrr
+    2450540524U,	// VPMINUQZrm
+    2450540524U,	// VPMINUQZrmb
+    88098796U,	// VPMINUQZrmbk
+    2470463468U,	// VPMINUQZrmbkz
+    88098796U,	// VPMINUQZrmk
+    2470463468U,	// VPMINUQZrmkz
+    2450540524U,	// VPMINUQZrr
+    88098796U,	// VPMINUQZrrk
+    2470463468U,	// VPMINUQZrrkz
+    2450547910U,	// VPMINUWYrm
+    2450547910U,	// VPMINUWYrr
+    2450547910U,	// VPMINUWrm
+    2450547910U,	// VPMINUWrr
+    1310788U,	// VPMOVDBmr
+    21233732U,	// VPMOVDBmrk
+    303054916U,	// VPMOVDBrr
+    322977860U,	// VPMOVDBrrk
+    2470461508U,	// VPMOVDBrrkz
+    1690341U,	// VPMOVDWmr
+    21613285U,	// VPMOVDWmrk
+    303057637U,	// VPMOVDWrr
+    322980581U,	// VPMOVDWrrk
+    2470464229U,	// VPMOVDWrrkz
+    303058355U,	// VPMOVMSKBYrr
+    303058355U,	// VPMOVMSKBrr
+    1310830U,	// VPMOVQBmr
+    21233774U,	// VPMOVQBmrk
+    303054958U,	// VPMOVQBrr
+    322977902U,	// VPMOVQBrrk
+    2470461550U,	// VPMOVQBrrkz
+    1688476U,	// VPMOVQDmr
+    21611420U,	// VPMOVQDmrk
+    303055772U,	// VPMOVQDrr
+    322978716U,	// VPMOVQDrrk
+    2470462364U,	// VPMOVQDrrkz
+    1313562U,	// VPMOVQWmr
+    21236506U,	// VPMOVQWmrk
+    303057690U,	// VPMOVQWrr
+    322980634U,	// VPMOVQWrrk
+    2470464282U,	// VPMOVQWrrkz
+    1310777U,	// VPMOVSDBmr
+    21233721U,	// VPMOVSDBmrk
+    303054905U,	// VPMOVSDBrr
+    322977849U,	// VPMOVSDBrrk
+    2470461497U,	// VPMOVSDBrrkz
+    1690330U,	// VPMOVSDWmr
+    21613274U,	// VPMOVSDWmrk
+    303057626U,	// VPMOVSDWrr
+    322980570U,	// VPMOVSDWrrk
+    2470464218U,	// VPMOVSDWrrkz
+    1310819U,	// VPMOVSQBmr
+    21233763U,	// VPMOVSQBmrk
+    303054947U,	// VPMOVSQBrr
+    322977891U,	// VPMOVSQBrrk
+    2470461539U,	// VPMOVSQBrrkz
+    1688465U,	// VPMOVSQDmr
+    21611409U,	// VPMOVSQDmrk
+    303055761U,	// VPMOVSQDrr
+    322978705U,	// VPMOVSQDrrk
+    2470462353U,	// VPMOVSQDrrkz
+    1313551U,	// VPMOVSQWmr
+    21236495U,	// VPMOVSQWmrk
+    303057679U,	// VPMOVSQWrr
+    322980623U,	// VPMOVSQWrrk
+    2470464271U,	// VPMOVSQWrrkz
+    269504355U,	// VPMOVSXBDYrm
+    303058787U,	// VPMOVSXBDYrr
+    403718362U,	// VPMOVSXBDZrm
+    322978010U,	// VPMOVSXBDZrmk
+    2470461658U,	// VPMOVSXBDZrmkz
+    303055066U,	// VPMOVSXBDZrr
+    322978010U,	// VPMOVSXBDZrrk
+    2470461658U,	// VPMOVSXBDZrrkz
+    269504355U,	// VPMOVSXBDrm
+    303058787U,	// VPMOVSXBDrr
+    437279227U,	// VPMOVSXBQYrm
+    303061499U,	// VPMOVSXBQYrr
+    403719656U,	// VPMOVSXBQZrm
+    322979304U,	// VPMOVSXBQZrmk
+    2470462952U,	// VPMOVSXBQZrmkz
+    303056360U,	// VPMOVSXBQZrr
+    322979304U,	// VPMOVSXBQZrrk
+    2470462952U,	// VPMOVSXBQZrrkz
+    437279227U,	// VPMOVSXBQrm
+    303061499U,	// VPMOVSXBQrr
+    403727110U,	// VPMOVSXBWYrm
+    303063814U,	// VPMOVSXBWYrr
+    336618246U,	// VPMOVSXBWrm
+    303063814U,	// VPMOVSXBWrr
+    403725032U,	// VPMOVSXDQYrm
+    303061736U,	// VPMOVSXDQYrr
+    873481960U,	// VPMOVSXDQZrm
+    322979560U,	// VPMOVSXDQZrmk
+    2470463208U,	// VPMOVSXDQZrmkz
+    303056616U,	// VPMOVSXDQZrr
+    322979560U,	// VPMOVSXDQZrrk
+    2470463208U,	// VPMOVSXDQZrrkz
+    336616168U,	// VPMOVSXDQrm
+    303061736U,	// VPMOVSXDQrr
+    403723726U,	// VPMOVSXWDYrm
+    303060430U,	// VPMOVSXWDYrr
+    873481471U,	// VPMOVSXWDZrm
+    322979071U,	// VPMOVSXWDZrmk
+    2470462719U,	// VPMOVSXWDZrmkz
+    303056127U,	// VPMOVSXWDZrr
+    322979071U,	// VPMOVSXWDZrrk
+    2470462719U,	// VPMOVSXWDZrrkz
+    336614862U,	// VPMOVSXWDrm
+    303060430U,	// VPMOVSXWDrr
+    269507576U,	// VPMOVSXWQYrm
+    303062008U,	// VPMOVSXWQYrr
+    403720230U,	// VPMOVSXWQZrm
+    322979878U,	// VPMOVSXWQZrmk
+    2470463526U,	// VPMOVSXWQZrmkz
+    303056934U,	// VPMOVSXWQZrr
+    322979878U,	// VPMOVSXWQZrrk
+    2470463526U,	// VPMOVSXWQZrrkz
+    269507576U,	// VPMOVSXWQrm
+    303062008U,	// VPMOVSXWQrr
+    1310765U,	// VPMOVUSDBmr
+    21233709U,	// VPMOVUSDBmrk
+    303054893U,	// VPMOVUSDBrr
+    322977837U,	// VPMOVUSDBrrk
+    2470461485U,	// VPMOVUSDBrrkz
+    1690318U,	// VPMOVUSDWmr
+    21613262U,	// VPMOVUSDWmrk
+    303057614U,	// VPMOVUSDWrr
+    322980558U,	// VPMOVUSDWrrk
+    2470464206U,	// VPMOVUSDWrrkz
+    1310807U,	// VPMOVUSQBmr
+    21233751U,	// VPMOVUSQBmrk
+    303054935U,	// VPMOVUSQBrr
+    322977879U,	// VPMOVUSQBrrk
+    2470461527U,	// VPMOVUSQBrrkz
+    1688453U,	// VPMOVUSQDmr
+    21611397U,	// VPMOVUSQDmrk
+    303055749U,	// VPMOVUSQDrr
+    322978693U,	// VPMOVUSQDrrk
+    2470462341U,	// VPMOVUSQDrrkz
+    1313539U,	// VPMOVUSQWmr
+    21236483U,	// VPMOVUSQWmrk
+    303057667U,	// VPMOVUSQWrr
+    322980611U,	// VPMOVUSQWrrk
+    2470464259U,	// VPMOVUSQWrrkz
+    269504366U,	// VPMOVZXBDYrm
+    303058798U,	// VPMOVZXBDYrr
+    403718374U,	// VPMOVZXBDZrm
+    322978022U,	// VPMOVZXBDZrmk
+    2470461670U,	// VPMOVZXBDZrmkz
+    303055078U,	// VPMOVZXBDZrr
+    322978022U,	// VPMOVZXBDZrrk
+    2470461670U,	// VPMOVZXBDZrrkz
+    269504366U,	// VPMOVZXBDrm
+    303058798U,	// VPMOVZXBDrr
+    437279238U,	// VPMOVZXBQYrm
+    303061510U,	// VPMOVZXBQYrr
+    403719668U,	// VPMOVZXBQZrm
+    322979316U,	// VPMOVZXBQZrmk
+    2470462964U,	// VPMOVZXBQZrmkz
+    303056372U,	// VPMOVZXBQZrr
+    322979316U,	// VPMOVZXBQZrrk
+    2470462964U,	// VPMOVZXBQZrrkz
+    437279238U,	// VPMOVZXBQrm
+    303061510U,	// VPMOVZXBQrr
+    403727121U,	// VPMOVZXBWYrm
+    303063825U,	// VPMOVZXBWYrr
+    336618257U,	// VPMOVZXBWrm
+    303063825U,	// VPMOVZXBWrr
+    403725043U,	// VPMOVZXDQYrm
+    303061747U,	// VPMOVZXDQYrr
+    873481972U,	// VPMOVZXDQZrm
+    322979572U,	// VPMOVZXDQZrmk
+    2470463220U,	// VPMOVZXDQZrmkz
+    303056628U,	// VPMOVZXDQZrr
+    322979572U,	// VPMOVZXDQZrrk
+    2470463220U,	// VPMOVZXDQZrrkz
+    336616179U,	// VPMOVZXDQrm
+    303061747U,	// VPMOVZXDQrr
+    403723737U,	// VPMOVZXWDYrm
+    303060441U,	// VPMOVZXWDYrr
+    873481483U,	// VPMOVZXWDZrm
+    322979083U,	// VPMOVZXWDZrmk
+    2470462731U,	// VPMOVZXWDZrmkz
+    303056139U,	// VPMOVZXWDZrr
+    322979083U,	// VPMOVZXWDZrrk
+    2470462731U,	// VPMOVZXWDZrrkz
+    336614873U,	// VPMOVZXWDrm
+    303060441U,	// VPMOVZXWDrr
+    269507587U,	// VPMOVZXWQYrm
+    303062019U,	// VPMOVZXWQYrr
+    403720242U,	// VPMOVZXWQZrm
+    322979890U,	// VPMOVZXWQZrmk
+    2470463538U,	// VPMOVZXWQZrmkz
+    303056946U,	// VPMOVZXWQZrr
+    322979890U,	// VPMOVZXWQZrrk
+    2470463538U,	// VPMOVZXWQZrrkz
+    269507587U,	// VPMOVZXWQrm
+    303062019U,	// VPMOVZXWQrr
+    2450545294U,	// VPMULDQYrm
+    2450545294U,	// VPMULDQYrr
+    2450540117U,	// VPMULDQZrm
+    2450540117U,	// VPMULDQZrmb
+    322979413U,	// VPMULDQZrmbk
+    2470463061U,	// VPMULDQZrmbkz
+    322979413U,	// VPMULDQZrmk
+    2470463061U,	// VPMULDQZrmkz
+    2450540117U,	// VPMULDQZrr
+    322979413U,	// VPMULDQZrrk
+    2470463061U,	// VPMULDQZrrkz
+    2450545294U,	// VPMULDQrm
+    2450545294U,	// VPMULDQrr
+    2450547804U,	// VPMULHRSWrm128
+    2450547804U,	// VPMULHRSWrm256
+    2450547804U,	// VPMULHRSWrr128
+    2450547804U,	// VPMULHRSWrr256
+    2450547891U,	// VPMULHUWYrm
+    2450547891U,	// VPMULHUWYrr
+    2450547891U,	// VPMULHUWrm
+    2450547891U,	// VPMULHUWrr
+    2450547592U,	// VPMULHWYrm
+    2450547592U,	// VPMULHWYrr
+    2450547592U,	// VPMULHWrm
+    2450547592U,	// VPMULHWrr
+    2450542619U,	// VPMULLDYrm
+    2450542619U,	// VPMULLDYrr
+    2450538810U,	// VPMULLDZrm
+    2450538810U,	// VPMULLDZrmb
+    88097082U,	// VPMULLDZrmbk
+    2470461754U,	// VPMULLDZrmbkz
+    88097082U,	// VPMULLDZrmk
+    2470461754U,	// VPMULLDZrmkz
+    2450538810U,	// VPMULLDZrr
+    88097082U,	// VPMULLDZrrk
+    2470461754U,	// VPMULLDZrrkz
+    2450542619U,	// VPMULLDrm
+    2450542619U,	// VPMULLDrr
+    2450547634U,	// VPMULLWYrm
+    2450547634U,	// VPMULLWYrr
+    2450547634U,	// VPMULLWrm
+    2450547634U,	// VPMULLWrr
+    2450545374U,	// VPMULUDQYrm
+    2450545374U,	// VPMULUDQYrr
+    2450540253U,	// VPMULUDQZrm
+    2450540253U,	// VPMULUDQZrmb
+    322979549U,	// VPMULUDQZrmbk
+    2470463197U,	// VPMULUDQZrmbkz
+    322979549U,	// VPMULUDQZrmk
+    2470463197U,	// VPMULUDQZrmkz
+    2450540253U,	// VPMULUDQZrr
+    322979549U,	// VPMULUDQZrrk
+    2470463197U,	// VPMULUDQZrrkz
+    2450545374U,	// VPMULUDQrm
+    2450545374U,	// VPMULUDQrr
+    2450539446U,	// VPORDZrm
+    2450539446U,	// VPORDZrmb
+    88097718U,	// VPORDZrmbk
+    2470462390U,	// VPORDZrmbkz
+    88097718U,	// VPORDZrmk
+    2470462390U,	// VPORDZrmkz
+    2450539446U,	// VPORDZrr
+    88097718U,	// VPORDZrrk
+    2470462390U,	// VPORDZrrkz
+    2450540422U,	// VPORQZrm
+    2450540422U,	// VPORQZrmb
+    88098694U,	// VPORQZrmbk
+    2470463366U,	// VPORQZrmbkz
+    88098694U,	// VPORQZrmk
+    2470463366U,	// VPORQZrmkz
+    2450540422U,	// VPORQZrr
+    88098694U,	// VPORQZrrk
+    2470463366U,	// VPORQZrrkz
+    2450545740U,	// VPORYrm
+    2450545740U,	// VPORYrr
+    2450545740U,	// VPORrm
+    2450545740U,	// VPORrr
+    2450544775U,	// VPPERMmr
+    2450544775U,	// VPPERMrm
+    2450544775U,	// VPPERMrr
+    2551205473U,	// VPROTBmi
+    2551205473U,	// VPROTBmr
+    2450542177U,	// VPROTBri
+    2450542177U,	// VPROTBrm
+    2450542177U,	// VPROTBrr
+    2551207161U,	// VPROTDmi
+    2551207161U,	// VPROTDmr
+    2450543865U,	// VPROTDri
+    2450543865U,	// VPROTDrm
+    2450543865U,	// VPROTDrr
+    2551208845U,	// VPROTQmi
+    2551208845U,	// VPROTQmr
+    2450545549U,	// VPROTQri
+    2450545549U,	// VPROTQrm
+    2450545549U,	// VPROTQrr
+    2551211165U,	// VPROTWmi
+    2551211165U,	// VPROTWmr
+    2450547869U,	// VPROTWri
+    2450547869U,	// VPROTWrm
+    2450547869U,	// VPROTWrr
+    2450547391U,	// VPSADBWYrm
+    2450547391U,	// VPSADBWYrr
+    2450547391U,	// VPSADBWrm
+    2450547391U,	// VPSADBWrr
+    688409U,	// VPSCATTERDDZmr
+    706201U,	// VPSCATTERDQZmr
+    705399U,	// VPSCATTERQDZmr
+    706408U,	// VPSCATTERQQZmr
+    2551205249U,	// VPSHABmr
+    2450541953U,	// VPSHABrm
+    2450541953U,	// VPSHABrr
+    2551205677U,	// VPSHADmr
+    2450542381U,	// VPSHADrm
+    2450542381U,	// VPSHADrr
+    2551208406U,	// VPSHAQmr
+    2450545110U,	// VPSHAQrm
+    2450545110U,	// VPSHAQrr
+    2551210651U,	// VPSHAWmr
+    2450547355U,	// VPSHAWrm
+    2450547355U,	// VPSHAWrr
+    2551205310U,	// VPSHLBmr
+    2450542014U,	// VPSHLBrm
+    2450542014U,	// VPSHLBrr
+    2551205893U,	// VPSHLDmr
+    2450542597U,	// VPSHLDrm
+    2450542597U,	// VPSHLDrr
+    2551208718U,	// VPSHLQmr
+    2450545422U,	// VPSHLQrm
+    2450545422U,	// VPSHLQrr
+    2551210914U,	// VPSHLWmr
+    2450547618U,	// VPSHLWrm
+    2450547618U,	// VPSHLWrr
+    2450541982U,	// VPSHUFBYrm
+    2450541982U,	// VPSHUFBYrr
+    2450541982U,	// VPSHUFBrm
+    2450541982U,	// VPSHUFBrr
+    3020967896U,	// VPSHUFDYmi
+    2450542552U,	// VPSHUFDYri
+    3088072999U,	// VPSHUFDZmi
+    2450538791U,	// VPSHUFDZri
+    2551205848U,	// VPSHUFDmi
+    2450542552U,	// VPSHUFDri
+    3020972926U,	// VPSHUFHWYmi
+    2450547582U,	// VPSHUFHWYri
+    2551210878U,	// VPSHUFHWmi
+    2450547582U,	// VPSHUFHWri
+    3020972952U,	// VPSHUFLWYmi
+    2450547608U,	// VPSHUFLWYri
+    2551210904U,	// VPSHUFLWmi
+    2450547608U,	// VPSHUFLWri
+    2450542030U,	// VPSIGNBYrm
+    2450542030U,	// VPSIGNBYrr
+    2450542030U,	// VPSIGNBrm
+    2450542030U,	// VPSIGNBrr
+    2450542676U,	// VPSIGNDYrm
+    2450542676U,	// VPSIGNDYrr
+    2450542676U,	// VPSIGNDrm
+    2450542676U,	// VPSIGNDrr
+    2450547659U,	// VPSIGNWYrm
+    2450547659U,	// VPSIGNWYrr
+    2450547659U,	// VPSIGNWrm
+    2450547659U,	// VPSIGNWrr
+    2450545276U,	// VPSLLDQYri
+    2450545276U,	// VPSLLDQri
+    2450542611U,	// VPSLLDYri
+    2450542611U,	// VPSLLDYrm
+    2450542611U,	// VPSLLDYrr
+    3088073009U,	// VPSLLDZmi
+    322978097U,	// VPSLLDZmik
+    2450538801U,	// VPSLLDZri
+    322978097U,	// VPSLLDZrik
+    2450538801U,	// VPSLLDZrm
+    322978097U,	// VPSLLDZrmk
+    2450538801U,	// VPSLLDZrr
+    322978097U,	// VPSLLDZrrk
+    2450542611U,	// VPSLLDri
+    2450542611U,	// VPSLLDrm
+    2450542611U,	// VPSLLDrr
+    2450545430U,	// VPSLLQYri
+    2450545430U,	// VPSLLQYrm
+    2450545430U,	// VPSLLQYrr
+    3088074496U,	// VPSLLQZmi
+    322979584U,	// VPSLLQZmik
+    2450540288U,	// VPSLLQZri
+    322979584U,	// VPSLLQZrik
+    2450540288U,	// VPSLLQZrm
+    322979584U,	// VPSLLQZrmk
+    2450540288U,	// VPSLLQZrr
+    322979584U,	// VPSLLQZrrk
+    2450545430U,	// VPSLLQri
+    2450545430U,	// VPSLLQrm
+    2450545430U,	// VPSLLQrr
+    2450543932U,	// VPSLLVDYrm
+    2450543932U,	// VPSLLVDYrr
+    2450539747U,	// VPSLLVDZrm
+    2450539747U,	// VPSLLVDZrr
+    2450543932U,	// VPSLLVDrm
+    2450543932U,	// VPSLLVDrr
+    2450545598U,	// VPSLLVQYrm
+    2450545598U,	// VPSLLVQYrr
+    2450540554U,	// VPSLLVQZrm
+    2450540554U,	// VPSLLVQZrr
+    2450545598U,	// VPSLLVQrm
+    2450545598U,	// VPSLLVQrr
+    2450547626U,	// VPSLLWYri
+    2450547626U,	// VPSLLWYrm
+    2450547626U,	// VPSLLWYrr
+    2450547626U,	// VPSLLWri
+    2450547626U,	// VPSLLWrm
+    2450547626U,	// VPSLLWrr
+    2450542389U,	// VPSRADYri
+    2450542389U,	// VPSRADYrm
+    2450542389U,	// VPSRADYrr
+    3088072904U,	// VPSRADZmi
+    322977992U,	// VPSRADZmik
+    2450538696U,	// VPSRADZri
+    322977992U,	// VPSRADZrik
+    2450538696U,	// VPSRADZrm
+    322977992U,	// VPSRADZrmk
+    2450538696U,	// VPSRADZrr
+    322977992U,	// VPSRADZrrk
+    2450542389U,	// VPSRADri
+    2450542389U,	// VPSRADrm
+    2450542389U,	// VPSRADrr
+    3088074198U,	// VPSRAQZmi
+    322979286U,	// VPSRAQZmik
+    2450539990U,	// VPSRAQZri
+    322979286U,	// VPSRAQZrik
+    2450539990U,	// VPSRAQZrm
+    322979286U,	// VPSRAQZrmk
+    2450539990U,	// VPSRAQZrr
+    322979286U,	// VPSRAQZrrk
+    2450543923U,	// VPSRAVDYrm
+    2450543923U,	// VPSRAVDYrr
+    2450539737U,	// VPSRAVDZrm
+    2450539737U,	// VPSRAVDZrr
+    2450543923U,	// VPSRAVDrm
+    2450543923U,	// VPSRAVDrr
+    2450540544U,	// VPSRAVQZrm
+    2450540544U,	// VPSRAVQZrr
+    2450547363U,	// VPSRAWYri
+    2450547363U,	// VPSRAWYrm
+    2450547363U,	// VPSRAWYrr
+    2450547363U,	// VPSRAWri
+    2450547363U,	// VPSRAWrm
+    2450547363U,	// VPSRAWrr
+    2450545285U,	// VPSRLDQYri
+    2450545285U,	// VPSRLDQri
+    2450542628U,	// VPSRLDYri
+    2450542628U,	// VPSRLDYrm
+    2450542628U,	// VPSRLDYrr
+    3088073028U,	// VPSRLDZmi
+    322978116U,	// VPSRLDZmik
+    2450538820U,	// VPSRLDZri
+    322978116U,	// VPSRLDZrik
+    2450538820U,	// VPSRLDZrm
+    322978116U,	// VPSRLDZrmk
+    2450538820U,	// VPSRLDZrr
+    322978116U,	// VPSRLDZrrk
+    2450542628U,	// VPSRLDri
+    2450542628U,	// VPSRLDrm
+    2450542628U,	// VPSRLDrr
+    2450545438U,	// VPSRLQYri
+    2450545438U,	// VPSRLQYrm
+    2450545438U,	// VPSRLQYrr
+    3088074505U,	// VPSRLQZmi
+    322979593U,	// VPSRLQZmik
+    2450540297U,	// VPSRLQZri
+    322979593U,	// VPSRLQZrik
+    2450540297U,	// VPSRLQZrm
+    322979593U,	// VPSRLQZrmk
+    2450540297U,	// VPSRLQZrr
+    322979593U,	// VPSRLQZrrk
+    2450545438U,	// VPSRLQri
+    2450545438U,	// VPSRLQrm
+    2450545438U,	// VPSRLQrr
+    2450543941U,	// VPSRLVDYrm
+    2450543941U,	// VPSRLVDYrr
+    2450539757U,	// VPSRLVDZrm
+    2450539757U,	// VPSRLVDZrr
+    2450543941U,	// VPSRLVDrm
+    2450543941U,	// VPSRLVDrr
+    2450545607U,	// VPSRLVQYrm
+    2450545607U,	// VPSRLVQYrr
+    2450540564U,	// VPSRLVQZrm
+    2450540564U,	// VPSRLVQZrr
+    2450545607U,	// VPSRLVQrm
+    2450545607U,	// VPSRLVQrr
+    2450547643U,	// VPSRLWYri
+    2450547643U,	// VPSRLWYrm
+    2450547643U,	// VPSRLWYrr
+    2450547643U,	// VPSRLWri
+    2450547643U,	// VPSRLWrm
+    2450547643U,	// VPSRLWrr
+    2450541966U,	// VPSUBBYrm
+    2450541966U,	// VPSUBBYrr
+    2450541966U,	// VPSUBBrm
+    2450541966U,	// VPSUBBrr
+    2450542427U,	// VPSUBDYrm
+    2450542427U,	// VPSUBDYrr
+    2450538705U,	// VPSUBDZrm
+    2450538705U,	// VPSUBDZrmb
+    88096977U,	// VPSUBDZrmbk
+    2470461649U,	// VPSUBDZrmbkz
+    88096977U,	// VPSUBDZrmk
+    2470461649U,	// VPSUBDZrmkz
+    2450538705U,	// VPSUBDZrr
+    88096977U,	// VPSUBDZrrk
+    2470461649U,	// VPSUBDZrrkz
+    2450542427U,	// VPSUBDrm
+    2450542427U,	// VPSUBDrr
+    2450545139U,	// VPSUBQYrm
+    2450545139U,	// VPSUBQYrr
+    2450539999U,	// VPSUBQZrm
+    2450539999U,	// VPSUBQZrmb
+    88098271U,	// VPSUBQZrmbk
+    2470462943U,	// VPSUBQZrmbkz
+    88098271U,	// VPSUBQZrmk
+    2470462943U,	// VPSUBQZrmkz
+    2450539999U,	// VPSUBQZrr
+    88098271U,	// VPSUBQZrrk
+    2470462943U,	// VPSUBQZrrkz
+    2450545139U,	// VPSUBQrm
+    2450545139U,	// VPSUBQrr
+    2450542075U,	// VPSUBSBYrm
+    2450542075U,	// VPSUBSBYrr
+    2450542075U,	// VPSUBSBrm
+    2450542075U,	// VPSUBSBrr
+    2450547741U,	// VPSUBSWYrm
+    2450547741U,	// VPSUBSWYrr
+    2450547741U,	// VPSUBSWrm
+    2450547741U,	// VPSUBSWrr
+    2450542116U,	// VPSUBUSBYrm
+    2450542116U,	// VPSUBUSBYrr
+    2450542116U,	// VPSUBUSBrm
+    2450542116U,	// VPSUBUSBrr
+    2450547823U,	// VPSUBUSWYrm
+    2450547823U,	// VPSUBUSWYrr
+    2450547823U,	// VPSUBUSWrm
+    2450547823U,	// VPSUBUSWrr
+    2450547454U,	// VPSUBWYrm
+    2450547454U,	// VPSUBWYrr
+    2450547454U,	// VPSUBWrm
+    2450547454U,	// VPSUBWrr
+    2450538862U,	// VPTESTMDZrm
+    2450538862U,	// VPTESTMDZrr
+    2450540339U,	// VPTESTMQZrm
+    2450540339U,	// VPTESTMQZrr
+    2450538841U,	// VPTESTNMDZrm
+    2450538841U,	// VPTESTNMDZrr
+    2450540318U,	// VPTESTNMQZrm
+    2450540318U,	// VPTESTNMQZrr
+    873488926U,	// VPTESTYrm
+    303063582U,	// VPTESTYrr
+    537944606U,	// VPTESTrm
+    303063582U,	// VPTESTrr
+    2450547410U,	// VPUNPCKHBWYrm
+    2450547410U,	// VPUNPCKHBWYrr
+    2450547410U,	// VPUNPCKHBWrm
+    2450547410U,	// VPUNPCKHBWrr
+    2450545252U,	// VPUNPCKHDQYrm
+    2450545252U,	// VPUNPCKHDQYrr
+    2450540091U,	// VPUNPCKHDQZrm
+    2450540091U,	// VPUNPCKHDQZrr
+    2450545252U,	// VPUNPCKHDQrm
+    2450545252U,	// VPUNPCKHDQrr
+    2450545303U,	// VPUNPCKHQDQYrm
+    2450545303U,	// VPUNPCKHQDQYrr
+    2450540144U,	// VPUNPCKHQDQZrm
+    2450540144U,	// VPUNPCKHQDQZrr
+    2450545303U,	// VPUNPCKHQDQrm
+    2450545303U,	// VPUNPCKHQDQrr
+    2450543999U,	// VPUNPCKHWDYrm
+    2450543999U,	// VPUNPCKHWDYrr
+    2450543999U,	// VPUNPCKHWDrm
+    2450543999U,	// VPUNPCKHWDrr
+    2450547422U,	// VPUNPCKLBWYrm
+    2450547422U,	// VPUNPCKLBWYrr
+    2450547422U,	// VPUNPCKLBWrm
+    2450547422U,	// VPUNPCKLBWrr
+    2450545264U,	// VPUNPCKLDQYrm
+    2450545264U,	// VPUNPCKLDQYrr
+    2450540104U,	// VPUNPCKLDQZrm
+    2450540104U,	// VPUNPCKLDQZrr
+    2450545264U,	// VPUNPCKLDQrm
+    2450545264U,	// VPUNPCKLDQrr
+    2450545316U,	// VPUNPCKLQDQYrm
+    2450545316U,	// VPUNPCKLQDQYrr
+    2450540158U,	// VPUNPCKLQDQZrm
+    2450540158U,	// VPUNPCKLQDQZrr
+    2450545316U,	// VPUNPCKLQDQrm
+    2450545316U,	// VPUNPCKLQDQrr
+    2450544011U,	// VPUNPCKLWDYrm
+    2450544011U,	// VPUNPCKLWDYrr
+    2450544011U,	// VPUNPCKLWDrm
+    2450544011U,	// VPUNPCKLWDrr
+    2450539462U,	// VPXORDZrm
+    2450539462U,	// VPXORDZrmb
+    88097734U,	// VPXORDZrmbk
+    2470462406U,	// VPXORDZrmbkz
+    88097734U,	// VPXORDZrmk
+    2470462406U,	// VPXORDZrmkz
+    2450539462U,	// VPXORDZrr
+    88097734U,	// VPXORDZrrk
+    2470462406U,	// VPXORDZrrkz
+    2450540438U,	// VPXORQZrm
+    2450540438U,	// VPXORQZrmb
+    88098710U,	// VPXORQZrmbk
+    2470463382U,	// VPXORQZrmbkz
+    88098710U,	// VPXORQZrmk
+    2470463382U,	// VPXORQZrmkz
+    2450540438U,	// VPXORQZrr
+    88098710U,	// VPXORQZrrk
+    2470463382U,	// VPXORQZrrkz
+    2450545768U,	// VPXORYrm
+    2450545768U,	// VPXORYrr
+    2450545768U,	// VPXORrm
+    2450545768U,	// VPXORrr
+    1007698571U,	// VRCP14PDZm
+    303055499U,	// VRCP14PDZr
+    1007700275U,	// VRCP14PSZm
+    303057203U,	// VRCP14PSZr
+    2450539554U,	// VRCP14SDrm
+    2450539554U,	// VRCP14SDrr
+    2450541157U,	// VRCP14SSrm
+    2450541157U,	// VRCP14SSrr
+    1007698595U,	// VRCP28PDZm
+    303055523U,	// VRCP28PDZr
+    303055523U,	// VRCP28PDZrb
+    1007700299U,	// VRCP28PSZm
+    303057227U,	// VRCP28PSZr
+    303057227U,	// VRCP28PSZrb
+    2450539578U,	// VRCP28SDrm
+    2450539578U,	// VRCP28SDrr
+    2450539578U,	// VRCP28SDrrb
+    2450541181U,	// VRCP28SSrm
+    2450541181U,	// VRCP28SSrr
+    2450541181U,	// VRCP28SSrrb
+    974151499U,	// VRCPPSYm
+    974151499U,	// VRCPPSYm_Int
+    303062859U,	// VRCPPSYr
+    303062859U,	// VRCPPSYr_Int
+    537943883U,	// VRCPPSm
+    537943883U,	// VRCPPSm_Int
+    303062859U,	// VRCPPSr
+    303062859U,	// VRCPPSr_Int
+    2450546974U,	// VRCPSSm
+    2450546974U,	// VRCPSSm_Int
+    2450546974U,	// VRCPSSr
+    3155182312U,	// VRNDSCALEPDZm
+    2450539240U,	// VRNDSCALEPDZr
+    3155184016U,	// VRNDSCALEPSZm
+    2450540944U,	// VRNDSCALEPSZr
+    2450539611U,	// VRNDSCALESDm
+    2450539611U,	// VRNDSCALESDr
+    2450541205U,	// VRNDSCALESSm
+    2450541205U,	// VRNDSCALESSr
+    2685424166U,	// VROUNDPDm
+    2450543142U,	// VROUNDPDr
+    2685427384U,	// VROUNDPSm
+    2450546360U,	// VROUNDPSr
+    2450543712U,	// VROUNDSDm
+    2450543712U,	// VROUNDSDr
+    2450543712U,	// VROUNDSDr_Int
+    2450546929U,	// VROUNDSSm
+    2450546929U,	// VROUNDSSr
+    2450546929U,	// VROUNDSSr_Int
+    3121631782U,	// VROUNDYPDm
+    2450543142U,	// VROUNDYPDr
+    3121635000U,	// VROUNDYPSm
+    2450546360U,	// VROUNDYPSr
+    1007698582U,	// VRSQRT14PDZm
+    303055510U,	// VRSQRT14PDZr
+    1007700286U,	// VRSQRT14PSZm
+    303057214U,	// VRSQRT14PSZr
+    2450539565U,	// VRSQRT14SDrm
+    2450539565U,	// VRSQRT14SDrr
+    2450541168U,	// VRSQRT14SSrm
+    2450541168U,	// VRSQRT14SSrr
+    1007698606U,	// VRSQRT28PDZm
+    303055534U,	// VRSQRT28PDZr
+    303055534U,	// VRSQRT28PDZrb
+    1007700310U,	// VRSQRT28PSZm
+    303057238U,	// VRSQRT28PSZr
+    303057238U,	// VRSQRT28PSZrb
+    2450539589U,	// VRSQRT28SDrm
+    2450539589U,	// VRSQRT28SDrr
+    2450539589U,	// VRSQRT28SDrrb
+    2450541192U,	// VRSQRT28SSrm
+    2450541192U,	// VRSQRT28SSrr
+    2450541192U,	// VRSQRT28SSrrb
+    974151582U,	// VRSQRTPSYm
+    974151582U,	// VRSQRTPSYm_Int
+    303062942U,	// VRSQRTPSYr
+    303062942U,	// VRSQRTPSYr_Int
+    537943966U,	// VRSQRTPSm
+    537943966U,	// VRSQRTPSm_Int
+    303062942U,	// VRSQRTPSr
+    303062942U,	// VRSQRTPSr_Int
+    2450546999U,	// VRSQRTSSm
+    2450546999U,	// VRSQRTSSm_Int
+    2450546999U,	// VRSQRTSSr
+    705242U,	// VSCATTERDPDZmr
+    690562U,	// VSCATTERDPSZmr
+    292581514U,	// VSCATTERPF0DPDm
+    292581654U,	// VSCATTERPF0DPSm
+    359690448U,	// VSCATTERPF0QPDm
+    359690588U,	// VSCATTERPF0QPSm
+    292581549U,	// VSCATTERPF1DPDm
+    292581689U,	// VSCATTERPF1DPSm
+    359690483U,	// VSCATTERPF1QPDm
+    359690623U,	// VSCATTERPF1QPSm
+    705354U,	// VSCATTERQPDZmr
+    707058U,	// VSCATTERQPSZmr
+    2450543164U,	// VSHUFPDYrmi
+    2450543164U,	// VSHUFPDYrri
+    2450539254U,	// VSHUFPDZrmi
+    2450539254U,	// VSHUFPDZrri
+    2450543164U,	// VSHUFPDrmi
+    2450543164U,	// VSHUFPDrri
+    2450546382U,	// VSHUFPSYrmi
+    2450546382U,	// VSHUFPSYrri
+    2450540958U,	// VSHUFPSZrmi
+    2450540958U,	// VSHUFPSZrri
+    2450546382U,	// VSHUFPSrmi
+    2450546382U,	// VSHUFPSrri
+    974148321U,	// VSQRTPDYm
+    303059681U,	// VSQRTPDYr
+    1007702753U,	// VSQRTPDZrm
+    303059681U,	// VSQRTPDZrr
+    537940705U,	// VSQRTPDm
+    303059681U,	// VSQRTPDr
+    974151592U,	// VSQRTPSYm
+    303062952U,	// VSQRTPSYr
+    1007706024U,	// VSQRTPSZrm
+    303062952U,	// VSQRTPSZrr
+    537943976U,	// VSQRTPSm
+    303062952U,	// VSQRTPSr
+    2450543790U,	// VSQRTSDZm
+    2450543790U,	// VSQRTSDZm_Int
+    2450543790U,	// VSQRTSDZr
+    2450543790U,	// VSQRTSDZr_Int
+    2450543790U,	// VSQRTSDm
+    2450543790U,	// VSQRTSDm_Int
+    2450543790U,	// VSQRTSDr
+    2450547009U,	// VSQRTSSZm
+    2450547009U,	// VSQRTSSZm_Int
+    2450547009U,	// VSQRTSSZr
+    2450547009U,	// VSQRTSSZr_Int
+    2450547009U,	// VSQRTSSm
+    2450547009U,	// VSQRTSSm_Int
+    2450547009U,	// VSQRTSSr
+    72836U,	// VSTMXCSR
+    2450543065U,	// VSUBPDYrm
+    2450543065U,	// VSUBPDYrr
+    2450539195U,	// VSUBPDZrm
+    2450539195U,	// VSUBPDZrmb
+    322978491U,	// VSUBPDZrmbk
+    2470462139U,	// VSUBPDZrmbkz
+    322982361U,	// VSUBPDZrmk
+    2470466009U,	// VSUBPDZrmkz
+    2450539195U,	// VSUBPDZrr
+    322978491U,	// VSUBPDZrrk
+    2470462139U,	// VSUBPDZrrkz
+    2450543065U,	// VSUBPDrm
+    2450543065U,	// VSUBPDrr
+    2450546283U,	// VSUBPSYrm
+    2450546283U,	// VSUBPSYrr
+    2450540899U,	// VSUBPSZrm
+    2450540899U,	// VSUBPSZrmb
+    322980195U,	// VSUBPSZrmbk
+    2470463843U,	// VSUBPSZrmbkz
+    322985579U,	// VSUBPSZrmk
+    2470469227U,	// VSUBPSZrmkz
+    2450540899U,	// VSUBPSZrr
+    322980195U,	// VSUBPSZrrk
+    2470463843U,	// VSUBPSZrrkz
+    2450546283U,	// VSUBPSrm
+    2450546283U,	// VSUBPSrr
+    2450543675U,	// VSUBSDZrm
+    2450543675U,	// VSUBSDZrr
+    2450543675U,	// VSUBSDrm
+    2450543675U,	// VSUBSDrm_Int
+    2450543675U,	// VSUBSDrr
+    2450543675U,	// VSUBSDrr_Int
+    2450546892U,	// VSUBSSZrm
+    2450546892U,	// VSUBSSZrr
+    2450546892U,	// VSUBSSrm
+    2450546892U,	// VSUBSSrm_Int
+    2450546892U,	// VSUBSSrr
+    2450546892U,	// VSUBSSrr_Int
+    974148330U,	// VTESTPDYrm
+    303059690U,	// VTESTPDYrr
+    537940714U,	// VTESTPDrm
+    303059690U,	// VTESTPDrr
+    974151601U,	// VTESTPSYrm
+    303062961U,	// VTESTPSYrr
+    537943985U,	// VTESTPSrm
+    303062961U,	// VTESTPSrr
+    571495530U,	// VUCOMISDZrm
+    303060074U,	// VUCOMISDZrr
+    571495530U,	// VUCOMISDrm
+    303060074U,	// VUCOMISDrr
+    605053179U,	// VUCOMISSZrm
+    303063291U,	// VUCOMISSZrr
+    605053179U,	// VUCOMISSrm
+    303063291U,	// VUCOMISSrr
+    2450543173U,	// VUNPCKHPDYrm
+    2450543173U,	// VUNPCKHPDYrr
+    2450543173U,	// VUNPCKHPDZrm
+    2450543173U,	// VUNPCKHPDZrr
+    2450543173U,	// VUNPCKHPDrm
+    2450543173U,	// VUNPCKHPDrr
+    2450546391U,	// VUNPCKHPSYrm
+    2450546391U,	// VUNPCKHPSYrr
+    2450546391U,	// VUNPCKHPSZrm
+    2450546391U,	// VUNPCKHPSZrr
+    2450546391U,	// VUNPCKHPSrm
+    2450546391U,	// VUNPCKHPSrr
+    2450543215U,	// VUNPCKLPDYrm
+    2450543215U,	// VUNPCKLPDYrr
+    2450543215U,	// VUNPCKLPDZrm
+    2450543215U,	// VUNPCKLPDZrr
+    2450543215U,	// VUNPCKLPDrm
+    2450543215U,	// VUNPCKLPDrr
+    2450546453U,	// VUNPCKLPSYrm
+    2450546453U,	// VUNPCKLPSYrr
+    2450546453U,	// VUNPCKLPSZrm
+    2450546453U,	// VUNPCKLPSZrr
+    2450546453U,	// VUNPCKLPSrm
+    2450546453U,	// VUNPCKLPSrr
+    2450543311U,	// VXORPDYrm
+    2450543311U,	// VXORPDYrr
+    2450543311U,	// VXORPDrm
+    2450543311U,	// VXORPDrr
+    2450546549U,	// VXORPSYrm
+    2450546549U,	// VXORPSYrr
+    2450546549U,	// VXORPSrm
+    2450546549U,	// VXORPSrr
+    11651U,	// VZEROALL
+    11851U,	// VZEROUPPER
     0U,	// V_SET0
     0U,	// V_SETALLONES
-    153456U,	// W64ALLOCA
-    12663U,	// WAIT
-    11967U,	// WBINVD
-    12265U,	// WIN_ALLOCA
-    12141U,	// WIN_FTOL_32
-    12141U,	// WIN_FTOL_64
-    21977U,	// WRFSBASE
-    21977U,	// WRFSBASE64
-    21997U,	// WRGSBASE
-    21997U,	// WRGSBASE64
-    12442U,	// WRMSR
-    24911U,	// XABORT
-    12038U,	// XACQUIRE_PREFIX
-    1085155U,	// XADD16rm
-    135286499U,	// XADD16rr
-    1117923U,	// XADD32rm
-    135286499U,	// XADD32rr
-    1134307U,	// XADD64rm
-    135286499U,	// XADD64rr
-    1150691U,	// XADD8rm
-    135286499U,	// XADD8rr
-    11707U,	// XBEGIN
-    153611U,	// XBEGIN_4
-    26101U,	// XCHG16ar
-    464443U,	// XCHG16rm
-    726587U,	// XCHG16rr
-    26264U,	// XCHG32ar
-    26264U,	// XCHG32ar64
-    480827U,	// XCHG32rm
-    726587U,	// XCHG32rr
-    26388U,	// XCHG64ar
-    497211U,	// XCHG64rm
-    726587U,	// XCHG64rr
-    513595U,	// XCHG8rm
-    726587U,	// XCHG8rr
-    22116U,	// XCH_F
-    11856U,	// XCRYPTCBC
-    11800U,	// XCRYPTCFB
-    12448U,	// XCRYPTCTR
-    11790U,	// XCRYPTECB
-    11810U,	// XCRYPTOFB
-    11932U,	// XEND
-    12712U,	// XGETBV
-    11840U,	// XLAT
-    26128U,	// XOR16i16
-    1088454U,	// XOR16mi
-    1088454U,	// XOR16mi8
-    1088454U,	// XOR16mr
-    35707846U,	// XOR16ri
-    35707846U,	// XOR16ri8
-    3201990U,	// XOR16rm
-    35707846U,	// XOR16rr
-    68180934U,	// XOR16rr_REV
-    26294U,	// XOR32i32
-    1121222U,	// XOR32mi
-    1121222U,	// XOR32mi8
-    1121222U,	// XOR32mr
-    35707846U,	// XOR32ri
-    35707846U,	// XOR32ri8
-    4250566U,	// XOR32rm
-    35707846U,	// XOR32rr
-    68180934U,	// XOR32rr_REV
-    26433U,	// XOR64i32
-    1137606U,	// XOR64mi32
-    1137606U,	// XOR64mi8
-    1137606U,	// XOR64mr
-    35707846U,	// XOR64ri32
-    35707846U,	// XOR64ri8
-    5299142U,	// XOR64rm
-    35707846U,	// XOR64rr
-    68180934U,	// XOR64rr_REV
-    26016U,	// XOR8i8
-    1153990U,	// XOR8mi
-    1153990U,	// XOR8mr
-    35707846U,	// XOR8ri
-    35707846U,	// XOR8ri8
-    6347718U,	// XOR8rm
-    35707846U,	// XOR8rr
-    68180934U,	// XOR8rr_REV
-    202396204U,	// XORPDrm
-    68178476U,	// XORPDrr
-    202399442U,	// XORPSrm
-    68181714U,	// XORPSrr
-    12054U,	// XRELEASE_PREFIX
-    285628U,	// XRSTOR
-    281484U,	// XRSTOR64
-    284186U,	// XSAVE
-    281474U,	// XSAVE64
-    287045U,	// XSAVEOPT
-    281494U,	// XSAVEOPT64
-    12719U,	// XSETBV
-    11434U,	// XSHA1
-    11669U,	// XSHA256
-    12047U,	// XSTORE
-    12701U,	// XTEST
+    153620U,	// W64ALLOCA
+    12112U,	// WAIT
+    11379U,	// WBINVD
+    11708U,	// WIN_ALLOCA
+    11584U,	// WIN_FTOL_32
+    11584U,	// WIN_FTOL_64
+    22141U,	// WRFSBASE
+    22141U,	// WRFSBASE64
+    22161U,	// WRGSBASE
+    22161U,	// WRGSBASE64
+    11885U,	// WRMSR
+    25075U,	// XABORT
+    11450U,	// XACQUIRE_PREFIX
+    1085319U,	// XADD16rm
+    303058823U,	// XADD16rr
+    1118087U,	// XADD32rm
+    303058823U,	// XADD32rr
+    1134471U,	// XADD64rm
+    303058823U,	// XADD64rr
+    1150855U,	// XADD8rm
+    303058823U,	// XADD8rr
+    11119U,	// XBEGIN
+    153775U,	// XBEGIN_4
+    26265U,	// XCHG16ar
+    464607U,	// XCHG16rm
+    726751U,	// XCHG16rr
+    26428U,	// XCHG32ar
+    26428U,	// XCHG32ar64
+    480991U,	// XCHG32rm
+    726751U,	// XCHG32rr
+    26552U,	// XCHG64ar
+    497375U,	// XCHG64rm
+    726751U,	// XCHG64rr
+    513759U,	// XCHG8rm
+    726751U,	// XCHG8rr
+    22280U,	// XCH_F
+    11268U,	// XCRYPTCBC
+    11212U,	// XCRYPTCFB
+    11891U,	// XCRYPTCTR
+    11202U,	// XCRYPTECB
+    11222U,	// XCRYPTOFB
+    11344U,	// XEND
+    12167U,	// XGETBV
+    11252U,	// XLAT
+    26292U,	// XOR16i16
+    1088618U,	// XOR16mi
+    1088618U,	// XOR16mi8
+    1088618U,	// XOR16mr
+    35708010U,	// XOR16ri
+    35708010U,	// XOR16ri8
+    3202154U,	// XOR16rm
+    35708010U,	// XOR16rr
+    68181098U,	// XOR16rr_REV
+    26458U,	// XOR32i32
+    1121386U,	// XOR32mi
+    1121386U,	// XOR32mi8
+    1121386U,	// XOR32mr
+    35708010U,	// XOR32ri
+    35708010U,	// XOR32ri8
+    4250730U,	// XOR32rm
+    35708010U,	// XOR32rr
+    68181098U,	// XOR32rr_REV
+    26597U,	// XOR64i32
+    1137770U,	// XOR64mi32
+    1137770U,	// XOR64mi8
+    1137770U,	// XOR64mr
+    35708010U,	// XOR64ri32
+    35708010U,	// XOR64ri8
+    5299306U,	// XOR64rm
+    35708010U,	// XOR64rr
+    68181098U,	// XOR64rr_REV
+    26180U,	// XOR8i8
+    1154154U,	// XOR8mi
+    1154154U,	// XOR8mr
+    35708010U,	// XOR8ri
+    35708010U,	// XOR8ri8
+    6347882U,	// XOR8rm
+    35708010U,	// XOR8rr
+    68181098U,	// XOR8rr_REV
+    168841936U,	// XORPDrm
+    68178640U,	// XORPDrr
+    168845174U,	// XORPSrm
+    68181878U,	// XORPSrr
+    11466U,	// XRELEASE_PREFIX
+    285792U,	// XRSTOR
+    281616U,	// XRSTOR64
+    284350U,	// XSAVE
+    281606U,	// XSAVE64
+    287209U,	// XSAVEOPT
+    281626U,	// XSAVEOPT64
+    12174U,	// XSETBV
+    10846U,	// XSHA1
+    11081U,	// XSHA256
+    11459U,	// XSTORE
+    12150U,	// XTEST
     0U
   };
 
   static const uint32_t OpInfo2[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -5487,6 +6296,7 @@
     0U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     0U,	// AAA
     0U,	// AAD8i8
     0U,	// AAM8i8
@@ -5687,49 +6497,6 @@
     0U,	// ANDPSrr
     0U,	// ARPL16mr
     0U,	// ARPL16rr
-    0U,	// ATOMADD6432
-    0U,	// ATOMAND16
-    0U,	// ATOMAND32
-    0U,	// ATOMAND64
-    0U,	// ATOMAND6432
-    0U,	// ATOMAND8
-    0U,	// ATOMMAX16
-    0U,	// ATOMMAX32
-    0U,	// ATOMMAX64
-    0U,	// ATOMMAX6432
-    0U,	// ATOMMAX8
-    0U,	// ATOMMIN16
-    0U,	// ATOMMIN32
-    0U,	// ATOMMIN64
-    0U,	// ATOMMIN6432
-    0U,	// ATOMMIN8
-    0U,	// ATOMNAND16
-    0U,	// ATOMNAND32
-    0U,	// ATOMNAND64
-    0U,	// ATOMNAND6432
-    0U,	// ATOMNAND8
-    0U,	// ATOMOR16
-    0U,	// ATOMOR32
-    0U,	// ATOMOR64
-    0U,	// ATOMOR6432
-    0U,	// ATOMOR8
-    0U,	// ATOMSUB6432
-    0U,	// ATOMSWAP6432
-    0U,	// ATOMUMAX16
-    0U,	// ATOMUMAX32
-    0U,	// ATOMUMAX64
-    0U,	// ATOMUMAX6432
-    0U,	// ATOMUMAX8
-    0U,	// ATOMUMIN16
-    0U,	// ATOMUMIN32
-    0U,	// ATOMUMIN64
-    0U,	// ATOMUMIN6432
-    0U,	// ATOMUMIN8
-    0U,	// ATOMXOR16
-    0U,	// ATOMXOR32
-    0U,	// ATOMXOR64
-    0U,	// ATOMXOR6432
-    0U,	// ATOMXOR8
     0U,	// AVX2_SETALLONES
     0U,	// AVX512_512_SET0
     0U,	// AVX_SET0
@@ -6068,18 +6835,18 @@
     32U,	// CMPPSrmi_alt
     0U,	// CMPPSrri
     40U,	// CMPPSrri_alt
-    0U,	// CMPS16
-    0U,	// CMPS32
-    0U,	// CMPS64
-    0U,	// CMPS8
+    0U,	// CMPSB
     0U,	// CMPSDrm
     32U,	// CMPSDrm_alt
     0U,	// CMPSDrr
     40U,	// CMPSDrr_alt
+    0U,	// CMPSL
+    0U,	// CMPSQ
     0U,	// CMPSSrm
     32U,	// CMPSSrm_alt
     0U,	// CMPSSrr
     40U,	// CMPSSrr_alt
+    0U,	// CMPSW
     0U,	// CMPXCHG16B
     0U,	// CMPXCHG16rm
     0U,	// CMPXCHG16rr
@@ -6115,7 +6882,6 @@
     0U,	// CRC32r64m8
     0U,	// CRC32r64r64
     0U,	// CRC32r64r8
-    0U,	// CS_PREFIX
     0U,	// CVTDQ2PDrm
     0U,	// CVTDQ2PDrr
     0U,	// CVTDQ2PSrm
@@ -6242,7 +7008,6 @@
     40U,	// DPPDrri
     32U,	// DPPSrmi
     40U,	// DPPSrri
-    0U,	// DS_PREFIX
     0U,	// EH_RETURN
     0U,	// EH_RETURN64
     0U,	// EH_SjLj_LongJmp32
@@ -6250,8 +7015,9 @@
     0U,	// EH_SjLj_SetJmp32
     0U,	// EH_SjLj_SetJmp64
     0U,	// EH_SjLj_Setup
+    0U,	// ENCLS
+    0U,	// ENCLU
     0U,	// ENTER
-    0U,	// ES_PREFIX
     0U,	// EXTRACTPSmr
     8U,	// EXTRACTPSrr
     0U,	// EXTRQ
@@ -6315,7 +7081,6 @@
     0U,	// FSETPM
     0U,	// FSINCOS
     0U,	// FSTENVm
-    0U,	// FS_PREFIX
     0U,	// FXAM
     0U,	// FXRSTOR
     0U,	// FXRSTOR64
@@ -6324,7 +7089,6 @@
     0U,	// FXTRACT
     0U,	// FYL2X
     0U,	// FYL2XP1
-    0U,	// FpPOP_RETVAL
     0U,	// FsANDNPDrm
     0U,	// FsANDNPDrr
     0U,	// FsANDNPSrm
@@ -6348,7 +7112,6 @@
     0U,	// FsXORPSrm
     0U,	// FsXORPSrr
     0U,	// GETSEC
-    0U,	// GS_PREFIX
     0U,	// HADDPDrm
     0U,	// HADDPDrr
     0U,	// HADDPSrm
@@ -6404,13 +7167,10 @@
     8U,	// IMUL64rri8
     0U,	// IMUL8m
     0U,	// IMUL8r
-    0U,	// IN16
     0U,	// IN16ri
     0U,	// IN16rr
-    0U,	// IN32
     0U,	// IN32ri
     0U,	// IN32rr
-    0U,	// IN8
     0U,	// IN8ri
     0U,	// IN8rr
     0U,	// INC16m
@@ -6427,10 +7187,13 @@
     0U,	// INC64r
     0U,	// INC8m
     0U,	// INC8r
+    0U,	// INSB
     32U,	// INSERTPSrm
     40U,	// INSERTPSrr
     0U,	// INSERTQ
     48U,	// INSERTQI
+    0U,	// INSL
+    0U,	// INSW
     0U,	// INT
     0U,	// INT1
     0U,	// INT3
@@ -6640,14 +7403,41 @@
     0U,	// JS_1
     0U,	// JS_2
     0U,	// JS_4
+    8U,	// KANDBrr
+    8U,	// KANDDrr
+    8U,	// KANDNBrr
+    8U,	// KANDNDrr
+    8U,	// KANDNQrr
     8U,	// KANDNWrr
+    8U,	// KANDQrr
     8U,	// KANDWrr
+    0U,	// KMOVBkk
+    0U,	// KMOVBkm
+    0U,	// KMOVBkr
+    0U,	// KMOVBmk
+    0U,	// KMOVBrk
+    0U,	// KMOVDkk
+    0U,	// KMOVDkm
+    0U,	// KMOVDkr
+    0U,	// KMOVDmk
+    0U,	// KMOVDrk
+    0U,	// KMOVQkk
+    0U,	// KMOVQkm
+    0U,	// KMOVQkr
+    0U,	// KMOVQmk
+    0U,	// KMOVQrk
     0U,	// KMOVWkk
     0U,	// KMOVWkm
     0U,	// KMOVWkr
     0U,	// KMOVWmk
     0U,	// KMOVWrk
+    0U,	// KNOTBrr
+    0U,	// KNOTDrr
+    0U,	// KNOTQrr
     0U,	// KNOTWrr
+    8U,	// KORBrr
+    8U,	// KORDrr
+    8U,	// KORQrr
     0U,	// KORTESTWrr
     8U,	// KORWrr
     0U,	// KSET0B
@@ -6657,7 +7447,13 @@
     8U,	// KSHIFTLWri
     8U,	// KSHIFTRWri
     8U,	// KUNPCKBWrr
+    8U,	// KXNORBrr
+    8U,	// KXNORDrr
+    8U,	// KXNORQrr
     8U,	// KXNORWrr
+    8U,	// KXORBrr
+    8U,	// KXORDrr
+    8U,	// KXORQrr
     8U,	// KXORWrr
     0U,	// LAHF
     0U,	// LAR16rm
@@ -6889,6 +7685,7 @@
     0U,	// MMX_MOVQ64mr
     0U,	// MMX_MOVQ64rm
     0U,	// MMX_MOVQ64rr
+    0U,	// MMX_MOVQ64rr_REV
     0U,	// MMX_PABSBrm64
     0U,	// MMX_PABSBrr64
     0U,	// MMX_PABSDrm64
@@ -8023,12 +8820,20 @@
     0U,	// SBB8rm
     0U,	// SBB8rr
     0U,	// SBB8rr_REV
-    0U,	// SCAS16
-    0U,	// SCAS32
-    0U,	// SCAS64
-    0U,	// SCAS8
+    0U,	// SCASB
+    0U,	// SCASL
+    0U,	// SCASQ
+    0U,	// SCASW
     0U,	// SEG_ALLOCA_32
     0U,	// SEG_ALLOCA_64
+    0U,	// SEH_EndPrologue
+    0U,	// SEH_Epilogue
+    0U,	// SEH_PushFrame
+    0U,	// SEH_PushReg
+    0U,	// SEH_SaveReg
+    0U,	// SEH_SaveXMM
+    0U,	// SEH_SetFrame
+    0U,	// SEH_StackAlloc
     0U,	// SETAEm
     0U,	// SETAEr
     0U,	// SETAm
@@ -8200,7 +9005,6 @@
     0U,	// SQRT_Fp32
     0U,	// SQRT_Fp64
     0U,	// SQRT_Fp80
-    0U,	// SS_PREFIX
     0U,	// STAC
     0U,	// STC
     0U,	// STD
@@ -8423,14 +9227,26 @@
     8U,	// VADDPDYrr
     80U,	// VADDPDZrm
     568U,	// VADDPDZrmb
+    35082U,	// VADDPDZrmbk
+    35082U,	// VADDPDZrmbkz
+    4362U,	// VADDPDZrmk
+    4362U,	// VADDPDZrmkz
     8U,	// VADDPDZrr
+    71946U,	// VADDPDZrrk
+    71946U,	// VADDPDZrrkz
     88U,	// VADDPDrm
     8U,	// VADDPDrr
     72U,	// VADDPSYrm
     8U,	// VADDPSYrr
     80U,	// VADDPSZrm
     832U,	// VADDPSZrmb
+    106762U,	// VADDPSZrmbk
+    106762U,	// VADDPSZrmbkz
+    4362U,	// VADDPSZrmk
+    4362U,	// VADDPSZrmkz
     8U,	// VADDPSZrr
+    71946U,	// VADDPSZrrk
+    71946U,	// VADDPSZrrkz
     88U,	// VADDPSrm
     8U,	// VADDPSrr
     56U,	// VADDSDZrm
@@ -8465,10 +9281,14 @@
     0U,	// VAESIMCrr
     0U,	// VAESKEYGENASSIST128rm
     8U,	// VAESKEYGENASSIST128rr
-    33128U,	// VALIGNDrmi
-    35080U,	// VALIGNDrri
-    33128U,	// VALIGNQrmi
-    35080U,	// VALIGNQrri
+    65896U,	// VALIGNDrmi
+    71944U,	// VALIGNDrri
+    272682U,	// VALIGNDrrik
+    530698U,	// VALIGNDrrikz
+    65896U,	// VALIGNQrmi
+    71944U,	// VALIGNQrri
+    272682U,	// VALIGNQrrik
+    530698U,	// VALIGNQrrikz
     72U,	// VANDNPDYrm
     8U,	// VANDNPDYrr
     88U,	// VANDNPDrm
@@ -8487,27 +9307,31 @@
     8U,	// VANDPSrr
     8U,	// VASTART_SAVE_XMM_REGS
     4362U,	// VBLENDMPDZrm
-    35082U,	// VBLENDMPDZrr
+    71946U,	// VBLENDMPDZrr
     4362U,	// VBLENDMPSZrm
-    35082U,	// VBLENDMPSZrr
-    33096U,	// VBLENDPDYrmi
-    35080U,	// VBLENDPDYrri
-    33112U,	// VBLENDPDrmi
-    35080U,	// VBLENDPDrri
-    33096U,	// VBLENDPSYrmi
-    35080U,	// VBLENDPSYrri
-    33112U,	// VBLENDPSrmi
-    35080U,	// VBLENDPSrri
-    33096U,	// VBLENDVPDYrm
-    35080U,	// VBLENDVPDYrr
-    33112U,	// VBLENDVPDrm
-    35080U,	// VBLENDVPDrr
-    33096U,	// VBLENDVPSYrm
-    35080U,	// VBLENDVPSYrr
-    33112U,	// VBLENDVPSrm
-    35080U,	// VBLENDVPSrr
+    71946U,	// VBLENDMPSZrr
+    65864U,	// VBLENDPDYrmi
+    71944U,	// VBLENDPDYrri
+    65880U,	// VBLENDPDrmi
+    71944U,	// VBLENDPDrri
+    65864U,	// VBLENDPSYrmi
+    71944U,	// VBLENDPSYrri
+    65880U,	// VBLENDPSrmi
+    71944U,	// VBLENDPSrri
+    65864U,	// VBLENDVPDYrm
+    71944U,	// VBLENDVPDYrr
+    65880U,	// VBLENDVPDrm
+    71944U,	// VBLENDVPDrr
+    65864U,	// VBLENDVPSYrm
+    71944U,	// VBLENDVPSYrr
+    65880U,	// VBLENDVPSrm
+    71944U,	// VBLENDVPSrr
     0U,	// VBROADCASTF128
     0U,	// VBROADCASTI128
+    98U,	// VBROADCASTI32X4krm
+    0U,	// VBROADCASTI32X4rm
+    114U,	// VBROADCASTI64X4krm
+    0U,	// VBROADCASTI64X4rm
     0U,	// VBROADCASTSDYrm
     0U,	// VBROADCASTSDYrr
     0U,	// VBROADCASTSDZrm
@@ -8519,47 +9343,47 @@
     0U,	// VBROADCASTSSrm
     0U,	// VBROADCASTSSrr
     72U,	// VCMPPDYrmi
-    33096U,	// VCMPPDYrmi_alt
+    65864U,	// VCMPPDYrmi_alt
     8U,	// VCMPPDYrri
-    35080U,	// VCMPPDYrri_alt
+    71944U,	// VCMPPDYrri_alt
     0U,	// VCMPPDZrmi
-    33104U,	// VCMPPDZrmi_alt
+    65872U,	// VCMPPDZrmi_alt
     0U,	// VCMPPDZrri
-    35080U,	// VCMPPDZrri_alt
-    2U,	// VCMPPDZrrib
+    71944U,	// VCMPPDZrri_alt
+    3U,	// VCMPPDZrrib
     88U,	// VCMPPDrmi
-    33112U,	// VCMPPDrmi_alt
+    65880U,	// VCMPPDrmi_alt
     8U,	// VCMPPDrri
-    35080U,	// VCMPPDrri_alt
+    71944U,	// VCMPPDrri_alt
     72U,	// VCMPPSYrmi
-    33096U,	// VCMPPSYrmi_alt
+    65864U,	// VCMPPSYrmi_alt
     8U,	// VCMPPSYrri
-    35080U,	// VCMPPSYrri_alt
+    71944U,	// VCMPPSYrri_alt
     0U,	// VCMPPSZrmi
-    33104U,	// VCMPPSZrmi_alt
+    65872U,	// VCMPPSZrmi_alt
     0U,	// VCMPPSZrri
-    35080U,	// VCMPPSZrri_alt
-    2U,	// VCMPPSZrrib
+    71944U,	// VCMPPSZrri_alt
+    3U,	// VCMPPSZrrib
     88U,	// VCMPPSrmi
-    33112U,	// VCMPPSrmi_alt
+    65880U,	// VCMPPSrmi_alt
     8U,	// VCMPPSrri
-    35080U,	// VCMPPSrri_alt
+    71944U,	// VCMPPSrri_alt
     56U,	// VCMPSDZrm
-    33080U,	// VCMPSDZrmi_alt
+    65848U,	// VCMPSDZrmi_alt
     8U,	// VCMPSDZrr
-    35080U,	// VCMPSDZrri_alt
+    71944U,	// VCMPSDZrri_alt
     56U,	// VCMPSDrm
-    33080U,	// VCMPSDrm_alt
+    65848U,	// VCMPSDrm_alt
     8U,	// VCMPSDrr
-    35080U,	// VCMPSDrr_alt
+    71944U,	// VCMPSDrr_alt
     64U,	// VCMPSSZrm
-    33088U,	// VCMPSSZrmi_alt
+    65856U,	// VCMPSSZrmi_alt
     8U,	// VCMPSSZrr
-    35080U,	// VCMPSSZrri_alt
+    71944U,	// VCMPSSZrri_alt
     64U,	// VCMPSSrm
-    33088U,	// VCMPSSrm_alt
+    65856U,	// VCMPSSrm_alt
     8U,	// VCMPSSrr
-    35080U,	// VCMPSSrr_alt
+    71944U,	// VCMPSSrr_alt
     0U,	// VCOMISDZrm
     0U,	// VCOMISDZrr
     0U,	// VCOMISDrm
@@ -8578,7 +9402,7 @@
     0U,	// VCVTDQ2PSYrr
     0U,	// VCVTDQ2PSZrm
     0U,	// VCVTDQ2PSZrr
-    112U,	// VCVTDQ2PSZrrb
+    120U,	// VCVTDQ2PSZrrb
     0U,	// VCVTDQ2PSrm
     0U,	// VCVTDQ2PSrr
     0U,	// VCVTPD2DQXrm
@@ -8586,18 +9410,18 @@
     0U,	// VCVTPD2DQYrr
     0U,	// VCVTPD2DQZrm
     0U,	// VCVTPD2DQZrr
-    112U,	// VCVTPD2DQZrrb
+    120U,	// VCVTPD2DQZrrb
     0U,	// VCVTPD2DQrr
     0U,	// VCVTPD2PSXrm
     0U,	// VCVTPD2PSYrm
     0U,	// VCVTPD2PSYrr
     0U,	// VCVTPD2PSZrm
     0U,	// VCVTPD2PSZrr
-    112U,	// VCVTPD2PSZrrb
+    120U,	// VCVTPD2PSZrrb
     0U,	// VCVTPD2PSrr
     0U,	// VCVTPD2UDQZrm
     0U,	// VCVTPD2UDQZrr
-    112U,	// VCVTPD2UDQZrrb
+    120U,	// VCVTPD2UDQZrrb
     0U,	// VCVTPH2PSYrm
     0U,	// VCVTPH2PSYrr
     0U,	// VCVTPH2PSZrm
@@ -8608,7 +9432,7 @@
     0U,	// VCVTPS2DQYrr
     0U,	// VCVTPS2DQZrm
     0U,	// VCVTPS2DQZrr
-    112U,	// VCVTPS2DQZrrb
+    120U,	// VCVTPS2DQZrrb
     0U,	// VCVTPS2DQrm
     0U,	// VCVTPS2DQrr
     0U,	// VCVTPS2PDYrm
@@ -8625,7 +9449,7 @@
     8U,	// VCVTPS2PHrr
     0U,	// VCVTPS2UDQZrm
     0U,	// VCVTPS2UDQZrr
-    112U,	// VCVTPS2UDQZrrb
+    120U,	// VCVTPS2UDQZrrb
     0U,	// VCVTSD2SI64Zrm
     0U,	// VCVTSD2SI64Zrr
     0U,	// VCVTSD2SI64rm
@@ -8718,7 +9542,7 @@
     0U,	// VCVTUDQ2PDZrr
     0U,	// VCVTUDQ2PSZrm
     0U,	// VCVTUDQ2PSZrr
-    112U,	// VCVTUDQ2PSZrrb
+    120U,	// VCVTUDQ2PSZrrb
     16U,	// VCVTUSI2SDZrm
     8U,	// VCVTUSI2SDZrr
     16U,	// VCVTUSI2SSZrm
@@ -8731,14 +9555,26 @@
     8U,	// VDIVPDYrr
     80U,	// VDIVPDZrm
     568U,	// VDIVPDZrmb
+    35082U,	// VDIVPDZrmbk
+    35082U,	// VDIVPDZrmbkz
+    4362U,	// VDIVPDZrmk
+    4362U,	// VDIVPDZrmkz
     8U,	// VDIVPDZrr
+    71946U,	// VDIVPDZrrk
+    71946U,	// VDIVPDZrrkz
     88U,	// VDIVPDrm
     8U,	// VDIVPDrr
     72U,	// VDIVPSYrm
     8U,	// VDIVPSYrr
     80U,	// VDIVPSZrm
     832U,	// VDIVPSZrmb
+    106762U,	// VDIVPSZrmbk
+    106762U,	// VDIVPSZrmbkz
+    4362U,	// VDIVPSZrmk
+    4362U,	// VDIVPSZrmkz
     8U,	// VDIVPSZrr
+    71946U,	// VDIVPSZrrk
+    71946U,	// VDIVPSZrrkz
     88U,	// VDIVPSrm
     8U,	// VDIVPSrr
     56U,	// VDIVSDZrm
@@ -8753,12 +9589,12 @@
     64U,	// VDIVSSrm_Int
     8U,	// VDIVSSrr
     8U,	// VDIVSSrr_Int
-    33112U,	// VDPPDrmi
-    35080U,	// VDPPDrri
-    33144U,	// VDPPSYrmi
-    35080U,	// VDPPSYrri
-    33112U,	// VDPPSrmi
-    35080U,	// VDPPSrri
+    65880U,	// VDPPDrmi
+    71944U,	// VDPPDrri
+    65904U,	// VDPPSYrmi
+    71944U,	// VDPPSYrri
+    65880U,	// VDPPSrmi
+    71944U,	// VDPPSrri
     0U,	// VERRm
     0U,	// VERRr
     0U,	// VERWm
@@ -8786,17 +9622,21 @@
     128U,	// VFMADD213PDZm
     648U,	// VFMADD213PDZmb
     40U,	// VFMADD213PDZr
+    76074U,	// VFMADD213PDZrk
+    76074U,	// VFMADD213PDZrkz
     128U,	// VFMADD213PSZm
     912U,	// VFMADD213PSZmb
     40U,	// VFMADD213PSZr
-    33112U,	// VFMADDPD4mr
-    33096U,	// VFMADDPD4mrY
-    39176U,	// VFMADDPD4rm
-    41224U,	// VFMADDPD4rmY
-    35080U,	// VFMADDPD4rr
-    35080U,	// VFMADDPD4rrY
-    35080U,	// VFMADDPD4rrY_REV
-    35080U,	// VFMADDPD4rr_REV
+    76074U,	// VFMADD213PSZrk
+    76074U,	// VFMADD213PSZrkz
+    65880U,	// VFMADDPD4mr
+    65864U,	// VFMADDPD4mrY
+    78088U,	// VFMADDPD4rm
+    80136U,	// VFMADDPD4rmY
+    71944U,	// VFMADDPD4rr
+    71944U,	// VFMADDPD4rrY
+    71944U,	// VFMADDPD4rrY_REV
+    71944U,	// VFMADDPD4rr_REV
     152U,	// VFMADDPDr132m
     160U,	// VFMADDPDr132mY
     40U,	// VFMADDPDr132r
@@ -8809,14 +9649,14 @@
     160U,	// VFMADDPDr231mY
     40U,	// VFMADDPDr231r
     40U,	// VFMADDPDr231rY
-    33112U,	// VFMADDPS4mr
-    33096U,	// VFMADDPS4mrY
-    39176U,	// VFMADDPS4rm
-    41224U,	// VFMADDPS4rmY
-    35080U,	// VFMADDPS4rr
-    35080U,	// VFMADDPS4rrY
-    35080U,	// VFMADDPS4rrY_REV
-    35080U,	// VFMADDPS4rr_REV
+    65880U,	// VFMADDPS4mr
+    65864U,	// VFMADDPS4mrY
+    78088U,	// VFMADDPS4rm
+    80136U,	// VFMADDPS4rmY
+    71944U,	// VFMADDPS4rr
+    71944U,	// VFMADDPS4rrY
+    71944U,	// VFMADDPS4rrY_REV
+    71944U,	// VFMADDPS4rr_REV
     152U,	// VFMADDPSr132m
     160U,	// VFMADDPSr132mY
     40U,	// VFMADDPSr132r
@@ -8829,13 +9669,13 @@
     160U,	// VFMADDPSr231mY
     40U,	// VFMADDPSr231r
     40U,	// VFMADDPSr231rY
-    33080U,	// VFMADDSD4mr
-    33080U,	// VFMADDSD4mr_Int
-    10504U,	// VFMADDSD4rm
-    10504U,	// VFMADDSD4rm_Int
-    35080U,	// VFMADDSD4rr
-    35080U,	// VFMADDSD4rr_Int
-    35080U,	// VFMADDSD4rr_REV
+    65848U,	// VFMADDSD4mr
+    65848U,	// VFMADDSD4mr_Int
+    67848U,	// VFMADDSD4rm
+    67848U,	// VFMADDSD4rm_Int
+    71944U,	// VFMADDSD4rr
+    71944U,	// VFMADDSD4rr_Int
+    71944U,	// VFMADDSD4rr_REV
     152U,	// VFMADDSDZm
     40U,	// VFMADDSDZr
     136U,	// VFMADDSDr132m
@@ -8844,13 +9684,13 @@
     40U,	// VFMADDSDr213r
     136U,	// VFMADDSDr231m
     40U,	// VFMADDSDr231r
-    33088U,	// VFMADDSS4mr
-    33088U,	// VFMADDSS4mr_Int
-    12552U,	// VFMADDSS4rm
-    12552U,	// VFMADDSS4rm_Int
-    35080U,	// VFMADDSS4rr
-    35080U,	// VFMADDSS4rr_Int
-    35080U,	// VFMADDSS4rr_REV
+    65856U,	// VFMADDSS4mr
+    65856U,	// VFMADDSS4mr_Int
+    73992U,	// VFMADDSS4rm
+    73992U,	// VFMADDSS4rm_Int
+    71944U,	// VFMADDSS4rr
+    71944U,	// VFMADDSS4rr_Int
+    71944U,	// VFMADDSS4rr_REV
     152U,	// VFMADDSSZm
     40U,	// VFMADDSSZr
     144U,	// VFMADDSSr132m
@@ -8866,17 +9706,21 @@
     128U,	// VFMADDSUB213PDZm
     648U,	// VFMADDSUB213PDZmb
     40U,	// VFMADDSUB213PDZr
+    76074U,	// VFMADDSUB213PDZrk
+    76074U,	// VFMADDSUB213PDZrkz
     128U,	// VFMADDSUB213PSZm
     912U,	// VFMADDSUB213PSZmb
     40U,	// VFMADDSUB213PSZr
-    33112U,	// VFMADDSUBPD4mr
-    33096U,	// VFMADDSUBPD4mrY
-    39176U,	// VFMADDSUBPD4rm
-    41224U,	// VFMADDSUBPD4rmY
-    35080U,	// VFMADDSUBPD4rr
-    35080U,	// VFMADDSUBPD4rrY
-    35080U,	// VFMADDSUBPD4rrY_REV
-    35080U,	// VFMADDSUBPD4rr_REV
+    76074U,	// VFMADDSUB213PSZrk
+    76074U,	// VFMADDSUB213PSZrkz
+    65880U,	// VFMADDSUBPD4mr
+    65864U,	// VFMADDSUBPD4mrY
+    78088U,	// VFMADDSUBPD4rm
+    80136U,	// VFMADDSUBPD4rmY
+    71944U,	// VFMADDSUBPD4rr
+    71944U,	// VFMADDSUBPD4rrY
+    71944U,	// VFMADDSUBPD4rrY_REV
+    71944U,	// VFMADDSUBPD4rr_REV
     152U,	// VFMADDSUBPDr132m
     160U,	// VFMADDSUBPDr132mY
     40U,	// VFMADDSUBPDr132r
@@ -8889,14 +9733,14 @@
     160U,	// VFMADDSUBPDr231mY
     40U,	// VFMADDSUBPDr231r
     40U,	// VFMADDSUBPDr231rY
-    33112U,	// VFMADDSUBPS4mr
-    33096U,	// VFMADDSUBPS4mrY
-    39176U,	// VFMADDSUBPS4rm
-    41224U,	// VFMADDSUBPS4rmY
-    35080U,	// VFMADDSUBPS4rr
-    35080U,	// VFMADDSUBPS4rrY
-    35080U,	// VFMADDSUBPS4rrY_REV
-    35080U,	// VFMADDSUBPS4rr_REV
+    65880U,	// VFMADDSUBPS4mr
+    65864U,	// VFMADDSUBPS4mrY
+    78088U,	// VFMADDSUBPS4rm
+    80136U,	// VFMADDSUBPS4rmY
+    71944U,	// VFMADDSUBPS4rr
+    71944U,	// VFMADDSUBPS4rrY
+    71944U,	// VFMADDSUBPS4rrY_REV
+    71944U,	// VFMADDSUBPS4rr_REV
     152U,	// VFMADDSUBPSr132m
     160U,	// VFMADDSUBPSr132mY
     40U,	// VFMADDSUBPSr132r
@@ -8916,9 +9760,13 @@
     128U,	// VFMSUB213PDZm
     648U,	// VFMSUB213PDZmb
     40U,	// VFMSUB213PDZr
+    76074U,	// VFMSUB213PDZrk
+    76074U,	// VFMSUB213PDZrkz
     128U,	// VFMSUB213PSZm
     912U,	// VFMSUB213PSZmb
     40U,	// VFMSUB213PSZr
+    76074U,	// VFMSUB213PSZrk
+    76074U,	// VFMSUB213PSZrkz
     128U,	// VFMSUBADD132PDZm
     648U,	// VFMSUBADD132PDZmb
     128U,	// VFMSUBADD132PSZm
@@ -8926,17 +9774,21 @@
     128U,	// VFMSUBADD213PDZm
     648U,	// VFMSUBADD213PDZmb
     40U,	// VFMSUBADD213PDZr
+    76074U,	// VFMSUBADD213PDZrk
+    76074U,	// VFMSUBADD213PDZrkz
     128U,	// VFMSUBADD213PSZm
     912U,	// VFMSUBADD213PSZmb
     40U,	// VFMSUBADD213PSZr
-    33112U,	// VFMSUBADDPD4mr
-    33096U,	// VFMSUBADDPD4mrY
-    39176U,	// VFMSUBADDPD4rm
-    41224U,	// VFMSUBADDPD4rmY
-    35080U,	// VFMSUBADDPD4rr
-    35080U,	// VFMSUBADDPD4rrY
-    35080U,	// VFMSUBADDPD4rrY_REV
-    35080U,	// VFMSUBADDPD4rr_REV
+    76074U,	// VFMSUBADD213PSZrk
+    76074U,	// VFMSUBADD213PSZrkz
+    65880U,	// VFMSUBADDPD4mr
+    65864U,	// VFMSUBADDPD4mrY
+    78088U,	// VFMSUBADDPD4rm
+    80136U,	// VFMSUBADDPD4rmY
+    71944U,	// VFMSUBADDPD4rr
+    71944U,	// VFMSUBADDPD4rrY
+    71944U,	// VFMSUBADDPD4rrY_REV
+    71944U,	// VFMSUBADDPD4rr_REV
     152U,	// VFMSUBADDPDr132m
     160U,	// VFMSUBADDPDr132mY
     40U,	// VFMSUBADDPDr132r
@@ -8949,14 +9801,14 @@
     160U,	// VFMSUBADDPDr231mY
     40U,	// VFMSUBADDPDr231r
     40U,	// VFMSUBADDPDr231rY
-    33112U,	// VFMSUBADDPS4mr
-    33096U,	// VFMSUBADDPS4mrY
-    39176U,	// VFMSUBADDPS4rm
-    41224U,	// VFMSUBADDPS4rmY
-    35080U,	// VFMSUBADDPS4rr
-    35080U,	// VFMSUBADDPS4rrY
-    35080U,	// VFMSUBADDPS4rrY_REV
-    35080U,	// VFMSUBADDPS4rr_REV
+    65880U,	// VFMSUBADDPS4mr
+    65864U,	// VFMSUBADDPS4mrY
+    78088U,	// VFMSUBADDPS4rm
+    80136U,	// VFMSUBADDPS4rmY
+    71944U,	// VFMSUBADDPS4rr
+    71944U,	// VFMSUBADDPS4rrY
+    71944U,	// VFMSUBADDPS4rrY_REV
+    71944U,	// VFMSUBADDPS4rr_REV
     152U,	// VFMSUBADDPSr132m
     160U,	// VFMSUBADDPSr132mY
     40U,	// VFMSUBADDPSr132r
@@ -8969,14 +9821,14 @@
     160U,	// VFMSUBADDPSr231mY
     40U,	// VFMSUBADDPSr231r
     40U,	// VFMSUBADDPSr231rY
-    33112U,	// VFMSUBPD4mr
-    33096U,	// VFMSUBPD4mrY
-    39176U,	// VFMSUBPD4rm
-    41224U,	// VFMSUBPD4rmY
-    35080U,	// VFMSUBPD4rr
-    35080U,	// VFMSUBPD4rrY
-    35080U,	// VFMSUBPD4rrY_REV
-    35080U,	// VFMSUBPD4rr_REV
+    65880U,	// VFMSUBPD4mr
+    65864U,	// VFMSUBPD4mrY
+    78088U,	// VFMSUBPD4rm
+    80136U,	// VFMSUBPD4rmY
+    71944U,	// VFMSUBPD4rr
+    71944U,	// VFMSUBPD4rrY
+    71944U,	// VFMSUBPD4rrY_REV
+    71944U,	// VFMSUBPD4rr_REV
     152U,	// VFMSUBPDr132m
     160U,	// VFMSUBPDr132mY
     40U,	// VFMSUBPDr132r
@@ -8989,14 +9841,14 @@
     160U,	// VFMSUBPDr231mY
     40U,	// VFMSUBPDr231r
     40U,	// VFMSUBPDr231rY
-    33112U,	// VFMSUBPS4mr
-    33096U,	// VFMSUBPS4mrY
-    39176U,	// VFMSUBPS4rm
-    41224U,	// VFMSUBPS4rmY
-    35080U,	// VFMSUBPS4rr
-    35080U,	// VFMSUBPS4rrY
-    35080U,	// VFMSUBPS4rrY_REV
-    35080U,	// VFMSUBPS4rr_REV
+    65880U,	// VFMSUBPS4mr
+    65864U,	// VFMSUBPS4mrY
+    78088U,	// VFMSUBPS4rm
+    80136U,	// VFMSUBPS4rmY
+    71944U,	// VFMSUBPS4rr
+    71944U,	// VFMSUBPS4rrY
+    71944U,	// VFMSUBPS4rrY_REV
+    71944U,	// VFMSUBPS4rr_REV
     152U,	// VFMSUBPSr132m
     160U,	// VFMSUBPSr132mY
     40U,	// VFMSUBPSr132r
@@ -9009,13 +9861,13 @@
     160U,	// VFMSUBPSr231mY
     40U,	// VFMSUBPSr231r
     40U,	// VFMSUBPSr231rY
-    33080U,	// VFMSUBSD4mr
-    33080U,	// VFMSUBSD4mr_Int
-    10504U,	// VFMSUBSD4rm
-    10504U,	// VFMSUBSD4rm_Int
-    35080U,	// VFMSUBSD4rr
-    35080U,	// VFMSUBSD4rr_Int
-    35080U,	// VFMSUBSD4rr_REV
+    65848U,	// VFMSUBSD4mr
+    65848U,	// VFMSUBSD4mr_Int
+    67848U,	// VFMSUBSD4rm
+    67848U,	// VFMSUBSD4rm_Int
+    71944U,	// VFMSUBSD4rr
+    71944U,	// VFMSUBSD4rr_Int
+    71944U,	// VFMSUBSD4rr_REV
     152U,	// VFMSUBSDZm
     40U,	// VFMSUBSDZr
     136U,	// VFMSUBSDr132m
@@ -9024,13 +9876,13 @@
     40U,	// VFMSUBSDr213r
     136U,	// VFMSUBSDr231m
     40U,	// VFMSUBSDr231r
-    33088U,	// VFMSUBSS4mr
-    33088U,	// VFMSUBSS4mr_Int
-    12552U,	// VFMSUBSS4rm
-    12552U,	// VFMSUBSS4rm_Int
-    35080U,	// VFMSUBSS4rr
-    35080U,	// VFMSUBSS4rr_Int
-    35080U,	// VFMSUBSS4rr_REV
+    65856U,	// VFMSUBSS4mr
+    65856U,	// VFMSUBSS4mr_Int
+    73992U,	// VFMSUBSS4rm
+    73992U,	// VFMSUBSS4rm_Int
+    71944U,	// VFMSUBSS4rr
+    71944U,	// VFMSUBSS4rr_Int
+    71944U,	// VFMSUBSS4rr_REV
     152U,	// VFMSUBSSZm
     40U,	// VFMSUBSSZr
     144U,	// VFMSUBSSr132m
@@ -9046,17 +9898,21 @@
     128U,	// VFNMADD213PDZm
     648U,	// VFNMADD213PDZmb
     40U,	// VFNMADD213PDZr
+    76074U,	// VFNMADD213PDZrk
+    76074U,	// VFNMADD213PDZrkz
     128U,	// VFNMADD213PSZm
     912U,	// VFNMADD213PSZmb
     40U,	// VFNMADD213PSZr
-    33112U,	// VFNMADDPD4mr
-    33096U,	// VFNMADDPD4mrY
-    39176U,	// VFNMADDPD4rm
-    41224U,	// VFNMADDPD4rmY
-    35080U,	// VFNMADDPD4rr
-    35080U,	// VFNMADDPD4rrY
-    35080U,	// VFNMADDPD4rrY_REV
-    35080U,	// VFNMADDPD4rr_REV
+    76074U,	// VFNMADD213PSZrk
+    76074U,	// VFNMADD213PSZrkz
+    65880U,	// VFNMADDPD4mr
+    65864U,	// VFNMADDPD4mrY
+    78088U,	// VFNMADDPD4rm
+    80136U,	// VFNMADDPD4rmY
+    71944U,	// VFNMADDPD4rr
+    71944U,	// VFNMADDPD4rrY
+    71944U,	// VFNMADDPD4rrY_REV
+    71944U,	// VFNMADDPD4rr_REV
     152U,	// VFNMADDPDr132m
     160U,	// VFNMADDPDr132mY
     40U,	// VFNMADDPDr132r
@@ -9069,14 +9925,14 @@
     160U,	// VFNMADDPDr231mY
     40U,	// VFNMADDPDr231r
     40U,	// VFNMADDPDr231rY
-    33112U,	// VFNMADDPS4mr
-    33096U,	// VFNMADDPS4mrY
-    39176U,	// VFNMADDPS4rm
-    41224U,	// VFNMADDPS4rmY
-    35080U,	// VFNMADDPS4rr
-    35080U,	// VFNMADDPS4rrY
-    35080U,	// VFNMADDPS4rrY_REV
-    35080U,	// VFNMADDPS4rr_REV
+    65880U,	// VFNMADDPS4mr
+    65864U,	// VFNMADDPS4mrY
+    78088U,	// VFNMADDPS4rm
+    80136U,	// VFNMADDPS4rmY
+    71944U,	// VFNMADDPS4rr
+    71944U,	// VFNMADDPS4rrY
+    71944U,	// VFNMADDPS4rrY_REV
+    71944U,	// VFNMADDPS4rr_REV
     152U,	// VFNMADDPSr132m
     160U,	// VFNMADDPSr132mY
     40U,	// VFNMADDPSr132r
@@ -9089,13 +9945,13 @@
     160U,	// VFNMADDPSr231mY
     40U,	// VFNMADDPSr231r
     40U,	// VFNMADDPSr231rY
-    33080U,	// VFNMADDSD4mr
-    33080U,	// VFNMADDSD4mr_Int
-    10504U,	// VFNMADDSD4rm
-    10504U,	// VFNMADDSD4rm_Int
-    35080U,	// VFNMADDSD4rr
-    35080U,	// VFNMADDSD4rr_Int
-    35080U,	// VFNMADDSD4rr_REV
+    65848U,	// VFNMADDSD4mr
+    65848U,	// VFNMADDSD4mr_Int
+    67848U,	// VFNMADDSD4rm
+    67848U,	// VFNMADDSD4rm_Int
+    71944U,	// VFNMADDSD4rr
+    71944U,	// VFNMADDSD4rr_Int
+    71944U,	// VFNMADDSD4rr_REV
     152U,	// VFNMADDSDZm
     40U,	// VFNMADDSDZr
     136U,	// VFNMADDSDr132m
@@ -9104,13 +9960,13 @@
     40U,	// VFNMADDSDr213r
     136U,	// VFNMADDSDr231m
     40U,	// VFNMADDSDr231r
-    33088U,	// VFNMADDSS4mr
-    33088U,	// VFNMADDSS4mr_Int
-    12552U,	// VFNMADDSS4rm
-    12552U,	// VFNMADDSS4rm_Int
-    35080U,	// VFNMADDSS4rr
-    35080U,	// VFNMADDSS4rr_Int
-    35080U,	// VFNMADDSS4rr_REV
+    65856U,	// VFNMADDSS4mr
+    65856U,	// VFNMADDSS4mr_Int
+    73992U,	// VFNMADDSS4rm
+    73992U,	// VFNMADDSS4rm_Int
+    71944U,	// VFNMADDSS4rr
+    71944U,	// VFNMADDSS4rr_Int
+    71944U,	// VFNMADDSS4rr_REV
     152U,	// VFNMADDSSZm
     40U,	// VFNMADDSSZr
     144U,	// VFNMADDSSr132m
@@ -9126,17 +9982,21 @@
     128U,	// VFNMSUB213PDZm
     648U,	// VFNMSUB213PDZmb
     40U,	// VFNMSUB213PDZr
+    76074U,	// VFNMSUB213PDZrk
+    76074U,	// VFNMSUB213PDZrkz
     128U,	// VFNMSUB213PSZm
     912U,	// VFNMSUB213PSZmb
     40U,	// VFNMSUB213PSZr
-    33112U,	// VFNMSUBPD4mr
-    33096U,	// VFNMSUBPD4mrY
-    39176U,	// VFNMSUBPD4rm
-    41224U,	// VFNMSUBPD4rmY
-    35080U,	// VFNMSUBPD4rr
-    35080U,	// VFNMSUBPD4rrY
-    35080U,	// VFNMSUBPD4rrY_REV
-    35080U,	// VFNMSUBPD4rr_REV
+    76074U,	// VFNMSUB213PSZrk
+    76074U,	// VFNMSUB213PSZrkz
+    65880U,	// VFNMSUBPD4mr
+    65864U,	// VFNMSUBPD4mrY
+    78088U,	// VFNMSUBPD4rm
+    80136U,	// VFNMSUBPD4rmY
+    71944U,	// VFNMSUBPD4rr
+    71944U,	// VFNMSUBPD4rrY
+    71944U,	// VFNMSUBPD4rrY_REV
+    71944U,	// VFNMSUBPD4rr_REV
     152U,	// VFNMSUBPDr132m
     160U,	// VFNMSUBPDr132mY
     40U,	// VFNMSUBPDr132r
@@ -9149,14 +10009,14 @@
     160U,	// VFNMSUBPDr231mY
     40U,	// VFNMSUBPDr231r
     40U,	// VFNMSUBPDr231rY
-    33112U,	// VFNMSUBPS4mr
-    33096U,	// VFNMSUBPS4mrY
-    39176U,	// VFNMSUBPS4rm
-    41224U,	// VFNMSUBPS4rmY
-    35080U,	// VFNMSUBPS4rr
-    35080U,	// VFNMSUBPS4rrY
-    35080U,	// VFNMSUBPS4rrY_REV
-    35080U,	// VFNMSUBPS4rr_REV
+    65880U,	// VFNMSUBPS4mr
+    65864U,	// VFNMSUBPS4mrY
+    78088U,	// VFNMSUBPS4rm
+    80136U,	// VFNMSUBPS4rmY
+    71944U,	// VFNMSUBPS4rr
+    71944U,	// VFNMSUBPS4rrY
+    71944U,	// VFNMSUBPS4rrY_REV
+    71944U,	// VFNMSUBPS4rr_REV
     152U,	// VFNMSUBPSr132m
     160U,	// VFNMSUBPSr132mY
     40U,	// VFNMSUBPSr132r
@@ -9169,13 +10029,13 @@
     160U,	// VFNMSUBPSr231mY
     40U,	// VFNMSUBPSr231r
     40U,	// VFNMSUBPSr231rY
-    33080U,	// VFNMSUBSD4mr
-    33080U,	// VFNMSUBSD4mr_Int
-    10504U,	// VFNMSUBSD4rm
-    10504U,	// VFNMSUBSD4rm_Int
-    35080U,	// VFNMSUBSD4rr
-    35080U,	// VFNMSUBSD4rr_Int
-    35080U,	// VFNMSUBSD4rr_REV
+    65848U,	// VFNMSUBSD4mr
+    65848U,	// VFNMSUBSD4mr_Int
+    67848U,	// VFNMSUBSD4rm
+    67848U,	// VFNMSUBSD4rm_Int
+    71944U,	// VFNMSUBSD4rr
+    71944U,	// VFNMSUBSD4rr_Int
+    71944U,	// VFNMSUBSD4rr_REV
     152U,	// VFNMSUBSDZm
     40U,	// VFNMSUBSDZr
     136U,	// VFNMSUBSDr132m
@@ -9184,13 +10044,13 @@
     40U,	// VFNMSUBSDr213r
     136U,	// VFNMSUBSDr231m
     40U,	// VFNMSUBSDr231r
-    33088U,	// VFNMSUBSS4mr
-    33088U,	// VFNMSUBSS4mr_Int
-    12552U,	// VFNMSUBSS4rm
-    12552U,	// VFNMSUBSS4rm_Int
-    35080U,	// VFNMSUBSS4rr
-    35080U,	// VFNMSUBSS4rr_Int
-    35080U,	// VFNMSUBSS4rr_REV
+    65856U,	// VFNMSUBSS4mr
+    65856U,	// VFNMSUBSS4mr_Int
+    73992U,	// VFNMSUBSS4rm
+    73992U,	// VFNMSUBSS4rm_Int
+    71944U,	// VFNMSUBSS4rr
+    71944U,	// VFNMSUBSS4rr_Int
+    71944U,	// VFNMSUBSS4rr_REV
     152U,	// VFNMSUBSSZm
     40U,	// VFNMSUBSSZr
     144U,	// VFNMSUBSSr132m
@@ -9231,8 +10091,16 @@
     3U,	// VGATHERDPDZrm
     0U,	// VGATHERDPDrm
     0U,	// VGATHERDPSYrm
-    3U,	// VGATHERDPSZrm
+    4U,	// VGATHERDPSZrm
     0U,	// VGATHERDPSrm
+    0U,	// VGATHERPF0DPDm
+    0U,	// VGATHERPF0DPSm
+    0U,	// VGATHERPF0QPDm
+    0U,	// VGATHERPF0QPSm
+    0U,	// VGATHERPF1DPDm
+    0U,	// VGATHERPF1DPSm
+    0U,	// VGATHERPF1QPDm
+    0U,	// VGATHERPF1QPSm
     0U,	// VGATHERQPDYrm
     3U,	// VGATHERQPDZrm
     0U,	// VGATHERQPDrm
@@ -9255,22 +10123,22 @@
     8U,	// VHSUBPSYrr
     88U,	// VHSUBPSrm
     8U,	// VHSUBPSrr
-    33112U,	// VINSERTF128rm
-    35080U,	// VINSERTF128rr
-    33112U,	// VINSERTF32x4rm
-    35080U,	// VINSERTF32x4rr
-    33144U,	// VINSERTF64x4rm
-    35080U,	// VINSERTF64x4rr
-    33120U,	// VINSERTI128rm
-    35080U,	// VINSERTI128rr
-    33120U,	// VINSERTI32x4rm
-    35080U,	// VINSERTI32x4rr
-    33144U,	// VINSERTI64x4rm
-    35080U,	// VINSERTI64x4rr
-    33088U,	// VINSERTPSrm
-    35080U,	// VINSERTPSrr
-    33088U,	// VINSERTPSzrm
-    35080U,	// VINSERTPSzrr
+    65880U,	// VINSERTF128rm
+    71944U,	// VINSERTF128rr
+    65880U,	// VINSERTF32x4rm
+    71944U,	// VINSERTF32x4rr
+    65904U,	// VINSERTF64x4rm
+    71944U,	// VINSERTF64x4rr
+    65888U,	// VINSERTI128rm
+    71944U,	// VINSERTI128rr
+    65888U,	// VINSERTI32x4rm
+    71944U,	// VINSERTI32x4rr
+    65904U,	// VINSERTI64x4rm
+    71944U,	// VINSERTI64x4rr
+    65856U,	// VINSERTPSrm
+    71944U,	// VINSERTPSrr
+    65856U,	// VINSERTPSzrm
+    71944U,	// VINSERTPSzrr
     0U,	// VLDDQUYrm
     0U,	// VLDDQUrm
     0U,	// VLDMXCSR
@@ -9300,14 +10168,26 @@
     8U,	// VMAXPDYrr
     80U,	// VMAXPDZrm
     568U,	// VMAXPDZrmb
+    35082U,	// VMAXPDZrmbk
+    35082U,	// VMAXPDZrmbkz
+    4362U,	// VMAXPDZrmk
+    4362U,	// VMAXPDZrmkz
     8U,	// VMAXPDZrr
+    71946U,	// VMAXPDZrrk
+    71946U,	// VMAXPDZrrkz
     88U,	// VMAXPDrm
     8U,	// VMAXPDrr
     72U,	// VMAXPSYrm
     8U,	// VMAXPSYrr
     80U,	// VMAXPSZrm
     832U,	// VMAXPSZrmb
+    106762U,	// VMAXPSZrmbk
+    106762U,	// VMAXPSZrmbkz
+    4362U,	// VMAXPSZrmk
+    4362U,	// VMAXPSZrmkz
     8U,	// VMAXPSZrr
+    71946U,	// VMAXPSZrrk
+    71946U,	// VMAXPSZrrkz
     88U,	// VMAXPSrm
     8U,	// VMAXPSrr
     56U,	// VMAXSDZrm
@@ -9341,14 +10221,26 @@
     8U,	// VMINPDYrr
     80U,	// VMINPDZrm
     568U,	// VMINPDZrmb
+    35082U,	// VMINPDZrmbk
+    35082U,	// VMINPDZrmbkz
+    4362U,	// VMINPDZrmk
+    4362U,	// VMINPDZrmkz
     8U,	// VMINPDZrr
+    71946U,	// VMINPDZrrk
+    71946U,	// VMINPDZrrkz
     88U,	// VMINPDrm
     8U,	// VMINPDrr
     72U,	// VMINPSYrm
     8U,	// VMINPSYrr
     80U,	// VMINPSZrm
     832U,	// VMINPSZrmb
+    106762U,	// VMINPSZrmbk
+    106762U,	// VMINPSZrmbkz
+    4362U,	// VMINPSZrmk
+    4362U,	// VMINPSZrmkz
     8U,	// VMINPSZrr
+    71946U,	// VMINPSZrrk
+    71946U,	// VMINPSZrrkz
     88U,	// VMINPSrm
     8U,	// VMINPSrr
     56U,	// VMINSDZrm
@@ -9376,11 +10268,39 @@
     0U,	// VMOVAPDYrm
     0U,	// VMOVAPDYrr
     0U,	// VMOVAPDYrr_REV
+    0U,	// VMOVAPDZ128mr
+    2U,	// VMOVAPDZ128mrk
+    0U,	// VMOVAPDZ128rm
+    154U,	// VMOVAPDZ128rmk
+    90U,	// VMOVAPDZ128rmkz
+    0U,	// VMOVAPDZ128rr
+    0U,	// VMOVAPDZ128rr_alt
+    42U,	// VMOVAPDZ128rrk
+    42U,	// VMOVAPDZ128rrk_alt
+    10U,	// VMOVAPDZ128rrkz
+    10U,	// VMOVAPDZ128rrkz_alt
+    0U,	// VMOVAPDZ256mr
+    2U,	// VMOVAPDZ256mrk
+    0U,	// VMOVAPDZ256rm
+    162U,	// VMOVAPDZ256rmk
+    74U,	// VMOVAPDZ256rmkz
+    0U,	// VMOVAPDZ256rr
+    0U,	// VMOVAPDZ256rr_alt
+    42U,	// VMOVAPDZ256rrk
+    42U,	// VMOVAPDZ256rrk_alt
+    10U,	// VMOVAPDZ256rrkz
+    10U,	// VMOVAPDZ256rrkz_alt
     0U,	// VMOVAPDZmr
+    2U,	// VMOVAPDZmrk
     0U,	// VMOVAPDZrm
     130U,	// VMOVAPDZrmk
+    82U,	// VMOVAPDZrmkz
     0U,	// VMOVAPDZrr
+    0U,	// VMOVAPDZrr_alt
     42U,	// VMOVAPDZrrk
+    42U,	// VMOVAPDZrrk_alt
+    10U,	// VMOVAPDZrrkz
+    10U,	// VMOVAPDZrrkz_alt
     0U,	// VMOVAPDmr
     0U,	// VMOVAPDrm
     0U,	// VMOVAPDrr
@@ -9389,11 +10309,39 @@
     0U,	// VMOVAPSYrm
     0U,	// VMOVAPSYrr
     0U,	// VMOVAPSYrr_REV
+    0U,	// VMOVAPSZ128mr
+    2U,	// VMOVAPSZ128mrk
+    0U,	// VMOVAPSZ128rm
+    154U,	// VMOVAPSZ128rmk
+    90U,	// VMOVAPSZ128rmkz
+    0U,	// VMOVAPSZ128rr
+    0U,	// VMOVAPSZ128rr_alt
+    42U,	// VMOVAPSZ128rrk
+    42U,	// VMOVAPSZ128rrk_alt
+    10U,	// VMOVAPSZ128rrkz
+    10U,	// VMOVAPSZ128rrkz_alt
+    0U,	// VMOVAPSZ256mr
+    2U,	// VMOVAPSZ256mrk
+    0U,	// VMOVAPSZ256rm
+    162U,	// VMOVAPSZ256rmk
+    74U,	// VMOVAPSZ256rmkz
+    0U,	// VMOVAPSZ256rr
+    0U,	// VMOVAPSZ256rr_alt
+    42U,	// VMOVAPSZ256rrk
+    42U,	// VMOVAPSZ256rrk_alt
+    10U,	// VMOVAPSZ256rrkz
+    10U,	// VMOVAPSZ256rrkz_alt
     0U,	// VMOVAPSZmr
+    2U,	// VMOVAPSZmrk
     0U,	// VMOVAPSZrm
     130U,	// VMOVAPSZrmk
+    82U,	// VMOVAPSZrmkz
     0U,	// VMOVAPSZrr
+    0U,	// VMOVAPSZrr_alt
     42U,	// VMOVAPSZrrk
+    42U,	// VMOVAPSZrrk_alt
+    10U,	// VMOVAPSZrrkz
+    10U,	// VMOVAPSZrrkz_alt
     0U,	// VMOVAPSmr
     0U,	// VMOVAPSrm
     0U,	// VMOVAPSrr
@@ -9412,12 +10360,72 @@
     0U,	// VMOVDI2SSZrr
     0U,	// VMOVDI2SSrm
     0U,	// VMOVDI2SSrr
-    0U,	// VMOVDQA32mr
-    0U,	// VMOVDQA32rm
-    0U,	// VMOVDQA32rr
-    0U,	// VMOVDQA64mr
-    0U,	// VMOVDQA64rm
-    0U,	// VMOVDQA64rr
+    0U,	// VMOVDQA32Z128mr
+    2U,	// VMOVDQA32Z128mrk
+    0U,	// VMOVDQA32Z128rm
+    170U,	// VMOVDQA32Z128rmk
+    98U,	// VMOVDQA32Z128rmkz
+    0U,	// VMOVDQA32Z128rr
+    0U,	// VMOVDQA32Z128rr_alt
+    42U,	// VMOVDQA32Z128rrk
+    42U,	// VMOVDQA32Z128rrk_alt
+    10U,	// VMOVDQA32Z128rrkz
+    10U,	// VMOVDQA32Z128rrkz_alt
+    0U,	// VMOVDQA32Z256mr
+    2U,	// VMOVDQA32Z256mrk
+    0U,	// VMOVDQA32Z256rm
+    178U,	// VMOVDQA32Z256rmk
+    114U,	// VMOVDQA32Z256rmkz
+    0U,	// VMOVDQA32Z256rr
+    0U,	// VMOVDQA32Z256rr_alt
+    42U,	// VMOVDQA32Z256rrk
+    42U,	// VMOVDQA32Z256rrk_alt
+    10U,	// VMOVDQA32Z256rrkz
+    10U,	// VMOVDQA32Z256rrkz_alt
+    0U,	// VMOVDQA32Zmr
+    2U,	// VMOVDQA32Zmrk
+    0U,	// VMOVDQA32Zrm
+    186U,	// VMOVDQA32Zrmk
+    106U,	// VMOVDQA32Zrmkz
+    0U,	// VMOVDQA32Zrr
+    0U,	// VMOVDQA32Zrr_alt
+    42U,	// VMOVDQA32Zrrk
+    42U,	// VMOVDQA32Zrrk_alt
+    10U,	// VMOVDQA32Zrrkz
+    10U,	// VMOVDQA32Zrrkz_alt
+    0U,	// VMOVDQA64Z128mr
+    2U,	// VMOVDQA64Z128mrk
+    0U,	// VMOVDQA64Z128rm
+    170U,	// VMOVDQA64Z128rmk
+    98U,	// VMOVDQA64Z128rmkz
+    0U,	// VMOVDQA64Z128rr
+    0U,	// VMOVDQA64Z128rr_alt
+    42U,	// VMOVDQA64Z128rrk
+    42U,	// VMOVDQA64Z128rrk_alt
+    10U,	// VMOVDQA64Z128rrkz
+    10U,	// VMOVDQA64Z128rrkz_alt
+    0U,	// VMOVDQA64Z256mr
+    2U,	// VMOVDQA64Z256mrk
+    0U,	// VMOVDQA64Z256rm
+    178U,	// VMOVDQA64Z256rmk
+    114U,	// VMOVDQA64Z256rmkz
+    0U,	// VMOVDQA64Z256rr
+    0U,	// VMOVDQA64Z256rr_alt
+    42U,	// VMOVDQA64Z256rrk
+    42U,	// VMOVDQA64Z256rrk_alt
+    10U,	// VMOVDQA64Z256rrkz
+    10U,	// VMOVDQA64Z256rrkz_alt
+    0U,	// VMOVDQA64Zmr
+    2U,	// VMOVDQA64Zmrk
+    0U,	// VMOVDQA64Zrm
+    186U,	// VMOVDQA64Zrmk
+    106U,	// VMOVDQA64Zrmkz
+    0U,	// VMOVDQA64Zrr
+    0U,	// VMOVDQA64Zrr_alt
+    42U,	// VMOVDQA64Zrrk
+    42U,	// VMOVDQA64Zrrk_alt
+    10U,	// VMOVDQA64Zrrkz
+    10U,	// VMOVDQA64Zrrkz_alt
     0U,	// VMOVDQAYmr
     0U,	// VMOVDQAYrm
     0U,	// VMOVDQAYrr
@@ -9426,18 +10434,138 @@
     0U,	// VMOVDQArm
     0U,	// VMOVDQArr
     0U,	// VMOVDQArr_REV
-    0U,	// VMOVDQU32mr
-    0U,	// VMOVDQU32rm
-    170U,	// VMOVDQU32rmk
-    0U,	// VMOVDQU32rr
-    42U,	// VMOVDQU32rrk
-    12U,	// VMOVDQU32rrkz
-    0U,	// VMOVDQU64mr
-    0U,	// VMOVDQU64rm
-    170U,	// VMOVDQU64rmk
-    0U,	// VMOVDQU64rr
-    42U,	// VMOVDQU64rrk
-    12U,	// VMOVDQU64rrkz
+    0U,	// VMOVDQU16Z128mr
+    2U,	// VMOVDQU16Z128mrk
+    0U,	// VMOVDQU16Z128rm
+    170U,	// VMOVDQU16Z128rmk
+    98U,	// VMOVDQU16Z128rmkz
+    0U,	// VMOVDQU16Z128rr
+    0U,	// VMOVDQU16Z128rr_alt
+    42U,	// VMOVDQU16Z128rrk
+    42U,	// VMOVDQU16Z128rrk_alt
+    10U,	// VMOVDQU16Z128rrkz
+    10U,	// VMOVDQU16Z128rrkz_alt
+    0U,	// VMOVDQU16Z256mr
+    2U,	// VMOVDQU16Z256mrk
+    0U,	// VMOVDQU16Z256rm
+    178U,	// VMOVDQU16Z256rmk
+    114U,	// VMOVDQU16Z256rmkz
+    0U,	// VMOVDQU16Z256rr
+    0U,	// VMOVDQU16Z256rr_alt
+    42U,	// VMOVDQU16Z256rrk
+    42U,	// VMOVDQU16Z256rrk_alt
+    10U,	// VMOVDQU16Z256rrkz
+    10U,	// VMOVDQU16Z256rrkz_alt
+    0U,	// VMOVDQU16Zmr
+    2U,	// VMOVDQU16Zmrk
+    0U,	// VMOVDQU16Zrm
+    186U,	// VMOVDQU16Zrmk
+    106U,	// VMOVDQU16Zrmkz
+    0U,	// VMOVDQU16Zrr
+    0U,	// VMOVDQU16Zrr_alt
+    42U,	// VMOVDQU16Zrrk
+    42U,	// VMOVDQU16Zrrk_alt
+    10U,	// VMOVDQU16Zrrkz
+    10U,	// VMOVDQU16Zrrkz_alt
+    0U,	// VMOVDQU32Z128mr
+    2U,	// VMOVDQU32Z128mrk
+    0U,	// VMOVDQU32Z128rm
+    170U,	// VMOVDQU32Z128rmk
+    98U,	// VMOVDQU32Z128rmkz
+    0U,	// VMOVDQU32Z128rr
+    0U,	// VMOVDQU32Z128rr_alt
+    42U,	// VMOVDQU32Z128rrk
+    42U,	// VMOVDQU32Z128rrk_alt
+    10U,	// VMOVDQU32Z128rrkz
+    10U,	// VMOVDQU32Z128rrkz_alt
+    0U,	// VMOVDQU32Z256mr
+    2U,	// VMOVDQU32Z256mrk
+    0U,	// VMOVDQU32Z256rm
+    178U,	// VMOVDQU32Z256rmk
+    114U,	// VMOVDQU32Z256rmkz
+    0U,	// VMOVDQU32Z256rr
+    0U,	// VMOVDQU32Z256rr_alt
+    42U,	// VMOVDQU32Z256rrk
+    42U,	// VMOVDQU32Z256rrk_alt
+    10U,	// VMOVDQU32Z256rrkz
+    10U,	// VMOVDQU32Z256rrkz_alt
+    0U,	// VMOVDQU32Zmr
+    2U,	// VMOVDQU32Zmrk
+    0U,	// VMOVDQU32Zrm
+    186U,	// VMOVDQU32Zrmk
+    106U,	// VMOVDQU32Zrmkz
+    0U,	// VMOVDQU32Zrr
+    0U,	// VMOVDQU32Zrr_alt
+    42U,	// VMOVDQU32Zrrk
+    42U,	// VMOVDQU32Zrrk_alt
+    10U,	// VMOVDQU32Zrrkz
+    10U,	// VMOVDQU32Zrrkz_alt
+    0U,	// VMOVDQU64Z128mr
+    2U,	// VMOVDQU64Z128mrk
+    0U,	// VMOVDQU64Z128rm
+    170U,	// VMOVDQU64Z128rmk
+    98U,	// VMOVDQU64Z128rmkz
+    0U,	// VMOVDQU64Z128rr
+    0U,	// VMOVDQU64Z128rr_alt
+    42U,	// VMOVDQU64Z128rrk
+    42U,	// VMOVDQU64Z128rrk_alt
+    10U,	// VMOVDQU64Z128rrkz
+    10U,	// VMOVDQU64Z128rrkz_alt
+    0U,	// VMOVDQU64Z256mr
+    2U,	// VMOVDQU64Z256mrk
+    0U,	// VMOVDQU64Z256rm
+    178U,	// VMOVDQU64Z256rmk
+    114U,	// VMOVDQU64Z256rmkz
+    0U,	// VMOVDQU64Z256rr
+    0U,	// VMOVDQU64Z256rr_alt
+    42U,	// VMOVDQU64Z256rrk
+    42U,	// VMOVDQU64Z256rrk_alt
+    10U,	// VMOVDQU64Z256rrkz
+    10U,	// VMOVDQU64Z256rrkz_alt
+    0U,	// VMOVDQU64Zmr
+    2U,	// VMOVDQU64Zmrk
+    0U,	// VMOVDQU64Zrm
+    186U,	// VMOVDQU64Zrmk
+    106U,	// VMOVDQU64Zrmkz
+    0U,	// VMOVDQU64Zrr
+    0U,	// VMOVDQU64Zrr_alt
+    42U,	// VMOVDQU64Zrrk
+    42U,	// VMOVDQU64Zrrk_alt
+    10U,	// VMOVDQU64Zrrkz
+    10U,	// VMOVDQU64Zrrkz_alt
+    0U,	// VMOVDQU8Z128mr
+    2U,	// VMOVDQU8Z128mrk
+    0U,	// VMOVDQU8Z128rm
+    170U,	// VMOVDQU8Z128rmk
+    98U,	// VMOVDQU8Z128rmkz
+    0U,	// VMOVDQU8Z128rr
+    0U,	// VMOVDQU8Z128rr_alt
+    42U,	// VMOVDQU8Z128rrk
+    42U,	// VMOVDQU8Z128rrk_alt
+    10U,	// VMOVDQU8Z128rrkz
+    10U,	// VMOVDQU8Z128rrkz_alt
+    0U,	// VMOVDQU8Z256mr
+    2U,	// VMOVDQU8Z256mrk
+    0U,	// VMOVDQU8Z256rm
+    178U,	// VMOVDQU8Z256rmk
+    114U,	// VMOVDQU8Z256rmkz
+    0U,	// VMOVDQU8Z256rr
+    0U,	// VMOVDQU8Z256rr_alt
+    42U,	// VMOVDQU8Z256rrk
+    42U,	// VMOVDQU8Z256rrk_alt
+    10U,	// VMOVDQU8Z256rrkz
+    10U,	// VMOVDQU8Z256rrkz_alt
+    0U,	// VMOVDQU8Zmr
+    2U,	// VMOVDQU8Zmrk
+    0U,	// VMOVDQU8Zrm
+    186U,	// VMOVDQU8Zrmk
+    106U,	// VMOVDQU8Zrmkz
+    0U,	// VMOVDQU8Zrr
+    0U,	// VMOVDQU8Zrr_alt
+    42U,	// VMOVDQU8Zrrk
+    42U,	// VMOVDQU8Zrrk_alt
+    10U,	// VMOVDQU8Zrrkz
+    10U,	// VMOVDQU8Zrrkz_alt
     0U,	// VMOVDQUYmr
     0U,	// VMOVDQUYrm
     0U,	// VMOVDQUYrr
@@ -9463,12 +10591,24 @@
     0U,	// VMOVMSKPSYrr
     0U,	// VMOVMSKPSrr
     0U,	// VMOVNTDQAYrm
+    0U,	// VMOVNTDQAZ128rm
+    0U,	// VMOVNTDQAZ256rm
+    0U,	// VMOVNTDQAZrm
     0U,	// VMOVNTDQArm
     0U,	// VMOVNTDQYmr
+    0U,	// VMOVNTDQZ128mr
+    0U,	// VMOVNTDQZ256mr
+    0U,	// VMOVNTDQZmr
     0U,	// VMOVNTDQmr
     0U,	// VMOVNTPDYmr
+    0U,	// VMOVNTPDZ128mr
+    0U,	// VMOVNTPDZ256mr
+    0U,	// VMOVNTPDZmr
     0U,	// VMOVNTPDmr
     0U,	// VMOVNTPSYmr
+    0U,	// VMOVNTPSZ128mr
+    0U,	// VMOVNTPSZ256mr
+    0U,	// VMOVNTPSZmr
     0U,	// VMOVNTPSmr
     0U,	// VMOVPDI2DIZmr
     0U,	// VMOVPDI2DIZrr
@@ -9485,7 +10625,7 @@
     0U,	// VMOVSDZrm
     8U,	// VMOVSDZrr
     8U,	// VMOVSDZrr_REV
-    14634U,	// VMOVSDZrrk
+    76074U,	// VMOVSDZrrk
     0U,	// VMOVSDmr
     0U,	// VMOVSDrm
     8U,	// VMOVSDrr
@@ -9514,7 +10654,7 @@
     0U,	// VMOVSSZrm
     8U,	// VMOVSSZrr
     8U,	// VMOVSSZrr_REV
-    14634U,	// VMOVSSZrrk
+    76074U,	// VMOVSSZrrk
     0U,	// VMOVSSmr
     0U,	// VMOVSSrm
     8U,	// VMOVSSrr
@@ -9523,11 +10663,39 @@
     0U,	// VMOVUPDYrm
     0U,	// VMOVUPDYrr
     0U,	// VMOVUPDYrr_REV
+    0U,	// VMOVUPDZ128mr
+    2U,	// VMOVUPDZ128mrk
+    0U,	// VMOVUPDZ128rm
+    154U,	// VMOVUPDZ128rmk
+    90U,	// VMOVUPDZ128rmkz
+    0U,	// VMOVUPDZ128rr
+    0U,	// VMOVUPDZ128rr_alt
+    42U,	// VMOVUPDZ128rrk
+    42U,	// VMOVUPDZ128rrk_alt
+    10U,	// VMOVUPDZ128rrkz
+    10U,	// VMOVUPDZ128rrkz_alt
+    0U,	// VMOVUPDZ256mr
+    2U,	// VMOVUPDZ256mrk
+    0U,	// VMOVUPDZ256rm
+    162U,	// VMOVUPDZ256rmk
+    74U,	// VMOVUPDZ256rmkz
+    0U,	// VMOVUPDZ256rr
+    0U,	// VMOVUPDZ256rr_alt
+    42U,	// VMOVUPDZ256rrk
+    42U,	// VMOVUPDZ256rrk_alt
+    10U,	// VMOVUPDZ256rrkz
+    10U,	// VMOVUPDZ256rrkz_alt
     0U,	// VMOVUPDZmr
+    2U,	// VMOVUPDZmrk
     0U,	// VMOVUPDZrm
     130U,	// VMOVUPDZrmk
+    82U,	// VMOVUPDZrmkz
     0U,	// VMOVUPDZrr
+    0U,	// VMOVUPDZrr_alt
     42U,	// VMOVUPDZrrk
+    42U,	// VMOVUPDZrrk_alt
+    10U,	// VMOVUPDZrrkz
+    10U,	// VMOVUPDZrrkz_alt
     0U,	// VMOVUPDmr
     0U,	// VMOVUPDrm
     0U,	// VMOVUPDrr
@@ -9536,11 +10704,39 @@
     0U,	// VMOVUPSYrm
     0U,	// VMOVUPSYrr
     0U,	// VMOVUPSYrr_REV
+    0U,	// VMOVUPSZ128mr
+    2U,	// VMOVUPSZ128mrk
+    0U,	// VMOVUPSZ128rm
+    154U,	// VMOVUPSZ128rmk
+    90U,	// VMOVUPSZ128rmkz
+    0U,	// VMOVUPSZ128rr
+    0U,	// VMOVUPSZ128rr_alt
+    42U,	// VMOVUPSZ128rrk
+    42U,	// VMOVUPSZ128rrk_alt
+    10U,	// VMOVUPSZ128rrkz
+    10U,	// VMOVUPSZ128rrkz_alt
+    0U,	// VMOVUPSZ256mr
+    2U,	// VMOVUPSZ256mrk
+    0U,	// VMOVUPSZ256rm
+    162U,	// VMOVUPSZ256rmk
+    74U,	// VMOVUPSZ256rmkz
+    0U,	// VMOVUPSZ256rr
+    0U,	// VMOVUPSZ256rr_alt
+    42U,	// VMOVUPSZ256rrk
+    42U,	// VMOVUPSZ256rrk_alt
+    10U,	// VMOVUPSZ256rrkz
+    10U,	// VMOVUPSZ256rrkz_alt
     0U,	// VMOVUPSZmr
+    2U,	// VMOVUPSZmrk
     0U,	// VMOVUPSZrm
     130U,	// VMOVUPSZrmk
+    82U,	// VMOVUPSZrmkz
     0U,	// VMOVUPSZrr
+    0U,	// VMOVUPSZrr_alt
     42U,	// VMOVUPSZrrk
+    42U,	// VMOVUPSZrrk_alt
+    10U,	// VMOVUPSZrrkz
+    10U,	// VMOVUPSZrrkz_alt
     0U,	// VMOVUPSmr
     0U,	// VMOVUPSrm
     0U,	// VMOVUPSrr
@@ -9551,10 +10747,10 @@
     0U,	// VMOVZPQILo2PQIrr
     0U,	// VMOVZQI2PQIrm
     0U,	// VMOVZQI2PQIrr
-    33144U,	// VMPSADBWYrmi
-    35080U,	// VMPSADBWYrri
-    33120U,	// VMPSADBWrmi
-    35080U,	// VMPSADBWrri
+    65904U,	// VMPSADBWYrmi
+    71944U,	// VMPSADBWYrri
+    65888U,	// VMPSADBWrmi
+    71944U,	// VMPSADBWrri
     0U,	// VMPTRLDm
     0U,	// VMPTRSTm
     0U,	// VMREAD32rm
@@ -9570,14 +10766,26 @@
     8U,	// VMULPDYrr
     80U,	// VMULPDZrm
     568U,	// VMULPDZrmb
+    35082U,	// VMULPDZrmbk
+    35082U,	// VMULPDZrmbkz
+    4362U,	// VMULPDZrmk
+    4362U,	// VMULPDZrmkz
     8U,	// VMULPDZrr
+    71946U,	// VMULPDZrrk
+    71946U,	// VMULPDZrrkz
     88U,	// VMULPDrm
     8U,	// VMULPDrr
     72U,	// VMULPSYrm
     8U,	// VMULPSYrr
     80U,	// VMULPSZrm
     832U,	// VMULPSZrmb
+    106762U,	// VMULPSZrmbk
+    106762U,	// VMULPSZrmbkz
+    4362U,	// VMULPSZrmk
+    4362U,	// VMULPSZrmkz
     8U,	// VMULPSZrr
+    71946U,	// VMULPSZrrk
+    71946U,	// VMULPSZrrkz
     88U,	// VMULPSrm
     8U,	// VMULPSrr
     56U,	// VMULSDZrm
@@ -9610,131 +10818,181 @@
     0U,	// VPABSBrm256
     0U,	// VPABSBrr128
     0U,	// VPABSBrr256
-    0U,	// VPABSDrm
+    0U,	// VPABSDZrm
+    4U,	// VPABSDZrmb
+    786U,	// VPABSDZrmbk
+    786U,	// VPABSDZrmbkz
+    106U,	// VPABSDZrmk
+    106U,	// VPABSDZrmkz
+    0U,	// VPABSDZrr
+    10U,	// VPABSDZrrk
+    10U,	// VPABSDZrrkz
     0U,	// VPABSDrm128
     0U,	// VPABSDrm256
-    0U,	// VPABSDrr
     0U,	// VPABSDrr128
     0U,	// VPABSDrr256
-    0U,	// VPABSQrm
-    0U,	// VPABSQrr
+    0U,	// VPABSQZrm
+    5U,	// VPABSQZrmb
+    538U,	// VPABSQZrmbk
+    538U,	// VPABSQZrmbkz
+    106U,	// VPABSQZrmk
+    106U,	// VPABSQZrmkz
+    0U,	// VPABSQZrr
+    10U,	// VPABSQZrrk
+    10U,	// VPABSQZrrkz
     0U,	// VPABSWrm128
     0U,	// VPABSWrm256
     0U,	// VPABSWrr128
     0U,	// VPABSWrr256
-    120U,	// VPACKSSDWYrm
+    112U,	// VPACKSSDWYrm
     8U,	// VPACKSSDWYrr
     96U,	// VPACKSSDWrm
     8U,	// VPACKSSDWrr
-    120U,	// VPACKSSWBYrm
+    112U,	// VPACKSSWBYrm
     8U,	// VPACKSSWBYrr
     96U,	// VPACKSSWBrm
     8U,	// VPACKSSWBrr
-    120U,	// VPACKUSDWYrm
+    112U,	// VPACKUSDWYrm
     8U,	// VPACKUSDWYrr
     96U,	// VPACKUSDWrm
     8U,	// VPACKUSDWrr
-    120U,	// VPACKUSWBYrm
+    112U,	// VPACKUSWBYrm
     8U,	// VPACKUSWBYrr
     96U,	// VPACKUSWBrm
     8U,	// VPACKUSWBrr
-    120U,	// VPADDBYrm
+    112U,	// VPADDBYrm
     8U,	// VPADDBYrr
     96U,	// VPADDBrm
     8U,	// VPADDBrr
-    120U,	// VPADDDYrm
+    112U,	// VPADDDYrm
     8U,	// VPADDDYrr
     104U,	// VPADDDZrm
     784U,	// VPADDDZrmb
+    16682U,	// VPADDDZrmbk
+    117002U,	// VPADDDZrmbkz
+    20778U,	// VPADDDZrmk
+    88330U,	// VPADDDZrmkz
     8U,	// VPADDDZrr
+    76074U,	// VPADDDZrrk
+    71946U,	// VPADDDZrrkz
     96U,	// VPADDDrm
     8U,	// VPADDDrr
-    120U,	// VPADDQYrm
+    112U,	// VPADDQYrm
     8U,	// VPADDQYrr
     104U,	// VPADDQZrm
     536U,	// VPADDQZrmb
+    24874U,	// VPADDQZrmbk
+    59658U,	// VPADDQZrmbkz
+    20778U,	// VPADDQZrmk
+    88330U,	// VPADDQZrmkz
     8U,	// VPADDQZrr
+    76074U,	// VPADDQZrrk
+    71946U,	// VPADDQZrrkz
     96U,	// VPADDQrm
     8U,	// VPADDQrr
-    120U,	// VPADDSBYrm
+    112U,	// VPADDSBYrm
     8U,	// VPADDSBYrr
     96U,	// VPADDSBrm
     8U,	// VPADDSBrr
-    120U,	// VPADDSWYrm
+    112U,	// VPADDSWYrm
     8U,	// VPADDSWYrr
     96U,	// VPADDSWrm
     8U,	// VPADDSWrr
-    120U,	// VPADDUSBYrm
+    112U,	// VPADDUSBYrm
     8U,	// VPADDUSBYrr
     96U,	// VPADDUSBrm
     8U,	// VPADDUSBrr
-    120U,	// VPADDUSWYrm
+    112U,	// VPADDUSWYrm
     8U,	// VPADDUSWYrr
     96U,	// VPADDUSWrm
     8U,	// VPADDUSWrr
-    120U,	// VPADDWYrm
+    112U,	// VPADDWYrm
     8U,	// VPADDWYrr
     96U,	// VPADDWrm
     8U,	// VPADDWrr
-    33120U,	// VPALIGNR128rm
-    35080U,	// VPALIGNR128rr
-    33144U,	// VPALIGNR256rm
-    35080U,	// VPALIGNR256rr
+    65888U,	// VPALIGNR128rm
+    71944U,	// VPALIGNR128rr
+    65904U,	// VPALIGNR256rm
+    71944U,	// VPALIGNR256rr
     104U,	// VPANDDZrm
     784U,	// VPANDDZrmb
+    16682U,	// VPANDDZrmbk
+    117002U,	// VPANDDZrmbkz
+    20778U,	// VPANDDZrmk
+    88330U,	// VPANDDZrmkz
     8U,	// VPANDDZrr
+    76074U,	// VPANDDZrrk
+    71946U,	// VPANDDZrrkz
     104U,	// VPANDNDZrm
     784U,	// VPANDNDZrmb
+    16682U,	// VPANDNDZrmbk
+    117002U,	// VPANDNDZrmbkz
+    20778U,	// VPANDNDZrmk
+    88330U,	// VPANDNDZrmkz
     8U,	// VPANDNDZrr
+    76074U,	// VPANDNDZrrk
+    71946U,	// VPANDNDZrrkz
     104U,	// VPANDNQZrm
     536U,	// VPANDNQZrmb
+    24874U,	// VPANDNQZrmbk
+    59658U,	// VPANDNQZrmbkz
+    20778U,	// VPANDNQZrmk
+    88330U,	// VPANDNQZrmkz
     8U,	// VPANDNQZrr
-    120U,	// VPANDNYrm
+    76074U,	// VPANDNQZrrk
+    71946U,	// VPANDNQZrrkz
+    112U,	// VPANDNYrm
     8U,	// VPANDNYrr
     96U,	// VPANDNrm
     8U,	// VPANDNrr
     104U,	// VPANDQZrm
     536U,	// VPANDQZrmb
+    24874U,	// VPANDQZrmbk
+    59658U,	// VPANDQZrmbkz
+    20778U,	// VPANDQZrmk
+    88330U,	// VPANDQZrmkz
     8U,	// VPANDQZrr
-    120U,	// VPANDYrm
+    76074U,	// VPANDQZrrk
+    71946U,	// VPANDQZrrkz
+    112U,	// VPANDYrm
     8U,	// VPANDYrr
     96U,	// VPANDrm
     8U,	// VPANDrr
-    120U,	// VPAVGBYrm
+    112U,	// VPAVGBYrm
     8U,	// VPAVGBYrr
     96U,	// VPAVGBrm
     8U,	// VPAVGBrr
-    120U,	// VPAVGWYrm
+    112U,	// VPAVGWYrm
     8U,	// VPAVGWYrr
     96U,	// VPAVGWrm
     8U,	// VPAVGWrr
-    33144U,	// VPBLENDDYrmi
-    35080U,	// VPBLENDDYrri
-    33120U,	// VPBLENDDrmi
-    35080U,	// VPBLENDDrri
+    65904U,	// VPBLENDDYrmi
+    71944U,	// VPBLENDDYrri
+    65888U,	// VPBLENDDrmi
+    71944U,	// VPBLENDDrri
     4362U,	// VPBLENDMDZrm
-    35082U,	// VPBLENDMDZrr
+    71946U,	// VPBLENDMDZrr
     4362U,	// VPBLENDMQZrm
-    35082U,	// VPBLENDMQZrr
-    33144U,	// VPBLENDVBYrm
-    35080U,	// VPBLENDVBYrr
-    33120U,	// VPBLENDVBrm
-    35080U,	// VPBLENDVBrr
-    33144U,	// VPBLENDWYrmi
-    35080U,	// VPBLENDWYrri
-    33120U,	// VPBLENDWrmi
-    35080U,	// VPBLENDWrri
+    71946U,	// VPBLENDMQZrr
+    65904U,	// VPBLENDVBYrm
+    71944U,	// VPBLENDVBYrr
+    65888U,	// VPBLENDVBrm
+    71944U,	// VPBLENDVBrr
+    65904U,	// VPBLENDWYrmi
+    71944U,	// VPBLENDWYrri
+    65888U,	// VPBLENDWrmi
+    71944U,	// VPBLENDWrri
     0U,	// VPBROADCASTBYrm
     0U,	// VPBROADCASTBYrr
     0U,	// VPBROADCASTBrm
     0U,	// VPBROADCASTBrr
     0U,	// VPBROADCASTDYrm
     0U,	// VPBROADCASTDYrr
-    20U,	// VPBROADCASTDZkrm
-    12U,	// VPBROADCASTDZkrr
+    18U,	// VPBROADCASTDZkrm
+    10U,	// VPBROADCASTDZkrr
     0U,	// VPBROADCASTDZrm
     0U,	// VPBROADCASTDZrr
-    12U,	// VPBROADCASTDrZkrr
+    10U,	// VPBROADCASTDrZkrr
     0U,	// VPBROADCASTDrZrr
     0U,	// VPBROADCASTDrm
     0U,	// VPBROADCASTDrr
@@ -9742,11 +11000,11 @@
     0U,	// VPBROADCASTMW2Drr
     0U,	// VPBROADCASTQYrm
     0U,	// VPBROADCASTQYrr
-    28U,	// VPBROADCASTQZkrm
-    12U,	// VPBROADCASTQZkrr
+    26U,	// VPBROADCASTQZkrm
+    10U,	// VPBROADCASTQZkrr
     0U,	// VPBROADCASTQZrm
     0U,	// VPBROADCASTQZrr
-    12U,	// VPBROADCASTQrZkrr
+    10U,	// VPBROADCASTQrZkrr
     0U,	// VPBROADCASTQrZrr
     0U,	// VPBROADCASTQrm
     0U,	// VPBROADCASTQrr
@@ -9754,36 +11012,94 @@
     0U,	// VPBROADCASTWYrr
     0U,	// VPBROADCASTWrm
     0U,	// VPBROADCASTWrr
-    33120U,	// VPCLMULQDQrm
-    35080U,	// VPCLMULQDQrr
-    33120U,	// VPCMOVmr
-    33096U,	// VPCMOVmrY
-    16648U,	// VPCMOVrm
-    18696U,	// VPCMOVrmY
-    35080U,	// VPCMOVrr
-    35080U,	// VPCMOVrrY
+    65888U,	// VPCLMULQDQrm
+    71944U,	// VPCLMULQDQrr
+    65888U,	// VPCMOVmr
+    65864U,	// VPCMOVmrY
+    28936U,	// VPCMOVrm
+    30984U,	// VPCMOVrmY
+    71944U,	// VPCMOVrr
+    71944U,	// VPCMOVrrY
     0U,	// VPCMPDZrmi
-    33128U,	// VPCMPDZrmi_alt
+    65896U,	// VPCMPDZrmi_alt
+    22794U,	// VPCMPDZrmik_alt
     0U,	// VPCMPDZrri
-    35080U,	// VPCMPDZrri_alt
-    120U,	// VPCMPEQBYrm
+    71944U,	// VPCMPDZrri_alt
+    530698U,	// VPCMPDZrrik_alt
+    112U,	// VPCMPEQBYrm
     8U,	// VPCMPEQBYrr
+    96U,	// VPCMPEQBZ128rm
+    28938U,	// VPCMPEQBZ128rmk
+    8U,	// VPCMPEQBZ128rr
+    71946U,	// VPCMPEQBZ128rrk
+    112U,	// VPCMPEQBZ256rm
+    30986U,	// VPCMPEQBZ256rmk
+    8U,	// VPCMPEQBZ256rr
+    71946U,	// VPCMPEQBZ256rrk
+    104U,	// VPCMPEQBZrm
+    88330U,	// VPCMPEQBZrmk
+    8U,	// VPCMPEQBZrr
+    71946U,	// VPCMPEQBZrrk
     96U,	// VPCMPEQBrm
     8U,	// VPCMPEQBrr
-    120U,	// VPCMPEQDYrm
+    112U,	// VPCMPEQDYrm
     8U,	// VPCMPEQDYrr
+    96U,	// VPCMPEQDZ128rm
+    1040U,	// VPCMPEQDZ128rmb
+    149770U,	// VPCMPEQDZ128rmbk
+    28938U,	// VPCMPEQDZ128rmk
+    8U,	// VPCMPEQDZ128rr
+    71946U,	// VPCMPEQDZ128rrk
+    112U,	// VPCMPEQDZ256rm
+    528U,	// VPCMPEQDZ256rmb
+    51466U,	// VPCMPEQDZ256rmbk
+    30986U,	// VPCMPEQDZ256rmk
+    8U,	// VPCMPEQDZ256rr
+    71946U,	// VPCMPEQDZ256rrk
     104U,	// VPCMPEQDZrm
+    784U,	// VPCMPEQDZrmb
+    117002U,	// VPCMPEQDZrmbk
+    88330U,	// VPCMPEQDZrmk
     8U,	// VPCMPEQDZrr
+    71946U,	// VPCMPEQDZrrk
     96U,	// VPCMPEQDrm
     8U,	// VPCMPEQDrr
-    120U,	// VPCMPEQQYrm
+    112U,	// VPCMPEQQYrm
     8U,	// VPCMPEQQYrr
+    96U,	// VPCMPEQQZ128rm
+    1304U,	// VPCMPEQQZ128rmb
+    190730U,	// VPCMPEQQZ128rmbk
+    28938U,	// VPCMPEQQZ128rmk
+    8U,	// VPCMPEQQZ128rr
+    71946U,	// VPCMPEQQZ128rrk
+    112U,	// VPCMPEQQZ256rm
+    1048U,	// VPCMPEQQZ256rmb
+    157962U,	// VPCMPEQQZ256rmbk
+    30986U,	// VPCMPEQQZ256rmk
+    8U,	// VPCMPEQQZ256rr
+    71946U,	// VPCMPEQQZ256rrk
     104U,	// VPCMPEQQZrm
+    536U,	// VPCMPEQQZrmb
+    59658U,	// VPCMPEQQZrmbk
+    88330U,	// VPCMPEQQZrmk
     8U,	// VPCMPEQQZrr
+    71946U,	// VPCMPEQQZrrk
     96U,	// VPCMPEQQrm
     8U,	// VPCMPEQQrr
-    120U,	// VPCMPEQWYrm
+    112U,	// VPCMPEQWYrm
     8U,	// VPCMPEQWYrr
+    96U,	// VPCMPEQWZ128rm
+    28938U,	// VPCMPEQWZ128rmk
+    8U,	// VPCMPEQWZ128rr
+    71946U,	// VPCMPEQWZ128rrk
+    112U,	// VPCMPEQWZ256rm
+    30986U,	// VPCMPEQWZ256rmk
+    8U,	// VPCMPEQWZ256rr
+    71946U,	// VPCMPEQWZ256rrk
+    104U,	// VPCMPEQWZrm
+    88330U,	// VPCMPEQWZrmk
+    8U,	// VPCMPEQWZrr
+    71946U,	// VPCMPEQWZrrk
     96U,	// VPCMPEQWrm
     8U,	// VPCMPEQWrr
     0U,	// VPCMPESTRIMEM
@@ -9794,24 +11110,80 @@
     0U,	// VPCMPESTRM128REG
     0U,	// VPCMPESTRM128rm
     8U,	// VPCMPESTRM128rr
-    120U,	// VPCMPGTBYrm
+    112U,	// VPCMPGTBYrm
     8U,	// VPCMPGTBYrr
+    96U,	// VPCMPGTBZ128rm
+    28938U,	// VPCMPGTBZ128rmk
+    8U,	// VPCMPGTBZ128rr
+    71946U,	// VPCMPGTBZ128rrk
+    112U,	// VPCMPGTBZ256rm
+    30986U,	// VPCMPGTBZ256rmk
+    8U,	// VPCMPGTBZ256rr
+    71946U,	// VPCMPGTBZ256rrk
+    104U,	// VPCMPGTBZrm
+    88330U,	// VPCMPGTBZrmk
+    8U,	// VPCMPGTBZrr
+    71946U,	// VPCMPGTBZrrk
     96U,	// VPCMPGTBrm
     8U,	// VPCMPGTBrr
-    120U,	// VPCMPGTDYrm
+    112U,	// VPCMPGTDYrm
     8U,	// VPCMPGTDYrr
+    96U,	// VPCMPGTDZ128rm
+    1040U,	// VPCMPGTDZ128rmb
+    149770U,	// VPCMPGTDZ128rmbk
+    28938U,	// VPCMPGTDZ128rmk
+    8U,	// VPCMPGTDZ128rr
+    71946U,	// VPCMPGTDZ128rrk
+    112U,	// VPCMPGTDZ256rm
+    528U,	// VPCMPGTDZ256rmb
+    51466U,	// VPCMPGTDZ256rmbk
+    30986U,	// VPCMPGTDZ256rmk
+    8U,	// VPCMPGTDZ256rr
+    71946U,	// VPCMPGTDZ256rrk
     104U,	// VPCMPGTDZrm
+    784U,	// VPCMPGTDZrmb
+    117002U,	// VPCMPGTDZrmbk
+    88330U,	// VPCMPGTDZrmk
     8U,	// VPCMPGTDZrr
+    71946U,	// VPCMPGTDZrrk
     96U,	// VPCMPGTDrm
     8U,	// VPCMPGTDrr
-    120U,	// VPCMPGTQYrm
+    112U,	// VPCMPGTQYrm
     8U,	// VPCMPGTQYrr
+    96U,	// VPCMPGTQZ128rm
+    1304U,	// VPCMPGTQZ128rmb
+    190730U,	// VPCMPGTQZ128rmbk
+    28938U,	// VPCMPGTQZ128rmk
+    8U,	// VPCMPGTQZ128rr
+    71946U,	// VPCMPGTQZ128rrk
+    112U,	// VPCMPGTQZ256rm
+    1048U,	// VPCMPGTQZ256rmb
+    157962U,	// VPCMPGTQZ256rmbk
+    30986U,	// VPCMPGTQZ256rmk
+    8U,	// VPCMPGTQZ256rr
+    71946U,	// VPCMPGTQZ256rrk
     104U,	// VPCMPGTQZrm
+    536U,	// VPCMPGTQZrmb
+    59658U,	// VPCMPGTQZrmbk
+    88330U,	// VPCMPGTQZrmk
     8U,	// VPCMPGTQZrr
+    71946U,	// VPCMPGTQZrrk
     96U,	// VPCMPGTQrm
     8U,	// VPCMPGTQrr
-    120U,	// VPCMPGTWYrm
+    112U,	// VPCMPGTWYrm
     8U,	// VPCMPGTWYrr
+    96U,	// VPCMPGTWZ128rm
+    28938U,	// VPCMPGTWZ128rmk
+    8U,	// VPCMPGTWZ128rr
+    71946U,	// VPCMPGTWZ128rrk
+    112U,	// VPCMPGTWZ256rm
+    30986U,	// VPCMPGTWZ256rmk
+    8U,	// VPCMPGTWZ256rr
+    71946U,	// VPCMPGTWZ256rrk
+    104U,	// VPCMPGTWZrm
+    88330U,	// VPCMPGTWZrmk
+    8U,	// VPCMPGTWZrr
+    71946U,	// VPCMPGTWZrrk
     96U,	// VPCMPGTWrm
     8U,	// VPCMPGTWrr
     0U,	// VPCMPISTRIMEM
@@ -9823,82 +11195,104 @@
     0U,	// VPCMPISTRM128rm
     8U,	// VPCMPISTRM128rr
     0U,	// VPCMPQZrmi
-    33128U,	// VPCMPQZrmi_alt
+    65896U,	// VPCMPQZrmi_alt
+    22794U,	// VPCMPQZrmik_alt
     0U,	// VPCMPQZrri
-    35080U,	// VPCMPQZrri_alt
+    71944U,	// VPCMPQZrri_alt
+    530698U,	// VPCMPQZrrik_alt
     0U,	// VPCMPUDZrmi
-    33128U,	// VPCMPUDZrmi_alt
+    65896U,	// VPCMPUDZrmi_alt
+    22794U,	// VPCMPUDZrmik_alt
     0U,	// VPCMPUDZrri
-    35080U,	// VPCMPUDZrri_alt
+    71944U,	// VPCMPUDZrri_alt
+    530698U,	// VPCMPUDZrrik_alt
     0U,	// VPCMPUQZrmi
-    33128U,	// VPCMPUQZrmi_alt
+    65896U,	// VPCMPUQZrmi_alt
+    22794U,	// VPCMPUQZrmik_alt
     0U,	// VPCMPUQZrri
-    35080U,	// VPCMPUQZrri_alt
-    33120U,	// VPCOMBmi
-    35080U,	// VPCOMBri
-    33120U,	// VPCOMDmi
-    35080U,	// VPCOMDri
-    33120U,	// VPCOMQmi
-    35080U,	// VPCOMQri
-    33120U,	// VPCOMUBmi
-    35080U,	// VPCOMUBri
-    33120U,	// VPCOMUDmi
-    35080U,	// VPCOMUDri
-    33120U,	// VPCOMUQmi
-    35080U,	// VPCOMUQri
-    33120U,	// VPCOMUWmi
-    35080U,	// VPCOMUWri
-    33120U,	// VPCOMWmi
-    35080U,	// VPCOMWri
+    71944U,	// VPCMPUQZrri_alt
+    530698U,	// VPCMPUQZrrik_alt
+    65888U,	// VPCOMBmi
+    71944U,	// VPCOMBri
+    65888U,	// VPCOMDmi
+    71944U,	// VPCOMDri
+    65888U,	// VPCOMQmi
+    71944U,	// VPCOMQri
+    65888U,	// VPCOMUBmi
+    71944U,	// VPCOMUBri
+    65888U,	// VPCOMUDmi
+    71944U,	// VPCOMUDri
+    65888U,	// VPCOMUQmi
+    71944U,	// VPCOMUQri
+    65888U,	// VPCOMUWmi
+    71944U,	// VPCOMUWri
+    65888U,	// VPCOMWmi
+    71944U,	// VPCOMWri
     0U,	// VPCONFLICTDrm
     4U,	// VPCONFLICTDrmb
-    178U,	// VPCONFLICTDrmbk
-    788U,	// VPCONFLICTDrmbkz
-    170U,	// VPCONFLICTDrmk
-    108U,	// VPCONFLICTDrmkz
+    194U,	// VPCONFLICTDrmbk
+    786U,	// VPCONFLICTDrmbkz
+    186U,	// VPCONFLICTDrmk
+    106U,	// VPCONFLICTDrmkz
     0U,	// VPCONFLICTDrr
     42U,	// VPCONFLICTDrrk
-    12U,	// VPCONFLICTDrrkz
+    10U,	// VPCONFLICTDrrkz
     0U,	// VPCONFLICTQrm
     5U,	// VPCONFLICTQrmb
-    186U,	// VPCONFLICTQrmbk
-    540U,	// VPCONFLICTQrmbkz
-    170U,	// VPCONFLICTQrmk
-    108U,	// VPCONFLICTQrmkz
+    202U,	// VPCONFLICTQrmbk
+    538U,	// VPCONFLICTQrmbkz
+    186U,	// VPCONFLICTQrmk
+    106U,	// VPCONFLICTQrmkz
     0U,	// VPCONFLICTQrr
     42U,	// VPCONFLICTQrrk
-    12U,	// VPCONFLICTQrrkz
-    33096U,	// VPERM2F128rm
-    35080U,	// VPERM2F128rr
-    33096U,	// VPERM2I128rm
-    35080U,	// VPERM2I128rr
-    120U,	// VPERMDYrm
+    10U,	// VPCONFLICTQrrkz
+    65864U,	// VPERM2F128rm
+    71944U,	// VPERM2F128rr
+    65864U,	// VPERM2I128rm
+    71944U,	// VPERM2I128rr
+    112U,	// VPERMDYrm
     8U,	// VPERMDYrr
     104U,	// VPERMDZrm
     8U,	// VPERMDZrr
-    168U,	// VPERMI2Drm
+    184U,	// VPERMI2Drm
+    20778U,	// VPERMI2Drmk
+    20778U,	// VPERMI2Drmkz
     40U,	// VPERMI2Drr
-    168U,	// VPERMI2PDrm
+    76074U,	// VPERMI2Drrk
+    76074U,	// VPERMI2Drrkz
+    184U,	// VPERMI2PDrm
+    20778U,	// VPERMI2PDrmk
+    20778U,	// VPERMI2PDrmkz
     40U,	// VPERMI2PDrr
-    168U,	// VPERMI2PSrm
+    76074U,	// VPERMI2PDrrk
+    76074U,	// VPERMI2PDrrkz
+    184U,	// VPERMI2PSrm
+    20778U,	// VPERMI2PSrmk
+    20778U,	// VPERMI2PSrmkz
     40U,	// VPERMI2PSrr
-    168U,	// VPERMI2Qrm
+    76074U,	// VPERMI2PSrrk
+    76074U,	// VPERMI2PSrrkz
+    184U,	// VPERMI2Qrm
+    20778U,	// VPERMI2Qrmk
+    20778U,	// VPERMI2Qrmkz
     40U,	// VPERMI2Qrr
+    76074U,	// VPERMI2Qrrk
+    76074U,	// VPERMI2Qrrkz
     344U,	// VPERMIL2PDmr
     328U,	// VPERMIL2PDmrY
-    6408U,	// VPERMIL2PDrm
-    8456U,	// VPERMIL2PDrmY
-    67848U,	// VPERMIL2PDrr
-    67848U,	// VPERMIL2PDrrY
+    12552U,	// VPERMIL2PDrm
+    14600U,	// VPERMIL2PDrmY
+    530696U,	// VPERMIL2PDrr
+    530696U,	// VPERMIL2PDrrY
     344U,	// VPERMIL2PSmr
     328U,	// VPERMIL2PSmrY
-    6408U,	// VPERMIL2PSrm
-    8456U,	// VPERMIL2PSrmY
-    67848U,	// VPERMIL2PSrr
-    67848U,	// VPERMIL2PSrrY
+    12552U,	// VPERMIL2PSrm
+    14600U,	// VPERMIL2PSrmY
+    530696U,	// VPERMIL2PSrr
+    530696U,	// VPERMIL2PSrrY
     0U,	// VPERMILPDYmi
     8U,	// VPERMILPDYri
-    120U,	// VPERMILPDYrm
+    112U,	// VPERMILPDYrm
     8U,	// VPERMILPDYrr
     0U,	// VPERMILPDZmi
     8U,	// VPERMILPDZri
@@ -9908,7 +11302,7 @@
     8U,	// VPERMILPDrr
     0U,	// VPERMILPSYmi
     8U,	// VPERMILPSYri
-    120U,	// VPERMILPSYrm
+    112U,	// VPERMILPSYrm
     8U,	// VPERMILPSYrr
     0U,	// VPERMILPSZmi
     8U,	// VPERMILPSZri
@@ -9922,7 +11316,7 @@
     8U,	// VPERMPDZri
     80U,	// VPERMPDZrm
     8U,	// VPERMPDZrr
-    120U,	// VPERMPSYrm
+    112U,	// VPERMPSYrm
     8U,	// VPERMPSYrr
     80U,	// VPERMPSZrm
     8U,	// VPERMPSZrr
@@ -9932,14 +11326,30 @@
     8U,	// VPERMQZri
     104U,	// VPERMQZrm
     8U,	// VPERMQZrr
-    168U,	// VPERMT2Drm
+    184U,	// VPERMT2Drm
+    20778U,	// VPERMT2Drmk
+    20778U,	// VPERMT2Drmkz
     40U,	// VPERMT2Drr
-    168U,	// VPERMT2PDrm
+    76074U,	// VPERMT2Drrk
+    76074U,	// VPERMT2Drrkz
+    184U,	// VPERMT2PDrm
+    20778U,	// VPERMT2PDrmk
+    20778U,	// VPERMT2PDrmkz
     40U,	// VPERMT2PDrr
-    168U,	// VPERMT2PSrm
+    76074U,	// VPERMT2PDrrk
+    76074U,	// VPERMT2PDrrkz
+    184U,	// VPERMT2PSrm
+    20778U,	// VPERMT2PSrmk
+    20778U,	// VPERMT2PSrmkz
     40U,	// VPERMT2PSrr
-    168U,	// VPERMT2Qrm
+    76074U,	// VPERMT2PSrrk
+    76074U,	// VPERMT2PSrrkz
+    184U,	// VPERMT2Qrm
+    20778U,	// VPERMT2Qrmk
+    20778U,	// VPERMT2Qrmkz
     40U,	// VPERMT2Qrr
+    76074U,	// VPERMT2Qrrk
+    76074U,	// VPERMT2Qrrkz
     0U,	// VPEXTRBmr
     8U,	// VPEXTRBrr
     0U,	// VPEXTRDmr
@@ -9950,7 +11360,7 @@
     8U,	// VPEXTRWri
     8U,	// VPEXTRWrr_REV
     0U,	// VPGATHERDDYrm
-    3U,	// VPGATHERDDZrm
+    4U,	// VPGATHERDDZrm
     0U,	// VPGATHERDDrm
     0U,	// VPGATHERDQYrm
     3U,	// VPGATHERDQZrm
@@ -9969,12 +11379,12 @@
     0U,	// VPHADDBWrr
     0U,	// VPHADDDQrm
     0U,	// VPHADDDQrr
-    120U,	// VPHADDDYrm
+    112U,	// VPHADDDYrm
     8U,	// VPHADDDYrr
     96U,	// VPHADDDrm
     8U,	// VPHADDDrr
     96U,	// VPHADDSWrm128
-    120U,	// VPHADDSWrm256
+    112U,	// VPHADDSWrm256
     8U,	// VPHADDSWrr128
     8U,	// VPHADDSWrr256
     0U,	// VPHADDUBDrm
@@ -9993,7 +11403,7 @@
     0U,	// VPHADDWDrr
     0U,	// VPHADDWQrm
     0U,	// VPHADDWQrr
-    120U,	// VPHADDWYrm
+    112U,	// VPHADDWYrm
     8U,	// VPHADDWYrr
     96U,	// VPHADDWrm
     8U,	// VPHADDWrr
@@ -10003,182 +11413,276 @@
     0U,	// VPHSUBBWrr
     0U,	// VPHSUBDQrm
     0U,	// VPHSUBDQrr
-    120U,	// VPHSUBDYrm
+    112U,	// VPHSUBDYrm
     8U,	// VPHSUBDYrr
     96U,	// VPHSUBDrm
     8U,	// VPHSUBDrr
     96U,	// VPHSUBSWrm128
-    120U,	// VPHSUBSWrm256
+    112U,	// VPHSUBSWrm256
     8U,	// VPHSUBSWrr128
     8U,	// VPHSUBSWrr256
     0U,	// VPHSUBWDrm
     0U,	// VPHSUBWDrr
-    120U,	// VPHSUBWYrm
+    112U,	// VPHSUBWYrm
     8U,	// VPHSUBWYrr
     96U,	// VPHSUBWrm
     8U,	// VPHSUBWrr
-    192U,	// VPINSRBrm
-    35080U,	// VPINSRBrr
-    33040U,	// VPINSRDrm
-    35080U,	// VPINSRDrr
-    33048U,	// VPINSRQrm
-    35080U,	// VPINSRQrr
-    200U,	// VPINSRWrmi
-    35080U,	// VPINSRWrri
-    33120U,	// VPMACSDDrm
-    35080U,	// VPMACSDDrr
-    33120U,	// VPMACSDQHrm
-    35080U,	// VPMACSDQHrr
-    33120U,	// VPMACSDQLrm
-    35080U,	// VPMACSDQLrr
-    33120U,	// VPMACSSDDrm
-    35080U,	// VPMACSSDDrr
-    33120U,	// VPMACSSDQHrm
-    35080U,	// VPMACSSDQHrr
-    33120U,	// VPMACSSDQLrm
-    35080U,	// VPMACSSDQLrr
-    33120U,	// VPMACSSWDrm
-    35080U,	// VPMACSSWDrr
-    33120U,	// VPMACSSWWrm
-    35080U,	// VPMACSSWWrr
-    33120U,	// VPMACSWDrm
-    35080U,	// VPMACSWDrr
-    33120U,	// VPMACSWWrm
-    35080U,	// VPMACSWWrr
-    33120U,	// VPMADCSSWDrm
-    35080U,	// VPMADCSSWDrr
-    33120U,	// VPMADCSWDrm
-    35080U,	// VPMADCSWDrr
+    208U,	// VPINSRBrm
+    71944U,	// VPINSRBrr
+    65808U,	// VPINSRDrm
+    71944U,	// VPINSRDrr
+    65816U,	// VPINSRQrm
+    71944U,	// VPINSRQrr
+    216U,	// VPINSRWrmi
+    71944U,	// VPINSRWrri
+    0U,	// VPLZCNTDrm
+    4U,	// VPLZCNTDrmb
+    194U,	// VPLZCNTDrmbk
+    786U,	// VPLZCNTDrmbkz
+    186U,	// VPLZCNTDrmk
+    106U,	// VPLZCNTDrmkz
+    0U,	// VPLZCNTDrr
+    42U,	// VPLZCNTDrrk
+    10U,	// VPLZCNTDrrkz
+    0U,	// VPLZCNTQrm
+    5U,	// VPLZCNTQrmb
+    202U,	// VPLZCNTQrmbk
+    538U,	// VPLZCNTQrmbkz
+    186U,	// VPLZCNTQrmk
+    106U,	// VPLZCNTQrmkz
+    0U,	// VPLZCNTQrr
+    42U,	// VPLZCNTQrrk
+    10U,	// VPLZCNTQrrkz
+    65888U,	// VPMACSDDrm
+    71944U,	// VPMACSDDrr
+    65888U,	// VPMACSDQHrm
+    71944U,	// VPMACSDQHrr
+    65888U,	// VPMACSDQLrm
+    71944U,	// VPMACSDQLrr
+    65888U,	// VPMACSSDDrm
+    71944U,	// VPMACSSDDrr
+    65888U,	// VPMACSSDQHrm
+    71944U,	// VPMACSSDQHrr
+    65888U,	// VPMACSSDQLrm
+    71944U,	// VPMACSSDQLrr
+    65888U,	// VPMACSSWDrm
+    71944U,	// VPMACSSWDrr
+    65888U,	// VPMACSSWWrm
+    71944U,	// VPMACSSWWrr
+    65888U,	// VPMACSWDrm
+    71944U,	// VPMACSWDrr
+    65888U,	// VPMACSWWrm
+    71944U,	// VPMACSWWrr
+    65888U,	// VPMADCSSWDrm
+    71944U,	// VPMADCSSWDrr
+    65888U,	// VPMADCSWDrm
+    71944U,	// VPMADCSWDrr
     96U,	// VPMADDUBSWrm128
-    120U,	// VPMADDUBSWrm256
+    112U,	// VPMADDUBSWrm256
     8U,	// VPMADDUBSWrr128
     8U,	// VPMADDUBSWrr256
-    120U,	// VPMADDWDYrm
+    112U,	// VPMADDWDYrm
     8U,	// VPMADDWDYrr
     96U,	// VPMADDWDrm
     8U,	// VPMADDWDrr
     0U,	// VPMASKMOVDYmr
-    120U,	// VPMASKMOVDYrm
+    112U,	// VPMASKMOVDYrm
     0U,	// VPMASKMOVDmr
     96U,	// VPMASKMOVDrm
     0U,	// VPMASKMOVQYmr
-    120U,	// VPMASKMOVQYrm
+    112U,	// VPMASKMOVQYrm
     0U,	// VPMASKMOVQmr
     96U,	// VPMASKMOVQrm
-    120U,	// VPMAXSBYrm
+    112U,	// VPMAXSBYrm
     8U,	// VPMAXSBYrr
     96U,	// VPMAXSBrm
     8U,	// VPMAXSBrr
-    120U,	// VPMAXSDYrm
+    112U,	// VPMAXSDYrm
     8U,	// VPMAXSDYrr
     104U,	// VPMAXSDZrm
     784U,	// VPMAXSDZrmb
+    16682U,	// VPMAXSDZrmbk
+    117002U,	// VPMAXSDZrmbkz
+    20778U,	// VPMAXSDZrmk
+    88330U,	// VPMAXSDZrmkz
     8U,	// VPMAXSDZrr
+    76074U,	// VPMAXSDZrrk
+    71946U,	// VPMAXSDZrrkz
     96U,	// VPMAXSDrm
     8U,	// VPMAXSDrr
     104U,	// VPMAXSQZrm
     536U,	// VPMAXSQZrmb
+    24874U,	// VPMAXSQZrmbk
+    59658U,	// VPMAXSQZrmbkz
+    20778U,	// VPMAXSQZrmk
+    88330U,	// VPMAXSQZrmkz
     8U,	// VPMAXSQZrr
-    120U,	// VPMAXSWYrm
+    76074U,	// VPMAXSQZrrk
+    71946U,	// VPMAXSQZrrkz
+    112U,	// VPMAXSWYrm
     8U,	// VPMAXSWYrr
     96U,	// VPMAXSWrm
     8U,	// VPMAXSWrr
-    120U,	// VPMAXUBYrm
+    112U,	// VPMAXUBYrm
     8U,	// VPMAXUBYrr
     96U,	// VPMAXUBrm
     8U,	// VPMAXUBrr
-    120U,	// VPMAXUDYrm
+    112U,	// VPMAXUDYrm
     8U,	// VPMAXUDYrr
     104U,	// VPMAXUDZrm
     784U,	// VPMAXUDZrmb
+    16682U,	// VPMAXUDZrmbk
+    117002U,	// VPMAXUDZrmbkz
+    20778U,	// VPMAXUDZrmk
+    88330U,	// VPMAXUDZrmkz
     8U,	// VPMAXUDZrr
+    76074U,	// VPMAXUDZrrk
+    71946U,	// VPMAXUDZrrkz
     96U,	// VPMAXUDrm
     8U,	// VPMAXUDrr
     104U,	// VPMAXUQZrm
     536U,	// VPMAXUQZrmb
+    24874U,	// VPMAXUQZrmbk
+    59658U,	// VPMAXUQZrmbkz
+    20778U,	// VPMAXUQZrmk
+    88330U,	// VPMAXUQZrmkz
     8U,	// VPMAXUQZrr
-    120U,	// VPMAXUWYrm
+    76074U,	// VPMAXUQZrrk
+    71946U,	// VPMAXUQZrrkz
+    112U,	// VPMAXUWYrm
     8U,	// VPMAXUWYrr
     96U,	// VPMAXUWrm
     8U,	// VPMAXUWrr
-    120U,	// VPMINSBYrm
+    112U,	// VPMINSBYrm
     8U,	// VPMINSBYrr
     96U,	// VPMINSBrm
     8U,	// VPMINSBrr
-    120U,	// VPMINSDYrm
+    112U,	// VPMINSDYrm
     8U,	// VPMINSDYrr
     104U,	// VPMINSDZrm
     784U,	// VPMINSDZrmb
+    16682U,	// VPMINSDZrmbk
+    117002U,	// VPMINSDZrmbkz
+    20778U,	// VPMINSDZrmk
+    88330U,	// VPMINSDZrmkz
     8U,	// VPMINSDZrr
+    76074U,	// VPMINSDZrrk
+    71946U,	// VPMINSDZrrkz
     96U,	// VPMINSDrm
     8U,	// VPMINSDrr
     104U,	// VPMINSQZrm
     536U,	// VPMINSQZrmb
+    24874U,	// VPMINSQZrmbk
+    59658U,	// VPMINSQZrmbkz
+    20778U,	// VPMINSQZrmk
+    88330U,	// VPMINSQZrmkz
     8U,	// VPMINSQZrr
-    120U,	// VPMINSWYrm
+    76074U,	// VPMINSQZrrk
+    71946U,	// VPMINSQZrrkz
+    112U,	// VPMINSWYrm
     8U,	// VPMINSWYrr
     96U,	// VPMINSWrm
     8U,	// VPMINSWrr
-    120U,	// VPMINUBYrm
+    112U,	// VPMINUBYrm
     8U,	// VPMINUBYrr
     96U,	// VPMINUBrm
     8U,	// VPMINUBrr
-    120U,	// VPMINUDYrm
+    112U,	// VPMINUDYrm
     8U,	// VPMINUDYrr
     104U,	// VPMINUDZrm
     784U,	// VPMINUDZrmb
+    16682U,	// VPMINUDZrmbk
+    117002U,	// VPMINUDZrmbkz
+    20778U,	// VPMINUDZrmk
+    88330U,	// VPMINUDZrmkz
     8U,	// VPMINUDZrr
+    76074U,	// VPMINUDZrrk
+    71946U,	// VPMINUDZrrkz
     96U,	// VPMINUDrm
     8U,	// VPMINUDrr
     104U,	// VPMINUQZrm
     536U,	// VPMINUQZrmb
+    24874U,	// VPMINUQZrmbk
+    59658U,	// VPMINUQZrmbkz
+    20778U,	// VPMINUQZrmk
+    88330U,	// VPMINUQZrmkz
     8U,	// VPMINUQZrr
-    120U,	// VPMINUWYrm
+    76074U,	// VPMINUQZrrk
+    71946U,	// VPMINUQZrrkz
+    112U,	// VPMINUWYrm
     8U,	// VPMINUWYrr
     96U,	// VPMINUWrm
     8U,	// VPMINUWrr
-    12U,	// VPMOVDBkrr
     0U,	// VPMOVDBmr
+    2U,	// VPMOVDBmrk
     0U,	// VPMOVDBrr
-    12U,	// VPMOVDWkrr
+    10U,	// VPMOVDBrrk
+    10U,	// VPMOVDBrrkz
     0U,	// VPMOVDWmr
+    2U,	// VPMOVDWmrk
     0U,	// VPMOVDWrr
+    10U,	// VPMOVDWrrk
+    10U,	// VPMOVDWrrkz
     0U,	// VPMOVMSKBYrr
     0U,	// VPMOVMSKBrr
-    12U,	// VPMOVQBkrr
     0U,	// VPMOVQBmr
+    2U,	// VPMOVQBmrk
     0U,	// VPMOVQBrr
-    12U,	// VPMOVQDkrr
+    10U,	// VPMOVQBrrk
+    10U,	// VPMOVQBrrkz
     0U,	// VPMOVQDmr
+    2U,	// VPMOVQDmrk
     0U,	// VPMOVQDrr
-    12U,	// VPMOVQWkrr
+    10U,	// VPMOVQDrrk
+    10U,	// VPMOVQDrrkz
     0U,	// VPMOVQWmr
+    2U,	// VPMOVQWmrk
     0U,	// VPMOVQWrr
-    12U,	// VPMOVSDBkrr
+    10U,	// VPMOVQWrrk
+    10U,	// VPMOVQWrrkz
     0U,	// VPMOVSDBmr
+    2U,	// VPMOVSDBmrk
     0U,	// VPMOVSDBrr
-    12U,	// VPMOVSDWkrr
+    10U,	// VPMOVSDBrrk
+    10U,	// VPMOVSDBrrkz
     0U,	// VPMOVSDWmr
+    2U,	// VPMOVSDWmrk
     0U,	// VPMOVSDWrr
-    12U,	// VPMOVSQBkrr
+    10U,	// VPMOVSDWrrk
+    10U,	// VPMOVSDWrrkz
     0U,	// VPMOVSQBmr
+    2U,	// VPMOVSQBmrk
     0U,	// VPMOVSQBrr
-    12U,	// VPMOVSQDkrr
+    10U,	// VPMOVSQBrrk
+    10U,	// VPMOVSQBrrkz
     0U,	// VPMOVSQDmr
+    2U,	// VPMOVSQDmrk
     0U,	// VPMOVSQDrr
-    12U,	// VPMOVSQWkrr
+    10U,	// VPMOVSQDrrk
+    10U,	// VPMOVSQDrrkz
     0U,	// VPMOVSQWmr
+    2U,	// VPMOVSQWmrk
     0U,	// VPMOVSQWrr
+    10U,	// VPMOVSQWrrk
+    10U,	// VPMOVSQWrrkz
     0U,	// VPMOVSXBDYrm
     0U,	// VPMOVSXBDYrr
     0U,	// VPMOVSXBDZrm
+    98U,	// VPMOVSXBDZrmk
+    98U,	// VPMOVSXBDZrmkz
     0U,	// VPMOVSXBDZrr
+    10U,	// VPMOVSXBDZrrk
+    10U,	// VPMOVSXBDZrrkz
     0U,	// VPMOVSXBDrm
     0U,	// VPMOVSXBDrr
     0U,	// VPMOVSXBQYrm
     0U,	// VPMOVSXBQYrr
     0U,	// VPMOVSXBQZrm
+    98U,	// VPMOVSXBQZrmk
+    98U,	// VPMOVSXBQZrmkz
     0U,	// VPMOVSXBQZrr
+    10U,	// VPMOVSXBQZrrk
+    10U,	// VPMOVSXBQZrrkz
     0U,	// VPMOVSXBQrm
     0U,	// VPMOVSXBQrr
     0U,	// VPMOVSXBWYrm
@@ -10188,46 +11692,76 @@
     0U,	// VPMOVSXDQYrm
     0U,	// VPMOVSXDQYrr
     0U,	// VPMOVSXDQZrm
+    114U,	// VPMOVSXDQZrmk
+    114U,	// VPMOVSXDQZrmkz
     0U,	// VPMOVSXDQZrr
+    10U,	// VPMOVSXDQZrrk
+    10U,	// VPMOVSXDQZrrkz
     0U,	// VPMOVSXDQrm
     0U,	// VPMOVSXDQrr
     0U,	// VPMOVSXWDYrm
     0U,	// VPMOVSXWDYrr
     0U,	// VPMOVSXWDZrm
+    114U,	// VPMOVSXWDZrmk
+    114U,	// VPMOVSXWDZrmkz
     0U,	// VPMOVSXWDZrr
+    10U,	// VPMOVSXWDZrrk
+    10U,	// VPMOVSXWDZrrkz
     0U,	// VPMOVSXWDrm
     0U,	// VPMOVSXWDrr
     0U,	// VPMOVSXWQYrm
     0U,	// VPMOVSXWQYrr
     0U,	// VPMOVSXWQZrm
+    98U,	// VPMOVSXWQZrmk
+    98U,	// VPMOVSXWQZrmkz
     0U,	// VPMOVSXWQZrr
+    10U,	// VPMOVSXWQZrrk
+    10U,	// VPMOVSXWQZrrkz
     0U,	// VPMOVSXWQrm
     0U,	// VPMOVSXWQrr
-    12U,	// VPMOVUSDBkrr
     0U,	// VPMOVUSDBmr
+    2U,	// VPMOVUSDBmrk
     0U,	// VPMOVUSDBrr
-    12U,	// VPMOVUSDWkrr
+    10U,	// VPMOVUSDBrrk
+    10U,	// VPMOVUSDBrrkz
     0U,	// VPMOVUSDWmr
+    2U,	// VPMOVUSDWmrk
     0U,	// VPMOVUSDWrr
-    12U,	// VPMOVUSQBkrr
+    10U,	// VPMOVUSDWrrk
+    10U,	// VPMOVUSDWrrkz
     0U,	// VPMOVUSQBmr
+    2U,	// VPMOVUSQBmrk
     0U,	// VPMOVUSQBrr
-    12U,	// VPMOVUSQDkrr
+    10U,	// VPMOVUSQBrrk
+    10U,	// VPMOVUSQBrrkz
     0U,	// VPMOVUSQDmr
+    2U,	// VPMOVUSQDmrk
     0U,	// VPMOVUSQDrr
-    12U,	// VPMOVUSQWkrr
+    10U,	// VPMOVUSQDrrk
+    10U,	// VPMOVUSQDrrkz
     0U,	// VPMOVUSQWmr
+    2U,	// VPMOVUSQWmrk
     0U,	// VPMOVUSQWrr
+    10U,	// VPMOVUSQWrrk
+    10U,	// VPMOVUSQWrrkz
     0U,	// VPMOVZXBDYrm
     0U,	// VPMOVZXBDYrr
     0U,	// VPMOVZXBDZrm
+    98U,	// VPMOVZXBDZrmk
+    98U,	// VPMOVZXBDZrmkz
     0U,	// VPMOVZXBDZrr
+    10U,	// VPMOVZXBDZrrk
+    10U,	// VPMOVZXBDZrrkz
     0U,	// VPMOVZXBDrm
     0U,	// VPMOVZXBDrr
     0U,	// VPMOVZXBQYrm
     0U,	// VPMOVZXBQYrr
     0U,	// VPMOVZXBQZrm
+    98U,	// VPMOVZXBQZrmk
+    98U,	// VPMOVZXBQZrmkz
     0U,	// VPMOVZXBQZrr
+    10U,	// VPMOVZXBQZrrk
+    10U,	// VPMOVZXBQZrrkz
     0U,	// VPMOVZXBQrm
     0U,	// VPMOVZXBQrr
     0U,	// VPMOVZXBWYrm
@@ -10237,69 +11771,113 @@
     0U,	// VPMOVZXDQYrm
     0U,	// VPMOVZXDQYrr
     0U,	// VPMOVZXDQZrm
+    114U,	// VPMOVZXDQZrmk
+    114U,	// VPMOVZXDQZrmkz
     0U,	// VPMOVZXDQZrr
+    10U,	// VPMOVZXDQZrrk
+    10U,	// VPMOVZXDQZrrkz
     0U,	// VPMOVZXDQrm
     0U,	// VPMOVZXDQrr
     0U,	// VPMOVZXWDYrm
     0U,	// VPMOVZXWDYrr
     0U,	// VPMOVZXWDZrm
+    114U,	// VPMOVZXWDZrmk
+    114U,	// VPMOVZXWDZrmkz
     0U,	// VPMOVZXWDZrr
+    10U,	// VPMOVZXWDZrrk
+    10U,	// VPMOVZXWDZrrkz
     0U,	// VPMOVZXWDrm
     0U,	// VPMOVZXWDrr
     0U,	// VPMOVZXWQYrm
     0U,	// VPMOVZXWQYrr
     0U,	// VPMOVZXWQZrm
+    98U,	// VPMOVZXWQZrmk
+    98U,	// VPMOVZXWQZrmkz
     0U,	// VPMOVZXWQZrr
+    10U,	// VPMOVZXWQZrrk
+    10U,	// VPMOVZXWQZrrkz
     0U,	// VPMOVZXWQrm
     0U,	// VPMOVZXWQrr
-    120U,	// VPMULDQYrm
+    112U,	// VPMULDQYrm
     8U,	// VPMULDQYrr
     104U,	// VPMULDQZrm
+    536U,	// VPMULDQZrmb
+    59658U,	// VPMULDQZrmbk
+    59658U,	// VPMULDQZrmbkz
+    88330U,	// VPMULDQZrmk
+    88330U,	// VPMULDQZrmkz
     8U,	// VPMULDQZrr
+    71946U,	// VPMULDQZrrk
+    71946U,	// VPMULDQZrrkz
     96U,	// VPMULDQrm
     8U,	// VPMULDQrr
     96U,	// VPMULHRSWrm128
-    120U,	// VPMULHRSWrm256
+    112U,	// VPMULHRSWrm256
     8U,	// VPMULHRSWrr128
     8U,	// VPMULHRSWrr256
-    120U,	// VPMULHUWYrm
+    112U,	// VPMULHUWYrm
     8U,	// VPMULHUWYrr
     96U,	// VPMULHUWrm
     8U,	// VPMULHUWrr
-    120U,	// VPMULHWYrm
+    112U,	// VPMULHWYrm
     8U,	// VPMULHWYrr
     96U,	// VPMULHWrm
     8U,	// VPMULHWrr
-    120U,	// VPMULLDYrm
+    112U,	// VPMULLDYrm
     8U,	// VPMULLDYrr
     104U,	// VPMULLDZrm
     784U,	// VPMULLDZrmb
+    16682U,	// VPMULLDZrmbk
+    117002U,	// VPMULLDZrmbkz
+    20778U,	// VPMULLDZrmk
+    88330U,	// VPMULLDZrmkz
     8U,	// VPMULLDZrr
+    76074U,	// VPMULLDZrrk
+    71946U,	// VPMULLDZrrkz
     96U,	// VPMULLDrm
     8U,	// VPMULLDrr
-    120U,	// VPMULLWYrm
+    112U,	// VPMULLWYrm
     8U,	// VPMULLWYrr
     96U,	// VPMULLWrm
     8U,	// VPMULLWrr
-    120U,	// VPMULUDQYrm
+    112U,	// VPMULUDQYrm
     8U,	// VPMULUDQYrr
     104U,	// VPMULUDQZrm
+    536U,	// VPMULUDQZrmb
+    59658U,	// VPMULUDQZrmbk
+    59658U,	// VPMULUDQZrmbkz
+    88330U,	// VPMULUDQZrmk
+    88330U,	// VPMULUDQZrmkz
     8U,	// VPMULUDQZrr
+    71946U,	// VPMULUDQZrrk
+    71946U,	// VPMULUDQZrrkz
     96U,	// VPMULUDQrm
     8U,	// VPMULUDQrr
     104U,	// VPORDZrm
     784U,	// VPORDZrmb
+    16682U,	// VPORDZrmbk
+    117002U,	// VPORDZrmbkz
+    20778U,	// VPORDZrmk
+    88330U,	// VPORDZrmkz
     8U,	// VPORDZrr
+    76074U,	// VPORDZrrk
+    71946U,	// VPORDZrrkz
     104U,	// VPORQZrm
     536U,	// VPORQZrmb
+    24874U,	// VPORQZrmbk
+    59658U,	// VPORQZrmbkz
+    20778U,	// VPORQZrmk
+    88330U,	// VPORQZrmkz
     8U,	// VPORQZrr
-    120U,	// VPORYrm
+    76074U,	// VPORQZrrk
+    71946U,	// VPORQZrrkz
+    112U,	// VPORYrm
     8U,	// VPORYrr
     96U,	// VPORrm
     8U,	// VPORrr
-    33120U,	// VPPERMmr
-    16648U,	// VPPERMrm
-    35080U,	// VPPERMrr
+    65888U,	// VPPERMmr
+    28936U,	// VPPERMrm
+    71944U,	// VPPERMrr
     0U,	// VPROTBmi
     0U,	// VPROTBmr
     8U,	// VPROTBri
@@ -10320,7 +11898,7 @@
     8U,	// VPROTWri
     96U,	// VPROTWrm
     8U,	// VPROTWrr
-    120U,	// VPSADBWYrm
+    112U,	// VPSADBWYrm
     8U,	// VPSADBWYrr
     96U,	// VPSADBWrm
     8U,	// VPSADBWrr
@@ -10352,7 +11930,7 @@
     0U,	// VPSHLWmr
     96U,	// VPSHLWrm
     8U,	// VPSHLWrr
-    120U,	// VPSHUFBYrm
+    112U,	// VPSHUFBYrm
     8U,	// VPSHUFBYrr
     96U,	// VPSHUFBrm
     8U,	// VPSHUFBrr
@@ -10370,15 +11948,15 @@
     8U,	// VPSHUFLWYri
     0U,	// VPSHUFLWmi
     8U,	// VPSHUFLWri
-    120U,	// VPSIGNBYrm
+    112U,	// VPSIGNBYrm
     8U,	// VPSIGNBYrr
     96U,	// VPSIGNBrm
     8U,	// VPSIGNBrr
-    120U,	// VPSIGNDYrm
+    112U,	// VPSIGNDYrm
     8U,	// VPSIGNDYrr
     96U,	// VPSIGNDrm
     8U,	// VPSIGNDrr
-    120U,	// VPSIGNWYrm
+    112U,	// VPSIGNWYrm
     8U,	// VPSIGNWYrr
     96U,	// VPSIGNWrm
     8U,	// VPSIGNWrr
@@ -10388,13 +11966,13 @@
     96U,	// VPSLLDYrm
     8U,	// VPSLLDYrr
     0U,	// VPSLLDZmi
-    33130U,	// VPSLLDZmik
+    65898U,	// VPSLLDZmik
     8U,	// VPSLLDZri
-    35082U,	// VPSLLDZrik
+    71946U,	// VPSLLDZrik
     96U,	// VPSLLDZrm
-    16650U,	// VPSLLDZrmk
+    28938U,	// VPSLLDZrmk
     8U,	// VPSLLDZrr
-    35082U,	// VPSLLDZrrk
+    71946U,	// VPSLLDZrrk
     8U,	// VPSLLDri
     96U,	// VPSLLDrm
     8U,	// VPSLLDrr
@@ -10402,23 +11980,23 @@
     96U,	// VPSLLQYrm
     8U,	// VPSLLQYrr
     0U,	// VPSLLQZmi
-    33130U,	// VPSLLQZmik
+    65898U,	// VPSLLQZmik
     8U,	// VPSLLQZri
-    35082U,	// VPSLLQZrik
+    71946U,	// VPSLLQZrik
     96U,	// VPSLLQZrm
-    16650U,	// VPSLLQZrmk
+    28938U,	// VPSLLQZrmk
     8U,	// VPSLLQZrr
-    35082U,	// VPSLLQZrrk
+    71946U,	// VPSLLQZrrk
     8U,	// VPSLLQri
     96U,	// VPSLLQrm
     8U,	// VPSLLQrr
-    120U,	// VPSLLVDYrm
+    112U,	// VPSLLVDYrm
     8U,	// VPSLLVDYrr
     104U,	// VPSLLVDZrm
     8U,	// VPSLLVDZrr
     96U,	// VPSLLVDrm
     8U,	// VPSLLVDrr
-    120U,	// VPSLLVQYrm
+    112U,	// VPSLLVQYrm
     8U,	// VPSLLVQYrr
     104U,	// VPSLLVQZrm
     8U,	// VPSLLVQZrr
@@ -10434,25 +12012,25 @@
     96U,	// VPSRADYrm
     8U,	// VPSRADYrr
     0U,	// VPSRADZmi
-    33130U,	// VPSRADZmik
+    65898U,	// VPSRADZmik
     8U,	// VPSRADZri
-    35082U,	// VPSRADZrik
+    71946U,	// VPSRADZrik
     96U,	// VPSRADZrm
-    16650U,	// VPSRADZrmk
+    28938U,	// VPSRADZrmk
     8U,	// VPSRADZrr
-    35082U,	// VPSRADZrrk
+    71946U,	// VPSRADZrrk
     8U,	// VPSRADri
     96U,	// VPSRADrm
     8U,	// VPSRADrr
     0U,	// VPSRAQZmi
-    33130U,	// VPSRAQZmik
+    65898U,	// VPSRAQZmik
     8U,	// VPSRAQZri
-    35082U,	// VPSRAQZrik
+    71946U,	// VPSRAQZrik
     96U,	// VPSRAQZrm
-    16650U,	// VPSRAQZrmk
+    28938U,	// VPSRAQZrmk
     8U,	// VPSRAQZrr
-    35082U,	// VPSRAQZrrk
-    120U,	// VPSRAVDYrm
+    71946U,	// VPSRAQZrrk
+    112U,	// VPSRAVDYrm
     8U,	// VPSRAVDYrr
     104U,	// VPSRAVDZrm
     8U,	// VPSRAVDZrr
@@ -10472,13 +12050,13 @@
     96U,	// VPSRLDYrm
     8U,	// VPSRLDYrr
     0U,	// VPSRLDZmi
-    33130U,	// VPSRLDZmik
+    65898U,	// VPSRLDZmik
     8U,	// VPSRLDZri
-    35082U,	// VPSRLDZrik
+    71946U,	// VPSRLDZrik
     96U,	// VPSRLDZrm
-    16650U,	// VPSRLDZrmk
+    28938U,	// VPSRLDZrmk
     8U,	// VPSRLDZrr
-    35082U,	// VPSRLDZrrk
+    71946U,	// VPSRLDZrrk
     8U,	// VPSRLDri
     96U,	// VPSRLDrm
     8U,	// VPSRLDrr
@@ -10486,23 +12064,23 @@
     96U,	// VPSRLQYrm
     8U,	// VPSRLQYrr
     0U,	// VPSRLQZmi
-    33130U,	// VPSRLQZmik
+    65898U,	// VPSRLQZmik
     8U,	// VPSRLQZri
-    35082U,	// VPSRLQZrik
+    71946U,	// VPSRLQZrik
     96U,	// VPSRLQZrm
-    16650U,	// VPSRLQZrmk
+    28938U,	// VPSRLQZrmk
     8U,	// VPSRLQZrr
-    35082U,	// VPSRLQZrrk
+    71946U,	// VPSRLQZrrk
     8U,	// VPSRLQri
     96U,	// VPSRLQrm
     8U,	// VPSRLQrr
-    120U,	// VPSRLVDYrm
+    112U,	// VPSRLVDYrm
     8U,	// VPSRLVDYrr
     104U,	// VPSRLVDZrm
     8U,	// VPSRLVDZrr
     96U,	// VPSRLVDrm
     8U,	// VPSRLVDrr
-    120U,	// VPSRLVQYrm
+    112U,	// VPSRLVQYrm
     8U,	// VPSRLVQYrr
     104U,	// VPSRLVQZrm
     8U,	// VPSRLVQZrr
@@ -10514,41 +12092,53 @@
     8U,	// VPSRLWri
     96U,	// VPSRLWrm
     8U,	// VPSRLWrr
-    120U,	// VPSUBBYrm
+    112U,	// VPSUBBYrm
     8U,	// VPSUBBYrr
     96U,	// VPSUBBrm
     8U,	// VPSUBBrr
-    120U,	// VPSUBDYrm
+    112U,	// VPSUBDYrm
     8U,	// VPSUBDYrr
     104U,	// VPSUBDZrm
     784U,	// VPSUBDZrmb
+    16682U,	// VPSUBDZrmbk
+    117002U,	// VPSUBDZrmbkz
+    20778U,	// VPSUBDZrmk
+    88330U,	// VPSUBDZrmkz
     8U,	// VPSUBDZrr
+    76074U,	// VPSUBDZrrk
+    71946U,	// VPSUBDZrrkz
     96U,	// VPSUBDrm
     8U,	// VPSUBDrr
-    120U,	// VPSUBQYrm
+    112U,	// VPSUBQYrm
     8U,	// VPSUBQYrr
     104U,	// VPSUBQZrm
     536U,	// VPSUBQZrmb
+    24874U,	// VPSUBQZrmbk
+    59658U,	// VPSUBQZrmbkz
+    20778U,	// VPSUBQZrmk
+    88330U,	// VPSUBQZrmkz
     8U,	// VPSUBQZrr
+    76074U,	// VPSUBQZrrk
+    71946U,	// VPSUBQZrrkz
     96U,	// VPSUBQrm
     8U,	// VPSUBQrr
-    120U,	// VPSUBSBYrm
+    112U,	// VPSUBSBYrm
     8U,	// VPSUBSBYrr
     96U,	// VPSUBSBrm
     8U,	// VPSUBSBrr
-    120U,	// VPSUBSWYrm
+    112U,	// VPSUBSWYrm
     8U,	// VPSUBSWYrr
     96U,	// VPSUBSWrm
     8U,	// VPSUBSWrr
-    120U,	// VPSUBUSBYrm
+    112U,	// VPSUBUSBYrm
     8U,	// VPSUBUSBYrr
     96U,	// VPSUBUSBrm
     8U,	// VPSUBUSBrr
-    120U,	// VPSUBUSWYrm
+    112U,	// VPSUBUSWYrm
     8U,	// VPSUBUSWYrr
     96U,	// VPSUBUSWrm
     8U,	// VPSUBUSWrr
-    120U,	// VPSUBWYrm
+    112U,	// VPSUBWYrm
     8U,	// VPSUBWYrr
     96U,	// VPSUBWrm
     8U,	// VPSUBWrr
@@ -10564,53 +12154,65 @@
     0U,	// VPTESTYrr
     0U,	// VPTESTrm
     0U,	// VPTESTrr
-    120U,	// VPUNPCKHBWYrm
+    112U,	// VPUNPCKHBWYrm
     8U,	// VPUNPCKHBWYrr
     96U,	// VPUNPCKHBWrm
     8U,	// VPUNPCKHBWrr
-    120U,	// VPUNPCKHDQYrm
+    112U,	// VPUNPCKHDQYrm
     8U,	// VPUNPCKHDQYrr
     104U,	// VPUNPCKHDQZrm
     8U,	// VPUNPCKHDQZrr
     96U,	// VPUNPCKHDQrm
     8U,	// VPUNPCKHDQrr
-    120U,	// VPUNPCKHQDQYrm
+    112U,	// VPUNPCKHQDQYrm
     8U,	// VPUNPCKHQDQYrr
     104U,	// VPUNPCKHQDQZrm
     8U,	// VPUNPCKHQDQZrr
     96U,	// VPUNPCKHQDQrm
     8U,	// VPUNPCKHQDQrr
-    120U,	// VPUNPCKHWDYrm
+    112U,	// VPUNPCKHWDYrm
     8U,	// VPUNPCKHWDYrr
     96U,	// VPUNPCKHWDrm
     8U,	// VPUNPCKHWDrr
-    120U,	// VPUNPCKLBWYrm
+    112U,	// VPUNPCKLBWYrm
     8U,	// VPUNPCKLBWYrr
     96U,	// VPUNPCKLBWrm
     8U,	// VPUNPCKLBWrr
-    120U,	// VPUNPCKLDQYrm
+    112U,	// VPUNPCKLDQYrm
     8U,	// VPUNPCKLDQYrr
     104U,	// VPUNPCKLDQZrm
     8U,	// VPUNPCKLDQZrr
     96U,	// VPUNPCKLDQrm
     8U,	// VPUNPCKLDQrr
-    120U,	// VPUNPCKLQDQYrm
+    112U,	// VPUNPCKLQDQYrm
     8U,	// VPUNPCKLQDQYrr
     104U,	// VPUNPCKLQDQZrm
     8U,	// VPUNPCKLQDQZrr
     96U,	// VPUNPCKLQDQrm
     8U,	// VPUNPCKLQDQrr
-    120U,	// VPUNPCKLWDYrm
+    112U,	// VPUNPCKLWDYrm
     8U,	// VPUNPCKLWDYrr
     96U,	// VPUNPCKLWDrm
     8U,	// VPUNPCKLWDrr
     104U,	// VPXORDZrm
     784U,	// VPXORDZrmb
+    16682U,	// VPXORDZrmbk
+    117002U,	// VPXORDZrmbkz
+    20778U,	// VPXORDZrmk
+    88330U,	// VPXORDZrmkz
     8U,	// VPXORDZrr
+    76074U,	// VPXORDZrrk
+    71946U,	// VPXORDZrrkz
     104U,	// VPXORQZrm
     536U,	// VPXORQZrmb
+    24874U,	// VPXORQZrmbk
+    59658U,	// VPXORQZrmbkz
+    20778U,	// VPXORQZrmk
+    88330U,	// VPXORQZrmkz
     8U,	// VPXORQZrr
-    120U,	// VPXORYrm
+    76074U,	// VPXORQZrrk
+    71946U,	// VPXORQZrrkz
+    112U,	// VPXORYrm
     8U,	// VPXORYrr
     96U,	// VPXORrm
     8U,	// VPXORrr
@@ -10624,16 +12226,16 @@
     8U,	// VRCP14SSrr
     0U,	// VRCP28PDZm
     0U,	// VRCP28PDZr
-    2U,	// VRCP28PDZrb
+    3U,	// VRCP28PDZrb
     0U,	// VRCP28PSZm
     0U,	// VRCP28PSZr
-    2U,	// VRCP28PSZrb
+    3U,	// VRCP28PSZrb
     56U,	// VRCP28SDrm
     8U,	// VRCP28SDrr
-    1032U,	// VRCP28SDrrb
+    1544U,	// VRCP28SDrrb
     64U,	// VRCP28SSrm
     8U,	// VRCP28SSrr
-    1032U,	// VRCP28SSrrb
+    1544U,	// VRCP28SSrrb
     0U,	// VRCPPSYm
     0U,	// VRCPPSYm_Int
     0U,	// VRCPPSYr
@@ -10657,12 +12259,12 @@
     8U,	// VROUNDPDr
     0U,	// VROUNDPSm
     8U,	// VROUNDPSr
-    33080U,	// VROUNDSDm
-    35080U,	// VROUNDSDr
-    35080U,	// VROUNDSDr_Int
-    33088U,	// VROUNDSSm
-    35080U,	// VROUNDSSr
-    35080U,	// VROUNDSSr_Int
+    65848U,	// VROUNDSDm
+    71944U,	// VROUNDSDr
+    71944U,	// VROUNDSDr_Int
+    65856U,	// VROUNDSSm
+    71944U,	// VROUNDSSr
+    71944U,	// VROUNDSSr_Int
     0U,	// VROUNDYPDm
     8U,	// VROUNDYPDr
     0U,	// VROUNDYPSm
@@ -10677,16 +12279,16 @@
     8U,	// VRSQRT14SSrr
     0U,	// VRSQRT28PDZm
     0U,	// VRSQRT28PDZr
-    2U,	// VRSQRT28PDZrb
+    3U,	// VRSQRT28PDZrb
     0U,	// VRSQRT28PSZm
     0U,	// VRSQRT28PSZr
-    2U,	// VRSQRT28PSZrb
+    3U,	// VRSQRT28PSZrb
     56U,	// VRSQRT28SDrm
     8U,	// VRSQRT28SDrr
-    1032U,	// VRSQRT28SDrrb
+    1544U,	// VRSQRT28SDrrb
     64U,	// VRSQRT28SSrm
     8U,	// VRSQRT28SSrr
-    1032U,	// VRSQRT28SSrrb
+    1544U,	// VRSQRT28SSrrb
     0U,	// VRSQRTPSYm
     0U,	// VRSQRTPSYm_Int
     0U,	// VRSQRTPSYr
@@ -10700,32 +12302,36 @@
     8U,	// VRSQRTSSr
     0U,	// VSCATTERDPDZmr
     0U,	// VSCATTERDPSZmr
+    0U,	// VSCATTERPF0DPDm
+    0U,	// VSCATTERPF0DPSm
+    0U,	// VSCATTERPF0QPDm
+    0U,	// VSCATTERPF0QPSm
+    0U,	// VSCATTERPF1DPDm
+    0U,	// VSCATTERPF1DPSm
+    0U,	// VSCATTERPF1QPDm
+    0U,	// VSCATTERPF1QPSm
     0U,	// VSCATTERQPDZmr
     0U,	// VSCATTERQPSZmr
-    33096U,	// VSHUFPDYrmi
-    35080U,	// VSHUFPDYrri
-    33104U,	// VSHUFPDZrmi
-    35080U,	// VSHUFPDZrri
-    33112U,	// VSHUFPDrmi
-    35080U,	// VSHUFPDrri
-    33096U,	// VSHUFPSYrmi
-    35080U,	// VSHUFPSYrri
-    33104U,	// VSHUFPSZrmi
-    35080U,	// VSHUFPSZrri
-    33112U,	// VSHUFPSrmi
-    35080U,	// VSHUFPSrri
+    65864U,	// VSHUFPDYrmi
+    71944U,	// VSHUFPDYrri
+    65872U,	// VSHUFPDZrmi
+    71944U,	// VSHUFPDZrri
+    65880U,	// VSHUFPDrmi
+    71944U,	// VSHUFPDrri
+    65864U,	// VSHUFPSYrmi
+    71944U,	// VSHUFPSYrri
+    65872U,	// VSHUFPSZrmi
+    71944U,	// VSHUFPSZrri
+    65880U,	// VSHUFPSrmi
+    71944U,	// VSHUFPSrri
     0U,	// VSQRTPDYm
     0U,	// VSQRTPDYr
-    0U,	// VSQRTPDZm_Int
-    0U,	// VSQRTPDZr_Int
     0U,	// VSQRTPDZrm
     0U,	// VSQRTPDZrr
     0U,	// VSQRTPDm
     0U,	// VSQRTPDr
     0U,	// VSQRTPSYm
     0U,	// VSQRTPSYr
-    0U,	// VSQRTPSZm_Int
-    0U,	// VSQRTPSZr_Int
     0U,	// VSQRTPSZrm
     0U,	// VSQRTPSZrr
     0U,	// VSQRTPSm
@@ -10749,14 +12355,26 @@
     8U,	// VSUBPDYrr
     80U,	// VSUBPDZrm
     568U,	// VSUBPDZrmb
+    35082U,	// VSUBPDZrmbk
+    35082U,	// VSUBPDZrmbkz
+    4362U,	// VSUBPDZrmk
+    4362U,	// VSUBPDZrmkz
     8U,	// VSUBPDZrr
+    71946U,	// VSUBPDZrrk
+    71946U,	// VSUBPDZrrkz
     88U,	// VSUBPDrm
     8U,	// VSUBPDrr
     72U,	// VSUBPSYrm
     8U,	// VSUBPSYrr
     80U,	// VSUBPSZrm
     832U,	// VSUBPSZrmb
+    106762U,	// VSUBPSZrmbk
+    106762U,	// VSUBPSZrmbkz
+    4362U,	// VSUBPSZrmk
+    4362U,	// VSUBPSZrmkz
     8U,	// VSUBPSZrr
+    71946U,	// VSUBPSZrrk
+    71946U,	// VSUBPSZrrkz
     88U,	// VSUBPSrm
     8U,	// VSUBPSrr
     56U,	// VSUBSDZrm
@@ -10923,1276 +12541,1278 @@
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
-  /* 0 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 32, 9, 0,
-  /* 12 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 32, 9, 0,
-  /* 24 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
-  /* 36 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
-  /* 47 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
-  /* 57 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
-  /* 69 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
-  /* 80 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
-  /* 90 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
-  /* 101 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
-  /* 112 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
-  /* 130 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
-  /* 139 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
-  /* 148 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
-  /* 160 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
-  /* 172 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
-  /* 181 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
-  /* 190 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 203 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
-  /* 217 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
-  /* 227 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
-  /* 236 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
-  /* 246 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
-  /* 255 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
-  /* 267 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
-  /* 279 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
-  /* 288 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
-  /* 299 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
-  /* 309 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
-  /* 319 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 336 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 350 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 365 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 382 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 396 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
-  /* 411 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
-  /* 423 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 435 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
-  /* 448 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
-  /* 460 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
-  /* 472 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 503 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 518 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 535 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 549 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
-  /* 564 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 575 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
-  /* 588 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 599 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
-  /* 612 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 32, 9, 0,
-  /* 622 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
-  /* 631 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
-  /* 640 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 653 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
-  /* 667 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
-  /* 681 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
-  /* 691 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
-  /* 703 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
-  /* 712 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
-  /* 724 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
-  /* 734 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
-  /* 743 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
-  /* 752 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 765 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
-  /* 779 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 32, 9, 0,
-  /* 789 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
-  /* 798 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
-  /* 807 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 32, 9, 0,
-  /* 818 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 831 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
-  /* 845 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
-  /* 857 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
-  /* 868 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
-  /* 878 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
-  /* 886 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
-  /* 895 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
-  /* 907 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
-  /* 920 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 934 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 949 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 963 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
-  /* 978 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
-  /* 989 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
-  /* 1002 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1013 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
-  /* 1026 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
-  /* 1035 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
-  /* 1049 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
-  /* 1059 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
-  /* 1074 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
-  /* 1083 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
-  /* 1093 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
-  /* 1107 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 32, 9, 0,
-  /* 1118 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
-  /* 1133 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
-  /* 1143 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
-  /* 1153 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
-  /* 1163 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
-  /* 1173 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
-  /* 1183 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
-  /* 1195 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
-  /* 1207 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
-  /* 1219 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1232 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
-  /* 1244 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1257 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
-  /* 1269 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1283 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1296 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1310 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
-  /* 1323 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
-  /* 1334 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
-  /* 1346 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
-  /* 1358 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
-  /* 1376 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
-  /* 1387 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
-  /* 1398 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
-  /* 1407 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
-  /* 1416 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
-  /* 1428 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
-  /* 1440 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1453 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
-  /* 1465 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1478 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
-  /* 1490 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
-  /* 1499 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
-  /* 1512 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
-  /* 1525 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
-  /* 1535 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
-  /* 1544 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
-  /* 1558 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
-  /* 1572 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1585 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
-  /* 1599 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1613 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1626 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1640 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
-  /* 1653 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
-  /* 1664 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
-  /* 1676 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
-  /* 1688 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
-  /* 1697 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
-  /* 1706 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
-  /* 1718 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
-  /* 1730 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
-  /* 1739 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
-  /* 1750 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
-  /* 1760 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
-  /* 1770 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 32, 9, 0,
-  /* 1781 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1794 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
-  /* 1808 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
-  /* 1816 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
-  /* 1825 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
-  /* 1834 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
-  /* 1844 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
-  /* 1854 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
-  /* 1868 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 32, 9, 0,
-  /* 1879 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
-  /* 1894 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
-  /* 1904 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
-  /* 1914 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
-  /* 1924 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
-  /* 1934 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
-  /* 1944 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
-  /* 1956 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
-  /* 1968 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 1985 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 1999 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2014 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2031 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2045 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
-  /* 2060 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
-  /* 2072 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
-  /* 2084 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2096 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
-  /* 2109 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
-  /* 2121 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2138 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2152 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2167 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2184 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2198 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
-  /* 2213 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2224 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
-  /* 2237 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2248 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
-  /* 2261 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 32, 9, 0,
-  /* 2271 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
-  /* 2280 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
-  /* 2289 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2302 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
-  /* 2316 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
-  /* 2330 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
-  /* 2340 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
-  /* 2352 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
-  /* 2361 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
-  /* 2373 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
-  /* 2383 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
-  /* 2392 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
-  /* 2401 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2414 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
-  /* 2428 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 32, 9, 0,
-  /* 2438 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
-  /* 2447 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
-  /* 2456 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
-  /* 2468 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
-  /* 2481 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2495 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2510 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2524 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
-  /* 2539 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
-  /* 2550 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
-  /* 2563 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
-  /* 2574 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
-  /* 2587 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
-  /* 2601 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
-  /* 2616 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
-  /* 2625 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
-  /* 2636 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
-  /* 2644 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
-  /* 2656 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
-  /* 2667 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
-  /* 2677 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
-  /* 2688 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
-  /* 2697 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
-  /* 2709 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
-  /* 2720 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
-  /* 2730 */ 'k', 'o', 'r', 'w', 32, 9, 0,
-  /* 2737 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
-  /* 2746 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
-  /* 2754 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
-  /* 2765 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
-  /* 2773 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
-  /* 2784 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
-  /* 2792 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
-  /* 2804 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
-  /* 2814 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
-  /* 2826 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
-  /* 2838 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
-  /* 2848 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
-  /* 2858 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
-  /* 2869 */ 'c', 'r', 'c', '3', '2', 9, 0,
-  /* 2876 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
-  /* 2886 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
-  /* 2898 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
-  /* 2911 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
-  /* 2923 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
-  /* 2933 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
-  /* 2944 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
-  /* 2954 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
-  /* 2965 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
-  /* 2977 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
-  /* 2988 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3003 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
-  /* 3017 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3032 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
-  /* 3046 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3061 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
-  /* 3075 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3090 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
-  /* 3104 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
-  /* 3116 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3130 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3143 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
-  /* 3159 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
-  /* 3171 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3185 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3198 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
-  /* 3214 */ 'l', 'e', 'a', 9, 0,
-  /* 3219 */ 'j', 'a', 9, 0,
-  /* 3223 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
-  /* 3234 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
-  /* 3243 */ 's', 'e', 't', 'a', 9, 0,
-  /* 3249 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
-  /* 3262 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
-  /* 3269 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
-  /* 3281 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
-  /* 3292 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
-  /* 3300 */ 's', 'b', 'b', 9, 0,
-  /* 3305 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
-  /* 3313 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
-  /* 3321 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
-  /* 3330 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
-  /* 3338 */ 'j', 'b', 9, 0,
-  /* 3342 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
-  /* 3353 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
-  /* 3361 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
-  /* 3369 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
-  /* 3378 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
-  /* 3388 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
-  /* 3397 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
-  /* 3406 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
-  /* 3414 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
-  /* 3423 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
-  /* 3432 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
-  /* 3441 */ 's', 't', 'o', 's', 'b', 9, 0,
-  /* 3448 */ 'c', 'm', 'p', 's', 'b', 9, 0,
-  /* 3455 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
-  /* 3465 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
-  /* 3475 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
-  /* 3484 */ 'm', 'o', 'v', 's', 'b', 9, 0,
-  /* 3491 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
-  /* 3500 */ 's', 'e', 't', 'b', 9, 0,
-  /* 3506 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
-  /* 3516 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
-  /* 3524 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
-  /* 3538 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
-  /* 3547 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
-  /* 3556 */ 'p', 'f', 's', 'u', 'b', 9, 0,
-  /* 3563 */ 'f', 'i', 's', 'u', 'b', 9, 0,
-  /* 3570 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
-  /* 3579 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
-  /* 3590 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
-  /* 3597 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
-  /* 3608 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
-  /* 3619 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
-  /* 3626 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3634 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
-  /* 3643 */ 'a', 'd', 'c', 9, 0,
-  /* 3648 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
-  /* 3657 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
-  /* 3664 */ 'b', 'l', 's', 'i', 'c', 9, 0,
-  /* 3671 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
-  /* 3679 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
-  /* 3688 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
-  /* 3697 */ 'i', 'n', 'c', 9, 0,
-  /* 3702 */ 'b', 't', 'c', 9, 0,
-  /* 3707 */ 'a', 'a', 'd', 9, 0,
-  /* 3712 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
-  /* 3720 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
-  /* 3728 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
-  /* 3736 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
-  /* 3746 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
-  /* 3757 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
-  /* 3766 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
-  /* 3774 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
-  /* 3785 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
-  /* 3796 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
-  /* 3803 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
-  /* 3810 */ 'x', 'a', 'd', 'd', 9, 0,
-  /* 3816 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3825 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
-  /* 3833 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
-  /* 3843 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
-  /* 3855 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
-  /* 3865 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
-  /* 3876 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
-  /* 3884 */ 'p', 'i', '2', 'f', 'd', 9, 0,
-  /* 3891 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
-  /* 3900 */ 'p', 'f', '2', 'i', 'd', 9, 0,
-  /* 3907 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
-  /* 3916 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
-  /* 3925 */ 'f', 'b', 'l', 'd', 9, 0,
-  /* 3931 */ 'f', 'l', 'd', 9, 0,
-  /* 3936 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
-  /* 3944 */ 'f', 'i', 'l', 'd', 9, 0,
-  /* 3950 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
-  /* 3958 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
-  /* 3967 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
-  /* 3975 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
-  /* 3984 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
-  /* 3992 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
-  /* 4000 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
-  /* 4007 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
-  /* 4015 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
-  /* 4024 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
-  /* 4031 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4047 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4060 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4074 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4090 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4103 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
-  /* 4117 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4133 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4146 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4160 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4176 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4189 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
-  /* 4203 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
-  /* 4213 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
-  /* 4225 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
-  /* 4236 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
-  /* 4247 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4263 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4276 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4290 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4306 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4319 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
-  /* 4333 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
-  /* 4342 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
-  /* 4350 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4363 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4374 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4383 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4393 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4404 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
-  /* 4412 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4425 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4434 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4444 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4455 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
-  /* 4463 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4471 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4481 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
-  /* 4491 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
-  /* 4503 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
-  /* 4512 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
-  /* 4523 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
-  /* 4532 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
-  /* 4543 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
-  /* 4554 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
-  /* 4565 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
-  /* 4573 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
-  /* 4582 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
-  /* 4590 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
-  /* 4599 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
-  /* 4608 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
-  /* 4616 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
-  /* 4623 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
-  /* 4631 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
-  /* 4643 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4650 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
-  /* 4658 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
-  /* 4668 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
-  /* 4677 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
-  /* 4686 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
-  /* 4695 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
-  /* 4706 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
-  /* 4714 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
-  /* 4726 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
-  /* 4734 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
-  /* 4743 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
-  /* 4753 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
-  /* 4765 */ 's', 'h', 'r', 'd', 9, 0,
-  /* 4771 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
-  /* 4780 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
-  /* 4789 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4802 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4816 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4829 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
-  /* 4843 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4856 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4870 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4883 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
-  /* 4897 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 4908 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
-  /* 4920 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
-  /* 4931 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4944 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4958 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4971 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
-  /* 4985 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
-  /* 4993 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5003 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5014 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
-  /* 5022 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5032 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5043 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
-  /* 5051 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
-  /* 5061 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5071 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
-  /* 5080 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
-  /* 5088 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5097 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
-  /* 5105 */ 's', 't', 'o', 's', 'd', 9, 0,
-  /* 5112 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
-  /* 5120 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
-  /* 5129 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
-  /* 5138 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
-  /* 5152 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
-  /* 5160 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
-  /* 5168 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5177 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
-  /* 5185 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
-  /* 5194 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
-  /* 5204 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
-  /* 5212 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
-  /* 5226 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
-  /* 5235 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
-  /* 5244 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
-  /* 5253 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
-  /* 5262 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
-  /* 5271 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
-  /* 5280 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
-  /* 5289 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5301 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
-  /* 5308 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
-  /* 5318 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5328 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
-  /* 5338 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
-  /* 5350 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
-  /* 5362 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
-  /* 5372 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
-  /* 5383 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5394 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
-  /* 5406 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
-  /* 5417 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
-  /* 5428 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
-  /* 5439 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
-  /* 5447 */ 'j', 'a', 'e', 9, 0,
-  /* 5452 */ 's', 'e', 't', 'a', 'e', 9, 0,
-  /* 5459 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
-  /* 5467 */ 'j', 'b', 'e', 9, 0,
-  /* 5472 */ 's', 'e', 't', 'b', 'e', 9, 0,
-  /* 5479 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
-  /* 5487 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
-  /* 5494 */ 'j', 'g', 'e', 9, 0,
-  /* 5499 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
-  /* 5508 */ 's', 'e', 't', 'g', 'e', 9, 0,
-  /* 5515 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
-  /* 5523 */ 'j', 'e', 9, 0,
-  /* 5527 */ 'j', 'l', 'e', 9, 0,
-  /* 5532 */ 's', 'e', 't', 'l', 'e', 9, 0,
-  /* 5539 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
-  /* 5547 */ 'j', 'n', 'e', 9, 0,
-  /* 5552 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
-  /* 5560 */ 's', 'e', 't', 'n', 'e', 9, 0,
-  /* 5567 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
-  /* 5575 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
-  /* 5582 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5592 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5602 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5612 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
-  /* 5622 */ 's', 'e', 't', 'e', 9, 0,
-  /* 5628 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
-  /* 5637 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
-  /* 5648 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
-  /* 5656 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
-  /* 5664 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
-  /* 5671 */ 'b', 's', 'f', 9, 0,
-  /* 5676 */ 'r', 'e', 't', 'f', 9, 0,
-  /* 5682 */ 'n', 'e', 'g', 9, 0,
-  /* 5687 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
-  /* 5696 */ 'j', 'g', 9, 0,
-  /* 5700 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
-  /* 5708 */ 's', 'e', 't', 'g', 9, 0,
-  /* 5714 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
-  /* 5721 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
-  /* 5731 */ 'f', 'x', 'c', 'h', 9, 0,
-  /* 5737 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
-  /* 5748 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
-  /* 5759 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
-  /* 5771 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
-  /* 5780 */ 'p', 'u', 's', 'h', 9, 0,
-  /* 5786 */ 'b', 'l', 'c', 'i', 9, 0,
-  /* 5792 */ 'b', 'z', 'h', 'i', 9, 0,
-  /* 5798 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5805 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
-  /* 5813 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5824 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
-  /* 5834 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5845 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
-  /* 5855 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5863 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
-  /* 5872 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
-  /* 5884 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
-  /* 5896 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5908 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
-  /* 5919 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5931 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
-  /* 5942 */ 'b', 'l', 's', 'i', 9, 0,
-  /* 5948 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
-  /* 5956 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
-  /* 5964 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
-  /* 5972 */ 't', 'z', 'm', 's', 'k', 9, 0,
-  /* 5979 */ 's', 'a', 'l', 9, 0,
-  /* 5984 */ 'r', 'c', 'l', 9, 0,
-  /* 5989 */ 's', 'h', 'l', 9, 0,
-  /* 5994 */ 'j', 'l', 9, 0,
-  /* 5998 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
-  /* 6005 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6014 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
-  /* 6023 */ 'r', 'o', 'l', 9, 0,
-  /* 6028 */ 'a', 'r', 'p', 'l', 9, 0,
-  /* 6034 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
-  /* 6045 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
-  /* 6057 */ 'l', 's', 'l', 9, 0,
-  /* 6062 */ 's', 'e', 't', 'l', 9, 0,
-  /* 6068 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
-  /* 6075 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
-  /* 6082 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
-  /* 6089 */ 'a', 'a', 'm', 9, 0,
-  /* 6094 */ 'f', 'c', 'o', 'm', 9, 0,
-  /* 6100 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
-  /* 6107 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
-  /* 6114 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
-  /* 6122 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
-  /* 6134 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
-  /* 6146 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
-  /* 6154 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
-  /* 6162 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
-  /* 6169 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
-  /* 6176 */ 'j', 'o', 9, 0,
-  /* 6180 */ 'j', 'n', 'o', 9, 0,
-  /* 6185 */ 's', 'e', 't', 'n', 'o', 9, 0,
-  /* 6192 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
-  /* 6200 */ 's', 'e', 't', 'o', 9, 0,
-  /* 6206 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
-  /* 6213 */ 'b', 's', 'w', 'a', 'p', 9, 0,
-  /* 6220 */ 'f', 's', 'u', 'b', 'p', 9, 0,
-  /* 6227 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
-  /* 6234 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
-  /* 6241 */ 'p', 'd', 'e', 'p', 9, 0,
-  /* 6247 */ 'j', 'p', 9, 0,
-  /* 6251 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
-  /* 6258 */ 'c', 'm', 'p', 9, 0,
-  /* 6263 */ 'l', 'j', 'm', 'p', 9, 0,
-  /* 6269 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6276 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6284 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
-  /* 6292 */ 'j', 'n', 'p', 9, 0,
-  /* 6297 */ 's', 'e', 't', 'n', 'p', 9, 0,
-  /* 6304 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
-  /* 6312 */ 'n', 'o', 'p', 9, 0,
-  /* 6317 */ 'l', 'o', 'o', 'p', 9, 0,
-  /* 6323 */ 'p', 'o', 'p', 9, 0,
-  /* 6328 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
-  /* 6336 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
-  /* 6344 */ 's', 'e', 't', 'p', 9, 0,
-  /* 6350 */ 'f', 'b', 's', 't', 'p', 9, 0,
-  /* 6357 */ 'f', 's', 't', 'p', 9, 0,
-  /* 6363 */ 'f', 'i', 's', 't', 'p', 9, 0,
-  /* 6370 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
-  /* 6378 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
-  /* 6388 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
-  /* 6399 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
-  /* 6410 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
-  /* 6426 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
-  /* 6433 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
-  /* 6440 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
-  /* 6449 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
-  /* 6457 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
-  /* 6467 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
-  /* 6478 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
-  /* 6486 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
-  /* 6497 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
-  /* 6508 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6520 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
-  /* 6531 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
-  /* 6540 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6552 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
-  /* 6563 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
-  /* 6573 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
-  /* 6581 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
-  /* 6591 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
-  /* 6603 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
-  /* 6615 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
-  /* 6624 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
-  /* 6633 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
-  /* 6642 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
-  /* 6655 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6668 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
-  /* 6680 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
-  /* 6692 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
-  /* 6702 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
-  /* 6713 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
-  /* 6723 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
-  /* 6734 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
-  /* 6745 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
-  /* 6754 */ 'r', 'e', 't', 'f', 'q', 9, 0,
-  /* 6761 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
-  /* 6769 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
-  /* 6777 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
-  /* 6785 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
-  /* 6793 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
-  /* 6801 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
-  /* 6809 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
-  /* 6819 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
-  /* 6831 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
-  /* 6840 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
-  /* 6849 */ 's', 't', 'o', 's', 'q', 9, 0,
-  /* 6856 */ 'c', 'm', 'p', 's', 'q', 9, 0,
-  /* 6863 */ 'm', 'o', 'v', 's', 'q', 9, 0,
-  /* 6870 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
-  /* 6880 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
-  /* 6888 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
-  /* 6896 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
-  /* 6905 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
-  /* 6919 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
-  /* 6928 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
-  /* 6937 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
-  /* 6946 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
-  /* 6955 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6967 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
-  /* 6974 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
-  /* 6984 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
-  /* 6995 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
-  /* 7006 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
-  /* 7017 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
-  /* 7026 */ 'l', 'a', 'r', 9, 0,
-  /* 7031 */ 's', 'a', 'r', 9, 0,
-  /* 7036 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
-  /* 7044 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
-  /* 7052 */ 'r', 'c', 'r', 9, 0,
-  /* 7057 */ 'e', 'n', 't', 'e', 'r', 9, 0,
-  /* 7064 */ 's', 'h', 'r', 9, 0,
-  /* 7069 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
-  /* 7079 */ 'v', 'p', 'o', 'r', 9, 0,
-  /* 7085 */ 'r', 'o', 'r', 9, 0,
-  /* 7090 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7098 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
-  /* 7107 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
-  /* 7114 */ 'v', 'e', 'r', 'r', 9, 0,
-  /* 7120 */ 'b', 's', 'r', 9, 0,
-  /* 7125 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7135 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
-  /* 7145 */ 'b', 'l', 's', 'r', 9, 0,
-  /* 7151 */ 'b', 't', 'r', 9, 0,
-  /* 7156 */ 'l', 't', 'r', 9, 0,
-  /* 7161 */ 's', 't', 'r', 9, 0,
-  /* 7166 */ 'b', 'e', 'x', 't', 'r', 9, 0,
-  /* 7173 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7180 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
-  /* 7188 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
-  /* 7196 */ 'b', 'l', 'c', 's', 9, 0,
-  /* 7202 */ 'l', 'd', 's', 9, 0,
-  /* 7207 */ 'l', 'e', 's', 9, 0,
-  /* 7212 */ 'l', 'f', 's', 9, 0,
-  /* 7217 */ 'l', 'g', 's', 9, 0,
-  /* 7222 */ 'j', 's', 9, 0,
-  /* 7226 */ 'j', 'n', 's', 9, 0,
-  /* 7231 */ 's', 'e', 't', 'n', 's', 9, 0,
-  /* 7238 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
-  /* 7246 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7262 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7275 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7289 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7305 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7318 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
-  /* 7332 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7348 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7361 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7375 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7391 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7404 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
-  /* 7418 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
-  /* 7429 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
-  /* 7440 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
-  /* 7450 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
-  /* 7462 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
-  /* 7473 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7489 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7502 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7516 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7532 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7545 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
-  /* 7559 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
-  /* 7568 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7581 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7592 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7601 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7611 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7622 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
-  /* 7630 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7643 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7652 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7662 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7673 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
-  /* 7681 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
-  /* 7689 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
-  /* 7699 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
-  /* 7709 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
-  /* 7721 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
-  /* 7730 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
-  /* 7741 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
-  /* 7751 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
-  /* 7760 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
-  /* 7771 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
-  /* 7781 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
-  /* 7792 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
-  /* 7803 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
-  /* 7811 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
-  /* 7820 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
-  /* 7829 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
-  /* 7838 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
-  /* 7846 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
-  /* 7854 */ 'v', 'd', 'p', 'p', 's', 9, 0,
-  /* 7861 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
-  /* 7869 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
-  /* 7881 */ 'v', 'o', 'r', 'p', 's', 9, 0,
-  /* 7888 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
-  /* 7896 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
-  /* 7908 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
-  /* 7918 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
-  /* 7929 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7939 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
-  /* 7948 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
-  /* 7957 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
-  /* 7966 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
-  /* 7977 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
-  /* 7985 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
-  /* 7997 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
-  /* 8005 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
-  /* 8014 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8027 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
-  /* 8041 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8054 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
-  /* 8068 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8081 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
-  /* 8095 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8108 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
-  /* 8122 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
-  /* 8133 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8144 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
-  /* 8156 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8169 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
-  /* 8183 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8196 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
-  /* 8210 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8220 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8231 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
-  /* 8239 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8249 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8260 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
-  /* 8268 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
-  /* 8278 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8288 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
-  /* 8297 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
-  /* 8305 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
-  /* 8313 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
-  /* 8321 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
-  /* 8329 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
-  /* 8338 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8348 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
-  /* 8357 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
-  /* 8371 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
-  /* 8379 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
-  /* 8387 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
-  /* 8395 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
-  /* 8404 */ 'b', 't', 's', 9, 0,
-  /* 8409 */ 's', 'e', 't', 's', 9, 0,
-  /* 8415 */ 'c', 'm', 'o', 'v', 's', 9, 0,
-  /* 8422 */ 'b', 't', 9, 0,
-  /* 8426 */ 'l', 'g', 'd', 't', 9, 0,
-  /* 8432 */ 's', 'g', 'd', 't', 9, 0,
-  /* 8438 */ 'l', 'i', 'd', 't', 9, 0,
-  /* 8444 */ 's', 'i', 'd', 't', 9, 0,
-  /* 8450 */ 'l', 'l', 'd', 't', 9, 0,
-  /* 8456 */ 's', 'l', 'd', 't', 9, 0,
-  /* 8462 */ 'r', 'e', 't', 9, 0,
-  /* 8467 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
-  /* 8476 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
-  /* 8484 */ 'l', 'z', 'c', 'n', 't', 9, 0,
-  /* 8491 */ 't', 'z', 'c', 'n', 't', 9, 0,
-  /* 8498 */ 'i', 'n', 't', 9, 0,
-  /* 8503 */ 'n', 'o', 't', 9, 0,
-  /* 8508 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
-  /* 8516 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
-  /* 8526 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
-  /* 8534 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
-  /* 8543 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8556 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
-  /* 8569 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
-  /* 8577 */ 'f', 's', 't', 9, 0,
-  /* 8582 */ 'f', 'i', 's', 't', 9, 0,
-  /* 8588 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
-  /* 8606 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
-  /* 8615 */ 'o', 'u', 't', 9, 0,
-  /* 8620 */ 'p', 'e', 'x', 't', 9, 0,
-  /* 8626 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
-  /* 8634 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8647 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
-  /* 8656 */ 'f', 'd', 'i', 'v', 9, 0,
-  /* 8662 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
-  /* 8669 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
-  /* 8677 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
-  /* 8686 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
-  /* 8694 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
-  /* 8702 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
-  /* 8710 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
-  /* 8720 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8730 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
-  /* 8739 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
-  /* 8749 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
-  /* 8761 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
-  /* 8773 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
-  /* 8784 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
-  /* 8793 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
-  /* 8801 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
-  /* 8812 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
-  /* 8823 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
-  /* 8830 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
-  /* 8838 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8847 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
-  /* 8855 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
-  /* 8865 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
-  /* 8876 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
-  /* 8887 */ 'p', 'i', '2', 'f', 'w', 9, 0,
-  /* 8894 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
-  /* 8902 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
-  /* 8910 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
-  /* 8921 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
-  /* 8931 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
-  /* 8940 */ 'p', 'f', '2', 'i', 'w', 9, 0,
-  /* 8947 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
-  /* 8957 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
-  /* 8965 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
-  /* 8973 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
-  /* 8982 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
-  /* 8990 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
-  /* 8998 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
-  /* 9007 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
-  /* 9017 */ 'v', 'e', 'r', 'w', 9, 0,
-  /* 9023 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
-  /* 9032 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
-  /* 9041 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
-  /* 9050 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
-  /* 9058 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
-  /* 9070 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9080 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
-  /* 9089 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9099 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
-  /* 9108 */ 'l', 'm', 's', 'w', 9, 0,
-  /* 9114 */ 's', 'm', 's', 'w', 9, 0,
-  /* 9120 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
-  /* 9129 */ 's', 't', 'o', 's', 'w', 9, 0,
-  /* 9136 */ 'c', 'm', 'p', 's', 'w', 9, 0,
-  /* 9143 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
-  /* 9154 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
-  /* 9162 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
-  /* 9172 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
-  /* 9182 */ 'm', 'o', 'v', 's', 'w', 9, 0,
-  /* 9189 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
-  /* 9198 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
-  /* 9208 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
-  /* 9216 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
-  /* 9230 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
-  /* 9240 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
-  /* 9249 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
-  /* 9258 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
-  /* 9271 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
-  /* 9280 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
-  /* 9290 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
-  /* 9301 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
-  /* 9308 */ 'a', 'd', 'c', 'x', 9, 0,
-  /* 9314 */ 's', 'h', 'l', 'x', 9, 0,
-  /* 9320 */ 'm', 'u', 'l', 'x', 9, 0,
-  /* 9326 */ 'a', 'd', 'o', 'x', 9, 0,
-  /* 9332 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9345 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
-  /* 9357 */ 's', 'a', 'r', 'x', 9, 0,
-  /* 9363 */ 's', 'h', 'r', 'x', 9, 0,
-  /* 9369 */ 'r', 'o', 'r', 'x', 9, 0,
-  /* 9375 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
-  /* 9387 */ 'm', 'o', 'v', 's', 'x', 9, 0,
-  /* 9394 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
-  /* 9401 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
-  /* 9408 */ 'j', 'c', 'x', 'z', 9, 0,
-  /* 9414 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
-  /* 9421 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9437 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9452 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9469 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9485 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9501 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9516 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9532 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
-  /* 9547 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9556 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9567 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9578 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
-  /* 9587 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
-  /* 9596 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9605 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
-  /* 9614 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
-  /* 9622 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
-  /* 9631 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
-  /* 9640 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
-  /* 9652 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
-  /* 9662 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
-  /* 9671 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9680 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
-  /* 9689 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
-  /* 9698 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9707 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
-  /* 9716 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
-  /* 9726 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
-  /* 9734 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
-  /* 9743 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
-  /* 9752 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
-  /* 9764 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
-  /* 9774 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
-  /* 9783 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9794 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
-  /* 9805 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9815 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9825 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9835 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9845 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9855 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9867 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9879 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9890 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9899 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9909 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9919 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9932 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9943 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
-  /* 9953 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9963 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9973 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9983 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 9993 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10003 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10014 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10024 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10036 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10048 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10058 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10071 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
-  /* 10082 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
-  /* 10093 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
-  /* 10104 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
-  /* 10115 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
-  /* 10126 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 10149 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 10173 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10193 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10213 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10233 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10254 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10275 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10296 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10318 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10339 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10361 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10383 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10403 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10424 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10445 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10467 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10486 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10506 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10526 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10547 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10567 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10586 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10606 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10626 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10645 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10663 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10682 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10701 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10721 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10740 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10760 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10780 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10800 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10820 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10840 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10860 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10880 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10899 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10919 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10939 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10958 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10976 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 10995 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11014 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11034 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11053 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11073 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11092 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11112 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11130 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11149 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11168 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11188 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11206 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11225 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11243 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11262 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11280 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11297 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11315 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11333 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11352 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11373 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 11394 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 's', 't', '(', '0', ')', 0,
-  /* 11415 */ 'f', 's', 't', 'p', 9, 's', 't', '(', '7', ')', ',', 32, 's', 't', '(', '0', ')', 0,
-  /* 11433 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 11439 */ 'f', 'l', 'd', '1', 0,
-  /* 11444 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
-  /* 11451 */ 'f', '2', 'x', 'm', '1', 0,
-  /* 11457 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
-  /* 11465 */ 'i', 'n', 't', '1', 0,
-  /* 11470 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 11489 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 11507 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 11520 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11533 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 11551 */ 'u', 'd', '2', 0,
-  /* 11555 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
-  /* 11562 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
-  /* 11569 */ 'i', 'n', 't', '3', 0,
-  /* 11574 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 11593 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 11611 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 11624 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11637 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 11655 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 11661 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 11668 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 11676 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 11689 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 11696 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 11706 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 11715 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 11733 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 11749 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 11761 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 11776 */ 'a', 'a', 'a', 0,
-  /* 11780 */ 'd', 'a', 'a', 0,
-  /* 11784 */ 'u', 'd', '2', 'b', 0,
-  /* 11789 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 11799 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 11809 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 11819 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 11829 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 11839 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 11845 */ 'c', 'l', 'a', 'c', 0,
-  /* 11850 */ 's', 't', 'a', 'c', 0,
-  /* 11855 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 11865 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 11872 */ 's', 'a', 'l', 'c', 0,
-  /* 11877 */ 'c', 'l', 'c', 0,
-  /* 11881 */ 'c', 'm', 'c', 0,
-  /* 11885 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 11891 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 11898 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 11904 */ 's', 't', 'c', 0,
-  /* 11908 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 11915 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 11921 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 11927 */ 'c', 'l', 'd', 0,
-  /* 11931 */ 'x', 'e', 'n', 'd', 0,
-  /* 11936 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 11946 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 11956 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 11962 */ 's', 't', 'd', 0,
-  /* 11966 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 11973 */ 'c', 'w', 'd', 0,
-  /* 11977 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
-  /* 11984 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11991 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 11998 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
-  /* 12005 */ 'c', 'w', 'd', 'e', 0,
-  /* 12010 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
-  /* 12017 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 12026 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 12032 */ 'c', 'd', 'q', 'e', 0,
-  /* 12037 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
-  /* 12046 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 12053 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
-  /* 12062 */ 'p', 'a', 'u', 's', 'e', 0,
-  /* 12068 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 12074 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 12081 */ 'l', 'a', 'h', 'f', 0,
-  /* 12086 */ 's', 'a', 'h', 'f', 0,
-  /* 12091 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 12097 */ 'p', 'o', 'p', 'f', 0,
-  /* 12102 */ 'r', 'e', 't', 'f', 0,
-  /* 12107 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 12116 */ 'c', 'l', 'g', 'i', 0,
-  /* 12121 */ 's', 't', 'g', 'i', 0,
-  /* 12126 */ 'c', 'l', 'i', 0,
-  /* 12130 */ 'f', 'l', 'd', 'p', 'i', 0,
-  /* 12136 */ 's', 't', 'i', 0,
-  /* 12140 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 12155 */ 'l', 'o', 'c', 'k', 0,
-  /* 12160 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 12171 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 12178 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 12184 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12192 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 12199 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 12207 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
-  /* 12216 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 12224 */ 'f', 'x', 'a', 'm', 0,
-  /* 12229 */ 'f', 'p', 'r', 'e', 'm', 0,
-  /* 12235 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 12242 */ 'r', 's', 'm', 0,
-  /* 12246 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
-  /* 12253 */ 'f', 'p', 't', 'a', 'n', 0,
-  /* 12259 */ 'f', 's', 'i', 'n', 0,
-  /* 12264 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 12291 */ 'c', 'q', 'o', 0,
-  /* 12295 */ 'i', 'n', 't', 'o', 0,
-  /* 12300 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 12307 */ 'r', 'e', 'p', 0,
-  /* 12311 */ 'v', 'p', 'c', 'm', 'p', 0,
-  /* 12317 */ 'v', 'c', 'm', 'p', 0,
-  /* 12322 */ 'f', 'n', 'o', 'p', 0,
-  /* 12327 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12334 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
-  /* 12342 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
-  /* 12350 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
-  /* 12358 */ 'c', 'd', 'q', 0,
-  /* 12362 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 12369 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 12375 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 12381 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 12391 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 12401 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 12407 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
-  /* 12418 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 12427 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
-  /* 12435 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 12441 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 12447 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 12457 */ 'a', 'a', 's', 0,
-  /* 12461 */ 'd', 'a', 's', 0,
-  /* 12465 */ 'f', 'a', 'b', 's', 0,
-  /* 12470 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 12478 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 12486 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 12493 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 12501 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 12508 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 12516 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 12523 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 12531 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 12538 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 12545 */ 'f', 'c', 'h', 's', 0,
-  /* 12550 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 12595 */ 'f', 'e', 'm', 'm', 's', 0,
-  /* 12601 */ 'f', 'c', 'o', 's', 0,
-  /* 12606 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
-  /* 12614 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 12622 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 12629 */ 'c', 'l', 't', 's', 0,
-  /* 12634 */ 'f', 'l', 'd', 'l', '2', 't', 0,
-  /* 12641 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
-  /* 12649 */ 'i', 'r', 'e', 't', 0,
-  /* 12654 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 12661 */ 'm', 'w', 'a', 'i', 't', 0,
-  /* 12667 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
-  /* 12674 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 12682 */ 'h', 'l', 't', 0,
-  /* 12686 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
-  /* 12694 */ 'f', 's', 'q', 'r', 't', 0,
-  /* 12700 */ 'x', 't', 'e', 's', 't', 0,
-  /* 12706 */ 'f', 't', 's', 't', 0,
-  /* 12711 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 12718 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 12725 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 12732 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 12738 */ 'c', 'b', 'w', 0,
-  /* 12742 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 12752 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 12762 */ 'f', 'y', 'l', '2', 'x', 0,
-  /* 12768 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
-  /* 12778 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 12789 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 12800 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 12811 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 12821 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 12832 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 12844 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 12855 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 12866 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 12876 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12893 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 12910 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 12920 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12930 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 12941 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
-  /* 12948 */ 'f', 'l', 'd', 'z', 0,
+  /* 0 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '3', '2', 'x', '4', 32, 9, 0,
+  /* 18 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '6', '4', 'x', '4', 32, 9, 0,
+  /* 36 */ 'k', 'a', 'n', 'd', 'b', 32, 9, 0,
+  /* 44 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'b', 32, 9, 0,
+  /* 56 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'b', 32, 9, 0,
+  /* 67 */ 'v', 'p', 'm', 'o', 'v', 'd', 'b', 32, 9, 0,
+  /* 77 */ 'k', 'a', 'n', 'd', 'n', 'b', 32, 9, 0,
+  /* 86 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'b', 32, 9, 0,
+  /* 98 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'b', 32, 9, 0,
+  /* 109 */ 'v', 'p', 'm', 'o', 'v', 'q', 'b', 32, 9, 0,
+  /* 119 */ 'k', 'o', 'r', 'b', 32, 9, 0,
+  /* 126 */ 'k', 'x', 'n', 'o', 'r', 'b', 32, 9, 0,
+  /* 135 */ 'k', 'x', 'o', 'r', 'b', 32, 9, 0,
+  /* 143 */ 'k', 'n', 'o', 't', 'b', 32, 9, 0,
+  /* 151 */ 'k', 'm', 'o', 'v', 'b', 32, 9, 0,
+  /* 159 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'd', 32, 9, 0,
+  /* 170 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'd', 32, 9, 0,
+  /* 181 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'w', '2', 'd', 32, 9, 0,
+  /* 199 */ 'v', 'p', 's', 'r', 'a', 'd', 32, 9, 0,
+  /* 208 */ 'v', 'p', 's', 'u', 'b', 'd', 32, 9, 0,
+  /* 217 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 32, 9, 0,
+  /* 229 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 32, 9, 0,
+  /* 241 */ 'v', 'p', 'a', 'd', 'd', 'd', 32, 9, 0,
+  /* 250 */ 'k', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 258 */ 'v', 'p', 'a', 'n', 'd', 'd', 32, 9, 0,
+  /* 267 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 280 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'd', 32, 9, 0,
+  /* 294 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 32, 9, 0,
+  /* 304 */ 'v', 'p', 's', 'l', 'l', 'd', 32, 9, 0,
+  /* 313 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 32, 9, 0,
+  /* 323 */ 'v', 'p', 's', 'r', 'l', 'd', 32, 9, 0,
+  /* 332 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'd', 32, 9, 0,
+  /* 344 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'd', 32, 9, 0,
+  /* 356 */ 'v', 'p', 'e', 'r', 'm', 'd', 32, 9, 0,
+  /* 365 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'd', 32, 9, 0,
+  /* 376 */ 'k', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 385 */ 'v', 'p', 'a', 'n', 'd', 'n', 'd', 32, 9, 0,
+  /* 395 */ 'v', 'a', 'l', 'i', 'g', 'n', 'd', 32, 9, 0,
+  /* 405 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 422 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 436 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 451 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 468 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 32, 9, 0,
+  /* 497 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 'd', 32, 9, 0,
+  /* 509 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 521 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 'd', 32, 9, 0,
+  /* 534 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 32, 9, 0,
+  /* 546 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 'd', 32, 9, 0,
+  /* 558 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 575 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 589 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 604 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 621 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 635 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 32, 9, 0,
+  /* 650 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 661 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 'd', 32, 9, 0,
+  /* 674 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 685 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 'd', 32, 9, 0,
+  /* 698 */ 'v', 's', 'u', 'b', 'p', 'd', 32, 9, 0,
+  /* 707 */ 'v', 'a', 'd', 'd', 'p', 'd', 32, 9, 0,
+  /* 716 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 729 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 'd', 32, 9, 0,
+  /* 743 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 'd', 32, 9, 0,
+  /* 757 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 32, 9, 0,
+  /* 767 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 32, 9, 0,
+  /* 779 */ 'v', 'm', 'u', 'l', 'p', 'd', 32, 9, 0,
+  /* 788 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 'd', 32, 9, 0,
+  /* 800 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 32, 9, 0,
+  /* 810 */ 'v', 'm', 'i', 'n', 'p', 'd', 32, 9, 0,
+  /* 819 */ 'v', 'c', 'm', 'p', 'p', 'd', 32, 9, 0,
+  /* 828 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 841 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 'd', 32, 9, 0,
+  /* 855 */ 'v', 'd', 'i', 'v', 'p', 'd', 32, 9, 0,
+  /* 864 */ 'v', 'm', 'a', 'x', 'p', 'd', 32, 9, 0,
+  /* 873 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 886 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'd', 32, 9, 0,
+  /* 900 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'd', 32, 9, 0,
+  /* 912 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'd', 32, 9, 0,
+  /* 923 */ 'v', 'p', 'm', 'o', 'v', 'q', 'd', 32, 9, 0,
+  /* 933 */ 'k', 'o', 'r', 'd', 32, 9, 0,
+  /* 940 */ 'k', 'x', 'n', 'o', 'r', 'd', 32, 9, 0,
+  /* 949 */ 'v', 'p', 'o', 'r', 'd', 32, 9, 0,
+  /* 957 */ 'k', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 965 */ 'v', 'p', 'x', 'o', 'r', 'd', 32, 9, 0,
+  /* 974 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 986 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 32, 9, 0,
+  /* 999 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1013 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1028 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1042 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 32, 9, 0,
+  /* 1057 */ 'v', 'r', 'c', 'p', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1068 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 'd', 32, 9, 0,
+  /* 1081 */ 'v', 'r', 'c', 'p', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1092 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 'd', 32, 9, 0,
+  /* 1105 */ 'v', 'p', 'a', 'b', 's', 'd', 32, 9, 0,
+  /* 1114 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 'd', 32, 9, 0,
+  /* 1128 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 32, 9, 0,
+  /* 1138 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 32, 9, 0,
+  /* 1153 */ 'v', 'm', 'o', 'v', 's', 'd', 32, 9, 0,
+  /* 1162 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 32, 9, 0,
+  /* 1172 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'd', 32, 9, 0,
+  /* 1186 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'd', 32, 9, 0,
+  /* 1197 */ 'k', 'n', 'o', 't', 'd', 32, 9, 0,
+  /* 1205 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 32, 9, 0,
+  /* 1220 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 32, 9, 0,
+  /* 1230 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 32, 9, 0,
+  /* 1240 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 32, 9, 0,
+  /* 1250 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 32, 9, 0,
+  /* 1260 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 32, 9, 0,
+  /* 1270 */ 'k', 'm', 'o', 'v', 'd', 32, 9, 0,
+  /* 1278 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 32, 9, 0,
+  /* 1290 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 32, 9, 0,
+  /* 1302 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 32, 9, 0,
+  /* 1314 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1327 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 32, 9, 0,
+  /* 1339 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1352 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 32, 9, 0,
+  /* 1364 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1378 */ 'v', 'c', 'v', 't', 's', 'd', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1391 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1405 */ 'v', 'c', 'v', 't', 's', 's', '2', 'u', 's', 'i', 32, 9, 0,
+  /* 1418 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 32, 9, 0,
+  /* 1429 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 32, 9, 0,
+  /* 1441 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 32, 9, 0,
+  /* 1453 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'm', 'b', '2', 'q', 32, 9, 0,
+  /* 1471 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'q', 32, 9, 0,
+  /* 1482 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'q', 32, 9, 0,
+  /* 1493 */ 'v', 'p', 's', 'r', 'a', 'q', 32, 9, 0,
+  /* 1502 */ 'v', 'p', 's', 'u', 'b', 'q', 32, 9, 0,
+  /* 1511 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 32, 9, 0,
+  /* 1523 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 32, 9, 0,
+  /* 1535 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1548 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 32, 9, 0,
+  /* 1560 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1573 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 32, 9, 0,
+  /* 1585 */ 'v', 'p', 'a', 'd', 'd', 'q', 32, 9, 0,
+  /* 1594 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 32, 9, 0,
+  /* 1607 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 32, 9, 0,
+  /* 1620 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 32, 9, 0,
+  /* 1630 */ 'k', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1638 */ 'v', 'p', 'a', 'n', 'd', 'q', 32, 9, 0,
+  /* 1647 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 32, 9, 0,
+  /* 1661 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 32, 9, 0,
+  /* 1675 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1688 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'q', 32, 9, 0,
+  /* 1702 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1716 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1729 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1743 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'u', 'd', 'q', 32, 9, 0,
+  /* 1756 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 32, 9, 0,
+  /* 1767 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 32, 9, 0,
+  /* 1779 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 32, 9, 0,
+  /* 1791 */ 'v', 'p', 's', 'l', 'l', 'q', 32, 9, 0,
+  /* 1800 */ 'v', 'p', 's', 'r', 'l', 'q', 32, 9, 0,
+  /* 1809 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'm', 'q', 32, 9, 0,
+  /* 1821 */ 'v', 'p', 't', 'e', 's', 't', 'n', 'm', 'q', 32, 9, 0,
+  /* 1833 */ 'v', 'p', 'e', 'r', 'm', 'q', 32, 9, 0,
+  /* 1842 */ 'v', 'p', 't', 'e', 's', 't', 'm', 'q', 32, 9, 0,
+  /* 1853 */ 'k', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1862 */ 'v', 'p', 'a', 'n', 'd', 'n', 'q', 32, 9, 0,
+  /* 1872 */ 'v', 'a', 'l', 'i', 'g', 'n', 'q', 32, 9, 0,
+  /* 1882 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1895 */ 'v', 'p', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'q', 32, 9, 0,
+  /* 1909 */ 'k', 'o', 'r', 'q', 32, 9, 0,
+  /* 1916 */ 'k', 'x', 'n', 'o', 'r', 'q', 32, 9, 0,
+  /* 1925 */ 'v', 'p', 'o', 'r', 'q', 32, 9, 0,
+  /* 1933 */ 'k', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1941 */ 'v', 'p', 'x', 'o', 'r', 'q', 32, 9, 0,
+  /* 1950 */ 'v', 'p', 'a', 'b', 's', 'q', 32, 9, 0,
+  /* 1959 */ 'v', 'p', 'm', 'i', 'n', 's', 'q', 32, 9, 0,
+  /* 1969 */ 'v', 'p', 'm', 'a', 'x', 's', 'q', 32, 9, 0,
+  /* 1979 */ 'v', 'p', 'c', 'o', 'n', 'f', 'l', 'i', 'c', 't', 'q', 32, 9, 0,
+  /* 1993 */ 'v', 'p', 'l', 'z', 'c', 'n', 't', 'q', 32, 9, 0,
+  /* 2004 */ 'k', 'n', 'o', 't', 'q', 32, 9, 0,
+  /* 2012 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 32, 9, 0,
+  /* 2027 */ 'v', 'p', 'm', 'i', 'n', 'u', 'q', 32, 9, 0,
+  /* 2037 */ 'v', 'p', 'm', 'a', 'x', 'u', 'q', 32, 9, 0,
+  /* 2047 */ 'v', 'p', 's', 'r', 'a', 'v', 'q', 32, 9, 0,
+  /* 2057 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 32, 9, 0,
+  /* 2067 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 32, 9, 0,
+  /* 2077 */ 'k', 'm', 'o', 'v', 'q', 32, 9, 0,
+  /* 2085 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 32, 9, 0,
+  /* 2097 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 32, 9, 0,
+  /* 2109 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2126 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2140 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2155 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2172 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2186 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 32, 9, 0,
+  /* 2201 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 32, 9, 0,
+  /* 2213 */ 'v', 'p', 'e', 'r', 'm', 'i', '2', 'p', 's', 32, 9, 0,
+  /* 2225 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2237 */ 'v', 'c', 'v', 't', 'u', 'd', 'q', '2', 'p', 's', 32, 9, 0,
+  /* 2250 */ 'v', 'p', 'e', 'r', 'm', 't', '2', 'p', 's', 32, 9, 0,
+  /* 2262 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2279 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2293 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2308 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2325 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2339 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 32, 9, 0,
+  /* 2354 */ 'v', 'r', 'c', 'p', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2365 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 'p', 's', 32, 9, 0,
+  /* 2378 */ 'v', 'r', 'c', 'p', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2389 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 'p', 's', 32, 9, 0,
+  /* 2402 */ 'v', 's', 'u', 'b', 'p', 's', 32, 9, 0,
+  /* 2411 */ 'v', 'a', 'd', 'd', 'p', 's', 32, 9, 0,
+  /* 2420 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2433 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'd', 'p', 's', 32, 9, 0,
+  /* 2447 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 'p', 's', 32, 9, 0,
+  /* 2461 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 32, 9, 0,
+  /* 2471 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 32, 9, 0,
+  /* 2483 */ 'v', 'm', 'u', 'l', 'p', 's', 32, 9, 0,
+  /* 2492 */ 'v', 'b', 'l', 'e', 'n', 'd', 'm', 'p', 's', 32, 9, 0,
+  /* 2504 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 32, 9, 0,
+  /* 2514 */ 'v', 'm', 'i', 'n', 'p', 's', 32, 9, 0,
+  /* 2523 */ 'v', 'c', 'm', 'p', 'p', 's', 32, 9, 0,
+  /* 2532 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2545 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'q', 'p', 's', 32, 9, 0,
+  /* 2559 */ 'v', 'd', 'i', 'v', 'p', 's', 32, 9, 0,
+  /* 2568 */ 'v', 'm', 'a', 'x', 'p', 's', 32, 9, 0,
+  /* 2577 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2589 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 32, 9, 0,
+  /* 2602 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2616 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2631 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2645 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 32, 9, 0,
+  /* 2660 */ 'v', 'r', 'c', 'p', '1', '4', 's', 's', 32, 9, 0,
+  /* 2671 */ 'v', 'r', 's', 'q', 'r', 't', '1', '4', 's', 's', 32, 9, 0,
+  /* 2684 */ 'v', 'r', 'c', 'p', '2', '8', 's', 's', 32, 9, 0,
+  /* 2695 */ 'v', 'r', 's', 'q', 'r', 't', '2', '8', 's', 's', 32, 9, 0,
+  /* 2708 */ 'v', 'r', 'n', 'd', 's', 'c', 'a', 'l', 'e', 's', 's', 32, 9, 0,
+  /* 2722 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 32, 9, 0,
+  /* 2737 */ 'v', 'm', 'o', 'v', 's', 's', 32, 9, 0,
+  /* 2746 */ 'k', 'u', 'n', 'p', 'c', 'k', 'b', 'w', 32, 9, 0,
+  /* 2757 */ 'k', 'a', 'n', 'd', 'w', 32, 9, 0,
+  /* 2765 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'd', 'w', 32, 9, 0,
+  /* 2777 */ 'v', 'p', 'm', 'o', 'v', 's', 'd', 'w', 32, 9, 0,
+  /* 2788 */ 'v', 'p', 'm', 'o', 'v', 'd', 'w', 32, 9, 0,
+  /* 2798 */ 'k', 's', 'h', 'i', 'f', 't', 'l', 'w', 32, 9, 0,
+  /* 2809 */ 'k', 'a', 'n', 'd', 'n', 'w', 32, 9, 0,
+  /* 2818 */ 'v', 'p', 'm', 'o', 'v', 'u', 's', 'q', 'w', 32, 9, 0,
+  /* 2830 */ 'v', 'p', 'm', 'o', 'v', 's', 'q', 'w', 32, 9, 0,
+  /* 2841 */ 'v', 'p', 'm', 'o', 'v', 'q', 'w', 32, 9, 0,
+  /* 2851 */ 'k', 'o', 'r', 'w', 32, 9, 0,
+  /* 2858 */ 'k', 'x', 'n', 'o', 'r', 'w', 32, 9, 0,
+  /* 2867 */ 'k', 'x', 'o', 'r', 'w', 32, 9, 0,
+  /* 2875 */ 'k', 's', 'h', 'i', 'f', 't', 'r', 'w', 32, 9, 0,
+  /* 2886 */ 'k', 'n', 'o', 't', 'w', 32, 9, 0,
+  /* 2894 */ 'k', 'o', 'r', 't', 'e', 's', 't', 'w', 32, 9, 0,
+  /* 2905 */ 'k', 'm', 'o', 'v', 'w', 32, 9, 0,
+  /* 2913 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '0', 9, 0,
+  /* 2925 */ 's', 'h', 'a', '1', 'm', 's', 'g', '1', 9, 0,
+  /* 2935 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '1', 9, 0,
+  /* 2947 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '1', 9, 0,
+  /* 2959 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '1', 9, 0,
+  /* 2969 */ 'p', 'f', 'r', 's', 'q', 'i', 't', '1', 9, 0,
+  /* 2979 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '3', '2', 9, 0,
+  /* 2990 */ 'c', 'r', 'c', '3', '2', 9, 0,
+  /* 2997 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '3', '2', 9, 0,
+  /* 3008 */ 's', 'h', 'a', '1', 'm', 's', 'g', '2', 9, 0,
+  /* 3018 */ 's', 'h', 'a', '2', '5', '6', 'm', 's', 'g', '2', 9, 0,
+  /* 3030 */ 's', 'h', 'a', '2', '5', '6', 'r', 'n', 'd', 's', '2', 9, 0,
+  /* 3043 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 't', '2', 9, 0,
+  /* 3055 */ 'p', 'f', 'r', 'c', 'p', 'i', 't', '2', 9, 0,
+  /* 3065 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', '6', '4', 9, 0,
+  /* 3076 */ 'f', 'x', 's', 'a', 'v', 'e', '6', '4', 9, 0,
+  /* 3086 */ 'f', 'x', 'r', 's', 't', 'o', 'r', '6', '4', 9, 0,
+  /* 3097 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', '6', '4', 9, 0,
+  /* 3109 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '6', '4', 9, 0,
+  /* 3120 */ 's', 'h', 'a', '1', 'r', 'n', 'd', 's', '4', 9, 0,
+  /* 3131 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3146 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '3', '2', 'x', '4', 9, 0,
+  /* 3160 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3175 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '3', '2', 'x', '4', 9, 0,
+  /* 3189 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3204 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '6', '4', 'x', '4', 9, 0,
+  /* 3218 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3233 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '6', '4', 'x', '4', 9, 0,
+  /* 3247 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '1', '6', 9, 0,
+  /* 3258 */ 'v', 'p', 'e', 'r', 'm', '2', 'f', '1', '2', '8', 9, 0,
+  /* 3270 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3284 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3297 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'f', '1', '2', '8', 9, 0,
+  /* 3313 */ 'v', 'p', 'e', 'r', 'm', '2', 'i', '1', '2', '8', 9, 0,
+  /* 3325 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3339 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3352 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'i', '1', '2', '8', 9, 0,
+  /* 3368 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', '8', 9, 0,
+  /* 3378 */ 'l', 'e', 'a', 9, 0,
+  /* 3383 */ 'j', 'a', 9, 0,
+  /* 3387 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 'a', 9, 0,
+  /* 3398 */ 'v', 'm', 'o', 'v', 'd', 'q', 'a', 9, 0,
+  /* 3407 */ 's', 'e', 't', 'a', 9, 0,
+  /* 3413 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'n', 't', 'a', 9, 0,
+  /* 3426 */ 'c', 'm', 'o', 'v', 'a', 9, 0,
+  /* 3433 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '1', '6', 'b', 9, 0,
+  /* 3445 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', '8', 'b', 9, 0,
+  /* 3456 */ 'v', 'p', 's', 'h', 'a', 'b', 9, 0,
+  /* 3464 */ 's', 'b', 'b', 9, 0,
+  /* 3469 */ 'v', 'p', 's', 'u', 'b', 'b', 9, 0,
+  /* 3477 */ 'v', 'p', 'a', 'd', 'd', 'b', 9, 0,
+  /* 3485 */ 'v', 'p', 's', 'h', 'u', 'f', 'b', 9, 0,
+  /* 3494 */ 'v', 'p', 'a', 'v', 'g', 'b', 9, 0,
+  /* 3502 */ 'j', 'b', 9, 0,
+  /* 3506 */ 'v', 'p', 'm', 'o', 'v', 'm', 's', 'k', 'b', 9, 0,
+  /* 3517 */ 'v', 'p', 's', 'h', 'l', 'b', 9, 0,
+  /* 3525 */ 'v', 'p', 'c', 'o', 'm', 'b', 9, 0,
+  /* 3533 */ 'v', 'p', 's', 'i', 'g', 'n', 'b', 9, 0,
+  /* 3542 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'b', 9, 0,
+  /* 3552 */ 'v', 'p', 'i', 'n', 's', 'r', 'b', 9, 0,
+  /* 3561 */ 'v', 'p', 'e', 'x', 't', 'r', 'b', 9, 0,
+  /* 3570 */ 'v', 'p', 'a', 'b', 's', 'b', 9, 0,
+  /* 3578 */ 'v', 'p', 's', 'u', 'b', 's', 'b', 9, 0,
+  /* 3587 */ 'v', 'p', 'a', 'd', 'd', 's', 'b', 9, 0,
+  /* 3596 */ 'v', 'p', 'm', 'i', 'n', 's', 'b', 9, 0,
+  /* 3605 */ 's', 't', 'o', 's', 'b', 9, 0,
+  /* 3612 */ 'c', 'm', 'p', 's', 'b', 9, 0,
+  /* 3619 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'b', 9, 0,
+  /* 3629 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'b', 9, 0,
+  /* 3639 */ 'p', 'a', 'v', 'g', 'u', 's', 'b', 9, 0,
+  /* 3648 */ 'm', 'o', 'v', 's', 'b', 9, 0,
+  /* 3655 */ 'v', 'p', 'm', 'a', 'x', 's', 'b', 9, 0,
+  /* 3664 */ 's', 'e', 't', 'b', 9, 0,
+  /* 3670 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'b', 9, 0,
+  /* 3680 */ 'v', 'p', 'r', 'o', 't', 'b', 9, 0,
+  /* 3688 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'b', 9, 0,
+  /* 3702 */ 'v', 'p', 'c', 'o', 'm', 'u', 'b', 9, 0,
+  /* 3711 */ 'v', 'p', 'm', 'i', 'n', 'u', 'b', 9, 0,
+  /* 3720 */ 'p', 'f', 's', 'u', 'b', 9, 0,
+  /* 3727 */ 'f', 'i', 's', 'u', 'b', 9, 0,
+  /* 3734 */ 'v', 'p', 'm', 'a', 'x', 'u', 'b', 9, 0,
+  /* 3743 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'v', 'b', 9, 0,
+  /* 3754 */ 'c', 'm', 'o', 'v', 'b', 9, 0,
+  /* 3761 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'w', 'b', 9, 0,
+  /* 3772 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'w', 'b', 9, 0,
+  /* 3783 */ 'p', 'f', 'a', 'c', 'c', 9, 0,
+  /* 3790 */ 'p', 'f', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3798 */ 'p', 'f', 'p', 'n', 'a', 'c', 'c', 9, 0,
+  /* 3807 */ 'a', 'd', 'c', 9, 0,
+  /* 3812 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 9, 0,
+  /* 3821 */ 'b', 'l', 'c', 'i', 'c', 9, 0,
+  /* 3828 */ 'b', 'l', 's', 'i', 'c', 9, 0,
+  /* 3835 */ 't', '1', 'm', 's', 'k', 'c', 9, 0,
+  /* 3843 */ 'v', 'a', 'e', 's', 'i', 'm', 'c', 9, 0,
+  /* 3852 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 9, 0,
+  /* 3861 */ 'i', 'n', 'c', 9, 0,
+  /* 3866 */ 'b', 't', 'c', 9, 0,
+  /* 3871 */ 'a', 'a', 'd', 9, 0,
+  /* 3876 */ 'v', 'm', 'r', 'e', 'a', 'd', 9, 0,
+  /* 3884 */ 'v', 'p', 's', 'h', 'a', 'd', 9, 0,
+  /* 3892 */ 'v', 'p', 's', 'r', 'a', 'd', 9, 0,
+  /* 3900 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'd', 9, 0,
+  /* 3910 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'd', 9, 0,
+  /* 3921 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 9, 0,
+  /* 3930 */ 'v', 'p', 's', 'u', 'b', 'd', 9, 0,
+  /* 3938 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'd', 9, 0,
+  /* 3949 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'd', 9, 0,
+  /* 3960 */ 'p', 'f', 'a', 'd', 'd', 9, 0,
+  /* 3967 */ 'f', 'i', 'a', 'd', 'd', 9, 0,
+  /* 3974 */ 'x', 'a', 'd', 'd', 9, 0,
+  /* 3980 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3989 */ 'v', 'p', 'a', 'd', 'd', 'd', 9, 0,
+  /* 3997 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'd', 9, 0,
+  /* 4007 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'd', 9, 0,
+  /* 4019 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'd', 9, 0,
+  /* 4029 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'd', 9, 0,
+  /* 4040 */ 'r', 'd', 's', 'e', 'e', 'd', 9, 0,
+  /* 4048 */ 'p', 'i', '2', 'f', 'd', 9, 0,
+  /* 4055 */ 'v', 'p', 's', 'h', 'u', 'f', 'd', 9, 0,
+  /* 4064 */ 'p', 'f', '2', 'i', 'd', 9, 0,
+  /* 4071 */ 'i', 'n', 'v', 'p', 'c', 'i', 'd', 9, 0,
+  /* 4080 */ 'i', 'n', 'v', 'v', 'p', 'i', 'd', 9, 0,
+  /* 4089 */ 'f', 'b', 'l', 'd', 9, 0,
+  /* 4095 */ 'f', 'l', 'd', 9, 0,
+  /* 4100 */ 'v', 'p', 's', 'h', 'l', 'd', 9, 0,
+  /* 4108 */ 'f', 'i', 'l', 'd', 9, 0,
+  /* 4114 */ 'v', 'p', 's', 'l', 'l', 'd', 9, 0,
+  /* 4122 */ 'v', 'p', 'm', 'u', 'l', 'l', 'd', 9, 0,
+  /* 4131 */ 'v', 'p', 's', 'r', 'l', 'd', 9, 0,
+  /* 4139 */ 'v', 'm', 'p', 't', 'r', 'l', 'd', 9, 0,
+  /* 4148 */ 'v', 'p', 'c', 'o', 'm', 'd', 9, 0,
+  /* 4156 */ 'v', 'p', 'e', 'r', 'm', 'd', 9, 0,
+  /* 4164 */ 'v', 'p', 'a', 'n', 'd', 9, 0,
+  /* 4171 */ 'r', 'd', 'r', 'a', 'n', 'd', 9, 0,
+  /* 4179 */ 'v', 'p', 's', 'i', 'g', 'n', 'd', 9, 0,
+  /* 4188 */ 'b', 'o', 'u', 'n', 'd', 9, 0,
+  /* 4195 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4211 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4224 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4238 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4254 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4267 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 'd', 9, 0,
+  /* 4281 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4297 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4310 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4324 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4340 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4353 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 'd', 9, 0,
+  /* 4367 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 'd', 9, 0,
+  /* 4377 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 'd', 9, 0,
+  /* 4389 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 'd', 9, 0,
+  /* 4400 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'd', 9, 0,
+  /* 4411 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4427 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4440 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4454 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4470 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4483 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 'd', 9, 0,
+  /* 4497 */ 'v', 'm', 'o', 'v', 'a', 'p', 'd', 9, 0,
+  /* 4506 */ 'p', 's', 'w', 'a', 'p', 'd', 9, 0,
+  /* 4514 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4527 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4538 */ 'v', 'h', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4547 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4557 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4568 */ 'v', 's', 'u', 'b', 'p', 'd', 9, 0,
+  /* 4576 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4589 */ 'v', 'h', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4598 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4608 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4619 */ 'v', 'a', 'd', 'd', 'p', 'd', 9, 0,
+  /* 4627 */ 'v', 'a', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4635 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4645 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 'd', 9, 0,
+  /* 4655 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 'd', 9, 0,
+  /* 4667 */ 'v', 's', 'h', 'u', 'f', 'p', 'd', 9, 0,
+  /* 4676 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 'd', 9, 0,
+  /* 4687 */ 'v', 'm', 'o', 'v', 'h', 'p', 'd', 9, 0,
+  /* 4696 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 'd', 9, 0,
+  /* 4707 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 'd', 9, 0,
+  /* 4718 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 'd', 9, 0,
+  /* 4729 */ 'v', 'm', 'u', 'l', 'p', 'd', 9, 0,
+  /* 4737 */ 'v', 'm', 'o', 'v', 'l', 'p', 'd', 9, 0,
+  /* 4746 */ 'v', 'p', 'c', 'm', 'p', 'd', 9, 0,
+  /* 4754 */ 'v', 'p', 'e', 'r', 'm', 'p', 'd', 9, 0,
+  /* 4763 */ 'v', 'a', 'n', 'd', 'n', 'p', 'd', 9, 0,
+  /* 4772 */ 'v', 'm', 'i', 'n', 'p', 'd', 9, 0,
+  /* 4780 */ 'v', 'd', 'p', 'p', 'd', 9, 0,
+  /* 4787 */ 'v', 'c', 'm', 'p', 'p', 'd', 9, 0,
+  /* 4795 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 'd', 9, 0,
+  /* 4807 */ 'v', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4814 */ 'v', 'x', 'o', 'r', 'p', 'd', 9, 0,
+  /* 4822 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 'd', 9, 0,
+  /* 4832 */ 'v', 's', 'q', 'r', 't', 'p', 'd', 9, 0,
+  /* 4841 */ 'v', 't', 'e', 's', 't', 'p', 'd', 9, 0,
+  /* 4850 */ 'v', 'm', 'o', 'v', 'u', 'p', 'd', 9, 0,
+  /* 4859 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 'd', 9, 0,
+  /* 4870 */ 'v', 'd', 'i', 'v', 'p', 'd', 9, 0,
+  /* 4878 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 'd', 9, 0,
+  /* 4890 */ 'v', 'm', 'a', 'x', 'p', 'd', 9, 0,
+  /* 4898 */ 'v', 'f', 'r', 'c', 'z', 'p', 'd', 9, 0,
+  /* 4907 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'd', 9, 0,
+  /* 4917 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'd', 9, 0,
+  /* 4929 */ 's', 'h', 'r', 'd', 9, 0,
+  /* 4935 */ 'v', 'p', 'i', 'n', 's', 'r', 'd', 9, 0,
+  /* 4944 */ 'v', 'p', 'e', 'x', 't', 'r', 'd', 9, 0,
+  /* 4953 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4966 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4980 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 4993 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 'd', 9, 0,
+  /* 5007 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5020 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5034 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5047 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 'd', 9, 0,
+  /* 5061 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 5072 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 'd', 9, 0,
+  /* 5084 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'd', 9, 0,
+  /* 5095 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5108 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5122 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5135 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 'd', 9, 0,
+  /* 5149 */ 'v', 'p', 'a', 'b', 's', 'd', 9, 0,
+  /* 5157 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5167 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5178 */ 'v', 's', 'u', 'b', 's', 'd', 9, 0,
+  /* 5186 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5196 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5207 */ 'v', 'a', 'd', 'd', 's', 'd', 9, 0,
+  /* 5215 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 'd', 9, 0,
+  /* 5225 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5235 */ 'v', 'c', 'o', 'm', 'i', 's', 'd', 9, 0,
+  /* 5244 */ 'v', 'm', 'u', 'l', 's', 'd', 9, 0,
+  /* 5252 */ 'v', 'p', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5261 */ 'v', 'm', 'i', 'n', 's', 'd', 9, 0,
+  /* 5269 */ 's', 't', 'o', 's', 'd', 9, 0,
+  /* 5276 */ 'v', 'c', 'm', 'p', 's', 'd', 9, 0,
+  /* 5284 */ 'm', 'o', 'v', 'n', 't', 's', 'd', 9, 0,
+  /* 5293 */ 'v', 's', 'q', 'r', 't', 's', 'd', 9, 0,
+  /* 5302 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 'd', 9, 0,
+  /* 5316 */ 'v', 'd', 'i', 'v', 's', 'd', 9, 0,
+  /* 5324 */ 'v', 'm', 'o', 'v', 's', 'd', 9, 0,
+  /* 5332 */ 'v', 'p', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5341 */ 'v', 'm', 'a', 'x', 's', 'd', 9, 0,
+  /* 5349 */ 'v', 'f', 'r', 'c', 'z', 's', 'd', 9, 0,
+  /* 5358 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'd', 9, 0,
+  /* 5368 */ 'v', 'p', 'r', 'o', 't', 'd', 9, 0,
+  /* 5376 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'd', 9, 0,
+  /* 5390 */ 'v', 'p', 'c', 'o', 'm', 'u', 'd', 9, 0,
+  /* 5399 */ 'v', 'p', 'm', 'i', 'n', 'u', 'd', 9, 0,
+  /* 5408 */ 'v', 'p', 'c', 'm', 'p', 'u', 'd', 9, 0,
+  /* 5417 */ 'v', 'p', 'm', 'a', 'x', 'u', 'd', 9, 0,
+  /* 5426 */ 'v', 'p', 's', 'r', 'a', 'v', 'd', 9, 0,
+  /* 5435 */ 'v', 'p', 's', 'l', 'l', 'v', 'd', 9, 0,
+  /* 5444 */ 'v', 'p', 's', 'r', 'l', 'v', 'd', 9, 0,
+  /* 5453 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5465 */ 'v', 'm', 'o', 'v', 'd', 9, 0,
+  /* 5472 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 'd', 9, 0,
+  /* 5482 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5492 */ 'v', 'p', 'm', 'a', 'd', 'd', 'w', 'd', 9, 0,
+  /* 5502 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'w', 'd', 9, 0,
+  /* 5514 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'w', 'd', 9, 0,
+  /* 5526 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'd', 9, 0,
+  /* 5536 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 'w', 'd', 9, 0,
+  /* 5547 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5558 */ 'v', 'p', 'm', 'a', 'd', 'c', 's', 's', 'w', 'd', 9, 0,
+  /* 5570 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'd', 9, 0,
+  /* 5581 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'd', 9, 0,
+  /* 5592 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'd', 9, 0,
+  /* 5603 */ 'm', 'o', 'v', 's', 'x', 'd', 9, 0,
+  /* 5611 */ 'j', 'a', 'e', 9, 0,
+  /* 5616 */ 's', 'e', 't', 'a', 'e', 9, 0,
+  /* 5623 */ 'c', 'm', 'o', 'v', 'a', 'e', 9, 0,
+  /* 5631 */ 'j', 'b', 'e', 9, 0,
+  /* 5636 */ 's', 'e', 't', 'b', 'e', 9, 0,
+  /* 5643 */ 'c', 'm', 'o', 'v', 'b', 'e', 9, 0,
+  /* 5651 */ 'f', 'f', 'r', 'e', 'e', 9, 0,
+  /* 5658 */ 'j', 'g', 'e', 9, 0,
+  /* 5663 */ 'p', 'f', 'c', 'm', 'p', 'g', 'e', 9, 0,
+  /* 5672 */ 's', 'e', 't', 'g', 'e', 9, 0,
+  /* 5679 */ 'c', 'm', 'o', 'v', 'g', 'e', 9, 0,
+  /* 5687 */ 'j', 'e', 9, 0,
+  /* 5691 */ 'j', 'l', 'e', 9, 0,
+  /* 5696 */ 's', 'e', 't', 'l', 'e', 9, 0,
+  /* 5703 */ 'c', 'm', 'o', 'v', 'l', 'e', 9, 0,
+  /* 5711 */ 'j', 'n', 'e', 9, 0,
+  /* 5716 */ 'l', 'o', 'o', 'p', 'n', 'e', 9, 0,
+  /* 5724 */ 's', 'e', 't', 'n', 'e', 9, 0,
+  /* 5731 */ 'c', 'm', 'o', 'v', 'n', 'e', 9, 0,
+  /* 5739 */ 'l', 'o', 'o', 'p', 'e', 9, 0,
+  /* 5746 */ 'r', 'd', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5756 */ 'w', 'r', 'f', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5766 */ 'r', 'd', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5776 */ 'w', 'r', 'g', 's', 'b', 'a', 's', 'e', 9, 0,
+  /* 5786 */ 's', 'e', 't', 'e', 9, 0,
+  /* 5792 */ 'v', 'm', 'w', 'r', 'i', 't', 'e', 9, 0,
+  /* 5801 */ 's', 'h', 'a', '1', 'n', 'e', 'x', 't', 'e', 9, 0,
+  /* 5812 */ 'f', 'n', 's', 'a', 'v', 'e', 9, 0,
+  /* 5820 */ 'f', 'x', 's', 'a', 'v', 'e', 9, 0,
+  /* 5828 */ 'c', 'm', 'o', 'v', 'e', 9, 0,
+  /* 5835 */ 'b', 's', 'f', 9, 0,
+  /* 5840 */ 'r', 'e', 't', 'f', 9, 0,
+  /* 5846 */ 'n', 'e', 'g', 9, 0,
+  /* 5851 */ 'c', 'm', 'p', 'x', 'c', 'h', 'g', 9, 0,
+  /* 5860 */ 'j', 'g', 9, 0,
+  /* 5864 */ 'i', 'n', 'v', 'l', 'p', 'g', 9, 0,
+  /* 5872 */ 's', 'e', 't', 'g', 9, 0,
+  /* 5878 */ 'c', 'm', 'o', 'v', 'g', 9, 0,
+  /* 5885 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 9, 0,
+  /* 5895 */ 'f', 'x', 'c', 'h', 9, 0,
+  /* 5901 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'p', 'h', 9, 0,
+  /* 5912 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'h', 9, 0,
+  /* 5923 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'h', 9, 0,
+  /* 5935 */ 'c', 'l', 'f', 'l', 'u', 's', 'h', 9, 0,
+  /* 5944 */ 'p', 'u', 's', 'h', 9, 0,
+  /* 5950 */ 'b', 'l', 'c', 'i', 9, 0,
+  /* 5956 */ 'b', 'z', 'h', 'i', 9, 0,
+  /* 5962 */ 'f', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5969 */ 'f', 'u', 'c', 'o', 'm', 'i', 9, 0,
+  /* 5977 */ 'c', 'v', 't', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5988 */ 'c', 'v', 't', 'p', 'd', '2', 'p', 'i', 9, 0,
+  /* 5998 */ 'c', 'v', 't', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6009 */ 'c', 'v', 't', 'p', 's', '2', 'p', 'i', 9, 0,
+  /* 6019 */ 'f', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6027 */ 'f', 'u', 'c', 'o', 'm', 'p', 'i', 9, 0,
+  /* 6036 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'i', 9, 0,
+  /* 6048 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'i', 9, 0,
+  /* 6060 */ 'v', 'c', 'v', 't', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6072 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 'i', 9, 0,
+  /* 6083 */ 'v', 'c', 'v', 't', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6095 */ 'v', 'c', 'v', 't', 's', 's', '2', 's', 'i', 9, 0,
+  /* 6106 */ 'b', 'l', 's', 'i', 9, 0,
+  /* 6112 */ 'm', 'o', 'v', 'n', 't', 'i', 9, 0,
+  /* 6120 */ 'b', 'l', 'c', 'm', 's', 'k', 9, 0,
+  /* 6128 */ 'b', 'l', 's', 'm', 's', 'k', 9, 0,
+  /* 6136 */ 't', 'z', 'm', 's', 'k', 9, 0,
+  /* 6143 */ 's', 'a', 'l', 9, 0,
+  /* 6148 */ 'r', 'c', 'l', 9, 0,
+  /* 6153 */ 's', 'h', 'l', 9, 0,
+  /* 6158 */ 'j', 'l', 9, 0,
+  /* 6162 */ 'l', 'c', 'a', 'l', 'l', 9, 0,
+  /* 6169 */ 'b', 'l', 'c', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6178 */ 'b', 'l', 's', 'f', 'i', 'l', 'l', 9, 0,
+  /* 6187 */ 'r', 'o', 'l', 9, 0,
+  /* 6192 */ 'a', 'r', 'p', 'l', 9, 0,
+  /* 6198 */ 'v', 'p', 'm', 'a', 'c', 's', 'd', 'q', 'l', 9, 0,
+  /* 6209 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'd', 'q', 'l', 9, 0,
+  /* 6221 */ 'l', 's', 'l', 9, 0,
+  /* 6226 */ 's', 'e', 't', 'l', 9, 0,
+  /* 6232 */ 'p', 'f', 'm', 'u', 'l', 9, 0,
+  /* 6239 */ 'f', 'i', 'm', 'u', 'l', 9, 0,
+  /* 6246 */ 'c', 'm', 'o', 'v', 'l', 9, 0,
+  /* 6253 */ 'a', 'a', 'm', 9, 0,
+  /* 6258 */ 'f', 'c', 'o', 'm', 9, 0,
+  /* 6264 */ 'f', 'i', 'c', 'o', 'm', 9, 0,
+  /* 6271 */ 'f', 'u', 'c', 'o', 'm', 9, 0,
+  /* 6278 */ 'v', 'p', 'p', 'e', 'r', 'm', 9, 0,
+  /* 6286 */ 'v', 'p', 'c', 'm', 'p', 'e', 's', 't', 'r', 'm', 9, 0,
+  /* 6298 */ 'v', 'p', 'c', 'm', 'p', 'i', 's', 't', 'r', 'm', 9, 0,
+  /* 6310 */ 'v', 'p', 'a', 'n', 'd', 'n', 9, 0,
+  /* 6318 */ 'x', 'b', 'e', 'g', 'i', 'n', 9, 0,
+  /* 6326 */ 'p', 'f', 'm', 'i', 'n', 9, 0,
+  /* 6333 */ 'v', 'm', 'x', 'o', 'n', 9, 0,
+  /* 6340 */ 'j', 'o', 9, 0,
+  /* 6344 */ 'j', 'n', 'o', 9, 0,
+  /* 6349 */ 's', 'e', 't', 'n', 'o', 9, 0,
+  /* 6356 */ 'c', 'm', 'o', 'v', 'n', 'o', 9, 0,
+  /* 6364 */ 's', 'e', 't', 'o', 9, 0,
+  /* 6370 */ 'c', 'm', 'o', 'v', 'o', 9, 0,
+  /* 6377 */ 'b', 's', 'w', 'a', 'p', 9, 0,
+  /* 6384 */ 'f', 's', 'u', 'b', 'p', 9, 0,
+  /* 6391 */ 'p', 'f', 'r', 'c', 'p', 9, 0,
+  /* 6398 */ 'f', 'a', 'd', 'd', 'p', 9, 0,
+  /* 6405 */ 'p', 'd', 'e', 'p', 9, 0,
+  /* 6411 */ 'j', 'p', 9, 0,
+  /* 6415 */ 'f', 'm', 'u', 'l', 'p', 9, 0,
+  /* 6422 */ 'c', 'm', 'p', 9, 0,
+  /* 6427 */ 'l', 'j', 'm', 'p', 9, 0,
+  /* 6433 */ 'f', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6440 */ 'f', 'i', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6448 */ 'f', 'u', 'c', 'o', 'm', 'p', 9, 0,
+  /* 6456 */ 'j', 'n', 'p', 9, 0,
+  /* 6461 */ 's', 'e', 't', 'n', 'p', 9, 0,
+  /* 6468 */ 'c', 'm', 'o', 'v', 'n', 'p', 9, 0,
+  /* 6476 */ 'n', 'o', 'p', 9, 0,
+  /* 6481 */ 'l', 'o', 'o', 'p', 9, 0,
+  /* 6487 */ 'p', 'o', 'p', 9, 0,
+  /* 6492 */ 'f', 's', 'u', 'b', 'r', 'p', 9, 0,
+  /* 6500 */ 'f', 'd', 'i', 'v', 'r', 'p', 9, 0,
+  /* 6508 */ 's', 'e', 't', 'p', 9, 0,
+  /* 6514 */ 'f', 'b', 's', 't', 'p', 9, 0,
+  /* 6521 */ 'f', 's', 't', 'p', 9, 0,
+  /* 6527 */ 'f', 'i', 's', 't', 'p', 9, 0,
+  /* 6534 */ 'f', 'i', 's', 't', 't', 'p', 9, 0,
+  /* 6542 */ 'v', 'm', 'o', 'v', 'd', 'd', 'u', 'p', 9, 0,
+  /* 6552 */ 'v', 'm', 'o', 'v', 's', 'h', 'd', 'u', 'p', 9, 0,
+  /* 6563 */ 'v', 'm', 'o', 'v', 's', 'l', 'd', 'u', 'p', 9, 0,
+  /* 6574 */ '#', 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 9, 0,
+  /* 6590 */ 'f', 'd', 'i', 'v', 'p', 9, 0,
+  /* 6597 */ 'c', 'm', 'o', 'v', 'p', 9, 0,
+  /* 6604 */ 'm', 'o', 'v', 'd', 'q', '2', 'q', 9, 0,
+  /* 6613 */ 'v', 'p', 's', 'h', 'a', 'q', 9, 0,
+  /* 6621 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'q', 9, 0,
+  /* 6631 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'q', 9, 0,
+  /* 6642 */ 'v', 'p', 's', 'u', 'b', 'q', 9, 0,
+  /* 6650 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'q', 9, 0,
+  /* 6661 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'q', 9, 0,
+  /* 6672 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6684 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 9, 0,
+  /* 6695 */ 'm', 'o', 'v', 'q', '2', 'd', 'q', 9, 0,
+  /* 6704 */ 'v', 'c', 'v', 't', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6716 */ 'v', 'c', 'v', 't', 'p', 's', '2', 'd', 'q', 9, 0,
+  /* 6727 */ 'v', 'p', 'h', 's', 'u', 'b', 'd', 'q', 9, 0,
+  /* 6737 */ 'v', 'p', 'a', 'd', 'd', 'q', 9, 0,
+  /* 6745 */ 'v', 'p', 'h', 'a', 'd', 'd', 'd', 'q', 9, 0,
+  /* 6755 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'd', 'q', 9, 0,
+  /* 6767 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'd', 'q', 9, 0,
+  /* 6779 */ 'v', 'p', 's', 'l', 'l', 'd', 'q', 9, 0,
+  /* 6788 */ 'v', 'p', 's', 'r', 'l', 'd', 'q', 9, 0,
+  /* 6797 */ 'v', 'p', 'm', 'u', 'l', 'd', 'q', 9, 0,
+  /* 6806 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'q', 'd', 'q', 9, 0,
+  /* 6819 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6832 */ 'v', 'p', 'c', 'l', 'm', 'u', 'l', 'q', 'd', 'q', 9, 0,
+  /* 6844 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'q', 9, 0,
+  /* 6856 */ 'v', 'm', 'o', 'v', 'n', 't', 'd', 'q', 9, 0,
+  /* 6866 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'd', 'q', 9, 0,
+  /* 6877 */ 'v', 'p', 'm', 'u', 'l', 'u', 'd', 'q', 9, 0,
+  /* 6887 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'd', 'q', 9, 0,
+  /* 6898 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'd', 'q', 9, 0,
+  /* 6909 */ 'p', 'f', 'c', 'm', 'p', 'e', 'q', 9, 0,
+  /* 6918 */ 'r', 'e', 't', 'f', 'q', 9, 0,
+  /* 6925 */ 'v', 'p', 's', 'h', 'l', 'q', 9, 0,
+  /* 6933 */ 'v', 'p', 's', 'l', 'l', 'q', 9, 0,
+  /* 6941 */ 'v', 'p', 's', 'r', 'l', 'q', 9, 0,
+  /* 6949 */ 'v', 'p', 'c', 'o', 'm', 'q', 9, 0,
+  /* 6957 */ 'v', 'p', 'e', 'r', 'm', 'q', 9, 0,
+  /* 6965 */ 'v', 'p', 'c', 'm', 'p', 'q', 9, 0,
+  /* 6973 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'q', 9, 0,
+  /* 6983 */ 'v', 'p', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'q', 9, 0,
+  /* 6995 */ 'v', 'p', 'i', 'n', 's', 'r', 'q', 9, 0,
+  /* 7004 */ 'v', 'p', 'e', 'x', 't', 'r', 'q', 9, 0,
+  /* 7013 */ 's', 't', 'o', 's', 'q', 9, 0,
+  /* 7020 */ 'c', 'm', 'p', 's', 'q', 9, 0,
+  /* 7027 */ 'm', 'o', 'v', 's', 'q', 9, 0,
+  /* 7034 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'q', 9, 0,
+  /* 7044 */ 'm', 'o', 'v', 'n', 't', 'q', 9, 0,
+  /* 7052 */ 'v', 'p', 'r', 'o', 't', 'q', 9, 0,
+  /* 7060 */ 'i', 'n', 's', 'e', 'r', 't', 'q', 9, 0,
+  /* 7069 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'q', 9, 0,
+  /* 7083 */ 'v', 'p', 'c', 'o', 'm', 'u', 'q', 9, 0,
+  /* 7092 */ 'v', 'p', 'c', 'm', 'p', 'u', 'q', 9, 0,
+  /* 7101 */ 'v', 'p', 's', 'l', 'l', 'v', 'q', 9, 0,
+  /* 7110 */ 'v', 'p', 's', 'r', 'l', 'v', 'q', 9, 0,
+  /* 7119 */ 'v', 'p', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'q', 9, 0,
+  /* 7131 */ 'v', 'm', 'o', 'v', 'q', 9, 0,
+  /* 7138 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 'q', 9, 0,
+  /* 7148 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'w', 'q', 9, 0,
+  /* 7159 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'w', 'q', 9, 0,
+  /* 7170 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'w', 'q', 9, 0,
+  /* 7181 */ 'v', 'm', 'c', 'l', 'e', 'a', 'r', 9, 0,
+  /* 7190 */ 'l', 'a', 'r', 9, 0,
+  /* 7195 */ 's', 'a', 'r', 9, 0,
+  /* 7200 */ 'p', 'f', 's', 'u', 'b', 'r', 9, 0,
+  /* 7208 */ 'f', 'i', 's', 'u', 'b', 'r', 9, 0,
+  /* 7216 */ 'r', 'c', 'r', 9, 0,
+  /* 7221 */ 'e', 'n', 't', 'e', 'r', 9, 0,
+  /* 7228 */ 's', 'h', 'r', 9, 0,
+  /* 7233 */ 'v', 'p', 'a', 'l', 'i', 'g', 'n', 'r', 9, 0,
+  /* 7243 */ 'v', 'p', 'o', 'r', 9, 0,
+  /* 7249 */ 'r', 'o', 'r', 9, 0,
+  /* 7254 */ 'f', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7262 */ 'f', 'x', 'r', 's', 't', 'o', 'r', 9, 0,
+  /* 7271 */ 'v', 'p', 'x', 'o', 'r', 9, 0,
+  /* 7278 */ 'v', 'e', 'r', 'r', 9, 0,
+  /* 7284 */ 'b', 's', 'r', 9, 0,
+  /* 7289 */ 'v', 'l', 'd', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7299 */ 'v', 's', 't', 'm', 'x', 'c', 's', 'r', 9, 0,
+  /* 7309 */ 'b', 'l', 's', 'r', 9, 0,
+  /* 7315 */ 'b', 't', 'r', 9, 0,
+  /* 7320 */ 'l', 't', 'r', 9, 0,
+  /* 7325 */ 's', 't', 'r', 9, 0,
+  /* 7330 */ 'b', 'e', 'x', 't', 'r', 9, 0,
+  /* 7337 */ 'f', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7344 */ 'f', 'i', 'd', 'i', 'v', 'r', 9, 0,
+  /* 7352 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 0,
+  /* 7360 */ 'b', 'l', 'c', 's', 9, 0,
+  /* 7366 */ 'l', 'd', 's', 9, 0,
+  /* 7371 */ 'l', 'e', 's', 9, 0,
+  /* 7376 */ 'l', 'f', 's', 9, 0,
+  /* 7381 */ 'l', 'g', 's', 9, 0,
+  /* 7386 */ 'j', 's', 9, 0,
+  /* 7390 */ 'j', 'n', 's', 9, 0,
+  /* 7395 */ 's', 'e', 't', 'n', 's', 9, 0,
+  /* 7402 */ 'c', 'm', 'o', 'v', 'n', 's', 9, 0,
+  /* 7410 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7426 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7439 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7453 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7469 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7482 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 'p', 's', 9, 0,
+  /* 7496 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7512 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7525 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7539 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7555 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7568 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 'p', 's', 9, 0,
+  /* 7582 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 9, 0,
+  /* 7593 */ 'v', 'c', 'v', 't', 'p', 'h', '2', 'p', 's', 9, 0,
+  /* 7604 */ 'c', 'v', 't', 'p', 'i', '2', 'p', 's', 9, 0,
+  /* 7614 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', '2', 'p', 's', 9, 0,
+  /* 7626 */ 'v', 'c', 'v', 't', 'd', 'q', '2', 'p', 's', 9, 0,
+  /* 7637 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7653 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7666 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7680 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7696 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7709 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 'p', 's', 9, 0,
+  /* 7723 */ 'v', 'm', 'o', 'v', 'a', 'p', 's', 9, 0,
+  /* 7732 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7745 */ 'v', 'a', 'd', 'd', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7756 */ 'v', 'h', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7765 */ 'v', 'f', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7775 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7786 */ 'v', 's', 'u', 'b', 'p', 's', 9, 0,
+  /* 7794 */ 'v', 'f', 'm', 's', 'u', 'b', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7807 */ 'v', 'h', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7816 */ 'v', 'f', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7826 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7837 */ 'v', 'a', 'd', 'd', 'p', 's', 9, 0,
+  /* 7845 */ 'v', 'a', 'n', 'd', 'p', 's', 9, 0,
+  /* 7853 */ 'v', 'b', 'l', 'e', 'n', 'd', 'p', 's', 9, 0,
+  /* 7863 */ 'v', 'r', 'o', 'u', 'n', 'd', 'p', 's', 9, 0,
+  /* 7873 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'd', 'p', 's', 9, 0,
+  /* 7885 */ 'v', 's', 'h', 'u', 'f', 'p', 's', 9, 0,
+  /* 7894 */ 'v', 'u', 'n', 'p', 'c', 'k', 'h', 'p', 's', 9, 0,
+  /* 7905 */ 'v', 'm', 'o', 'v', 'l', 'h', 'p', 's', 9, 0,
+  /* 7915 */ 'v', 'm', 'o', 'v', 'h', 'p', 's', 9, 0,
+  /* 7924 */ 'v', 'm', 'o', 'v', 'm', 's', 'k', 'p', 's', 9, 0,
+  /* 7935 */ 'v', 'm', 'o', 'v', 'h', 'l', 'p', 's', 9, 0,
+  /* 7945 */ 'v', 'p', 'e', 'r', 'm', 'i', 'l', 'p', 's', 9, 0,
+  /* 7956 */ 'v', 'u', 'n', 'p', 'c', 'k', 'l', 'p', 's', 9, 0,
+  /* 7967 */ 'v', 'm', 'u', 'l', 'p', 's', 9, 0,
+  /* 7975 */ 'v', 'm', 'o', 'v', 'l', 'p', 's', 9, 0,
+  /* 7984 */ 'v', 'p', 'e', 'r', 'm', 'p', 's', 9, 0,
+  /* 7993 */ 'v', 'a', 'n', 'd', 'n', 'p', 's', 9, 0,
+  /* 8002 */ 'v', 'm', 'i', 'n', 'p', 's', 9, 0,
+  /* 8010 */ 'v', 'r', 'c', 'p', 'p', 's', 9, 0,
+  /* 8018 */ 'v', 'd', 'p', 'p', 's', 9, 0,
+  /* 8025 */ 'v', 'c', 'm', 'p', 'p', 's', 9, 0,
+  /* 8033 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'q', 'p', 's', 9, 0,
+  /* 8045 */ 'v', 'o', 'r', 'p', 's', 9, 0,
+  /* 8052 */ 'v', 'x', 'o', 'r', 'p', 's', 9, 0,
+  /* 8060 */ 'v', 'e', 'x', 't', 'r', 'a', 'c', 't', 'p', 's', 9, 0,
+  /* 8072 */ 'v', 'm', 'o', 'v', 'n', 't', 'p', 's', 9, 0,
+  /* 8082 */ 'v', 'i', 'n', 's', 'e', 'r', 't', 'p', 's', 9, 0,
+  /* 8093 */ 'v', 'r', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 8103 */ 'v', 's', 'q', 'r', 't', 'p', 's', 9, 0,
+  /* 8112 */ 'v', 't', 'e', 's', 't', 'p', 's', 9, 0,
+  /* 8121 */ 'v', 'm', 'o', 'v', 'u', 'p', 's', 9, 0,
+  /* 8130 */ 'v', 'b', 'l', 'e', 'n', 'd', 'v', 'p', 's', 9, 0,
+  /* 8141 */ 'v', 'd', 'i', 'v', 'p', 's', 9, 0,
+  /* 8149 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'p', 's', 9, 0,
+  /* 8161 */ 'v', 'm', 'a', 'x', 'p', 's', 9, 0,
+  /* 8169 */ 'v', 'f', 'r', 'c', 'z', 'p', 's', 9, 0,
+  /* 8178 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8191 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '3', '1', 's', 's', 9, 0,
+  /* 8205 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8218 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '3', '1', 's', 's', 9, 0,
+  /* 8232 */ 'v', 'f', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8245 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '1', '3', '2', 's', 's', 9, 0,
+  /* 8259 */ 'v', 'f', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8272 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '1', '3', '2', 's', 's', 9, 0,
+  /* 8286 */ 'v', 'c', 'v', 't', 's', 'd', '2', 's', 's', 9, 0,
+  /* 8297 */ 'v', 'c', 'v', 't', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8308 */ 'v', 'c', 'v', 't', 'u', 's', 'i', '2', 's', 's', 9, 0,
+  /* 8320 */ 'v', 'f', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8333 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', '2', '1', '3', 's', 's', 9, 0,
+  /* 8347 */ 'v', 'f', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8360 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', '2', '1', '3', 's', 's', 9, 0,
+  /* 8374 */ 'v', 'f', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8384 */ 'v', 'f', 'n', 'm', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8395 */ 'v', 's', 'u', 'b', 's', 's', 9, 0,
+  /* 8403 */ 'v', 'f', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8413 */ 'v', 'f', 'n', 'm', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8424 */ 'v', 'a', 'd', 'd', 's', 's', 9, 0,
+  /* 8432 */ 'v', 'r', 'o', 'u', 'n', 'd', 's', 's', 9, 0,
+  /* 8442 */ 'v', 'u', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8452 */ 'v', 'c', 'o', 'm', 'i', 's', 's', 9, 0,
+  /* 8461 */ 'v', 'm', 'u', 'l', 's', 's', 9, 0,
+  /* 8469 */ 'v', 'm', 'i', 'n', 's', 's', 9, 0,
+  /* 8477 */ 'v', 'r', 'c', 'p', 's', 's', 9, 0,
+  /* 8485 */ 'v', 'c', 'm', 'p', 's', 's', 9, 0,
+  /* 8493 */ 'm', 'o', 'v', 'n', 't', 's', 's', 9, 0,
+  /* 8502 */ 'v', 'r', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8512 */ 'v', 's', 'q', 'r', 't', 's', 's', 9, 0,
+  /* 8521 */ 'v', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 's', 's', 9, 0,
+  /* 8535 */ 'v', 'd', 'i', 'v', 's', 's', 9, 0,
+  /* 8543 */ 'v', 'm', 'o', 'v', 's', 's', 9, 0,
+  /* 8551 */ 'v', 'm', 'a', 'x', 's', 's', 9, 0,
+  /* 8559 */ 'v', 'f', 'r', 'c', 'z', 's', 's', 9, 0,
+  /* 8568 */ 'b', 't', 's', 9, 0,
+  /* 8573 */ 's', 'e', 't', 's', 9, 0,
+  /* 8579 */ 'c', 'm', 'o', 'v', 's', 9, 0,
+  /* 8586 */ 'b', 't', 9, 0,
+  /* 8590 */ 'l', 'g', 'd', 't', 9, 0,
+  /* 8596 */ 's', 'g', 'd', 't', 9, 0,
+  /* 8602 */ 'l', 'i', 'd', 't', 9, 0,
+  /* 8608 */ 's', 'i', 'd', 't', 9, 0,
+  /* 8614 */ 'l', 'l', 'd', 't', 9, 0,
+  /* 8620 */ 's', 'l', 'd', 't', 9, 0,
+  /* 8626 */ 'r', 'e', 't', 9, 0,
+  /* 8631 */ 'p', 'f', 'c', 'm', 'p', 'g', 't', 9, 0,
+  /* 8640 */ 'p', 'o', 'p', 'c', 'n', 't', 9, 0,
+  /* 8648 */ 'l', 'z', 'c', 'n', 't', 9, 0,
+  /* 8655 */ 't', 'z', 'c', 'n', 't', 9, 0,
+  /* 8662 */ 'i', 'n', 't', 9, 0,
+  /* 8667 */ 'n', 'o', 't', 9, 0,
+  /* 8672 */ 'i', 'n', 'v', 'e', 'p', 't', 9, 0,
+  /* 8680 */ 'x', 's', 'a', 'v', 'e', 'o', 'p', 't', 9, 0,
+  /* 8690 */ 'x', 'a', 'b', 'o', 'r', 't', 9, 0,
+  /* 8698 */ 'p', 'f', 'r', 's', 'q', 'r', 't', 9, 0,
+  /* 8707 */ 'v', 'a', 'e', 's', 'd', 'e', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8720 */ 'v', 'a', 'e', 's', 'e', 'n', 'c', 'l', 'a', 's', 't', 9, 0,
+  /* 8733 */ 'v', 'p', 't', 'e', 's', 't', 9, 0,
+  /* 8741 */ 'f', 's', 't', 9, 0,
+  /* 8746 */ 'f', 'i', 's', 't', 9, 0,
+  /* 8752 */ 'v', 'a', 'e', 's', 'k', 'e', 'y', 'g', 'e', 'n', 'a', 's', 's', 'i', 's', 't', 9, 0,
+  /* 8770 */ 'v', 'm', 'p', 't', 'r', 's', 't', 9, 0,
+  /* 8779 */ 'o', 'u', 't', 9, 0,
+  /* 8784 */ 'p', 'e', 'x', 't', 9, 0,
+  /* 8790 */ 'v', 'l', 'd', 'd', 'q', 'u', 9, 0,
+  /* 8798 */ 'v', 'm', 'a', 's', 'k', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8811 */ 'v', 'm', 'o', 'v', 'd', 'q', 'u', 9, 0,
+  /* 8820 */ 'f', 'd', 'i', 'v', 9, 0,
+  /* 8826 */ 'f', 'i', 'd', 'i', 'v', 9, 0,
+  /* 8833 */ 'f', 'l', 'd', 'e', 'n', 'v', 9, 0,
+  /* 8841 */ 'f', 'n', 's', 't', 'e', 'n', 'v', 9, 0,
+  /* 8850 */ 'v', 'p', 'c', 'm', 'o', 'v', 9, 0,
+  /* 8858 */ 'v', 'p', 's', 'h', 'a', 'w', 9, 0,
+  /* 8866 */ 'v', 'p', 's', 'r', 'a', 'w', 9, 0,
+  /* 8874 */ 'v', 'p', 'h', 's', 'u', 'b', 'b', 'w', 9, 0,
+  /* 8884 */ 'v', 'm', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8894 */ 'v', 'p', 's', 'a', 'd', 'b', 'w', 9, 0,
+  /* 8903 */ 'v', 'p', 'h', 'a', 'd', 'd', 'b', 'w', 9, 0,
+  /* 8913 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'h', 'b', 'w', 9, 0,
+  /* 8925 */ 'v', 'p', 'u', 'n', 'p', 'c', 'k', 'l', 'b', 'w', 9, 0,
+  /* 8937 */ 'v', 'p', 'h', 'a', 'd', 'd', 'u', 'b', 'w', 9, 0,
+  /* 8948 */ 'v', 'p', 'h', 's', 'u', 'b', 'w', 9, 0,
+  /* 8957 */ 'v', 'p', 's', 'u', 'b', 'w', 9, 0,
+  /* 8965 */ 'v', 'p', 'm', 'o', 'v', 's', 'x', 'b', 'w', 9, 0,
+  /* 8976 */ 'v', 'p', 'm', 'o', 'v', 'z', 'x', 'b', 'w', 9, 0,
+  /* 8987 */ 'f', 'l', 'd', 'c', 'w', 9, 0,
+  /* 8994 */ 'f', 'n', 's', 't', 'c', 'w', 9, 0,
+  /* 9002 */ 'v', 'p', 'h', 'a', 'd', 'd', 'w', 9, 0,
+  /* 9011 */ 'v', 'p', 'a', 'd', 'd', 'w', 9, 0,
+  /* 9019 */ 'v', 'p', 'b', 'l', 'e', 'n', 'd', 'w', 9, 0,
+  /* 9029 */ 'v', 'p', 'a', 'c', 'k', 's', 's', 'd', 'w', 9, 0,
+  /* 9040 */ 'v', 'p', 'a', 'c', 'k', 'u', 's', 'd', 'w', 9, 0,
+  /* 9051 */ 'p', 'i', '2', 'f', 'w', 9, 0,
+  /* 9058 */ 'p', 's', 'h', 'u', 'f', 'w', 9, 0,
+  /* 9066 */ 'v', 'p', 'a', 'v', 'g', 'w', 9, 0,
+  /* 9074 */ 'p', 'r', 'e', 'f', 'e', 't', 'c', 'h', 'w', 9, 0,
+  /* 9085 */ 'v', 'p', 's', 'h', 'u', 'f', 'h', 'w', 9, 0,
+  /* 9095 */ 'v', 'p', 'm', 'u', 'l', 'h', 'w', 9, 0,
+  /* 9104 */ 'p', 'f', '2', 'i', 'w', 9, 0,
+  /* 9111 */ 'v', 'p', 's', 'h', 'u', 'f', 'l', 'w', 9, 0,
+  /* 9121 */ 'v', 'p', 's', 'h', 'l', 'w', 9, 0,
+  /* 9129 */ 'v', 'p', 's', 'l', 'l', 'w', 9, 0,
+  /* 9137 */ 'v', 'p', 'm', 'u', 'l', 'l', 'w', 9, 0,
+  /* 9146 */ 'v', 'p', 's', 'r', 'l', 'w', 9, 0,
+  /* 9154 */ 'v', 'p', 'c', 'o', 'm', 'w', 9, 0,
+  /* 9162 */ 'v', 'p', 's', 'i', 'g', 'n', 'w', 9, 0,
+  /* 9171 */ 'v', 'p', 'c', 'm', 'p', 'e', 'q', 'w', 9, 0,
+  /* 9181 */ 'v', 'e', 'r', 'w', 9, 0,
+  /* 9187 */ 'p', 'm', 'u', 'l', 'h', 'r', 'w', 9, 0,
+  /* 9196 */ 'v', 'p', 'i', 'n', 's', 'r', 'w', 9, 0,
+  /* 9205 */ 'v', 'p', 'e', 'x', 't', 'r', 'w', 9, 0,
+  /* 9214 */ 'v', 'p', 'a', 'b', 's', 'w', 9, 0,
+  /* 9222 */ 'v', 'p', 'm', 'a', 'd', 'd', 'u', 'b', 's', 'w', 9, 0,
+  /* 9234 */ 'v', 'p', 'h', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9244 */ 'v', 'p', 's', 'u', 'b', 's', 'w', 9, 0,
+  /* 9253 */ 'v', 'p', 'h', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9263 */ 'v', 'p', 'a', 'd', 'd', 's', 'w', 9, 0,
+  /* 9272 */ 'l', 'm', 's', 'w', 9, 0,
+  /* 9278 */ 's', 'm', 's', 'w', 9, 0,
+  /* 9284 */ 'v', 'p', 'm', 'i', 'n', 's', 'w', 9, 0,
+  /* 9293 */ 's', 't', 'o', 's', 'w', 9, 0,
+  /* 9300 */ 'c', 'm', 'p', 's', 'w', 9, 0,
+  /* 9307 */ 'v', 'p', 'm', 'u', 'l', 'h', 'r', 's', 'w', 9, 0,
+  /* 9318 */ 'f', 'n', 's', 't', 's', 'w', 9, 0,
+  /* 9326 */ 'v', 'p', 's', 'u', 'b', 'u', 's', 'w', 9, 0,
+  /* 9336 */ 'v', 'p', 'a', 'd', 'd', 'u', 's', 'w', 9, 0,
+  /* 9346 */ 'm', 'o', 'v', 's', 'w', 9, 0,
+  /* 9353 */ 'v', 'p', 'm', 'a', 'x', 's', 'w', 9, 0,
+  /* 9362 */ 'v', 'p', 'c', 'm', 'p', 'g', 't', 'w', 9, 0,
+  /* 9372 */ 'v', 'p', 'r', 'o', 't', 'w', 9, 0,
+  /* 9380 */ 'v', 'p', 'b', 'r', 'o', 'a', 'd', 'c', 'a', 's', 't', 'w', 9, 0,
+  /* 9394 */ 'v', 'p', 'm', 'u', 'l', 'h', 'u', 'w', 9, 0,
+  /* 9404 */ 'v', 'p', 'c', 'o', 'm', 'u', 'w', 9, 0,
+  /* 9413 */ 'v', 'p', 'm', 'i', 'n', 'u', 'w', 9, 0,
+  /* 9422 */ 'v', 'p', 'h', 'm', 'i', 'n', 'p', 'o', 's', 'u', 'w', 9, 0,
+  /* 9435 */ 'v', 'p', 'm', 'a', 'x', 'u', 'w', 9, 0,
+  /* 9444 */ 'v', 'p', 'm', 'a', 'c', 's', 'w', 'w', 9, 0,
+  /* 9454 */ 'v', 'p', 'm', 'a', 'c', 's', 's', 'w', 'w', 9, 0,
+  /* 9465 */ 'p', 'f', 'm', 'a', 'x', 9, 0,
+  /* 9472 */ 'a', 'd', 'c', 'x', 9, 0,
+  /* 9478 */ 's', 'h', 'l', 'x', 9, 0,
+  /* 9484 */ 'm', 'u', 'l', 'x', 9, 0,
+  /* 9490 */ 'a', 'd', 'o', 'x', 9, 0,
+  /* 9496 */ 'v', 'c', 'v', 't', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9509 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'd', 'q', 'x', 9, 0,
+  /* 9521 */ 's', 'a', 'r', 'x', 9, 0,
+  /* 9527 */ 's', 'h', 'r', 'x', 9, 0,
+  /* 9533 */ 'r', 'o', 'r', 'x', 9, 0,
+  /* 9539 */ 'v', 'c', 'v', 't', 'p', 'd', '2', 'p', 's', 'x', 9, 0,
+  /* 9551 */ 'm', 'o', 'v', 's', 'x', 9, 0,
+  /* 9558 */ 'm', 'o', 'v', 'z', 'x', 9, 0,
+  /* 9565 */ 'j', 'e', 'c', 'x', 'z', 9, 0,
+  /* 9572 */ 'j', 'c', 'x', 'z', 9, 0,
+  /* 9578 */ 'j', 'r', 'c', 'x', 'z', 9, 0,
+  /* 9585 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9601 */ 'f', 'c', 'm', 'o', 'v', 'b', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9616 */ 'f', 'c', 'm', 'o', 'v', 'n', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9633 */ 'f', 'c', 'm', 'o', 'v', 'b', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9649 */ 'f', 'c', 'm', 'o', 'v', 'n', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9665 */ 'f', 'c', 'm', 'o', 'v', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9680 */ 'f', 'c', 'm', 'o', 'v', 'n', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9696 */ 'f', 'c', 'm', 'o', 'v', 'u', 9, 's', 't', '(', '0', ')', ',', 32, 0,
+  /* 9711 */ 's', 'b', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9720 */ 's', 'c', 'a', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9731 */ 'l', 'o', 'd', 's', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9742 */ 's', 'u', 'b', 9, 'a', 'l', ',', 32, 0,
+  /* 9751 */ 'a', 'd', 'c', 9, 'a', 'l', ',', 32, 0,
+  /* 9760 */ 'a', 'd', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9769 */ 'a', 'n', 'd', 9, 'a', 'l', ',', 32, 0,
+  /* 9778 */ 'i', 'n', 9, 'a', 'l', ',', 32, 0,
+  /* 9786 */ 'c', 'm', 'p', 9, 'a', 'l', ',', 32, 0,
+  /* 9795 */ 'x', 'o', 'r', 9, 'a', 'l', ',', 32, 0,
+  /* 9804 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'l', ',', 32, 0,
+  /* 9816 */ 't', 'e', 's', 't', 9, 'a', 'l', ',', 32, 0,
+  /* 9826 */ 'm', 'o', 'v', 9, 'a', 'l', ',', 32, 0,
+  /* 9835 */ 's', 'b', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9844 */ 's', 'u', 'b', 9, 'a', 'x', ',', 32, 0,
+  /* 9853 */ 'a', 'd', 'c', 9, 'a', 'x', ',', 32, 0,
+  /* 9862 */ 'a', 'd', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9871 */ 'a', 'n', 'd', 9, 'a', 'x', ',', 32, 0,
+  /* 9880 */ 'x', 'c', 'h', 'g', 9, 'a', 'x', ',', 32, 0,
+  /* 9890 */ 'i', 'n', 9, 'a', 'x', ',', 32, 0,
+  /* 9898 */ 'c', 'm', 'p', 9, 'a', 'x', ',', 32, 0,
+  /* 9907 */ 'x', 'o', 'r', 9, 'a', 'x', ',', 32, 0,
+  /* 9916 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'a', 'x', ',', 32, 0,
+  /* 9928 */ 't', 'e', 's', 't', 9, 'a', 'x', ',', 32, 0,
+  /* 9938 */ 'm', 'o', 'v', 9, 'a', 'x', ',', 32, 0,
+  /* 9947 */ 's', 'c', 'a', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9958 */ 'l', 'o', 'd', 's', 'w', 9, 'a', 'x', ',', 32, 0,
+  /* 9969 */ 's', 'b', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9979 */ 's', 'u', 'b', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9989 */ 'a', 'd', 'c', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 9999 */ 'a', 'd', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10009 */ 'a', 'n', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10019 */ 's', 'c', 'a', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10031 */ 'l', 'o', 'd', 's', 'd', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10043 */ 'x', 'c', 'h', 'g', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10054 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10063 */ 'c', 'm', 'p', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10073 */ 'x', 'o', 'r', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10083 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10096 */ 't', 'e', 's', 't', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10107 */ 'm', 'o', 'v', 9, 'e', 'a', 'x', ',', 32, 0,
+  /* 10117 */ 's', 'b', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10127 */ 's', 'u', 'b', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10137 */ 'a', 'd', 'c', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10147 */ 'a', 'd', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10157 */ 'a', 'n', 'd', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10167 */ 'x', 'c', 'h', 'g', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10178 */ 'c', 'm', 'p', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10188 */ 's', 'c', 'a', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10200 */ 'l', 'o', 'd', 's', 'q', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10212 */ 'x', 'o', 'r', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10222 */ 'm', 'o', 'v', 'a', 'b', 's', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10235 */ 't', 'e', 's', 't', 9, 'r', 'a', 'x', ',', 32, 0,
+  /* 10246 */ 'o', 'u', 't', 's', 'b', 9, 'd', 'x', ',', 32, 0,
+  /* 10257 */ 'o', 'u', 't', 's', 'd', 9, 'd', 'x', ',', 32, 0,
+  /* 10268 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
+  /* 10279 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
+  /* 10290 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
+  /* 10313 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 10327 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 10351 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 10368 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 10384 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 10399 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 10413 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 10427 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10447 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10467 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10487 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10507 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10528 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10548 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10568 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10587 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10607 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10627 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10647 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10667 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10687 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10707 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10727 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10746 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10764 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10785 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 10806 */ 'f', 's', 't', 'p', 'n', 'c', 'e', 9, 's', 't', '(', '0', ')', ',', 32, 's', 't', '(', '0', ')', 0,
+  /* 10827 */ 'f', 's', 't', 'p', 9, 's', 't', '(', '7', ')', ',', 32, 's', 't', '(', '0', ')', 0,
+  /* 10845 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 10851 */ 'f', 'l', 'd', '1', 0,
+  /* 10856 */ 'f', 'p', 'r', 'e', 'm', '1', 0,
+  /* 10863 */ 'f', '2', 'x', 'm', '1', 0,
+  /* 10869 */ 'f', 'y', 'l', '2', 'x', 'p', '1', 0,
+  /* 10877 */ 'i', 'n', 't', '1', 0,
+  /* 10882 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 10901 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 10919 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 10932 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 10945 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 10963 */ 'u', 'd', '2', 0,
+  /* 10967 */ 'f', 'l', 'd', 'l', 'g', '2', 0,
+  /* 10974 */ 'f', 'l', 'd', 'l', 'n', '2', 0,
+  /* 10981 */ 'i', 'n', 't', '3', 0,
+  /* 10986 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 11005 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 11023 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 11036 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11049 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 11067 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 11073 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 11080 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 11088 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 11101 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 11108 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 11118 */ '#', 32, 'X', 'B', 'E', 'G', 'I', 'N', 0,
+  /* 11127 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 11145 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 11161 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 11173 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 11188 */ 'a', 'a', 'a', 0,
+  /* 11192 */ 'd', 'a', 'a', 0,
+  /* 11196 */ 'u', 'd', '2', 'b', 0,
+  /* 11201 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 11211 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 11221 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 11231 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 11241 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 11251 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 11257 */ 'c', 'l', 'a', 'c', 0,
+  /* 11262 */ 's', 't', 'a', 'c', 0,
+  /* 11267 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 11277 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 11284 */ 's', 'a', 'l', 'c', 0,
+  /* 11289 */ 'c', 'l', 'c', 0,
+  /* 11293 */ 'c', 'm', 'c', 0,
+  /* 11297 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 11303 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 11310 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 11316 */ 's', 't', 'c', 0,
+  /* 11320 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 11327 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 11333 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 11339 */ 'c', 'l', 'd', 0,
+  /* 11343 */ 'x', 'e', 'n', 'd', 0,
+  /* 11348 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 11358 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 11368 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 11374 */ 's', 't', 'd', 0,
+  /* 11378 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 11385 */ 'c', 'w', 'd', 0,
+  /* 11389 */ 'f', 'l', 'd', 'l', '2', 'e', 0,
+  /* 11396 */ 'l', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11403 */ 'm', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11410 */ 's', 'f', 'e', 'n', 'c', 'e', 0,
+  /* 11417 */ 'c', 'w', 'd', 'e', 0,
+  /* 11422 */ 'f', 's', 'c', 'a', 'l', 'e', 0,
+  /* 11429 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 11438 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 11444 */ 'c', 'd', 'q', 'e', 0,
+  /* 11449 */ 'x', 'a', 'c', 'q', 'u', 'i', 'r', 'e', 0,
+  /* 11458 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 11465 */ 'x', 'r', 'e', 'l', 'e', 'a', 's', 'e', 0,
+  /* 11474 */ 'p', 'a', 'u', 's', 'e', 0,
+  /* 11480 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 11494 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 11511 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 11517 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 11524 */ 'l', 'a', 'h', 'f', 0,
+  /* 11529 */ 's', 'a', 'h', 'f', 0,
+  /* 11534 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 11540 */ 'p', 'o', 'p', 'f', 0,
+  /* 11545 */ 'r', 'e', 't', 'f', 0,
+  /* 11550 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 11559 */ 'c', 'l', 'g', 'i', 0,
+  /* 11564 */ 's', 't', 'g', 'i', 0,
+  /* 11569 */ 'c', 'l', 'i', 0,
+  /* 11573 */ 'f', 'l', 'd', 'p', 'i', 0,
+  /* 11579 */ 's', 't', 'i', 0,
+  /* 11583 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 11598 */ 'l', 'o', 'c', 'k', 0,
+  /* 11603 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 11614 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 11621 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 11627 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 11635 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 11642 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 11650 */ 'v', 'z', 'e', 'r', 'o', 'a', 'l', 'l', 0,
+  /* 11659 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 11667 */ 'f', 'x', 'a', 'm', 0,
+  /* 11672 */ 'f', 'p', 'r', 'e', 'm', 0,
+  /* 11678 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 11685 */ 'r', 's', 'm', 0,
+  /* 11689 */ 'f', 'p', 'a', 't', 'a', 'n', 0,
+  /* 11696 */ 'f', 'p', 't', 'a', 'n', 0,
+  /* 11702 */ 'f', 's', 'i', 'n', 0,
+  /* 11707 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 11734 */ 'c', 'q', 'o', 0,
+  /* 11738 */ 'i', 'n', 't', 'o', 0,
+  /* 11743 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 11750 */ 'r', 'e', 'p', 0,
+  /* 11754 */ 'v', 'p', 'c', 'm', 'p', 0,
+  /* 11760 */ 'v', 'c', 'm', 'p', 0,
+  /* 11765 */ 'f', 'n', 'o', 'p', 0,
+  /* 11770 */ 'f', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 11777 */ 'f', 'u', 'c', 'o', 'm', 'p', 'p', 0,
+  /* 11785 */ 'f', 'd', 'e', 'c', 's', 't', 'p', 0,
+  /* 11793 */ 'f', 'i', 'n', 'c', 's', 't', 'p', 0,
+  /* 11801 */ 'c', 'd', 'q', 0,
+  /* 11805 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 11812 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 11818 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 11824 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 11834 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 11844 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 11850 */ 'v', 'z', 'e', 'r', 'o', 'u', 'p', 'p', 'e', 'r', 0,
+  /* 11861 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 11870 */ 'm', 'o', 'n', 'i', 't', 'o', 'r', 0,
+  /* 11878 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 11884 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 11890 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 11900 */ 'a', 'a', 's', 0,
+  /* 11904 */ 'd', 'a', 's', 0,
+  /* 11908 */ 'f', 'a', 'b', 's', 0,
+  /* 11913 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 11921 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 11929 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 11936 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 11944 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 11951 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 11959 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 11966 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 11974 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 11981 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 11988 */ 'f', 'c', 'h', 's', 0,
+  /* 11993 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 12038 */ 'e', 'n', 'c', 'l', 's', 0,
+  /* 12044 */ 'f', 'e', 'm', 'm', 's', 0,
+  /* 12050 */ 'f', 'c', 'o', 's', 0,
+  /* 12055 */ 'f', 's', 'i', 'n', 'c', 'o', 's', 0,
+  /* 12063 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 12071 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 12078 */ 'c', 'l', 't', 's', 0,
+  /* 12083 */ 'f', 'l', 'd', 'l', '2', 't', 0,
+  /* 12090 */ 'f', 'x', 't', 'r', 'a', 'c', 't', 0,
+  /* 12098 */ 'i', 'r', 'e', 't', 0,
+  /* 12103 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 12110 */ 'm', 'w', 'a', 'i', 't', 0,
+  /* 12116 */ 'f', 'n', 'i', 'n', 'i', 't', 0,
+  /* 12123 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 12131 */ 'h', 'l', 't', 0,
+  /* 12135 */ 'f', 'r', 'n', 'd', 'i', 'n', 't', 0,
+  /* 12143 */ 'f', 's', 'q', 'r', 't', 0,
+  /* 12149 */ 'x', 't', 'e', 's', 't', 0,
+  /* 12155 */ 'f', 't', 's', 't', 0,
+  /* 12160 */ 'e', 'n', 'c', 'l', 'u', 0,
+  /* 12166 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 12173 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 12180 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 12187 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 12193 */ 'c', 'b', 'w', 0,
+  /* 12197 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 12207 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 12217 */ 'f', 'y', 'l', '2', 'x', 0,
+  /* 12223 */ 'f', 'n', 's', 't', 's', 'w', 9, 'a', 'x', 0,
+  /* 12233 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 12244 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 12255 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 12266 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 12276 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 12287 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 12299 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 12310 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 12321 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 12331 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12348 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 12365 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 12375 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12385 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 12396 */ 'f', 'n', 'c', 'l', 'e', 'x', 0,
+  /* 12403 */ 'f', 'l', 'd', 'z', 0,
+  /* 12408 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12425 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12443 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12460 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 'd', 32, 9, '{', 0,
+  /* 12478 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12495 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12513 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12530 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 'd', 32, 9, '{', 0,
+  /* 12548 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12565 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12583 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12600 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'd', 'p', 's', 32, 9, '{', 0,
+  /* 12618 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12635 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '0', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12653 */ 'v', 'g', 'a', 't', 'h', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
+  /* 12670 */ 'v', 's', 'c', 'a', 't', 't', 'e', 'r', 'p', 'f', '1', 'q', 'p', 's', 32, 9, '{', 0,
   };
 #endif
 
@@ -12208,7 +13828,6 @@
 	;
 #endif
 
-
   // Fragment 0 encoded into 6 bits for 45 unique commands.
   //printf("Frag-0: %"PRIu64"\n", (Bits >> 14) & 63);
   switch ((Bits >> 14) & 63) {
@@ -12263,31 +13882,31 @@
     printSSECC(MI, 3, O); 
     break;
   case 12:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
+    // CMPSB
+    printSrcIdx8(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx16(MI, 0, O); 
+    printDstIdx8(MI, 0, O); 
     return;
     break;
   case 13:
-    // CMPS32
+    // CMPSL
     printSrcIdx32(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx32(MI, 0, O); 
     return;
     break;
   case 14:
-    // CMPS64
+    // CMPSQ
     printSrcIdx64(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx64(MI, 0, O); 
     return;
     break;
   case 15:
-    // CMPS8
-    printSrcIdx8(MI, 1, O); 
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx8(MI, 0, O); 
+    printDstIdx16(MI, 0, O); 
     return;
     break;
   case 16:
@@ -12300,16 +13919,16 @@
     return;
     break;
   case 18:
-    // IN16, MOVSW, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // INSB, MOVSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 19:
-    // IN32, MOVSL, SCAS32, STOSL
+    // INSL, MOVSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 20:
-    // IN8, MOVSB, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // INSW, MOVSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 21:
     // Int_VCMPSDrm, Int_VCMPSSrm, VCMPPDYrmi, VCMPPDZrmi, VCMPPDrmi, VCMPPSY...
@@ -12391,38 +14010,26 @@
   case 36:
     // MOVAPDmr, MOVAPSmr, MOVNTDQmr, MOVNTPDmr, MOVNTPSmr, MOVUPDmr, MOVUPSm...
     printf128mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
     break;
   case 37:
-    // MOVSQ, SCAS64, STOSQ
+    // MOVSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 38:
     // VCVTPS2PHZmr, VEXTRACTF64x4mr, VMASKMOVPDYmr, VMASKMOVPSYmr, VMOVAPDYm...
     printf256mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
     break;
   case 39:
-    // VEXTRACTI64x4mr, VMOVDQAYmr, VMOVDQUYmr, VPMASKMOVDYmr, VPMASKMOVQYmr,...
+    // VEXTRACTI64x4mr, VMOVDQA32Z256mr, VMOVDQA32Z256mrk, VMOVDQA64Z256mr, V...
     printi256mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
     break;
   case 40:
-    // VMOVAPDZmr, VMOVAPSZmr, VMOVUPDZmr, VMOVUPSZmr
+    // VMOVAPDZmr, VMOVAPDZmrk, VMOVAPSZmr, VMOVAPSZmrk, VMOVNTPDZmr, VMOVNTP...
     printf512mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
-    return;
     break;
   case 41:
-    // VMOVDQA32mr, VMOVDQA64mr, VMOVDQU32mr, VMOVDQU64mr
+    // VMOVDQA32Zmr, VMOVDQA32Zmrk, VMOVDQA64Zmr, VMOVDQA64Zmrk, VMOVDQU16Zmr...
     printi512mem(MI, 0, O); 
-    SStream_concat0(O, ", "); 
-    printOperand(MI, 5, O); 
-    return;
     break;
   case 42:
     // VPSCATTERDDZmr, VSCATTERDPSZmr
@@ -12452,7 +14059,7 @@
   }
 
 
-  // Fragment 1 encoded into 5 bits for 27 unique commands.
+  // Fragment 1 encoded into 5 bits for 28 unique commands.
   //printf("Frag-1: %"PRIu64"\n", (Bits >> 20) & 31);
   switch ((Bits >> 20) & 31) {
   default:   // unreachable.
@@ -12491,6 +14098,7 @@
   case 7:
     // ADD_FrST0, DIVR_FrST0, DIV_FrST0, MUL_FrST0, SUBR_FrST0, SUB_FrST0
     SStream_concat0(O, ", st(0)"); 
+	op_addReg(MI, X86_REG_ST0);
     return;
     break;
   case 8:
@@ -12518,47 +14126,54 @@
     SStream_concat0(O, ", "); 
     break;
   case 12:
-    // IN16, IN32, IN8
-    SStream_concat0(O, ", dx"); 	// qq
+    // INSB, INSL, INSW
+    SStream_concat0(O, ", dx"); 
+	op_addReg(MI, X86_REG_DX);
     return;
     break;
   case 13:
     // MOV16ao16, MOV16ao16_16, MOV64ao16, OUT16ir, STOSW
     SStream_concat0(O, ", ax"); 
+	op_addReg(MI, X86_REG_AX);
     return;
     break;
   case 14:
     // MOV32ao32, MOV32ao32_16, MOV64ao32, OUT32ir, STOSL
-    SStream_concat0(O, ", eax"); 	// qq
+    SStream_concat0(O, ", eax"); 
+	op_addReg(MI, X86_REG_EAX);
     return;
     break;
   case 15:
     // MOV64ao64, STOSQ
-    SStream_concat0(O, ", rax"); 	// qq
+    SStream_concat0(O, ", rax"); 
+	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 16:
     // MOV64ao8, MOV8ao8, MOV8ao8_16, OUT8ir, STOSB
-    SStream_concat0(O, ", al"); 	// qq
+    SStream_concat0(O, ", al"); 
+	op_addReg(MI, X86_REG_AL);
     return;
     break;
   case 17:
     // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
-    SStream_concat0(O, ", cl"); 	// qq
+    SStream_concat0(O, ", cl"); 
+	op_addReg(MI, X86_REG_CL);
     return;
     break;
   case 18:
     // ROL16m1, ROL16r1, ROL32m1, ROL32r1, ROL64m1, ROL64r1, ROL8m1, ROL8r1, ...
-    SStream_concat0(O, ", 1"); 	// qq
+    SStream_concat0(O, ", 1"); 
+	op_addImm(MI, 1);
     return;
     break;
   case 19:
     // TAILJMPd, TAILJMPd64, TAILJMPm, TAILJMPm64, TAILJMPr64
-    SStream_concat0(O, "  # TAILCALL");
+    SStream_concat0(O, "  # TAILCALL"); 
     return;
     break;
   case 20:
-    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VGATHERDPDZrm,...
+    // VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZrrk, VADDPD...
     SStream_concat0(O, " {"); 
     break;
   case 21:
@@ -12578,6 +14193,10 @@
     SStream_concat0(O, ", "); 
     break;
   case 23:
+    // VGATHERPF0DPDm, VGATHERPF0DPSm, VGATHERPF0QPDm, VGATHERPF0QPSm, VGATHE...
+    SStream_concat0(O, "}, "); 
+    break;
+  case 24:
     // VPCMPDZrmi, VPCMPDZrri
     SStream_concat0(O, "d\t"); 
     printOperand(MI, 0, O); 
@@ -12585,7 +14204,7 @@
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 24:
+  case 25:
     // VPCMPQZrmi, VPCMPQZrri
     SStream_concat0(O, "q\t"); 
     printOperand(MI, 0, O); 
@@ -12593,7 +14212,7 @@
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 25:
+  case 26:
     // VPCMPUDZrmi, VPCMPUDZrri
     SStream_concat0(O, "ud\t"); 
     printOperand(MI, 0, O); 
@@ -12601,7 +14220,7 @@
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
-  case 26:
+  case 27:
     // VPCMPUQZrmi, VPCMPUQZrri
     SStream_concat0(O, "uq\t"); 
     printOperand(MI, 0, O); 
@@ -12612,7 +14231,7 @@
   }
 
 
-  // Fragment 2 encoded into 6 bits for 36 unique commands.
+  // Fragment 2 encoded into 6 bits for 35 unique commands.
   //printf("Frag-2: %"PRIu64"\n", (Bits >> 25) & 63);
   switch ((Bits >> 25) & 63) {
   default:   // unreachable.
@@ -12625,59 +14244,59 @@
     return;
     break;
   case 2:
-    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADD16rr_REV, ADD32r...
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rr, ADCX64rr,...
     printOperand(MI, 2, O); 
     break;
   case 3:
-    // ADCX32rm, ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCI...
-    printi32mem(MI, 1, O); 
+    // ADCX32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMO...
+    printi32mem(MI, 2, O); 
     break;
   case 4:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr...
-    printOperand(MI, 1, O); 
+    // ADCX64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMO...
+    printi64mem(MI, 2, O); 
     break;
   case 5:
-    // ADCX64rm, ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCI...
-    printi64mem(MI, 1, O); 
-    break;
-  case 6:
     // ADDPDrm, ADDPSrm, ADDSUBPDrm, ADDSUBPSrm, ANDNPDrm, ANDNPSrm, ANDPDrm,...
     printf128mem(MI, 2, O); 
     break;
-  case 7:
+  case 6:
     // ADDSDrm, ADDSDrm_Int, CMPSDrm, CMPSDrm_alt, DIVSDrm, DIVSDrm_Int, Int_...
     printf64mem(MI, 2, O); 
     break;
-  case 8:
+  case 7:
     // ADDSSrm, ADDSSrm_Int, CMPSSrm, CMPSSrm_alt, DIVSSrm, DIVSSrm_Int, INSE...
     printf32mem(MI, 2, O); 
     break;
+  case 8:
+    // ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLC...
+    printi32mem(MI, 1, O); 
+    break;
   case 9:
+    // ADOX32rr, ADOX64rr, AESIMCrr, AESKEYGENASSIST128rr, ANDN32rm, ANDN32rr...
+    printOperand(MI, 1, O); 
+    break;
+  case 10:
+    // ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLC...
+    printi64mem(MI, 1, O); 
+    break;
+  case 11:
     // AESDECLASTrm, AESDECrm, AESENCLASTrm, AESENCrm, MPSADBWrmi, PACKSSDWrm...
     printi128mem(MI, 2, O); 
     break;
-  case 10:
+  case 12:
     // AESIMCrm, AESKEYGENASSIST128rm, CVTDQ2PSrm, INVEPT32, INVEPT64, INVPCI...
     printi128mem(MI, 1, O); 
     break;
-  case 11:
+  case 13:
     // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, KMOVWkm,...
     printi16mem(MI, 1, O); 
     break;
-  case 12:
+  case 14:
     // CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16rm, CMOVE16rm, CMOVG16rm, CM...
     printi16mem(MI, 2, O); 
     break;
-  case 13:
-    // CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMOVG32rm, CM...
-    printi32mem(MI, 2, O); 
-    break;
-  case 14:
-    // CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMOVG64rm, CM...
-    printi64mem(MI, 2, O); 
-    break;
   case 15:
-    // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
+    // CMP8rm, KMOVBkm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64...
     printi8mem(MI, 1, O); 
     break;
   case 16:
@@ -12732,18 +14351,18 @@
     return;
     break;
   case 26:
+    // VBROADCASTI64X4rm, VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQA32Z25...
+    printi256mem(MI, 1, O); 
+    break;
+  case 27:
     // VCMPPDZrmi, VCMPPSZrmi
     printf512mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printAVXCC(MI, 7, O); 
     return;
     break;
-  case 27:
-    // VCVTDQ2PDZrm, VCVTDQ2PSYrm, VLDDQUYrm, VMOVDQAYrm, VMOVDQUYrm, VMOVNTD...
-    printi256mem(MI, 1, O); 
-    break;
   case 28:
-    // VCVTDQ2PSZrm, VMOVDQA32rm, VMOVDQA64rm, VMOVDQU32rm, VMOVDQU64rm, VPAB...
+    // VCVTDQ2PSZrm, VMOVDQA32Zrm, VMOVDQA64Zrm, VMOVDQU16Zrm, VMOVDQU32Zrm, ...
     printi512mem(MI, 1, O); 
     break;
   case 29:
@@ -12755,30 +14374,25 @@
     printf512mem(MI, 1, O); 
     break;
   case 31:
-    // VCVTPS2PHYmr, VCVTPS2PHZmr, VEXTRACTF128mr, VEXTRACTF32x4mr, VEXTRACTF...
-    printOperand(MI, 6, O); 
-    return;
-    break;
-  case 32:
     // VGATHERDPDYrm, VGATHERDPDrm, VGATHERQPDYrm, VGATHERQPDrm, VPGATHERDQYr...
     printi64mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 8, O); 
     return;
     break;
-  case 33:
+  case 32:
     // VGATHERDPDZrm, VGATHERDPSZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDD...
     printOperand(MI, 3, O); 
     SStream_concat0(O, "}, "); 
     break;
-  case 34:
+  case 33:
     // VGATHERDPSYrm, VGATHERDPSrm, VGATHERQPSYrm, VGATHERQPSrm, VPGATHERDDYr...
     printi32mem(MI, 3, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 8, O); 
     return;
     break;
-  case 35:
+  case 34:
     // VPCMPDZrmi, VPCMPQZrmi, VPCMPUDZrmi, VPCMPUQZrmi
     printi512mem(MI, 2, O); 
     return;
@@ -12806,45 +14420,50 @@
   case 3:
     // SHLD16mrCL, SHLD16rrCL, SHLD32mrCL, SHLD32rrCL, SHLD64mrCL, SHLD64rrCL...
     SStream_concat0(O, ", cl"); 
+	op_addReg(MI, X86_REG_CL);
     return;
     break;
   case 4:
-    // VBLENDMPDZrm, VBLENDMPDZrr, VBLENDMPSZrm, VBLENDMPSZrr, VMOVAPDZrmk, V...
+    // VADDPDZrmbk, VADDPDZrmk, VADDPDZrrk, VADDPSZrmbk, VADDPSZrmk, VADDPSZr...
     SStream_concat0(O, "}, "); 
     break;
   case 5:
-    // VCMPPDZrrib, VCMPPSZrrib, VRCP28PDZrb, VRCP28PSZrb, VRSQRT28PDZrb, VRS...
-    SStream_concat0(O, ", {sae}"); 
-    return;
+    // VADDPDZrmbkz, VADDPDZrmkz, VADDPDZrrkz, VADDPSZrmbkz, VADDPSZrmkz, VAD...
+    SStream_concat0(O, "} {z}, "); 
+	op_addAvxZeroOpmask(MI);
     break;
   case 6:
+    // VCMPPDZrrib, VCMPPSZrrib, VRCP28PDZrb, VRCP28PSZrb, VRSQRT28PDZrb, VRS...
+    SStream_concat0(O, ", {sae}"); 
+	op_addAvxSae(MI);
+    return;
+    break;
+  case 7:
     // VGATHERDPDZrm, VGATHERQPDZrm, VGATHERQPSZrm, VPGATHERDQZrm, VPGATHERQD...
     printi64mem(MI, 4, O); 
     return;
     break;
-  case 7:
+  case 8:
     // VGATHERDPSZrm, VPGATHERDDZrm
     printi32mem(MI, 4, O); 
     return;
     break;
-  case 8:
-    // VMOVDQU32rrkz, VMOVDQU64rrkz, VPBROADCASTDZkrm, VPBROADCASTDZkrr, VPBR...
-    SStream_concat0(O, "} {z}, "); 
-    break;
   case 9:
-    // VPCONFLICTDrmb
+    // VPABSDZrmb, VPCONFLICTDrmb, VPLZCNTDrmb
     SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     return;
     break;
   case 10:
-    // VPCONFLICTQrmb
+    // VPABSQZrmb, VPCONFLICTQrmb, VPLZCNTQrmb
     SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     return;
     break;
   }
 
 
-  // Fragment 4 encoded into 5 bits for 26 unique commands.
+  // Fragment 4 encoded into 5 bits for 28 unique commands.
   //printf("Frag-4: %"PRIu64"\n", (Bits >> 35) & 31);
   switch ((Bits >> 35) & 31) {
   default:   // unreachable.
@@ -12899,22 +14518,22 @@
     printf128mem(MI, 2, O); 
     break;
   case 12:
-    // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VINSERTI128rm, VIN...
+    // VAESDECLASTrm, VAESDECrm, VAESENCLASTrm, VAESENCrm, VBROADCASTI32X4krm...
     printi128mem(MI, 2, O); 
     break;
   case 13:
-    // VALIGNDrmi, VALIGNQrmi, VPADDDZrm, VPADDQZrm, VPANDDZrm, VPANDNDZrm, V...
+    // VALIGNDrmi, VALIGNQrmi, VMOVDQA32Zrmkz, VMOVDQA64Zrmkz, VMOVDQU16Zrmkz...
     printi512mem(MI, 2, O); 
     break;
   case 14:
+    // VBROADCASTI64X4krm, VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMOVDQA...
+    printi256mem(MI, 2, O); 
+    break;
+  case 15:
     // VCVTDQ2PSZrrb, VCVTPD2DQZrrb, VCVTPD2PSZrrb, VCVTPD2UDQZrrb, VCVTPS2DQ...
     printRoundingControl(MI, 2, O); 
     return;
     break;
-  case 15:
-    // VDPPSYrmi, VINSERTF64x4rm, VINSERTI64x4rm, VMPSADBWYrmi, VPACKSSDWYrm,...
-    printi256mem(MI, 2, O); 
-    break;
   case 16:
     // VFMADD132PDZm, VFMADD132PSZm, VFMADD213PDZm, VFMADD213PSZm, VFMADDSUB1...
     printf512mem(MI, 3, O); 
@@ -12939,30 +14558,42 @@
     return;
     break;
   case 21:
-    // VMOVDQU32rmk, VMOVDQU64rmk, VPCONFLICTDrmk, VPCONFLICTQrmk, VPERMI2Drm...
-    printi512mem(MI, 3, O); 
+    // VMOVDQA32Z128rmk, VMOVDQA64Z128rmk, VMOVDQU16Z128rmk, VMOVDQU32Z128rmk...
+    printi128mem(MI, 3, O); 
     return;
     break;
   case 22:
-    // VPCONFLICTDrmbk
-    printi32mem(MI, 3, O); 
-    SStream_concat0(O, "{1to16}"); 
+    // VMOVDQA32Z256rmk, VMOVDQA64Z256rmk, VMOVDQU16Z256rmk, VMOVDQU32Z256rmk...
+    printi256mem(MI, 3, O); 
     return;
     break;
   case 23:
-    // VPCONFLICTQrmbk
-    printi64mem(MI, 3, O); 
-    SStream_concat0(O, "{1to8}"); 
+    // VMOVDQA32Zrmk, VMOVDQA64Zrmk, VMOVDQU16Zrmk, VMOVDQU32Zrmk, VMOVDQU64Z...
+    printi512mem(MI, 3, O); 
     return;
     break;
   case 24:
+    // VPCONFLICTDrmbk, VPLZCNTDrmbk
+    printi32mem(MI, 3, O); 
+    SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
+    return;
+    break;
+  case 25:
+    // VPCONFLICTQrmbk, VPLZCNTQrmbk
+    printi64mem(MI, 3, O); 
+    SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
+    return;
+    break;
+  case 26:
     // VPINSRBrm
     printi8mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 7, O); 
     return;
     break;
-  case 25:
+  case 27:
     // VPINSRWrmi
     printi16mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
@@ -12972,7 +14603,7 @@
   }
 
 
-  // Fragment 5 encoded into 3 bits for 5 unique commands.
+  // Fragment 5 encoded into 3 bits for 7 unique commands.
   //printf("Frag-5: %"PRIu64"\n", (Bits >> 40) & 7);
   switch ((Bits >> 40) & 7) {
   default:   // unreachable.
@@ -12981,28 +14612,43 @@
     return;
     break;
   case 1:
-    // VAARG_64, VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrm...
+    // VAARG_64, VADDPDZrmbk, VADDPDZrmbkz, VADDPDZrmk, VADDPDZrmkz, VADDPDZr...
     SStream_concat0(O, ", "); 
     break;
   case 2:
     // VADDPDZrmb, VDIVPDZrmb, VFMADD132PDZmb, VFMADD213PDZmb, VFMADDSUB132PD...
     SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
     return;
     break;
   case 3:
     // VADDPSZrmb, VDIVPSZrmb, VFMADD132PSZmb, VFMADD213PSZmb, VFMADDSUB132PS...
     SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     return;
     break;
   case 4:
+    // VPCMPEQDZ128rmb, VPCMPEQQZ256rmb, VPCMPGTDZ128rmb, VPCMPGTQZ256rmb
+    SStream_concat0(O, "{1to4}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
+    return;
+    break;
+  case 5:
+    // VPCMPEQQZ128rmb, VPCMPGTQZ128rmb
+    SStream_concat0(O, "{1to2}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
+    return;
+    break;
+  case 6:
     // VRCP28SDrrb, VRCP28SSrrb, VRSQRT28SDrrb, VRSQRT28SSrrb
     SStream_concat0(O, ", {sae}"); 
+	op_addAvxSae(MI);
     return;
     break;
   }
 
 
-  // Fragment 6 encoded into 4 bits for 10 unique commands.
+  // Fragment 6 encoded into 4 bits for 16 unique commands.
   //printf("Frag-6: %"PRIu64"\n", (Bits >> 43) & 15);
   switch ((Bits >> 43) & 15) {
   default:   // unreachable.
@@ -13011,71 +14657,136 @@
     printOperand(MI, 7, O); 
     break;
   case 1:
-    // VALIGNDrri, VALIGNQrri, VBLENDMPDZrr, VBLENDMPSZrr, VBLENDPDYrri, VBLE...
-    printOperand(MI, 3, O); 
+    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VFMADDSD4rm, VFM...
+    printf64mem(MI, 3, O); 
     break;
   case 2:
-    // VBLENDMPDZrm, VBLENDMPSZrm, VPBLENDMDZrm, VPBLENDMQZrm
+    // VADDPDZrmk, VADDPDZrmkz, VADDPSZrmk, VADDPSZrmkz, VBLENDMPDZrm, VBLEND...
     printf512mem(MI, 3, O); 
     return;
     break;
   case 3:
+    // VADDPDZrrk, VADDPDZrrkz, VADDPSZrrk, VADDPSZrrkz, VALIGNDrri, VALIGNDr...
+    printOperand(MI, 3, O); 
+    break;
+  case 4:
+    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VFMADDSS4rm, VFM...
+    printf32mem(MI, 3, O); 
+    break;
+  case 5:
+    // VALIGNDrrik, VALIGNQrrik, VFMADD213PDZrk, VFMADD213PDZrkz, VFMADD213PS...
+    printOperand(MI, 4, O); 
+    break;
+  case 6:
     // VFMADDPD4rm, VFMADDPS4rm, VFMADDSUBPD4rm, VFMADDSUBPS4rm, VFMSUBADDPD4...
     printf128mem(MI, 3, O); 
     break;
-  case 4:
+  case 7:
     // VFMADDPD4rmY, VFMADDPS4rmY, VFMADDSUBPD4rmY, VFMADDSUBPS4rmY, VFMSUBAD...
     printf256mem(MI, 3, O); 
     break;
-  case 5:
-    // VFMADDSD4rm, VFMADDSD4rm_Int, VFMSUBSD4rm, VFMSUBSD4rm_Int, VFNMADDSD4...
-    printf64mem(MI, 3, O); 
-    return;
-    break;
-  case 6:
-    // VFMADDSS4rm, VFMADDSS4rm_Int, VFMSUBSS4rm, VFMSUBSS4rm_Int, VFNMADDSS4...
-    printf32mem(MI, 3, O); 
-    return;
-    break;
-  case 7:
-    // VMOVSDZrrk, VMOVSSZrrk
-    printOperand(MI, 4, O); 
-    return;
-    break;
   case 8:
-    // VPCMOVrm, VPPERMrm, VPSLLDZrmk, VPSLLQZrmk, VPSRADZrmk, VPSRAQZrmk, VP...
-    printi128mem(MI, 3, O); 
+    // VPADDDZrmbk, VPANDDZrmbk, VPANDNDZrmbk, VPMAXSDZrmbk, VPMAXUDZrmbk, VP...
+    printi32mem(MI, 4, O); 
+    SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
     return;
     break;
   case 9:
-    // VPCMOVrmY
+    // VPADDDZrmbkz, VPANDDZrmbkz, VPANDNDZrmbkz, VPCMPEQDZ128rmbk, VPCMPEQDZ...
+    printi32mem(MI, 3, O); 
+    break;
+  case 10:
+    // VPADDDZrmk, VPADDQZrmk, VPANDDZrmk, VPANDNDZrmk, VPANDNQZrmk, VPANDQZr...
+    printi512mem(MI, 4, O); 
+    return;
+    break;
+  case 11:
+    // VPADDDZrmkz, VPADDQZrmkz, VPANDDZrmkz, VPANDNDZrmkz, VPANDNQZrmkz, VPA...
+    printi512mem(MI, 3, O); 
+    break;
+  case 12:
+    // VPADDQZrmbk, VPANDNQZrmbk, VPANDQZrmbk, VPMAXSQZrmbk, VPMAXUQZrmbk, VP...
+    printi64mem(MI, 4, O); 
+    SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
+    return;
+    break;
+  case 13:
+    // VPADDQZrmbkz, VPANDNQZrmbkz, VPANDQZrmbkz, VPCMPEQQZ128rmbk, VPCMPEQQZ...
+    printi64mem(MI, 3, O); 
+    break;
+  case 14:
+    // VPCMOVrm, VPCMPEQBZ128rmk, VPCMPEQDZ128rmk, VPCMPEQQZ128rmk, VPCMPEQWZ...
+    printi128mem(MI, 3, O); 
+    return;
+    break;
+  case 15:
+    // VPCMOVrmY, VPCMPEQBZ256rmk, VPCMPEQDZ256rmk, VPCMPEQQZ256rmk, VPCMPEQW...
     printi256mem(MI, 3, O); 
     return;
     break;
   }
 
 
-  // Fragment 7 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 1);
-  if ((Bits >> 47) & 1) {
-    // VALIGNDrmi, VALIGNDrri, VALIGNQrmi, VALIGNQrri, VBLENDMPDZrr, VBLENDMP...
-    return;
-  } else {
-    // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
+  // Fragment 7 encoded into 3 bits for 6 unique commands.
+  //printf("Frag-7: %"PRIu64"\n", (Bits >> 47) & 7);
+  switch ((Bits >> 47) & 7) {
+  default:   // unreachable.
+  case 0:
+    // VAARG_64, VALIGNDrrik, VALIGNDrrikz, VALIGNQrrik, VALIGNQrrikz, VPCMPD...
     SStream_concat0(O, ", "); 
+    break;
+  case 1:
+    // VADDPDZrmbk, VADDPDZrmbkz, VDIVPDZrmbk, VDIVPDZrmbkz, VMAXPDZrmbk, VMA...
+    SStream_concat0(O, "{1to8}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_8);
+    return;
+    break;
+  case 2:
+    // VADDPDZrrk, VADDPDZrrkz, VADDPSZrrk, VADDPSZrrkz, VALIGNDrmi, VALIGNDr...
+    return;
+    break;
+  case 3:
+    // VADDPSZrmbk, VADDPSZrmbkz, VDIVPSZrmbk, VDIVPSZrmbkz, VMAXPSZrmbk, VMA...
+    SStream_concat0(O, "{1to16}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_16);
+    return;
+    break;
+  case 4:
+    // VPCMPEQDZ128rmbk, VPCMPEQQZ256rmbk, VPCMPGTDZ128rmbk, VPCMPGTQZ256rmbk
+    SStream_concat0(O, "{1to4}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_4);
+    return;
+    break;
+  case 5:
+    // VPCMPEQQZ128rmbk, VPCMPGTQZ128rmbk
+    SStream_concat0(O, "{1to2}"); 
+	op_addAvxBroadcast(MI, X86_AVX_BCAST_2);
+    return;
+    break;
   }
 
 
-  // Fragment 8 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-8: %"PRIu64"\n", (Bits >> 48) & 1);
-  if ((Bits >> 48) & 1) {
-    // VPERMIL2PDrr, VPERMIL2PDrrY, VPERMIL2PSrr, VPERMIL2PSrrY
-    printOperand(MI, 4, O); 
-    return;
-  } else {
-    // VAARG_64, VPERMIL2PDmr, VPERMIL2PDmrY, VPERMIL2PDrm, VPERMIL2PDrmY, VP...
+  // Fragment 8 encoded into 2 bits for 3 unique commands.
+  //printf("Frag-8: %"PRIu64"\n", (Bits >> 50) & 3);
+  switch ((Bits >> 50) & 3) {
+  default:   // unreachable.
+  case 0:
+    // VAARG_64, VPCMPDZrmik_alt, VPCMPQZrmik_alt, VPCMPUDZrmik_alt, VPCMPUQZ...
     printOperand(MI, 8, O); 
     return;
+    break;
+  case 1:
+    // VALIGNDrrik, VALIGNQrrik
+    printOperand(MI, 5, O); 
+    return;
+    break;
+  case 2:
+    // VALIGNDrrikz, VALIGNQrrikz, VPCMPDZrrik_alt, VPCMPQZrrik_alt, VPCMPUDZ...
+    printOperand(MI, 4, O); 
+    return;
+    break;
   }
 }
 
@@ -13085,7 +14796,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -13210,111 +14921,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -13330,14 +15042,28 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+  switch (PrintMethodIdx) {
+  default:
+    // llvm_unreachable("Unknown PrintMethod kind");
+    break;
+  case 0:
+    printf64mem(MI, OpIdx, OS);
+    break;
+  }
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13346,7 +15072,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -13355,30 +15081,114 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_CVTSD2SI64rm:
+    if (MCInst_getNumOperands(MI) == 6 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0)) {
+      // (CVTSD2SI64rm GR64:$dst, sdmem:$src)
+      AsmString = "cvtsd2si	$\x01, $\xFF\x02\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenAsmWriter1_reduce.inc b/arch/X86/X86GenAsmWriter1_reduce.inc
index bee1aa5..acc8198 100644
--- a/arch/X86/X86GenAsmWriter1_reduce.inc
+++ b/arch/X86/X86GenAsmWriter1_reduce.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,1704 +25,1664 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    3318U,	// DBG_VALUE
+    2566U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    3311U,	// BUNDLE
-    3374U,	// LIFETIME_START
-    3298U,	// LIFETIME_END
+    2559U,	// BUNDLE
+    2622U,	// LIFETIME_START
+    2546U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    3389U,	// AAA
-    8365U,	// AAD8i8
-    8845U,	// AAM8i8
-    3900U,	// AAS
-    3053U,	// ACQUIRE_MOV16rm
-    3053U,	// ACQUIRE_MOV32rm
-    3053U,	// ACQUIRE_MOV64rm
-    3053U,	// ACQUIRE_MOV8rm
-    9582U,	// ADC16i16
-    278659U,	// ADC16mi
-    278659U,	// ADC16mi8
-    278659U,	// ADC16mr
-    548995U,	// ADC16ri
-    548995U,	// ADC16ri8
-    811139U,	// ADC16rm
-    548995U,	// ADC16rr
-    4464771U,	// ADC16rr_REV
-    9718U,	// ADC32i32
-    295043U,	// ADC32mi
-    295043U,	// ADC32mi8
-    295043U,	// ADC32mr
-    548995U,	// ADC32ri
-    548995U,	// ADC32ri8
-    1073283U,	// ADC32rm
-    548995U,	// ADC32rr
-    4464771U,	// ADC32rr_REV
-    9866U,	// ADC64i32
-    303235U,	// ADC64mi32
-    303235U,	// ADC64mi8
-    303235U,	// ADC64mr
-    548995U,	// ADC64ri32
-    548995U,	// ADC64ri8
-    1335427U,	// ADC64rm
-    548995U,	// ADC64rr
-    4464771U,	// ADC64rr_REV
-    9480U,	// ADC8i8
-    311427U,	// ADC8mi
-    311427U,	// ADC8mr
-    548995U,	// ADC8ri
-    1597571U,	// ADC8rm
-    548995U,	// ADC8rr
-    4464771U,	// ADC8rr_REV
-    8660116U,	// ADCX32rm
-    12854420U,	// ADCX32rr
-    17048724U,	// ADCX64rm
-    12854420U,	// ADCX64rr
-    9591U,	// ADD16i16
-    278715U,	// ADD16mi
-    278715U,	// ADD16mi8
-    278715U,	// ADD16mr
-    549051U,	// ADD16ri
-    549051U,	// ADD16ri8
+    0U,	// LOAD_STACK_GUARD
+    2637U,	// AAA
+    4269U,	// AAD8i8
+    4749U,	// AAM8i8
+    3179U,	// AAS
+    2301U,	// ACQUIRE_MOV16rm
+    2301U,	// ACQUIRE_MOV32rm
+    2301U,	// ACQUIRE_MOV64rm
+    2301U,	// ACQUIRE_MOV8rm
+    5486U,	// ADC16i16
+    139395U,	// ADC16mi
+    139395U,	// ADC16mi8
+    139395U,	// ADC16mr
+    274563U,	// ADC16ri
+    274563U,	// ADC16ri8
+    405635U,	// ADC16rm
+    274563U,	// ADC16rr
+    2232451U,	// ADC16rr_REV
+    5622U,	// ADC32i32
+    147587U,	// ADC32mi
+    147587U,	// ADC32mi8
+    147587U,	// ADC32mr
+    274563U,	// ADC32ri
+    274563U,	// ADC32ri8
+    536707U,	// ADC32rm
+    274563U,	// ADC32rr
+    2232451U,	// ADC32rr_REV
+    5770U,	// ADC64i32
+    151683U,	// ADC64mi32
+    151683U,	// ADC64mi8
+    151683U,	// ADC64mr
+    274563U,	// ADC64ri32
+    274563U,	// ADC64ri8
+    667779U,	// ADC64rm
+    274563U,	// ADC64rr
+    2232451U,	// ADC64rr_REV
+    5384U,	// ADC8i8
+    155779U,	// ADC8mi
+    155779U,	// ADC8mr
+    274563U,	// ADC8ri
+    798851U,	// ADC8rm
+    274563U,	// ADC8rr
+    2232451U,	// ADC8rr_REV
+    4330644U,	// ADCX32rm
+    2233492U,	// ADCX32rr
+    6427796U,	// ADCX64rm
+    2233492U,	// ADCX64rr
+    5495U,	// ADD16i16
+    139451U,	// ADD16mi
+    139451U,	// ADD16mi8
+    139451U,	// ADD16mr
+    274619U,	// ADD16ri
+    274619U,	// ADD16ri8
     0U,	// ADD16ri8_DB
     0U,	// ADD16ri_DB
-    811195U,	// ADD16rm
-    549051U,	// ADD16rr
+    405691U,	// ADD16rm
+    274619U,	// ADD16rr
     0U,	// ADD16rr_DB
-    4464827U,	// ADD16rr_REV
-    9728U,	// ADD32i32
-    295099U,	// ADD32mi
-    295099U,	// ADD32mi8
-    295099U,	// ADD32mr
-    549051U,	// ADD32ri
-    549051U,	// ADD32ri8
+    2232507U,	// ADD16rr_REV
+    5632U,	// ADD32i32
+    147643U,	// ADD32mi
+    147643U,	// ADD32mi8
+    147643U,	// ADD32mr
+    274619U,	// ADD32ri
+    274619U,	// ADD32ri8
     0U,	// ADD32ri8_DB
     0U,	// ADD32ri_DB
-    1073339U,	// ADD32rm
-    549051U,	// ADD32rr
+    536763U,	// ADD32rm
+    274619U,	// ADD32rr
     0U,	// ADD32rr_DB
-    4464827U,	// ADD32rr_REV
-    9876U,	// ADD64i32
-    303291U,	// ADD64mi32
-    303291U,	// ADD64mi8
-    303291U,	// ADD64mr
-    549051U,	// ADD64ri32
+    2232507U,	// ADD32rr_REV
+    5780U,	// ADD64i32
+    151739U,	// ADD64mi32
+    151739U,	// ADD64mi8
+    151739U,	// ADD64mr
+    274619U,	// ADD64ri32
     0U,	// ADD64ri32_DB
-    549051U,	// ADD64ri8
+    274619U,	// ADD64ri8
     0U,	// ADD64ri8_DB
-    1335483U,	// ADD64rm
-    549051U,	// ADD64rr
+    667835U,	// ADD64rm
+    274619U,	// ADD64rr
     0U,	// ADD64rr_DB
-    4464827U,	// ADD64rr_REV
-    9489U,	// ADD8i8
-    311483U,	// ADD8mi
-    311483U,	// ADD8mr
-    549051U,	// ADD8ri
-    549051U,	// ADD8ri8
-    1597627U,	// ADD8rm
-    549051U,	// ADD8rr
-    4464827U,	// ADD8rr_REV
-    3328U,	// ADJCALLSTACKDOWN32
-    3328U,	// ADJCALLSTACKDOWN64
-    3346U,	// ADJCALLSTACKUP32
-    3346U,	// ADJCALLSTACKUP64
-    8660134U,	// ADOX32rm
-    12854438U,	// ADOX32rr
-    17048742U,	// ADOX64rm
-    12854438U,	// ADOX64rr
-    9600U,	// AND16i16
-    278764U,	// AND16mi
-    278764U,	// AND16mi8
-    278764U,	// AND16mr
-    549100U,	// AND16ri
-    549100U,	// AND16ri8
-    811244U,	// AND16rm
-    549100U,	// AND16rr
-    4464876U,	// AND16rr_REV
-    9738U,	// AND32i32
-    295148U,	// AND32mi
-    295148U,	// AND32mi8
-    295148U,	// AND32mr
-    549100U,	// AND32ri
-    549100U,	// AND32ri8
-    1073388U,	// AND32rm
-    549100U,	// AND32rr
-    4464876U,	// AND32rr_REV
-    9886U,	// AND64i32
-    303340U,	// AND64mi32
-    303340U,	// AND64mi8
-    303340U,	// AND64mr
-    549100U,	// AND64ri32
-    549100U,	// AND64ri8
-    1335532U,	// AND64rm
-    549100U,	// AND64rr
-    4464876U,	// AND64rr_REV
-    9498U,	// AND8i8
-    311532U,	// AND8mi
-    311532U,	// AND8mr
-    549100U,	// AND8ri
-    549100U,	// AND8ri8
-    1597676U,	// AND8rm
-    549100U,	// AND8rr
-    4464876U,	// AND8rr_REV
-    79962770U,	// ANDN32rm
-    348398226U,	// ANDN32rr
-    616833682U,	// ANDN64rm
-    348398226U,	// ANDN64rr
-    279151U,	// ARPL16mr
-    12853871U,	// ARPL16rr
-    1955U,	// ATOMADD6432
-    2735U,	// ATOMAND16
-    2168U,	// ATOMAND32
-    2422U,	// ATOMAND64
-    1976U,	// ATOMAND6432
-    2889U,	// ATOMAND8
-    2850U,	// ATOMMAX16
-    2383U,	// ATOMMAX32
-    2696U,	// ATOMMAX64
-    2125U,	// ATOMMAX6432
-    3016U,	// ATOMMAX8
-    2774U,	// ATOMMIN16
-    2268U,	// ATOMMIN32
-    2581U,	// ATOMMIN64
-    2019U,	// ATOMMIN6432
-    2926U,	// ATOMMIN8
-    2754U,	// ATOMNAND16
-    2187U,	// ATOMNAND32
-    2441U,	// ATOMNAND64
-    1997U,	// ATOMNAND6432
-    2907U,	// ATOMNAND8
-    2813U,	// ATOMOR16
-    2346U,	// ATOMOR32
-    2659U,	// ATOMOR64
-    2084U,	// ATOMOR6432
-    2981U,	// ATOMOR8
-    1934U,	// ATOMSUB6432
-    2062U,	// ATOMSWAP6432
-    2869U,	// ATOMUMAX16
-    2402U,	// ATOMUMAX32
-    2715U,	// ATOMUMAX64
-    2146U,	// ATOMUMAX6432
-    3034U,	// ATOMUMAX8
-    2793U,	// ATOMUMIN16
-    2287U,	// ATOMUMIN32
-    2600U,	// ATOMUMIN64
-    2040U,	// ATOMUMIN6432
-    2944U,	// ATOMUMIN8
-    2831U,	// ATOMXOR16
-    2364U,	// ATOMXOR32
-    2677U,	// ATOMXOR64
-    2104U,	// ATOMXOR6432
-    2998U,	// ATOMXOR8
-    881075091U,	// BEXTR32rm
-    348398483U,	// BEXTR32rr
-    889463699U,	// BEXTR64rm
-    348398483U,	// BEXTR64rr
-    881075091U,	// BEXTRI32mi
-    348398483U,	// BEXTRI32ri
-    889463699U,	// BEXTRI64mi
-    348398483U,	// BEXTRI64ri
-    8659544U,	// BLCFILL32rm
-    12853848U,	// BLCFILL32rr
-    17048152U,	// BLCFILL64rm
-    12853848U,	// BLCFILL64rr
-    8659477U,	// BLCI32rm
-    12853781U,	// BLCI32rr
-    17048085U,	// BLCI64rm
-    12853781U,	// BLCI64rr
-    8659085U,	// BLCIC32rm
-    12853389U,	// BLCIC32rr
-    17047693U,	// BLCIC64rm
-    12853389U,	// BLCIC64rr
-    8659495U,	// BLCMSK32rm
-    12853799U,	// BLCMSK32rr
-    17048103U,	// BLCMSK64rm
-    12853799U,	// BLCMSK64rr
-    8659874U,	// BLCS32rm
-    12854178U,	// BLCS32rr
-    17048482U,	// BLCS64rm
-    12854178U,	// BLCS64rr
-    8659553U,	// BLSFILL32rm
-    12853857U,	// BLSFILL32rr
-    17048161U,	// BLSFILL64rm
-    12853857U,	// BLSFILL64rr
-    8659489U,	// BLSI32rm
-    12853793U,	// BLSI32rr
-    17048097U,	// BLSI64rm
-    12853793U,	// BLSI64rr
-    8659092U,	// BLSIC32rm
-    12853396U,	// BLSIC32rr
-    17047700U,	// BLSIC64rm
-    12853396U,	// BLSIC64rr
-    8659503U,	// BLSMSK32rm
-    12853807U,	// BLSMSK32rr
-    17048111U,	// BLSMSK64rm
-    12853807U,	// BLSMSK64rr
-    8659838U,	// BLSR32rm
-    12854142U,	// BLSR32rr
-    17048446U,	// BLSR64rm
-    12854142U,	// BLSR64rr
-    21242097U,	// BOUNDS16rm
-    8659185U,	// BOUNDS32rm
-    21242333U,	// BSF16rm
-    12853725U,	// BSF16rr
-    8659421U,	// BSF32rm
-    12853725U,	// BSF32rr
-    17048029U,	// BSF64rm
-    12853725U,	// BSF64rr
-    21242745U,	// BSR16rm
-    12854137U,	// BSR16rr
-    8659833U,	// BSR32rm
-    12854137U,	// BSR32rr
-    17048441U,	// BSR64rm
-    12854137U,	// BSR64rr
-    8900U,	// BSWAP32r
-    8900U,	// BSWAP64r
-    279531U,	// BT16mi8
-    279531U,	// BT16mr
-    12854251U,	// BT16ri8
-    12854251U,	// BT16rr
-    295915U,	// BT32mi8
-    295915U,	// BT32mr
-    12854251U,	// BT32ri8
-    12854251U,	// BT32rr
-    304107U,	// BT64mi8
-    304107U,	// BT64mr
-    12854251U,	// BT64ri8
-    12854251U,	// BT64rr
-    278696U,	// BTC16mi8
-    278696U,	// BTC16mr
-    12853416U,	// BTC16ri8
-    12853416U,	// BTC16rr
-    295080U,	// BTC32mi8
-    295080U,	// BTC32mr
-    12853416U,	// BTC32ri8
-    12853416U,	// BTC32rr
-    303272U,	// BTC64mi8
-    303272U,	// BTC64mr
-    12853416U,	// BTC64ri8
-    12853416U,	// BTC64rr
-    279428U,	// BTR16mi8
-    279428U,	// BTR16mr
-    12854148U,	// BTR16ri8
-    12854148U,	// BTR16rr
-    295812U,	// BTR32mi8
-    295812U,	// BTR32mr
-    12854148U,	// BTR32ri8
-    12854148U,	// BTR32rr
-    304004U,	// BTR64mi8
-    304004U,	// BTR64mr
-    12854148U,	// BTR64ri8
-    12854148U,	// BTR64rr
-    279513U,	// BTS16mi8
-    279513U,	// BTS16mr
-    12854233U,	// BTS16ri8
-    12854233U,	// BTS16rr
-    295897U,	// BTS32mi8
-    295897U,	// BTS32mr
-    12854233U,	// BTS32ri8
-    12854233U,	// BTS32rr
-    304089U,	// BTS64mi8
-    304089U,	// BTS64mr
-    12854233U,	// BTS64ri8
-    12854233U,	// BTS64rr
-    881074715U,	// BZHI32rm
-    348398107U,	// BZHI32rr
-    889463323U,	// BZHI64rm
-    348398107U,	// BZHI64rr
-    16978U,	// CALL16m
-    8786U,	// CALL16r
-    33362U,	// CALL32m
-    8786U,	// CALL32r
-    41554U,	// CALL64m
-    57938U,	// CALL64pcrel32
-    8786U,	// CALL64r
-    57938U,	// CALLpcrel16
-    57938U,	// CALLpcrel32
-    4099U,	// CBW
-    3820U,	// CDQ
-    3605U,	// CDQE
-    3458U,	// CLAC
-    3490U,	// CLC
-    3540U,	// CLD
-    3665U,	// CLGI
-    3675U,	// CLI
-    4043U,	// CLTS
-    3494U,	// CMC
-    25436207U,	// CMOVA16rm
-    4464687U,	// CMOVA16rr
-    29630511U,	// CMOVA32rm
-    4464687U,	// CMOVA32rr
-    33824815U,	// CMOVA64rm
-    4464687U,	// CMOVA64rr
-    25436461U,	// CMOVAE16rm
-    4464941U,	// CMOVAE16rr
-    29630765U,	// CMOVAE32rm
-    4464941U,	// CMOVAE32rr
-    33825069U,	// CMOVAE64rm
-    4464941U,	// CMOVAE64rr
-    25436284U,	// CMOVB16rm
-    4464764U,	// CMOVB16rr
-    29630588U,	// CMOVB32rm
-    4464764U,	// CMOVB32rr
-    33824892U,	// CMOVB64rm
-    4464764U,	// CMOVB64rr
-    25436481U,	// CMOVBE16rm
-    4464961U,	// CMOVBE16rr
-    29630785U,	// CMOVBE32rm
-    4464961U,	// CMOVBE32rr
-    33825089U,	// CMOVBE64rm
-    4464961U,	// CMOVBE64rr
-    25436630U,	// CMOVE16rm
-    4465110U,	// CMOVE16rr
-    29630934U,	// CMOVE32rm
-    4465110U,	// CMOVE32rr
-    33825238U,	// CMOVE64rm
-    4465110U,	// CMOVE64rr
-    25436680U,	// CMOVG16rm
-    4465160U,	// CMOVG16rr
-    29630984U,	// CMOVG32rm
-    4465160U,	// CMOVG32rr
-    33825288U,	// CMOVG64rm
-    4465160U,	// CMOVG64rr
-    25436501U,	// CMOVGE16rm
-    4464981U,	// CMOVGE16rr
-    29630805U,	// CMOVGE32rm
-    4464981U,	// CMOVGE32rr
-    33825109U,	// CMOVGE64rm
-    4464981U,	// CMOVGE64rr
-    25436806U,	// CMOVL16rm
-    4465286U,	// CMOVL16rr
-    29631110U,	// CMOVL32rm
-    4465286U,	// CMOVL32rr
-    33825414U,	// CMOVL64rm
-    4465286U,	// CMOVL64rr
-    25436525U,	// CMOVLE16rm
-    4465005U,	// CMOVLE16rr
-    29630829U,	// CMOVLE32rm
-    4465005U,	// CMOVLE32rr
-    33825133U,	// CMOVLE64rm
-    4465005U,	// CMOVLE64rr
-    25436553U,	// CMOVNE16rm
-    4465033U,	// CMOVNE16rr
-    29630857U,	// CMOVNE32rm
-    4465033U,	// CMOVNE32rr
-    33825161U,	// CMOVNE64rm
-    4465033U,	// CMOVNE64rr
-    25436847U,	// CMOVNO16rm
-    4465327U,	// CMOVNO16rr
-    29631151U,	// CMOVNO32rm
-    4465327U,	// CMOVNO32rr
-    33825455U,	// CMOVNO64rm
-    4465327U,	// CMOVNO64rr
-    25436908U,	// CMOVNP16rm
-    4465388U,	// CMOVNP16rr
-    29631212U,	// CMOVNP32rm
-    4465388U,	// CMOVNP32rr
-    33825516U,	// CMOVNP64rm
-    4465388U,	// CMOVNP64rr
-    25437132U,	// CMOVNS16rm
-    4465612U,	// CMOVNS16rr
-    29631436U,	// CMOVNS32rm
-    4465612U,	// CMOVNS32rr
-    33825740U,	// CMOVNS64rm
-    4465612U,	// CMOVNS64rr
-    25436861U,	// CMOVO16rm
-    4465341U,	// CMOVO16rr
-    29631165U,	// CMOVO32rm
-    4465341U,	// CMOVO32rr
-    33825469U,	// CMOVO64rm
-    4465341U,	// CMOVO64rr
-    25436954U,	// CMOVP16rm
-    4465434U,	// CMOVP16rr
-    29631258U,	// CMOVP32rm
-    4465434U,	// CMOVP32rr
-    33825562U,	// CMOVP64rm
-    4465434U,	// CMOVP64rr
-    25437156U,	// CMOVS16rm
-    4465636U,	// CMOVS16rr
-    29631460U,	// CMOVS32rm
-    4465636U,	// CMOVS32rr
-    33825764U,	// CMOVS64rm
-    4465636U,	// CMOVS64rr
-    2327U,	// CMOV_FR32
-    2640U,	// CMOV_FR64
-    1894U,	// CMOV_GR16
-    1874U,	// CMOV_GR32
-    2963U,	// CMOV_GR8
-    2307U,	// CMOV_RFP32
-    2620U,	// CMOV_RFP64
-    1914U,	// CMOV_RFP80
-    2227U,	// CMOV_V16F32
-    2461U,	// CMOV_V2F64
-    2521U,	// CMOV_V2I64
-    2207U,	// CMOV_V4F32
-    2481U,	// CMOV_V4F64
-    2541U,	// CMOV_V4I64
-    2248U,	// CMOV_V8F32
-    2501U,	// CMOV_V8F64
-    2561U,	// CMOV_V8I64
-    9627U,	// CMP16i16
-    279253U,	// CMP16mi
-    279253U,	// CMP16mi8
-    279253U,	// CMP16mr
-    12853973U,	// CMP16ri
-    12853973U,	// CMP16ri8
-    21242581U,	// CMP16rm
-    12853973U,	// CMP16rr
-    12853973U,	// CMP16rr_REV
-    9792U,	// CMP32i32
-    295637U,	// CMP32mi
-    295637U,	// CMP32mi8
-    295637U,	// CMP32mr
-    12853973U,	// CMP32ri
-    12853973U,	// CMP32ri8
-    8659669U,	// CMP32rm
-    12853973U,	// CMP32rr
-    12853973U,	// CMP32rr_REV
-    9907U,	// CMP64i32
-    303829U,	// CMP64mi32
-    303829U,	// CMP64mi8
-    303829U,	// CMP64mr
-    12853973U,	// CMP64ri32
-    12853973U,	// CMP64ri8
-    17048277U,	// CMP64rm
-    12853973U,	// CMP64rr
-    12853973U,	// CMP64rr_REV
-    9515U,	// CMP8i8
-    312021U,	// CMP8mi
-    312021U,	// CMP8mr
-    12853973U,	// CMP8ri
-    38019797U,	// CMP8rm
-    12853973U,	// CMP8rr
-    12853973U,	// CMP8rr_REV
-    66694U,	// CMPS16
-    73995U,	// CMPS32
-    82735U,	// CMPS64
-    90211U,	// CMPS8
-    98358U,	// CMPXCHG16B
-    279021U,	// CMPXCHG16rm
-    12853741U,	// CMPXCHG16rr
-    295405U,	// CMPXCHG32rm
-    12853741U,	// CMPXCHG32rr
-    303597U,	// CMPXCHG64rm
-    12853741U,	// CMPXCHG64rr
-    41026U,	// CMPXCHG8B
-    311789U,	// CMPXCHG8rm
-    12853741U,	// CMPXCHG8rr
-    3534U,	// CPUID32
-    3534U,	// CPUID64
-    3796U,	// CQO
-    3913U,	// CS_PREFIX
-    3581U,	// CWD
-    3585U,	// CWDE
-    3393U,	// DAA
-    3904U,	// DAS
-    3283U,	// DATA16_PREFIX
-    16520U,	// DEC16m
-    8328U,	// DEC16r
-    8328U,	// DEC32_16r
-    8328U,	// DEC32_32r
-    32904U,	// DEC32m
-    8328U,	// DEC32r
-    16520U,	// DEC64_16m
-    8328U,	// DEC64_16r
-    32904U,	// DEC64_32m
-    8328U,	// DEC64_32r
-    41096U,	// DEC64m
-    8328U,	// DEC64r
-    49288U,	// DEC8m
-    8328U,	// DEC8r
-    17501U,	// DIV16m
-    9309U,	// DIV16r
-    33885U,	// DIV32m
-    9309U,	// DIV32r
-    42077U,	// DIV64m
-    9309U,	// DIV64r
-    50269U,	// DIV8m
-    9309U,	// DIV8r
-    3921U,	// DS_PREFIX
-    10019U,	// EH_RETURN
-    10019U,	// EH_RETURN64
-    3106U,	// EH_SjLj_LongJmp32
-    3196U,	// EH_SjLj_LongJmp64
-    3125U,	// EH_SjLj_SetJmp32
-    3215U,	// EH_SjLj_SetJmp64
-    58122U,	// EH_SjLj_Setup
-    12854101U,	// ENTER
-    3936U,	// ES_PREFIX
-    12853841U,	// FARCALL16i
-    107089U,	// FARCALL16m
-    12853841U,	// FARCALL32i
-    107089U,	// FARCALL32m
-    107089U,	// FARCALL64
-    12853978U,	// FARJMP16i
-    107226U,	// FARJMP16m
-    12853978U,	// FARJMP32i
-    107226U,	// FARJMP32m
-    107226U,	// FARJMP64
-    3758U,	// FSETPM
-    3951U,	// FS_PREFIX
-    3478U,	// GETSEC
-    3966U,	// GS_PREFIX
-    4068U,	// HLT
-    17500U,	// IDIV16m
-    9308U,	// IDIV16r
-    33884U,	// IDIV32m
-    9308U,	// IDIV32r
-    42076U,	// IDIV64m
-    9308U,	// IDIV64r
-    50268U,	// IDIV8m
-    9308U,	// IDIV8r
-    17024U,	// IMUL16m
-    8832U,	// IMUL16r
-    25436800U,	// IMUL16rm
-    893657728U,	// IMUL16rmi
-    893657728U,	// IMUL16rmi8
-    4465280U,	// IMUL16rr
-    348398208U,	// IMUL16rri
-    348398208U,	// IMUL16rri8
-    33408U,	// IMUL32m
-    8832U,	// IMUL32r
-    29631104U,	// IMUL32rm
-    881074816U,	// IMUL32rmi
-    881074816U,	// IMUL32rmi8
-    4465280U,	// IMUL32rr
-    348398208U,	// IMUL32rri
-    348398208U,	// IMUL32rri8
-    41600U,	// IMUL64m
-    8832U,	// IMUL64r
-    33825408U,	// IMUL64rm
-    889463424U,	// IMUL64rmi32
-    889463424U,	// IMUL64rmi8
-    4465280U,	// IMUL64rr
-    348398208U,	// IMUL64rri32
-    348398208U,	// IMUL64rri8
-    49792U,	// IMUL8m
-    8832U,	// IMUL8r
-    1950841U,	// IN16
-    9619U,	// IN16ri
-    4265U,	// IN16rr
-    1958142U,	// IN32
-    9783U,	// IN32ri
-    4275U,	// IN32rr
-    1966166U,	// IN8
-    9507U,	// IN8ri
-    4255U,	// IN8rr
-    16547U,	// INC16m
-    8355U,	// INC16r
-    8355U,	// INC32_16r
-    8355U,	// INC32_32r
-    32931U,	// INC32m
-    8355U,	// INC32r
-    16547U,	// INC64_16m
-    8355U,	// INC64_16r
-    32931U,	// INC64_32m
-    8355U,	// INC64_32r
-    41123U,	// INC64m
-    8355U,	// INC64r
-    49315U,	// INC8m
-    8355U,	// INC8r
-    9254U,	// INT
-    3101U,	// INT1
-    3191U,	// INT3
-    3800U,	// INTO
-    3576U,	// INVD
-    42214448U,	// INVEPT32
-    42214448U,	// INVEPT64
-    49658U,	// INVLPG
-    4221U,	// INVLPGA32
-    4238U,	// INVLPGA64
-    42213576U,	// INVPCID32
-    42213576U,	// INVPCID64
-    42213585U,	// INVVPID32
-    42213585U,	// INVVPID64
-    4048U,	// IRET16
-    3564U,	// IRET32
-    3863U,	// IRET64
-    3362U,	// Int_MemBarrier
-    57633U,	// JAE_1
-    57633U,	// JAE_2
-    57633U,	// JAE_4
-    57381U,	// JA_1
-    57381U,	// JA_2
-    57381U,	// JA_4
-    57653U,	// JBE_1
-    57653U,	// JBE_2
-    57653U,	// JBE_4
-    57426U,	// JB_1
-    57426U,	// JB_2
-    57426U,	// JB_4
-    58579U,	// JCXZ
-    58572U,	// JECXZ_32
-    58572U,	// JECXZ_64
-    57693U,	// JE_1
-    57693U,	// JE_2
-    57693U,	// JE_4
-    57673U,	// JGE_1
-    57673U,	// JGE_2
-    57673U,	// JGE_4
-    57846U,	// JG_1
-    57846U,	// JG_2
-    57846U,	// JG_4
-    57697U,	// JLE_1
-    57697U,	// JLE_2
-    57697U,	// JLE_4
-    57933U,	// JL_1
-    57933U,	// JL_2
-    57933U,	// JL_4
-    17115U,	// JMP16m
-    8923U,	// JMP16r
-    33499U,	// JMP32m
-    8923U,	// JMP32r
-    41691U,	// JMP64m
-    8923U,	// JMP64r
-    58075U,	// JMP_1
-    58075U,	// JMP_2
-    58075U,	// JMP_4
-    57717U,	// JNE_1
-    57717U,	// JNE_2
-    57717U,	// JNE_4
-    58019U,	// JNO_1
-    58019U,	// JNO_2
-    58019U,	// JNO_4
-    58080U,	// JNP_1
-    58080U,	// JNP_2
-    58080U,	// JNP_4
-    58304U,	// JNS_1
-    58304U,	// JNS_2
-    58304U,	// JNS_4
-    58015U,	// JO_1
-    58015U,	// JO_2
-    58015U,	// JO_4
-    58065U,	// JP_1
-    58065U,	// JP_2
-    58065U,	// JP_4
-    58585U,	// JRCXZ
-    58300U,	// JS_1
-    58300U,	// JS_2
-    58300U,	// JS_4
-    3630U,	// LAHF
-    21242694U,	// LAR16rm
-    12854086U,	// LAR16rr
-    21242694U,	// LAR32rm
-    12854086U,	// LAR32rr
-    21242694U,	// LAR64rm
-    12854086U,	// LAR64rr
-    279021U,	// LCMPXCHG16
-    98358U,	// LCMPXCHG16B
-    295405U,	// LCMPXCHG32
-    303597U,	// LCMPXCHG64
-    311789U,	// LCMPXCHG8
-    41026U,	// LCMPXCHG8B
-    46408616U,	// LDS16rm
-    46408616U,	// LDS32rm
-    8658976U,	// LEA16r
-    8658976U,	// LEA32r
-    8658976U,	// LEA64_32r
-    17047584U,	// LEA64r
-    3617U,	// LEAVE
-    3617U,	// LEAVE64
-    46408621U,	// LES16rm
-    46408621U,	// LES32rm
-    46408626U,	// LFS16rm
-    46408626U,	// LFS32rm
-    46408626U,	// LFS64rm
-    107503U,	// LGDT16m
-    107503U,	// LGDT32m
-    107503U,	// LGDT64m
-    46408631U,	// LGS16rm
-    46408631U,	// LGS32rm
-    46408631U,	// LGS64rm
-    107515U,	// LIDT16m
-    107515U,	// LIDT32m
-    107515U,	// LIDT64m
-    17415U,	// LLDT16m
-    9223U,	// LLDT16r
-    17517U,	// LMSW16m
-    9325U,	// LMSW16r
-    278715U,	// LOCK_ADD16mi
-    278715U,	// LOCK_ADD16mi8
-    278715U,	// LOCK_ADD16mr
-    295099U,	// LOCK_ADD32mi
-    295099U,	// LOCK_ADD32mi8
-    295099U,	// LOCK_ADD32mr
-    303291U,	// LOCK_ADD64mi32
-    303291U,	// LOCK_ADD64mi8
-    303291U,	// LOCK_ADD64mr
-    311483U,	// LOCK_ADD8mi
-    311483U,	// LOCK_ADD8mr
-    278764U,	// LOCK_AND16mi
-    278764U,	// LOCK_AND16mi8
-    278764U,	// LOCK_AND16mr
-    295148U,	// LOCK_AND32mi
-    295148U,	// LOCK_AND32mi8
-    295148U,	// LOCK_AND32mr
-    303340U,	// LOCK_AND64mi32
-    303340U,	// LOCK_AND64mi8
-    303340U,	// LOCK_AND64mr
-    311532U,	// LOCK_AND8mi
-    311532U,	// LOCK_AND8mr
-    16520U,	// LOCK_DEC16m
-    32904U,	// LOCK_DEC32m
-    41096U,	// LOCK_DEC64m
-    49288U,	// LOCK_DEC8m
-    16547U,	// LOCK_INC16m
-    32931U,	// LOCK_INC32m
-    41123U,	// LOCK_INC64m
-    49315U,	// LOCK_INC8m
-    279394U,	// LOCK_OR16mi
-    279394U,	// LOCK_OR16mi8
-    279394U,	// LOCK_OR16mr
-    295778U,	// LOCK_OR32mi
-    295778U,	// LOCK_OR32mi8
-    295778U,	// LOCK_OR32mr
-    303970U,	// LOCK_OR64mi32
-    303970U,	// LOCK_OR64mi8
-    303970U,	// LOCK_OR64mr
-    312162U,	// LOCK_OR8mi
-    312162U,	// LOCK_OR8mr
-    3698U,	// LOCK_PREFIX
-    278647U,	// LOCK_SUB16mi
-    278647U,	// LOCK_SUB16mi8
-    278647U,	// LOCK_SUB16mr
-    295031U,	// LOCK_SUB32mi
-    295031U,	// LOCK_SUB32mi8
-    295031U,	// LOCK_SUB32mr
-    303223U,	// LOCK_SUB64mi32
-    303223U,	// LOCK_SUB64mi8
-    303223U,	// LOCK_SUB64mr
-    311415U,	// LOCK_SUB8mi
-    311415U,	// LOCK_SUB8mr
-    279406U,	// LOCK_XOR16mi
-    279406U,	// LOCK_XOR16mi8
-    279406U,	// LOCK_XOR16mr
-    295790U,	// LOCK_XOR32mi
-    295790U,	// LOCK_XOR32mi8
-    295790U,	// LOCK_XOR32mr
-    303982U,	// LOCK_XOR64mi32
-    303982U,	// LOCK_XOR64mi8
-    303982U,	// LOCK_XOR64mr
-    312174U,	// LOCK_XOR8mi
-    312174U,	// LOCK_XOR8mr
-    140532U,	// LODSB
-    149024U,	// LODSL
-    157385U,	// LODSQ
-    165335U,	// LODSW
-    58105U,	// LOOP
-    57745U,	// LOOPE
-    57722U,	// LOOPNE
-    8674U,	// LRETIL
-    8993U,	// LRETIQ
-    8674U,	// LRETIW
-    3651U,	// LRETL
-    3837U,	// LRETQ
-    3651U,	// LRETW
-    21242485U,	// LSL16rm
-    12853877U,	// LSL16rr
-    8659573U,	// LSL32rm
-    12853877U,	// LSL32rr
-    17048181U,	// LSL64rm
-    12853877U,	// LSL64rr
-    46408660U,	// LSS16rm
-    46408660U,	// LSS32rm
-    46408660U,	// LSS64rm
-    17289U,	// LTRm
-    9097U,	// LTRr
-    172218U,	// LXADD16
-    180410U,	// LXADD32
-    188602U,	// LXADD64
-    196794U,	// LXADD8
-    21242904U,	// LZCNT16rm
-    12854296U,	// LZCNT16rr
-    8659992U,	// LZCNT32rm
-    12854296U,	// LZCNT32rr
-    17048600U,	// LZCNT64rm
-    12854296U,	// LZCNT64rr
-    3750U,	// MONTMUL
+    2232507U,	// ADD64rr_REV
+    5393U,	// ADD8i8
+    155835U,	// ADD8mi
+    155835U,	// ADD8mr
+    274619U,	// ADD8ri
+    274619U,	// ADD8ri8
+    798907U,	// ADD8rm
+    274619U,	// ADD8rr
+    2232507U,	// ADD8rr_REV
+    2576U,	// ADJCALLSTACKDOWN32
+    2576U,	// ADJCALLSTACKDOWN64
+    2594U,	// ADJCALLSTACKUP32
+    2594U,	// ADJCALLSTACKUP64
+    8524966U,	// ADOX32rm
+    10622118U,	// ADOX32rr
+    12719270U,	// ADOX64rm
+    10622118U,	// ADOX64rr
+    5504U,	// AND16i16
+    139500U,	// AND16mi
+    139500U,	// AND16mi8
+    139500U,	// AND16mr
+    274668U,	// AND16ri
+    274668U,	// AND16ri8
+    405740U,	// AND16rm
+    274668U,	// AND16rr
+    2232556U,	// AND16rr_REV
+    5642U,	// AND32i32
+    147692U,	// AND32mi
+    147692U,	// AND32mi8
+    147692U,	// AND32mr
+    274668U,	// AND32ri
+    274668U,	// AND32ri8
+    536812U,	// AND32rm
+    274668U,	// AND32rr
+    2232556U,	// AND32rr_REV
+    5790U,	// AND64i32
+    151788U,	// AND64mi32
+    151788U,	// AND64mi8
+    151788U,	// AND64mr
+    274668U,	// AND64ri32
+    274668U,	// AND64ri8
+    667884U,	// AND64rm
+    274668U,	// AND64rr
+    2232556U,	// AND64rr_REV
+    5402U,	// AND8i8
+    155884U,	// AND8mi
+    155884U,	// AND8mr
+    274668U,	// AND8ri
+    274668U,	// AND8ri8
+    798956U,	// AND8rm
+    274668U,	// AND8rr
+    2232556U,	// AND8rr_REV
+    44176018U,	// ANDN32rm
+    178393746U,	// ANDN32rr
+    312611474U,	// ANDN64rm
+    178393746U,	// ANDN64rr
+    139887U,	// ARPL16mr
+    10621551U,	// ARPL16rr
+    444732307U,	// BEXTR32rm
+    178394003U,	// BEXTR32rr
+    448926611U,	// BEXTR64rm
+    178394003U,	// BEXTR64rr
+    444732307U,	// BEXTRI32mi
+    178394003U,	// BEXTRI32ri
+    448926611U,	// BEXTRI64mi
+    178394003U,	// BEXTRI64ri
+    8524376U,	// BLCFILL32rm
+    10621528U,	// BLCFILL32rr
+    12718680U,	// BLCFILL64rm
+    10621528U,	// BLCFILL64rr
+    8524309U,	// BLCI32rm
+    10621461U,	// BLCI32rr
+    12718613U,	// BLCI64rm
+    10621461U,	// BLCI64rr
+    8523917U,	// BLCIC32rm
+    10621069U,	// BLCIC32rr
+    12718221U,	// BLCIC64rm
+    10621069U,	// BLCIC64rr
+    8524327U,	// BLCMSK32rm
+    10621479U,	// BLCMSK32rr
+    12718631U,	// BLCMSK64rm
+    10621479U,	// BLCMSK64rr
+    8524706U,	// BLCS32rm
+    10621858U,	// BLCS32rr
+    12719010U,	// BLCS64rm
+    10621858U,	// BLCS64rr
+    8524385U,	// BLSFILL32rm
+    10621537U,	// BLSFILL32rr
+    12718689U,	// BLSFILL64rm
+    10621537U,	// BLSFILL64rr
+    8524321U,	// BLSI32rm
+    10621473U,	// BLSI32rr
+    12718625U,	// BLSI64rm
+    10621473U,	// BLSI64rr
+    8523924U,	// BLSIC32rm
+    10621076U,	// BLSIC32rr
+    12718228U,	// BLSIC64rm
+    10621076U,	// BLSIC64rr
+    8524335U,	// BLSMSK32rm
+    10621487U,	// BLSMSK32rr
+    12718639U,	// BLSMSK64rm
+    10621487U,	// BLSMSK64rr
+    8524670U,	// BLSR32rm
+    10621822U,	// BLSR32rr
+    12718974U,	// BLSR64rm
+    10621822U,	// BLSR64rr
+    14815473U,	// BOUNDS16rm
+    8524017U,	// BOUNDS32rm
+    14815709U,	// BSF16rm
+    10621405U,	// BSF16rr
+    8524253U,	// BSF32rm
+    10621405U,	// BSF32rr
+    12718557U,	// BSF64rm
+    10621405U,	// BSF64rr
+    14816121U,	// BSR16rm
+    10621817U,	// BSR16rr
+    8524665U,	// BSR32rm
+    10621817U,	// BSR32rr
+    12718969U,	// BSR64rm
+    10621817U,	// BSR64rr
+    4804U,	// BSWAP32r
+    4804U,	// BSWAP64r
+    140267U,	// BT16mi8
+    140267U,	// BT16mr
+    10621931U,	// BT16ri8
+    10621931U,	// BT16rr
+    148459U,	// BT32mi8
+    148459U,	// BT32mr
+    10621931U,	// BT32ri8
+    10621931U,	// BT32rr
+    152555U,	// BT64mi8
+    152555U,	// BT64mr
+    10621931U,	// BT64ri8
+    10621931U,	// BT64rr
+    139432U,	// BTC16mi8
+    139432U,	// BTC16mr
+    10621096U,	// BTC16ri8
+    10621096U,	// BTC16rr
+    147624U,	// BTC32mi8
+    147624U,	// BTC32mr
+    10621096U,	// BTC32ri8
+    10621096U,	// BTC32rr
+    151720U,	// BTC64mi8
+    151720U,	// BTC64mr
+    10621096U,	// BTC64ri8
+    10621096U,	// BTC64rr
+    140164U,	// BTR16mi8
+    140164U,	// BTR16mr
+    10621828U,	// BTR16ri8
+    10621828U,	// BTR16rr
+    148356U,	// BTR32mi8
+    148356U,	// BTR32mr
+    10621828U,	// BTR32ri8
+    10621828U,	// BTR32rr
+    152452U,	// BTR64mi8
+    152452U,	// BTR64mr
+    10621828U,	// BTR64ri8
+    10621828U,	// BTR64rr
+    140249U,	// BTS16mi8
+    140249U,	// BTS16mr
+    10621913U,	// BTS16ri8
+    10621913U,	// BTS16rr
+    148441U,	// BTS32mi8
+    148441U,	// BTS32mr
+    10621913U,	// BTS32ri8
+    10621913U,	// BTS32rr
+    152537U,	// BTS64mi8
+    152537U,	// BTS64mr
+    10621913U,	// BTS64ri8
+    10621913U,	// BTS64rr
+    444731931U,	// BZHI32rm
+    178393627U,	// BZHI32rr
+    448926235U,	// BZHI64rm
+    178393627U,	// BZHI64rr
+    8786U,	// CALL16m
+    4690U,	// CALL16r
+    16978U,	// CALL32m
+    4690U,	// CALL32r
+    21074U,	// CALL64m
+    29266U,	// CALL64pcrel32
+    4690U,	// CALL64r
+    29266U,	// CALLpcrel16
+    29266U,	// CALLpcrel32
+    3378U,	// CBW
+    3099U,	// CDQ
+    2853U,	// CDQE
+    2706U,	// CLAC
+    2738U,	// CLC
+    2788U,	// CLD
+    2944U,	// CLGI
+    2954U,	// CLI
+    3322U,	// CLTS
+    2742U,	// CMC
+    16912431U,	// CMOVA16rm
+    2232367U,	// CMOVA16rr
+    4329519U,	// CMOVA32rm
+    2232367U,	// CMOVA32rr
+    6426671U,	// CMOVA64rm
+    2232367U,	// CMOVA64rr
+    16912685U,	// CMOVAE16rm
+    2232621U,	// CMOVAE16rr
+    4329773U,	// CMOVAE32rm
+    2232621U,	// CMOVAE32rr
+    6426925U,	// CMOVAE64rm
+    2232621U,	// CMOVAE64rr
+    16912508U,	// CMOVB16rm
+    2232444U,	// CMOVB16rr
+    4329596U,	// CMOVB32rm
+    2232444U,	// CMOVB32rr
+    6426748U,	// CMOVB64rm
+    2232444U,	// CMOVB64rr
+    16912705U,	// CMOVBE16rm
+    2232641U,	// CMOVBE16rr
+    4329793U,	// CMOVBE32rm
+    2232641U,	// CMOVBE32rr
+    6426945U,	// CMOVBE64rm
+    2232641U,	// CMOVBE64rr
+    16912854U,	// CMOVE16rm
+    2232790U,	// CMOVE16rr
+    4329942U,	// CMOVE32rm
+    2232790U,	// CMOVE32rr
+    6427094U,	// CMOVE64rm
+    2232790U,	// CMOVE64rr
+    16912904U,	// CMOVG16rm
+    2232840U,	// CMOVG16rr
+    4329992U,	// CMOVG32rm
+    2232840U,	// CMOVG32rr
+    6427144U,	// CMOVG64rm
+    2232840U,	// CMOVG64rr
+    16912725U,	// CMOVGE16rm
+    2232661U,	// CMOVGE16rr
+    4329813U,	// CMOVGE32rm
+    2232661U,	// CMOVGE32rr
+    6426965U,	// CMOVGE64rm
+    2232661U,	// CMOVGE64rr
+    16913030U,	// CMOVL16rm
+    2232966U,	// CMOVL16rr
+    4330118U,	// CMOVL32rm
+    2232966U,	// CMOVL32rr
+    6427270U,	// CMOVL64rm
+    2232966U,	// CMOVL64rr
+    16912749U,	// CMOVLE16rm
+    2232685U,	// CMOVLE16rr
+    4329837U,	// CMOVLE32rm
+    2232685U,	// CMOVLE32rr
+    6426989U,	// CMOVLE64rm
+    2232685U,	// CMOVLE64rr
+    16912777U,	// CMOVNE16rm
+    2232713U,	// CMOVNE16rr
+    4329865U,	// CMOVNE32rm
+    2232713U,	// CMOVNE32rr
+    6427017U,	// CMOVNE64rm
+    2232713U,	// CMOVNE64rr
+    16913071U,	// CMOVNO16rm
+    2233007U,	// CMOVNO16rr
+    4330159U,	// CMOVNO32rm
+    2233007U,	// CMOVNO32rr
+    6427311U,	// CMOVNO64rm
+    2233007U,	// CMOVNO64rr
+    16913132U,	// CMOVNP16rm
+    2233068U,	// CMOVNP16rr
+    4330220U,	// CMOVNP32rm
+    2233068U,	// CMOVNP32rr
+    6427372U,	// CMOVNP64rm
+    2233068U,	// CMOVNP64rr
+    16913356U,	// CMOVNS16rm
+    2233292U,	// CMOVNS16rr
+    4330444U,	// CMOVNS32rm
+    2233292U,	// CMOVNS32rr
+    6427596U,	// CMOVNS64rm
+    2233292U,	// CMOVNS64rr
+    16913085U,	// CMOVO16rm
+    2233021U,	// CMOVO16rr
+    4330173U,	// CMOVO32rm
+    2233021U,	// CMOVO32rr
+    6427325U,	// CMOVO64rm
+    2233021U,	// CMOVO64rr
+    16913178U,	// CMOVP16rm
+    2233114U,	// CMOVP16rr
+    4330266U,	// CMOVP32rm
+    2233114U,	// CMOVP32rr
+    6427418U,	// CMOVP64rm
+    2233114U,	// CMOVP64rr
+    16913380U,	// CMOVS16rm
+    2233316U,	// CMOVS16rr
+    4330468U,	// CMOVS32rm
+    2233316U,	// CMOVS32rr
+    6427620U,	// CMOVS64rm
+    2233316U,	// CMOVS64rr
+    2105U,	// CMOV_FR32
+    2264U,	// CMOV_FR64
+    1984U,	// CMOV_GR16
+    1964U,	// CMOV_GR32
+    2283U,	// CMOV_GR8
+    2085U,	// CMOV_RFP32
+    2244U,	// CMOV_RFP64
+    2004U,	// CMOV_RFP80
+    2044U,	// CMOV_V16F32
+    2124U,	// CMOV_V2F64
+    2184U,	// CMOV_V2I64
+    2024U,	// CMOV_V4F32
+    2144U,	// CMOV_V4F64
+    2204U,	// CMOV_V4I64
+    2065U,	// CMOV_V8F32
+    2164U,	// CMOV_V8F64
+    2224U,	// CMOV_V8I64
+    5531U,	// CMP16i16
+    139989U,	// CMP16mi
+    139989U,	// CMP16mi8
+    139989U,	// CMP16mr
+    10621653U,	// CMP16ri
+    10621653U,	// CMP16ri8
+    14815957U,	// CMP16rm
+    10621653U,	// CMP16rr
+    10621653U,	// CMP16rr_REV
+    5696U,	// CMP32i32
+    148181U,	// CMP32mi
+    148181U,	// CMP32mi8
+    148181U,	// CMP32mr
+    10621653U,	// CMP32ri
+    10621653U,	// CMP32ri8
+    8524501U,	// CMP32rm
+    10621653U,	// CMP32rr
+    10621653U,	// CMP32rr_REV
+    5811U,	// CMP64i32
+    152277U,	// CMP64mi32
+    152277U,	// CMP64mi8
+    152277U,	// CMP64mr
+    10621653U,	// CMP64ri32
+    10621653U,	// CMP64ri8
+    12718805U,	// CMP64rm
+    10621653U,	// CMP64rr
+    10621653U,	// CMP64rr_REV
+    5419U,	// CMP8i8
+    156373U,	// CMP8mi
+    156373U,	// CMP8mr
+    10621653U,	// CMP8ri
+    19010261U,	// CMP8rm
+    10621653U,	// CMP8rr
+    10621653U,	// CMP8rr_REV
+    32867U,	// CMPSB
+    37131U,	// CMPSL
+    41775U,	// CMPSQ
+    46214U,	// CMPSW
+    49206U,	// CMPXCHG16B
+    139757U,	// CMPXCHG16rm
+    10621421U,	// CMPXCHG16rr
+    147949U,	// CMPXCHG32rm
+    10621421U,	// CMPXCHG32rr
+    152045U,	// CMPXCHG64rm
+    10621421U,	// CMPXCHG64rr
+    20546U,	// CMPXCHG8B
+    156141U,	// CMPXCHG8rm
+    10621421U,	// CMPXCHG8rr
+    2782U,	// CPUID32
+    2782U,	// CPUID64
+    3075U,	// CQO
+    2829U,	// CWD
+    2833U,	// CWDE
+    2641U,	// DAA
+    3183U,	// DAS
+    2531U,	// DATA16_PREFIX
+    8328U,	// DEC16m
+    4232U,	// DEC16r
+    4232U,	// DEC32_16r
+    4232U,	// DEC32_32r
+    16520U,	// DEC32m
+    4232U,	// DEC32r
+    8328U,	// DEC64_16m
+    4232U,	// DEC64_16r
+    16520U,	// DEC64_32m
+    4232U,	// DEC64_32r
+    20616U,	// DEC64m
+    4232U,	// DEC64r
+    24712U,	// DEC8m
+    4232U,	// DEC8r
+    9309U,	// DIV16m
+    5213U,	// DIV16r
+    17501U,	// DIV32m
+    5213U,	// DIV32r
+    21597U,	// DIV64m
+    5213U,	// DIV64r
+    25693U,	// DIV8m
+    5213U,	// DIV8r
+    5923U,	// EH_RETURN
+    5923U,	// EH_RETURN64
+    2354U,	// EH_SjLj_LongJmp32
+    2444U,	// EH_SjLj_LongJmp64
+    2373U,	// EH_SjLj_SetJmp32
+    2463U,	// EH_SjLj_SetJmp64
+    29450U,	// EH_SjLj_Setup
+    10621781U,	// ENTER
+    10621521U,	// FARCALL16i
+    53841U,	// FARCALL16m
+    10621521U,	// FARCALL32i
+    53841U,	// FARCALL32m
+    53841U,	// FARCALL64
+    10621658U,	// FARJMP16i
+    53978U,	// FARJMP16m
+    10621658U,	// FARJMP32i
+    53978U,	// FARJMP32m
+    53978U,	// FARJMP64
+    3037U,	// FSETPM
+    2726U,	// GETSEC
+    3347U,	// HLT
+    9308U,	// IDIV16m
+    5212U,	// IDIV16r
+    17500U,	// IDIV32m
+    5212U,	// IDIV32r
+    21596U,	// IDIV64m
+    5212U,	// IDIV64r
+    25692U,	// IDIV8m
+    5212U,	// IDIV8r
+    8832U,	// IMUL16m
+    4736U,	// IMUL16r
+    16913024U,	// IMUL16rm
+    451023488U,	// IMUL16rmi
+    451023488U,	// IMUL16rmi8
+    2232960U,	// IMUL16rr
+    178393728U,	// IMUL16rri
+    178393728U,	// IMUL16rri8
+    17024U,	// IMUL32m
+    4736U,	// IMUL32r
+    4330112U,	// IMUL32rm
+    444732032U,	// IMUL32rmi
+    444732032U,	// IMUL32rmi8
+    2232960U,	// IMUL32rr
+    178393728U,	// IMUL32rri
+    178393728U,	// IMUL32rri8
+    21120U,	// IMUL64m
+    4736U,	// IMUL64r
+    6427264U,	// IMUL64rm
+    448926336U,	// IMUL64rmi32
+    448926336U,	// IMUL64rmi8
+    2232960U,	// IMUL64rr
+    178393728U,	// IMUL64rri32
+    178393728U,	// IMUL64rri8
+    25216U,	// IMUL8m
+    4736U,	// IMUL8r
+    5523U,	// IN16ri
+    3544U,	// IN16rr
+    5687U,	// IN32ri
+    3554U,	// IN32rr
+    5411U,	// IN8ri
+    3534U,	// IN8rr
+    8355U,	// INC16m
+    4259U,	// INC16r
+    4259U,	// INC32_16r
+    4259U,	// INC32_32r
+    16547U,	// INC32m
+    4259U,	// INC32r
+    8355U,	// INC64_16m
+    4259U,	// INC64_16r
+    16547U,	// INC64_32m
+    4259U,	// INC64_32r
+    20643U,	// INC64m
+    4259U,	// INC64r
+    24739U,	// INC8m
+    4259U,	// INC8r
+    974934U,	// INSB
+    979198U,	// INSL
+    984185U,	// INSW
+    5158U,	// INT
+    2349U,	// INT1
+    2439U,	// INT3
+    3079U,	// INTO
+    2824U,	// INVD
+    21107760U,	// INVEPT32
+    21107760U,	// INVEPT64
+    25082U,	// INVLPG
+    3500U,	// INVLPGA32
+    3517U,	// INVLPGA64
+    21106888U,	// INVPCID32
+    21106888U,	// INVPCID64
+    21106897U,	// INVVPID32
+    21106897U,	// INVVPID64
+    3327U,	// IRET16
+    2812U,	// IRET32
+    3142U,	// IRET64
+    2610U,	// Int_MemBarrier
+    28961U,	// JAE_1
+    28961U,	// JAE_2
+    28961U,	// JAE_4
+    28709U,	// JA_1
+    28709U,	// JA_2
+    28709U,	// JA_4
+    28981U,	// JBE_1
+    28981U,	// JBE_2
+    28981U,	// JBE_4
+    28754U,	// JB_1
+    28754U,	// JB_2
+    28754U,	// JB_4
+    29907U,	// JCXZ
+    29900U,	// JECXZ_32
+    29900U,	// JECXZ_64
+    29021U,	// JE_1
+    29021U,	// JE_2
+    29021U,	// JE_4
+    29001U,	// JGE_1
+    29001U,	// JGE_2
+    29001U,	// JGE_4
+    29174U,	// JG_1
+    29174U,	// JG_2
+    29174U,	// JG_4
+    29025U,	// JLE_1
+    29025U,	// JLE_2
+    29025U,	// JLE_4
+    29261U,	// JL_1
+    29261U,	// JL_2
+    29261U,	// JL_4
+    8923U,	// JMP16m
+    4827U,	// JMP16r
+    17115U,	// JMP32m
+    4827U,	// JMP32r
+    21211U,	// JMP64m
+    4827U,	// JMP64r
+    29403U,	// JMP_1
+    29403U,	// JMP_2
+    29403U,	// JMP_4
+    29045U,	// JNE_1
+    29045U,	// JNE_2
+    29045U,	// JNE_4
+    29347U,	// JNO_1
+    29347U,	// JNO_2
+    29347U,	// JNO_4
+    29408U,	// JNP_1
+    29408U,	// JNP_2
+    29408U,	// JNP_4
+    29632U,	// JNS_1
+    29632U,	// JNS_2
+    29632U,	// JNS_4
+    29343U,	// JO_1
+    29343U,	// JO_2
+    29343U,	// JO_4
+    29393U,	// JP_1
+    29393U,	// JP_2
+    29393U,	// JP_4
+    29913U,	// JRCXZ
+    29628U,	// JS_1
+    29628U,	// JS_2
+    29628U,	// JS_4
+    2909U,	// LAHF
+    14816070U,	// LAR16rm
+    10621766U,	// LAR16rr
+    14816070U,	// LAR32rm
+    10621766U,	// LAR32rr
+    14816070U,	// LAR64rm
+    10621766U,	// LAR64rr
+    139757U,	// LCMPXCHG16
+    49206U,	// LCMPXCHG16B
+    147949U,	// LCMPXCHG32
+    152045U,	// LCMPXCHG64
+    156141U,	// LCMPXCHG8
+    20546U,	// LCMPXCHG8B
+    23204776U,	// LDS16rm
+    23204776U,	// LDS32rm
+    14815264U,	// LEA16r
+    8523808U,	// LEA32r
+    8523808U,	// LEA64_32r
+    12718112U,	// LEA64r
+    2896U,	// LEAVE
+    2896U,	// LEAVE64
+    23204781U,	// LES16rm
+    23204781U,	// LES32rm
+    23204786U,	// LFS16rm
+    23204786U,	// LFS32rm
+    23204786U,	// LFS64rm
+    54255U,	// LGDT16m
+    54255U,	// LGDT32m
+    54255U,	// LGDT64m
+    23204791U,	// LGS16rm
+    23204791U,	// LGS32rm
+    23204791U,	// LGS64rm
+    54267U,	// LIDT16m
+    54267U,	// LIDT32m
+    54267U,	// LIDT64m
+    9223U,	// LLDT16m
+    5127U,	// LLDT16r
+    9325U,	// LMSW16m
+    5229U,	// LMSW16r
+    139451U,	// LOCK_ADD16mi
+    139451U,	// LOCK_ADD16mi8
+    139451U,	// LOCK_ADD16mr
+    147643U,	// LOCK_ADD32mi
+    147643U,	// LOCK_ADD32mi8
+    147643U,	// LOCK_ADD32mr
+    151739U,	// LOCK_ADD64mi32
+    151739U,	// LOCK_ADD64mi8
+    151739U,	// LOCK_ADD64mr
+    155835U,	// LOCK_ADD8mi
+    155835U,	// LOCK_ADD8mr
+    139500U,	// LOCK_AND16mi
+    139500U,	// LOCK_AND16mi8
+    139500U,	// LOCK_AND16mr
+    147692U,	// LOCK_AND32mi
+    147692U,	// LOCK_AND32mi8
+    147692U,	// LOCK_AND32mr
+    151788U,	// LOCK_AND64mi32
+    151788U,	// LOCK_AND64mi8
+    151788U,	// LOCK_AND64mr
+    155884U,	// LOCK_AND8mi
+    155884U,	// LOCK_AND8mr
+    8328U,	// LOCK_DEC16m
+    16520U,	// LOCK_DEC32m
+    20616U,	// LOCK_DEC64m
+    24712U,	// LOCK_DEC8m
+    8355U,	// LOCK_INC16m
+    16547U,	// LOCK_INC32m
+    20643U,	// LOCK_INC64m
+    24739U,	// LOCK_INC8m
+    140130U,	// LOCK_OR16mi
+    140130U,	// LOCK_OR16mi8
+    140130U,	// LOCK_OR16mr
+    148322U,	// LOCK_OR32mi
+    148322U,	// LOCK_OR32mi8
+    148322U,	// LOCK_OR32mr
+    152418U,	// LOCK_OR64mi32
+    152418U,	// LOCK_OR64mi8
+    152418U,	// LOCK_OR64mr
+    156514U,	// LOCK_OR8mi
+    156514U,	// LOCK_OR8mr
+    2977U,	// LOCK_PREFIX
+    139383U,	// LOCK_SUB16mi
+    139383U,	// LOCK_SUB16mi8
+    139383U,	// LOCK_SUB16mr
+    147575U,	// LOCK_SUB32mi
+    147575U,	// LOCK_SUB32mi8
+    147575U,	// LOCK_SUB32mr
+    151671U,	// LOCK_SUB64mi32
+    151671U,	// LOCK_SUB64mi8
+    151671U,	// LOCK_SUB64mr
+    155767U,	// LOCK_SUB8mi
+    155767U,	// LOCK_SUB8mr
+    140142U,	// LOCK_XOR16mi
+    140142U,	// LOCK_XOR16mi8
+    140142U,	// LOCK_XOR16mr
+    148334U,	// LOCK_XOR32mi
+    148334U,	// LOCK_XOR32mi8
+    148334U,	// LOCK_XOR32mr
+    152430U,	// LOCK_XOR64mi32
+    152430U,	// LOCK_XOR64mi8
+    152430U,	// LOCK_XOR64mr
+    156526U,	// LOCK_XOR8mi
+    156526U,	// LOCK_XOR8mr
+    70900U,	// LODSB
+    75296U,	// LODSL
+    79561U,	// LODSQ
+    83415U,	// LODSW
+    29433U,	// LOOP
+    29073U,	// LOOPE
+    29050U,	// LOOPNE
+    4578U,	// LRETIL
+    4897U,	// LRETIQ
+    4578U,	// LRETIW
+    2930U,	// LRETL
+    3116U,	// LRETQ
+    2930U,	// LRETW
+    14815861U,	// LSL16rm
+    10621557U,	// LSL16rr
+    8524405U,	// LSL32rm
+    10621557U,	// LSL32rr
+    12718709U,	// LSL64rm
+    10621557U,	// LSL64rr
+    23204820U,	// LSS16rm
+    23204820U,	// LSS32rm
+    23204820U,	// LSS64rm
+    9097U,	// LTRm
+    5001U,	// LTRr
+    86202U,	// LXADD16
+    90298U,	// LXADD32
+    94394U,	// LXADD64
+    98490U,	// LXADD8
+    14816280U,	// LZCNT16rm
+    10621976U,	// LZCNT16rr
+    8524824U,	// LZCNT32rm
+    10621976U,	// LZCNT32rr
+    12719128U,	// LZCNT64rm
+    10621976U,	// LZCNT64rr
+    3029U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
-    2303074U,	// MOV16ao16
-    2303074U,	// MOV16ao16_16
-    279650U,	// MOV16mi
-    279650U,	// MOV16mr
-    279650U,	// MOV16ms
-    206275U,	// MOV16o16a
-    206275U,	// MOV16o16a_16
-    12854370U,	// MOV16ri
-    12854370U,	// MOV16ri_alt
-    21242978U,	// MOV16rm
-    12854370U,	// MOV16rr
-    12854370U,	// MOV16rr_REV
-    12854370U,	// MOV16rs
-    21242978U,	// MOV16sm
-    12854370U,	// MOV16sr
-    2573410U,	// MOV32ao32
-    2573410U,	// MOV32ao32_16
-    12854370U,	// MOV32cr
-    12854370U,	// MOV32dr
-    296034U,	// MOV32mi
-    296034U,	// MOV32mr
-    296034U,	// MOV32ms
-    214636U,	// MOV32o32a
-    214636U,	// MOV32o32a_16
+    1152098U,	// MOV16ao16
+    1152098U,	// MOV16ao16_16
+    140386U,	// MOV16mi
+    140386U,	// MOV16mr
+    140386U,	// MOV16ms
+    103875U,	// MOV16o16a
+    103875U,	// MOV16o16a_16
+    10622050U,	// MOV16ri
+    10622050U,	// MOV16ri_alt
+    14816354U,	// MOV16rm
+    10622050U,	// MOV16rr
+    10622050U,	// MOV16rr_REV
+    10622050U,	// MOV16rs
+    14816354U,	// MOV16sm
+    10622050U,	// MOV16sr
+    1287266U,	// MOV32ao32
+    1287266U,	// MOV32ao32_16
+    10622050U,	// MOV32cr
+    10622050U,	// MOV32dr
+    148578U,	// MOV32mi
+    148578U,	// MOV32mr
+    148578U,	// MOV32ms
+    108140U,	// MOV32o32a
+    108140U,	// MOV32o32a_16
     0U,	// MOV32r0
-    12854370U,	// MOV32rc
-    12854370U,	// MOV32rd
-    12854370U,	// MOV32ri
+    10622050U,	// MOV32rc
+    10622050U,	// MOV32rd
+    10622050U,	// MOV32ri
     0U,	// MOV32ri64
-    12854370U,	// MOV32ri_alt
-    8660066U,	// MOV32rm
-    12854370U,	// MOV32rr
-    12854370U,	// MOV32rr_REV
-    12854370U,	// MOV32rs
-    8660066U,	// MOV32sm
-    12854370U,	// MOV32sr
-    2302874U,	// MOV64ao16
-    2573210U,	// MOV64ao32
-    2843546U,	// MOV64ao64
-    3113882U,	// MOV64ao8
-    12854370U,	// MOV64cr
-    12854370U,	// MOV64dr
-    304226U,	// MOV64mi32
-    304226U,	// MOV64mr
-    304226U,	// MOV64ms
-    206253U,	// MOV64o16a
-    214612U,	// MOV64o32a
-    222943U,	// MOV64o64a
-    230717U,	// MOV64o8a
-    12854370U,	// MOV64rc
-    12854370U,	// MOV64rd
-    12854170U,	// MOV64ri
-    12854370U,	// MOV64ri32
-    17048674U,	// MOV64rm
-    12854370U,	// MOV64rr
-    12854370U,	// MOV64rr_REV
-    12854370U,	// MOV64rs
-    17048674U,	// MOV64sm
-    12854370U,	// MOV64sr
-    3114082U,	// MOV8ao8
-    3114082U,	// MOV8ao8_16
-    312418U,	// MOV8mi
-    312418U,	// MOV8mr
-    134530146U,	// MOV8mr_NOREX
-    230739U,	// MOV8o8a
-    230739U,	// MOV8o8a_16
-    12854370U,	// MOV8ri
-    12854370U,	// MOV8ri_alt
-    38020194U,	// MOV8rm
-    172237922U,	// MOV8rm_NOREX
-    12854370U,	// MOV8rr
-    147072098U,	// MOV8rr_NOREX
-    12854370U,	// MOV8rr_REV
-    278850U,	// MOVBE16mr
-    21242178U,	// MOVBE16rm
-    295234U,	// MOVBE32mr
-    8659266U,	// MOVBE32rm
-    303426U,	// MOVBE64mr
-    17047874U,	// MOVBE64rm
+    10622050U,	// MOV32ri_alt
+    8524898U,	// MOV32rm
+    10622050U,	// MOV32rr
+    10622050U,	// MOV32rr_REV
+    10622050U,	// MOV32rs
+    8524898U,	// MOV32sm
+    10622050U,	// MOV32sr
+    1151898U,	// MOV64ao16
+    1287066U,	// MOV64ao32
+    1422234U,	// MOV64ao64
+    1557402U,	// MOV64ao8
+    10622050U,	// MOV64cr
+    10622050U,	// MOV64dr
+    152674U,	// MOV64mi32
+    152674U,	// MOV64mr
+    152674U,	// MOV64ms
+    103853U,	// MOV64o16a
+    108116U,	// MOV64o32a
+    112351U,	// MOV64o64a
+    116029U,	// MOV64o8a
+    10622050U,	// MOV64rc
+    10622050U,	// MOV64rd
+    10621850U,	// MOV64ri
+    10622050U,	// MOV64ri32
+    12719202U,	// MOV64rm
+    10622050U,	// MOV64rr
+    10622050U,	// MOV64rr_REV
+    10622050U,	// MOV64rs
+    12719202U,	// MOV64sm
+    10622050U,	// MOV64sr
+    1557602U,	// MOV8ao8
+    1557602U,	// MOV8ao8_16
+    156770U,	// MOV8mi
+    156770U,	// MOV8mr
+    67265634U,	// MOV8mr_NOREX
+    116051U,	// MOV8o8a
+    116051U,	// MOV8o8a_16
+    10622050U,	// MOV8ri
+    10622050U,	// MOV8ri_alt
+    19010658U,	// MOV8rm
+    86119522U,	// MOV8rm_NOREX
+    10622050U,	// MOV8rr
+    77730914U,	// MOV8rr_NOREX
+    10622050U,	// MOV8rr_REV
+    139586U,	// MOVBE16mr
+    14815554U,	// MOVBE16rm
+    147778U,	// MOVBE32mr
+    8524098U,	// MOVBE32rm
+    151874U,	// MOVBE64mr
+    12718402U,	// MOVBE64rm
     0U,	// MOVPC32r
-    50724970U,	// MOVSB
-    54911250U,	// MOVSL
-    59220790U,	// MOVSQ
-    63292557U,	// MOVSW
-    38020286U,	// MOVSX16rm8
-    12854462U,	// MOVSX16rr8
-    21243070U,	// MOVSX32rm16
-    38020286U,	// MOVSX32rm8
-    12854462U,	// MOVSX32rr16
-    12854462U,	// MOVSX32rr8
-    21243070U,	// MOVSX64rm16
-    8659225U,	// MOVSX64rm32
-    38020286U,	// MOVSX64rm8
-    12854462U,	// MOVSX64rr16
-    12853529U,	// MOVSX64rr32
-    12854462U,	// MOVSX64rr8
-    38020293U,	// MOVZX16rm8
-    12854469U,	// MOVZX16rr8
-    38020293U,	// MOVZX32_NOREXrm8
-    12854469U,	// MOVZX32_NOREXrr8
-    21243077U,	// MOVZX32rm16
-    38020293U,	// MOVZX32rm8
-    12854469U,	// MOVZX32rr16
-    12854469U,	// MOVZX32rr8
-    21243077U,	// MOVZX64rm16_Q
-    38020293U,	// MOVZX64rm8_Q
-    12854469U,	// MOVZX64rr16_Q
-    12854469U,	// MOVZX64rr8_Q
-    17025U,	// MUL16m
-    8833U,	// MUL16r
-    33409U,	// MUL32m
-    8833U,	// MUL32r
-    41601U,	// MUL64m
-    8833U,	// MUL64r
-    49793U,	// MUL8m
-    8833U,	// MUL8r
-    79963296U,	// MULX32rm
-    348398752U,	// MULX32rr
-    616834208U,	// MULX64rm
-    348398752U,	// MULX64rr
-    16872U,	// NEG16m
-    8680U,	// NEG16r
-    33256U,	// NEG32m
-    8680U,	// NEG32r
-    41448U,	// NEG64m
-    8680U,	// NEG64r
-    49640U,	// NEG8m
-    8680U,	// NEG8r
-    3816U,	// NOOP
-    17140U,	// NOOP18_16m4
-    17140U,	// NOOP18_16m5
-    17140U,	// NOOP18_16m6
-    17140U,	// NOOP18_16m7
-    8948U,	// NOOP18_16r4
-    8948U,	// NOOP18_16r5
-    8948U,	// NOOP18_16r6
-    8948U,	// NOOP18_16r7
-    33524U,	// NOOP18_m4
-    33524U,	// NOOP18_m5
-    33524U,	// NOOP18_m6
-    33524U,	// NOOP18_m7
-    8948U,	// NOOP18_r4
-    8948U,	// NOOP18_r5
-    8948U,	// NOOP18_r6
-    8948U,	// NOOP18_r7
-    33524U,	// NOOPL
-    33524U,	// NOOPL_19
-    33524U,	// NOOPL_1a
-    33524U,	// NOOPL_1b
-    33524U,	// NOOPL_1c
-    33524U,	// NOOPL_1d
-    33524U,	// NOOPL_1e
-    17140U,	// NOOPW
-    17140U,	// NOOPW_19
-    17140U,	// NOOPW_1a
-    17140U,	// NOOPW_1b
-    17140U,	// NOOPW_1c
-    17140U,	// NOOPW_1d
-    17140U,	// NOOPW_1e
-    17451U,	// NOT16m
-    9259U,	// NOT16r
-    33835U,	// NOT32m
-    9259U,	// NOT32r
-    42027U,	// NOT64m
-    9259U,	// NOT64r
-    50219U,	// NOT8m
-    9259U,	// NOT8r
-    9637U,	// OR16i16
-    279394U,	// OR16mi
-    279394U,	// OR16mi8
-    279394U,	// OR16mr
-    549730U,	// OR16ri
-    549730U,	// OR16ri8
-    811874U,	// OR16rm
-    549730U,	// OR16rr
-    4465506U,	// OR16rr_REV
-    9803U,	// OR32i32
-    295778U,	// OR32mi
-    295778U,	// OR32mi8
-    295778U,	// OR32mr
-    295778U,	// OR32mrLocked
-    549730U,	// OR32ri
-    549730U,	// OR32ri8
-    1074018U,	// OR32rm
-    549730U,	// OR32rr
-    4465506U,	// OR32rr_REV
-    9942U,	// OR64i32
-    303970U,	// OR64mi32
-    303970U,	// OR64mi8
-    303970U,	// OR64mr
-    549730U,	// OR64ri32
-    549730U,	// OR64ri8
-    1336162U,	// OR64rm
-    549730U,	// OR64rr
-    4465506U,	// OR64rr_REV
-    9525U,	// OR8i8
-    312162U,	// OR8mi
-    312162U,	// OR8mr
-    549730U,	// OR8ri
-    549730U,	// OR8ri8
-    1598306U,	// OR8rm
-    549730U,	// OR8rr
-    4465506U,	// OR8rr_REV
-    2106449U,	// OUT16ir
-    4123U,	// OUT16rr
-    2368593U,	// OUT32ir
-    4177U,	// OUT32rr
-    2892881U,	// OUT8ir
-    3703U,	// OUT8rr
-    141047U,	// OUTSB
-    149250U,	// OUTSL
-    165645U,	// OUTSW
-    79962827U,	// PDEP32rm
-    348398283U,	// PDEP32rr
-    616833739U,	// PDEP64rm
-    348398283U,	// PDEP64rr
-    79963222U,	// PEXT32rm
-    348398678U,	// PEXT32rr
-    616834134U,	// PEXT64rm
-    348398678U,	// PEXT64rr
-    8959U,	// POP16r
-    17151U,	// POP16rmm
-    8959U,	// POP16rmr
-    8959U,	// POP32r
-    33535U,	// POP32rmm
-    8959U,	// POP32rmr
-    8959U,	// POP64r
-    41727U,	// POP64rmm
-    8959U,	// POP64rmr
-    4093U,	// POPA16
-    3721U,	// POPA32
-    3924U,	// POPDS16
-    3924U,	// POPDS32
-    3939U,	// POPES16
-    3939U,	// POPES32
-    3646U,	// POPF16
-    3528U,	// POPF32
-    3831U,	// POPF64
-    3954U,	// POPFS16
-    3954U,	// POPFS32
-    3954U,	// POPFS64
-    3969U,	// POPGS16
-    3969U,	// POPGS32
-    3969U,	// POPGS64
-    4036U,	// POPSS16
-    4036U,	// POPSS32
-    8719U,	// PUSH16i8
-    8719U,	// PUSH16r
-    16911U,	// PUSH16rmm
-    8719U,	// PUSH16rmr
-    8719U,	// PUSH32i8
-    8719U,	// PUSH32r
-    33295U,	// PUSH32rmm
-    8719U,	// PUSH32rmr
-    8719U,	// PUSH64i16
-    8719U,	// PUSH64i32
-    8719U,	// PUSH64i8
-    8719U,	// PUSH64r
-    41487U,	// PUSH64rmm
-    8719U,	// PUSH64rmr
-    4086U,	// PUSHA16
-    3714U,	// PUSHA32
-    3908U,	// PUSHCS16
-    3908U,	// PUSHCS32
-    3916U,	// PUSHDS16
-    3916U,	// PUSHDS32
-    3931U,	// PUSHES16
-    3931U,	// PUSHES32
-    3640U,	// PUSHF16
-    3521U,	// PUSHF32
-    3824U,	// PUSHF64
-    3946U,	// PUSHFS16
-    3946U,	// PUSHFS32
-    3946U,	// PUSHFS64
-    3961U,	// PUSHGS16
-    3961U,	// PUSHGS32
-    3961U,	// PUSHGS64
-    4028U,	// PUSHSS16
-    4028U,	// PUSHSS32
-    8719U,	// PUSHi16
-    8719U,	// PUSHi32
-    16963U,	// RCL16m1
-    3162691U,	// RCL16mCL
-    279107U,	// RCL16mi
-    8771U,	// RCL16r1
-    3154499U,	// RCL16rCL
-    4465219U,	// RCL16ri
-    33347U,	// RCL32m1
-    3179075U,	// RCL32mCL
-    295491U,	// RCL32mi
-    8771U,	// RCL32r1
-    3154499U,	// RCL32rCL
-    4465219U,	// RCL32ri
-    41539U,	// RCL64m1
-    3187267U,	// RCL64mCL
-    303683U,	// RCL64mi
-    8771U,	// RCL64r1
-    3154499U,	// RCL64rCL
-    4465219U,	// RCL64ri
-    49731U,	// RCL8m1
-    3195459U,	// RCL8mCL
-    311875U,	// RCL8mi
-    8771U,	// RCL8r1
-    3154499U,	// RCL8rCL
-    4465219U,	// RCL8ri
-    17232U,	// RCR16m1
-    3162960U,	// RCR16mCL
-    279376U,	// RCR16mi
-    9040U,	// RCR16r1
-    3154768U,	// RCR16rCL
-    4465488U,	// RCR16ri
-    33616U,	// RCR32m1
-    3179344U,	// RCR32mCL
-    295760U,	// RCR32mi
-    9040U,	// RCR32r1
-    3154768U,	// RCR32rCL
-    4465488U,	// RCR32ri
-    41808U,	// RCR64m1
-    3187536U,	// RCR64mCL
-    303952U,	// RCR64mi
-    9040U,	// RCR64r1
-    3154768U,	// RCR64rCL
-    4465488U,	// RCR64ri
-    50000U,	// RCR8m1
-    3195728U,	// RCR8mCL
-    312144U,	// RCR8mi
-    9040U,	// RCR8r1
-    3154768U,	// RCR8rCL
-    4465488U,	// RCR8ri
-    8600U,	// RDFSBASE
-    8600U,	// RDFSBASE64
-    8620U,	// RDGSBASE
-    8620U,	// RDGSBASE64
-    3878U,	// RDMSR
-    3498U,	// RDPMC
-    8425U,	// RDRAND16r
-    8425U,	// RDRAND32r
-    8425U,	// RDRAND64r
-    8384U,	// RDSEED16r
-    8384U,	// RDSEED32r
-    8384U,	// RDSEED64r
-    3511U,	// RDTSC
-    3805U,	// RDTSCP
-    3074U,	// RELEASE_MOV16mr
-    3074U,	// RELEASE_MOV32mr
-    3074U,	// RELEASE_MOV64mr
-    3074U,	// RELEASE_MOV8mr
-    3599U,	// REPNE_PREFIX
-    3442U,	// REP_MOVSB_32
-    3442U,	// REP_MOVSB_64
-    3554U,	// REP_MOVSD_32
-    3554U,	// REP_MOVSD_64
-    3853U,	// REP_MOVSQ_64
-    4113U,	// REP_MOVSW_32
-    4113U,	// REP_MOVSW_64
-    3812U,	// REP_PREFIX
-    3432U,	// REP_STOSB_32
-    3432U,	// REP_STOSB_64
-    3544U,	// REP_STOSD_32
-    3544U,	// REP_STOSD_64
-    3843U,	// REP_STOSQ_64
-    4103U,	// REP_STOSW_32
-    4103U,	// REP_STOSW_64
-    9235U,	// RETIL
-    9235U,	// RETIQ
-    9235U,	// RETIW
-    4049U,	// RETL
-    4049U,	// RETQ
-    4049U,	// RETW
-    3277U,	// REX64_PREFIX
-    3424874U,	// ROL16m1
-    3162730U,	// ROL16mCL
-    279146U,	// ROL16mi
-    3416682U,	// ROL16r1
-    3154538U,	// ROL16rCL
-    4465258U,	// ROL16ri
-    3441258U,	// ROL32m1
-    3179114U,	// ROL32mCL
-    295530U,	// ROL32mi
-    3416682U,	// ROL32r1
-    3154538U,	// ROL32rCL
-    4465258U,	// ROL32ri
-    3449450U,	// ROL64m1
-    3187306U,	// ROL64mCL
-    303722U,	// ROL64mi
-    3416682U,	// ROL64r1
-    3154538U,	// ROL64rCL
-    4465258U,	// ROL64ri
-    3457642U,	// ROL8m1
-    3195498U,	// ROL8mCL
-    311914U,	// ROL8mi
-    3416682U,	// ROL8r1
-    3154538U,	// ROL8rCL
-    4465258U,	// ROL8ri
-    3425121U,	// ROR16m1
-    3162977U,	// ROR16mCL
-    279393U,	// ROR16mi
-    3416929U,	// ROR16r1
-    3154785U,	// ROR16rCL
-    4465505U,	// ROR16ri
-    3441505U,	// ROR32m1
-    3179361U,	// ROR32mCL
-    295777U,	// ROR32mi
-    3416929U,	// ROR32r1
-    3154785U,	// ROR32rCL
-    4465505U,	// ROR32ri
-    3449697U,	// ROR64m1
-    3187553U,	// ROR64mCL
-    303969U,	// ROR64mi
-    3416929U,	// ROR64r1
-    3154785U,	// ROR64rCL
-    4465505U,	// ROR64ri
-    3457889U,	// ROR8m1
-    3195745U,	// ROR8mCL
-    312161U,	// ROR8mi
-    3416929U,	// ROR8r1
-    3154785U,	// ROR8rCL
-    4465505U,	// ROR8ri
-    881075384U,	// RORX32mi
-    348398776U,	// RORX32ri
-    889463992U,	// RORX64mi
-    348398776U,	// RORX64ri
-    3765U,	// RSM
-    3635U,	// SAHF
-    3424830U,	// SAL16m1
-    3162686U,	// SAL16mCL
-    279102U,	// SAL16mi
-    3416638U,	// SAL16r1
-    3154494U,	// SAL16rCL
-    4465214U,	// SAL16ri
-    3441214U,	// SAL32m1
-    3179070U,	// SAL32mCL
-    295486U,	// SAL32mi
-    3416638U,	// SAL32r1
-    3154494U,	// SAL32rCL
-    4465214U,	// SAL32ri
-    3449406U,	// SAL64m1
-    3187262U,	// SAL64mCL
-    303678U,	// SAL64mi
-    3416638U,	// SAL64r1
-    3154494U,	// SAL64rCL
-    4465214U,	// SAL64ri
-    3457598U,	// SAL8m1
-    3195454U,	// SAL8mCL
-    311870U,	// SAL8mi
-    3416638U,	// SAL8r1
-    3154494U,	// SAL8rCL
-    4465214U,	// SAL8ri
-    3485U,	// SALC
-    3425099U,	// SAR16m1
-    3162955U,	// SAR16mCL
-    279371U,	// SAR16mi
-    3416907U,	// SAR16r1
-    3154763U,	// SAR16rCL
-    4465483U,	// SAR16ri
-    3441483U,	// SAR32m1
-    3179339U,	// SAR32mCL
-    295755U,	// SAR32mi
-    3416907U,	// SAR32r1
-    3154763U,	// SAR32rCL
-    4465483U,	// SAR32ri
-    3449675U,	// SAR64m1
-    3187531U,	// SAR64mCL
-    303947U,	// SAR64mi
-    3416907U,	// SAR64r1
-    3154763U,	// SAR64rCL
-    4465483U,	// SAR64ri
-    3457867U,	// SAR8m1
-    3195723U,	// SAR8mCL
-    312139U,	// SAR8mi
-    3416907U,	// SAR8r1
-    3154763U,	// SAR8rCL
-    4465483U,	// SAR8ri
-    881075372U,	// SARX32rm
-    348398764U,	// SARX32rr
-    889463980U,	// SARX64rm
-    348398764U,	// SARX64rr
-    9564U,	// SBB16i16
-    278605U,	// SBB16mi
-    278605U,	// SBB16mi8
-    278605U,	// SBB16mr
-    548941U,	// SBB16ri
-    548941U,	// SBB16ri8
-    811085U,	// SBB16rm
-    548941U,	// SBB16rr
-    4464717U,	// SBB16rr_REV
-    9698U,	// SBB32i32
-    294989U,	// SBB32mi
-    294989U,	// SBB32mi8
-    294989U,	// SBB32mr
-    548941U,	// SBB32ri
-    548941U,	// SBB32ri8
-    1073229U,	// SBB32rm
-    548941U,	// SBB32rr
-    4464717U,	// SBB32rr_REV
-    9846U,	// SBB64i32
-    303181U,	// SBB64mi32
-    303181U,	// SBB64mi8
-    303181U,	// SBB64mr
-    548941U,	// SBB64ri32
-    548941U,	// SBB64ri8
-    1335373U,	// SBB64rm
-    548941U,	// SBB64rr
-    4464717U,	// SBB64rr_REV
-    9440U,	// SBB8i8
-    311373U,	// SBB8mi
-    311373U,	// SBB8mr
-    548941U,	// SBB8ri
-    1597517U,	// SBB8rm
-    548941U,	// SBB8rr
-    4464717U,	// SBB8rr_REV
-    116172U,	// SCAS16
-    124436U,	// SCAS32
-    239293U,	// SCAS64
-    132329U,	// SCAS8
-    3983U,	// SEG_ALLOCA_32
-    3983U,	// SEG_ALLOCA_64
-    49446U,	// SETAEm
-    8486U,	// SETAEr
-    49193U,	// SETAm
-    8233U,	// SETAr
-    49466U,	// SETBEm
-    8506U,	// SETBEr
+    25354346U,	// MOVSB
+    27455762U,	// MOVSL
+    29610806U,	// MOVSQ
+    31655053U,	// MOVSW
+    19010750U,	// MOVSX16rm8
+    10622142U,	// MOVSX16rr8
+    14816446U,	// MOVSX32rm16
+    19010750U,	// MOVSX32rm8
+    10622142U,	// MOVSX32rr16
+    10622142U,	// MOVSX32rr8
+    14816446U,	// MOVSX64rm16
+    8524057U,	// MOVSX64rm32
+    19010750U,	// MOVSX64rm8
+    10622142U,	// MOVSX64rr16
+    10621209U,	// MOVSX64rr32
+    10622142U,	// MOVSX64rr8
+    19010757U,	// MOVZX16rm8
+    10622149U,	// MOVZX16rr8
+    19010757U,	// MOVZX32_NOREXrm8
+    10622149U,	// MOVZX32_NOREXrr8
+    14816453U,	// MOVZX32rm16
+    19010757U,	// MOVZX32rm8
+    10622149U,	// MOVZX32rr16
+    10622149U,	// MOVZX32rr8
+    14816453U,	// MOVZX64rm16_Q
+    19010757U,	// MOVZX64rm8_Q
+    10622149U,	// MOVZX64rr16_Q
+    10622149U,	// MOVZX64rr8_Q
+    8833U,	// MUL16m
+    4737U,	// MUL16r
+    17025U,	// MUL32m
+    4737U,	// MUL32r
+    21121U,	// MUL64m
+    4737U,	// MUL64r
+    25217U,	// MUL8m
+    4737U,	// MUL8r
+    44176544U,	// MULX32rm
+    178394272U,	// MULX32rr
+    312612000U,	// MULX64rm
+    178394272U,	// MULX64rr
+    8680U,	// NEG16m
+    4584U,	// NEG16r
+    16872U,	// NEG32m
+    4584U,	// NEG32r
+    20968U,	// NEG64m
+    4584U,	// NEG64r
+    25064U,	// NEG8m
+    4584U,	// NEG8r
+    3095U,	// NOOP
+    8948U,	// NOOP18_16m4
+    8948U,	// NOOP18_16m5
+    8948U,	// NOOP18_16m6
+    8948U,	// NOOP18_16m7
+    4852U,	// NOOP18_16r4
+    4852U,	// NOOP18_16r5
+    4852U,	// NOOP18_16r6
+    4852U,	// NOOP18_16r7
+    17140U,	// NOOP18_m4
+    17140U,	// NOOP18_m5
+    17140U,	// NOOP18_m6
+    17140U,	// NOOP18_m7
+    4852U,	// NOOP18_r4
+    4852U,	// NOOP18_r5
+    4852U,	// NOOP18_r6
+    4852U,	// NOOP18_r7
+    17140U,	// NOOPL
+    17140U,	// NOOPL_19
+    17140U,	// NOOPL_1a
+    17140U,	// NOOPL_1b
+    17140U,	// NOOPL_1c
+    17140U,	// NOOPL_1d
+    17140U,	// NOOPL_1e
+    8948U,	// NOOPW
+    8948U,	// NOOPW_19
+    8948U,	// NOOPW_1a
+    8948U,	// NOOPW_1b
+    8948U,	// NOOPW_1c
+    8948U,	// NOOPW_1d
+    8948U,	// NOOPW_1e
+    9259U,	// NOT16m
+    5163U,	// NOT16r
+    17451U,	// NOT32m
+    5163U,	// NOT32r
+    21547U,	// NOT64m
+    5163U,	// NOT64r
+    25643U,	// NOT8m
+    5163U,	// NOT8r
+    5541U,	// OR16i16
+    140130U,	// OR16mi
+    140130U,	// OR16mi8
+    140130U,	// OR16mr
+    275298U,	// OR16ri
+    275298U,	// OR16ri8
+    406370U,	// OR16rm
+    275298U,	// OR16rr
+    2233186U,	// OR16rr_REV
+    5707U,	// OR32i32
+    148322U,	// OR32mi
+    148322U,	// OR32mi8
+    148322U,	// OR32mr
+    148322U,	// OR32mrLocked
+    275298U,	// OR32ri
+    275298U,	// OR32ri8
+    537442U,	// OR32rm
+    275298U,	// OR32rr
+    2233186U,	// OR32rr_REV
+    5846U,	// OR64i32
+    152418U,	// OR64mi32
+    152418U,	// OR64mi8
+    152418U,	// OR64mr
+    275298U,	// OR64ri32
+    275298U,	// OR64ri8
+    668514U,	// OR64rm
+    275298U,	// OR64rr
+    2233186U,	// OR64rr_REV
+    5429U,	// OR8i8
+    156514U,	// OR8mi
+    156514U,	// OR8mr
+    275298U,	// OR8ri
+    275298U,	// OR8ri8
+    799586U,	// OR8rm
+    275298U,	// OR8rr
+    2233186U,	// OR8rr_REV
+    1053777U,	// OUT16ir
+    3402U,	// OUT16rr
+    1184849U,	// OUT32ir
+    3456U,	// OUT32rr
+    1446993U,	// OUT8ir
+    2982U,	// OUT8rr
+    71415U,	// OUTSB
+    75522U,	// OUTSL
+    83725U,	// OUTSW
+    44176075U,	// PDEP32rm
+    178393803U,	// PDEP32rr
+    312611531U,	// PDEP64rm
+    178393803U,	// PDEP64rr
+    44176470U,	// PEXT32rm
+    178394198U,	// PEXT32rr
+    312611926U,	// PEXT64rm
+    178394198U,	// PEXT64rr
+    4863U,	// POP16r
+    8959U,	// POP16rmm
+    4863U,	// POP16rmr
+    4863U,	// POP32r
+    17151U,	// POP32rmm
+    4863U,	// POP32rmr
+    4863U,	// POP64r
+    21247U,	// POP64rmm
+    4863U,	// POP64rmr
+    3372U,	// POPA16
+    3000U,	// POPA32
+    3203U,	// POPDS16
+    3203U,	// POPDS32
+    3218U,	// POPES16
+    3218U,	// POPES32
+    2925U,	// POPF16
+    2776U,	// POPF32
+    3110U,	// POPF64
+    3233U,	// POPFS16
+    3233U,	// POPFS32
+    3233U,	// POPFS64
+    3248U,	// POPGS16
+    3248U,	// POPGS32
+    3248U,	// POPGS64
+    3315U,	// POPSS16
+    3315U,	// POPSS32
+    4623U,	// PUSH16i8
+    4623U,	// PUSH16r
+    8719U,	// PUSH16rmm
+    4623U,	// PUSH16rmr
+    4623U,	// PUSH32i8
+    4623U,	// PUSH32r
+    16911U,	// PUSH32rmm
+    4623U,	// PUSH32rmr
+    4623U,	// PUSH64i16
+    4623U,	// PUSH64i32
+    4623U,	// PUSH64i8
+    4623U,	// PUSH64r
+    21007U,	// PUSH64rmm
+    4623U,	// PUSH64rmr
+    3365U,	// PUSHA16
+    2993U,	// PUSHA32
+    3187U,	// PUSHCS16
+    3187U,	// PUSHCS32
+    3195U,	// PUSHDS16
+    3195U,	// PUSHDS32
+    3210U,	// PUSHES16
+    3210U,	// PUSHES32
+    2919U,	// PUSHF16
+    2769U,	// PUSHF32
+    3103U,	// PUSHF64
+    3225U,	// PUSHFS16
+    3225U,	// PUSHFS32
+    3225U,	// PUSHFS64
+    3240U,	// PUSHGS16
+    3240U,	// PUSHGS32
+    3240U,	// PUSHGS64
+    3307U,	// PUSHSS16
+    3307U,	// PUSHSS32
+    4623U,	// PUSHi16
+    4623U,	// PUSHi32
+    8771U,	// RCL16m1
+    1581635U,	// RCL16mCL
+    139843U,	// RCL16mi
+    4675U,	// RCL16r1
+    1577539U,	// RCL16rCL
+    2232899U,	// RCL16ri
+    16963U,	// RCL32m1
+    1589827U,	// RCL32mCL
+    148035U,	// RCL32mi
+    4675U,	// RCL32r1
+    1577539U,	// RCL32rCL
+    2232899U,	// RCL32ri
+    21059U,	// RCL64m1
+    1593923U,	// RCL64mCL
+    152131U,	// RCL64mi
+    4675U,	// RCL64r1
+    1577539U,	// RCL64rCL
+    2232899U,	// RCL64ri
+    25155U,	// RCL8m1
+    1598019U,	// RCL8mCL
+    156227U,	// RCL8mi
+    4675U,	// RCL8r1
+    1577539U,	// RCL8rCL
+    2232899U,	// RCL8ri
+    9040U,	// RCR16m1
+    1581904U,	// RCR16mCL
+    140112U,	// RCR16mi
+    4944U,	// RCR16r1
+    1577808U,	// RCR16rCL
+    2233168U,	// RCR16ri
+    17232U,	// RCR32m1
+    1590096U,	// RCR32mCL
+    148304U,	// RCR32mi
+    4944U,	// RCR32r1
+    1577808U,	// RCR32rCL
+    2233168U,	// RCR32ri
+    21328U,	// RCR64m1
+    1594192U,	// RCR64mCL
+    152400U,	// RCR64mi
+    4944U,	// RCR64r1
+    1577808U,	// RCR64rCL
+    2233168U,	// RCR64ri
+    25424U,	// RCR8m1
+    1598288U,	// RCR8mCL
+    156496U,	// RCR8mi
+    4944U,	// RCR8r1
+    1577808U,	// RCR8rCL
+    2233168U,	// RCR8ri
+    4504U,	// RDFSBASE
+    4504U,	// RDFSBASE64
+    4524U,	// RDGSBASE
+    4524U,	// RDGSBASE64
+    3157U,	// RDMSR
+    2746U,	// RDPMC
+    4329U,	// RDRAND16r
+    4329U,	// RDRAND32r
+    4329U,	// RDRAND64r
+    4288U,	// RDSEED16r
+    4288U,	// RDSEED32r
+    4288U,	// RDSEED64r
+    2759U,	// RDTSC
+    3084U,	// RDTSCP
+    2322U,	// RELEASE_MOV16mr
+    2322U,	// RELEASE_MOV32mr
+    2322U,	// RELEASE_MOV64mr
+    2322U,	// RELEASE_MOV8mr
+    2847U,	// REPNE_PREFIX
+    2690U,	// REP_MOVSB_32
+    2690U,	// REP_MOVSB_64
+    2802U,	// REP_MOVSD_32
+    2802U,	// REP_MOVSD_64
+    3132U,	// REP_MOVSQ_64
+    3392U,	// REP_MOVSW_32
+    3392U,	// REP_MOVSW_64
+    3091U,	// REP_PREFIX
+    2680U,	// REP_STOSB_32
+    2680U,	// REP_STOSB_64
+    2792U,	// REP_STOSD_32
+    2792U,	// REP_STOSD_64
+    3122U,	// REP_STOSQ_64
+    3382U,	// REP_STOSW_32
+    3382U,	// REP_STOSW_64
+    5139U,	// RETIL
+    5139U,	// RETIQ
+    5139U,	// RETIW
+    3328U,	// RETL
+    3328U,	// RETQ
+    3328U,	// RETW
+    2525U,	// REX64_PREFIX
+    1712746U,	// ROL16m1
+    1581674U,	// ROL16mCL
+    139882U,	// ROL16mi
+    1708650U,	// ROL16r1
+    1577578U,	// ROL16rCL
+    2232938U,	// ROL16ri
+    1720938U,	// ROL32m1
+    1589866U,	// ROL32mCL
+    148074U,	// ROL32mi
+    1708650U,	// ROL32r1
+    1577578U,	// ROL32rCL
+    2232938U,	// ROL32ri
+    1725034U,	// ROL64m1
+    1593962U,	// ROL64mCL
+    152170U,	// ROL64mi
+    1708650U,	// ROL64r1
+    1577578U,	// ROL64rCL
+    2232938U,	// ROL64ri
+    1729130U,	// ROL8m1
+    1598058U,	// ROL8mCL
+    156266U,	// ROL8mi
+    1708650U,	// ROL8r1
+    1577578U,	// ROL8rCL
+    2232938U,	// ROL8ri
+    1712993U,	// ROR16m1
+    1581921U,	// ROR16mCL
+    140129U,	// ROR16mi
+    1708897U,	// ROR16r1
+    1577825U,	// ROR16rCL
+    2233185U,	// ROR16ri
+    1721185U,	// ROR32m1
+    1590113U,	// ROR32mCL
+    148321U,	// ROR32mi
+    1708897U,	// ROR32r1
+    1577825U,	// ROR32rCL
+    2233185U,	// ROR32ri
+    1725281U,	// ROR64m1
+    1594209U,	// ROR64mCL
+    152417U,	// ROR64mi
+    1708897U,	// ROR64r1
+    1577825U,	// ROR64rCL
+    2233185U,	// ROR64ri
+    1729377U,	// ROR8m1
+    1598305U,	// ROR8mCL
+    156513U,	// ROR8mi
+    1708897U,	// ROR8r1
+    1577825U,	// ROR8rCL
+    2233185U,	// ROR8ri
+    444732600U,	// RORX32mi
+    178394296U,	// RORX32ri
+    448926904U,	// RORX64mi
+    178394296U,	// RORX64ri
+    3044U,	// RSM
+    2914U,	// SAHF
+    1712702U,	// SAL16m1
+    1581630U,	// SAL16mCL
+    139838U,	// SAL16mi
+    1708606U,	// SAL16r1
+    1577534U,	// SAL16rCL
+    2232894U,	// SAL16ri
+    1720894U,	// SAL32m1
+    1589822U,	// SAL32mCL
+    148030U,	// SAL32mi
+    1708606U,	// SAL32r1
+    1577534U,	// SAL32rCL
+    2232894U,	// SAL32ri
+    1724990U,	// SAL64m1
+    1593918U,	// SAL64mCL
+    152126U,	// SAL64mi
+    1708606U,	// SAL64r1
+    1577534U,	// SAL64rCL
+    2232894U,	// SAL64ri
+    1729086U,	// SAL8m1
+    1598014U,	// SAL8mCL
+    156222U,	// SAL8mi
+    1708606U,	// SAL8r1
+    1577534U,	// SAL8rCL
+    2232894U,	// SAL8ri
+    2733U,	// SALC
+    1712971U,	// SAR16m1
+    1581899U,	// SAR16mCL
+    140107U,	// SAR16mi
+    1708875U,	// SAR16r1
+    1577803U,	// SAR16rCL
+    2233163U,	// SAR16ri
+    1721163U,	// SAR32m1
+    1590091U,	// SAR32mCL
+    148299U,	// SAR32mi
+    1708875U,	// SAR32r1
+    1577803U,	// SAR32rCL
+    2233163U,	// SAR32ri
+    1725259U,	// SAR64m1
+    1594187U,	// SAR64mCL
+    152395U,	// SAR64mi
+    1708875U,	// SAR64r1
+    1577803U,	// SAR64rCL
+    2233163U,	// SAR64ri
+    1729355U,	// SAR8m1
+    1598283U,	// SAR8mCL
+    156491U,	// SAR8mi
+    1708875U,	// SAR8r1
+    1577803U,	// SAR8rCL
+    2233163U,	// SAR8ri
+    444732588U,	// SARX32rm
+    178394284U,	// SARX32rr
+    448926892U,	// SARX64rm
+    178394284U,	// SARX64rr
+    5468U,	// SBB16i16
+    139341U,	// SBB16mi
+    139341U,	// SBB16mi8
+    139341U,	// SBB16mr
+    274509U,	// SBB16ri
+    274509U,	// SBB16ri8
+    405581U,	// SBB16rm
+    274509U,	// SBB16rr
+    2232397U,	// SBB16rr_REV
+    5602U,	// SBB32i32
+    147533U,	// SBB32mi
+    147533U,	// SBB32mi8
+    147533U,	// SBB32mr
+    274509U,	// SBB32ri
+    274509U,	// SBB32ri8
+    536653U,	// SBB32rm
+    274509U,	// SBB32rr
+    2232397U,	// SBB32rr_REV
+    5750U,	// SBB64i32
+    151629U,	// SBB64mi32
+    151629U,	// SBB64mi8
+    151629U,	// SBB64mr
+    274509U,	// SBB64ri32
+    274509U,	// SBB64ri8
+    667725U,	// SBB64rm
+    274509U,	// SBB64rr
+    2232397U,	// SBB64rr_REV
+    5344U,	// SBB8i8
+    155725U,	// SBB8mi
+    155725U,	// SBB8mr
+    274509U,	// SBB8ri
+    798797U,	// SBB8rm
+    274509U,	// SBB8rr
+    2232397U,	// SBB8rr_REV
+    58601U,	// SCASB
+    62996U,	// SCASL
+    120509U,	// SCASQ
+    67020U,	// SCASW
+    3262U,	// SEG_ALLOCA_32
+    3262U,	// SEG_ALLOCA_64
+    2879U,	// SEH_EndPrologue
+    2865U,	// SEH_Epilogue
+    6001U,	// SEH_PushFrame
+    6046U,	// SEH_PushReg
+    10622864U,	// SEH_SaveReg
+    10622778U,	// SEH_SaveXMM
+    10622849U,	// SEH_SetFrame
+    5984U,	// SEH_StackAlloc
+    24870U,	// SETAEm
+    4390U,	// SETAEr
+    24617U,	// SETAm
+    4137U,	// SETAr
+    24890U,	// SETBEm
+    4410U,	// SETBEr
     0U,	// SETB_C16r
     0U,	// SETB_C32r
     0U,	// SETB_C64r
     0U,	// SETB_C8r
-    49265U,	// SETBm
-    8305U,	// SETBr
-    49600U,	// SETEm
-    8640U,	// SETEr
-    49486U,	// SETGEm
-    8526U,	// SETGEr
-    49666U,	// SETGm
-    8706U,	// SETGr
-    49510U,	// SETLEm
-    8550U,	// SETLEr
-    49786U,	// SETLm
-    8826U,	// SETLr
-    49538U,	// SETNEm
-    8578U,	// SETNEr
-    49832U,	// SETNOm
-    8872U,	// SETNOr
-    49893U,	// SETNPm
-    8933U,	// SETNPr
-    50117U,	// SETNSm
-    9157U,	// SETNSr
-    49847U,	// SETOm
-    8887U,	// SETOr
-    49924U,	// SETPm
-    8964U,	// SETPr
-    50142U,	// SETSm
-    9182U,	// SETSr
-    107509U,	// SGDT16m
-    107509U,	// SGDT32m
-    107509U,	// SGDT64m
-    3424840U,	// SHL16m1
-    3162696U,	// SHL16mCL
-    279112U,	// SHL16mi
-    3416648U,	// SHL16r1
-    3154504U,	// SHL16rCL
-    4465224U,	// SHL16ri
-    3441224U,	// SHL32m1
-    3179080U,	// SHL32mCL
-    295496U,	// SHL32mi
-    3416648U,	// SHL32r1
-    3154504U,	// SHL32rCL
-    4465224U,	// SHL32ri
-    3449416U,	// SHL64m1
-    3187272U,	// SHL64mCL
-    303688U,	// SHL64mi
-    3416648U,	// SHL64r1
-    3154504U,	// SHL64rCL
-    4465224U,	// SHL64ri
-    3457608U,	// SHL8m1
-    3195464U,	// SHL8mCL
-    311880U,	// SHL8mi
-    3416648U,	// SHL8r1
-    3154504U,	// SHL8rCL
-    4465224U,	// SHL8ri
-    201605338U,	// SHLD16mrCL
-    872693978U,	// SHLD16mri8
-    205791450U,	// SHLD16rrCL
-    1145315546U,	// SHLD16rri8
-    201621722U,	// SHLD32mrCL
-    872710362U,	// SHLD32mri8
-    205791450U,	// SHLD32rrCL
-    1145315546U,	// SHLD32rri8
-    201629914U,	// SHLD64mrCL
-    872718554U,	// SHLD64mri8
-    205791450U,	// SHLD64rrCL
-    1145315546U,	// SHLD64rri8
-    881075354U,	// SHLX32rm
-    348398746U,	// SHLX32rr
-    889463962U,	// SHLX64rm
-    348398746U,	// SHLX64rr
-    3425116U,	// SHR16m1
-    3162972U,	// SHR16mCL
-    279388U,	// SHR16mi
-    3416924U,	// SHR16r1
-    3154780U,	// SHR16rCL
-    4465500U,	// SHR16ri
-    3441500U,	// SHR32m1
-    3179356U,	// SHR32mCL
-    295772U,	// SHR32mi
-    3416924U,	// SHR32r1
-    3154780U,	// SHR32rCL
-    4465500U,	// SHR32ri
-    3449692U,	// SHR64m1
-    3187548U,	// SHR64mCL
-    303964U,	// SHR64mi
-    3416924U,	// SHR64r1
-    3154780U,	// SHR64rCL
-    4465500U,	// SHR64ri
-    3457884U,	// SHR8m1
-    3195740U,	// SHR8mCL
-    312156U,	// SHR8mi
-    3416924U,	// SHR8r1
-    3154780U,	// SHR8rCL
-    4465500U,	// SHR8ri
-    201605368U,	// SHRD16mrCL
-    872694008U,	// SHRD16mri8
-    205791480U,	// SHRD16rrCL
-    1145315576U,	// SHRD16rri8
-    201621752U,	// SHRD32mrCL
-    872710392U,	// SHRD32mri8
-    205791480U,	// SHRD32rrCL
-    1145315576U,	// SHRD32rri8
-    201629944U,	// SHRD64mrCL
-    872718584U,	// SHRD64mri8
-    205791480U,	// SHRD64rrCL
-    1145315576U,	// SHRD64rri8
-    881075378U,	// SHRX32rm
-    348398770U,	// SHRX32rr
-    889463986U,	// SHRX64rm
-    348398770U,	// SHRX64rr
-    107521U,	// SIDT16m
-    107521U,	// SIDT32m
-    107521U,	// SIDT64m
-    4166U,	// SKINIT
-    17421U,	// SLDT16m
-    9229U,	// SLDT16r
-    9229U,	// SLDT32r
-    17421U,	// SLDT64m
-    9229U,	// SLDT64r
-    17523U,	// SMSW16m
-    9331U,	// SMSW16r
-    9331U,	// SMSW32r
-    9331U,	// SMSW64r
-    4033U,	// SS_PREFIX
-    3463U,	// STAC
-    3517U,	// STC
-    3570U,	// STD
-    3670U,	// STGI
-    3679U,	// STI
-    3014748U,	// STOSB
-    2482436U,	// STOSL
-    2859816U,	// STOSQ
-    2212991U,	// STOSW
-    9102U,	// STR16r
-    9102U,	// STR32r
-    9102U,	// STR64r
-    17294U,	// STRm
-    9573U,	// SUB16i16
-    278647U,	// SUB16mi
-    278647U,	// SUB16mi8
-    278647U,	// SUB16mr
-    548983U,	// SUB16ri
-    548983U,	// SUB16ri8
-    811127U,	// SUB16rm
-    548983U,	// SUB16rr
-    4464759U,	// SUB16rr_REV
-    9708U,	// SUB32i32
-    295031U,	// SUB32mi
-    295031U,	// SUB32mi8
-    295031U,	// SUB32mr
-    548983U,	// SUB32ri
-    548983U,	// SUB32ri8
-    1073271U,	// SUB32rm
-    548983U,	// SUB32rr
-    4464759U,	// SUB32rr_REV
-    9856U,	// SUB64i32
-    303223U,	// SUB64mi32
-    303223U,	// SUB64mi8
-    303223U,	// SUB64mr
-    548983U,	// SUB64ri32
-    548983U,	// SUB64ri8
-    1335415U,	// SUB64rm
-    548983U,	// SUB64rr
-    4464759U,	// SUB64rr_REV
-    9471U,	// SUB8i8
-    311415U,	// SUB8mi
-    311415U,	// SUB8mr
-    548983U,	// SUB8ri
-    548983U,	// SUB8ri8
-    1597559U,	// SUB8rm
-    548983U,	// SUB8rr
-    4464759U,	// SUB8rr_REV
-    3976U,	// SWAPGS
-    3742U,	// SYSCALL
-    3869U,	// SYSENTER
-    4060U,	// SYSEXIT
-    4060U,	// SYSEXIT64
-    4053U,	// SYSRET
-    4053U,	// SYSRET64
-    8659099U,	// T1MSKC32rm
-    12853403U,	// T1MSKC32rr
-    17047707U,	// T1MSKC64rm
-    12853403U,	// T1MSKC64rr
-    3728091U,	// TAILJMPd
-    3728091U,	// TAILJMPd64
-    3703515U,	// TAILJMPm
-    3711707U,	// TAILJMPm64
+    24689U,	// SETBm
+    4209U,	// SETBr
+    25024U,	// SETEm
+    4544U,	// SETEr
+    24910U,	// SETGEm
+    4430U,	// SETGEr
+    25090U,	// SETGm
+    4610U,	// SETGr
+    24934U,	// SETLEm
+    4454U,	// SETLEr
+    25210U,	// SETLm
+    4730U,	// SETLr
+    24962U,	// SETNEm
+    4482U,	// SETNEr
+    25256U,	// SETNOm
+    4776U,	// SETNOr
+    25317U,	// SETNPm
+    4837U,	// SETNPr
+    25541U,	// SETNSm
+    5061U,	// SETNSr
+    25271U,	// SETOm
+    4791U,	// SETOr
+    25348U,	// SETPm
+    4868U,	// SETPr
+    25566U,	// SETSm
+    5086U,	// SETSr
+    54261U,	// SGDT16m
+    54261U,	// SGDT32m
+    54261U,	// SGDT64m
+    1712712U,	// SHL16m1
+    1581640U,	// SHL16mCL
+    139848U,	// SHL16mi
+    1708616U,	// SHL16r1
+    1577544U,	// SHL16rCL
+    2232904U,	// SHL16ri
+    1720904U,	// SHL32m1
+    1589832U,	// SHL32mCL
+    148040U,	// SHL32mi
+    1708616U,	// SHL32r1
+    1577544U,	// SHL32rCL
+    2232904U,	// SHL32ri
+    1725000U,	// SHL64m1
+    1593928U,	// SHL64mCL
+    152136U,	// SHL64mi
+    1708616U,	// SHL64r1
+    1577544U,	// SHL64rCL
+    2232904U,	// SHL64ri
+    1729096U,	// SHL8m1
+    1598024U,	// SHL8mCL
+    156232U,	// SHL8mi
+    1708616U,	// SHL8r1
+    1577544U,	// SHL8rCL
+    2232904U,	// SHL8ri
+    100802778U,	// SHLD16mrCL
+    436347098U,	// SHLD16mri8
+    102895834U,	// SHLD16rrCL
+    572657882U,	// SHLD16rri8
+    100810970U,	// SHLD32mrCL
+    436355290U,	// SHLD32mri8
+    102895834U,	// SHLD32rrCL
+    572657882U,	// SHLD32rri8
+    100815066U,	// SHLD64mrCL
+    436359386U,	// SHLD64mri8
+    102895834U,	// SHLD64rrCL
+    572657882U,	// SHLD64rri8
+    444732570U,	// SHLX32rm
+    178394266U,	// SHLX32rr
+    448926874U,	// SHLX64rm
+    178394266U,	// SHLX64rr
+    1712988U,	// SHR16m1
+    1581916U,	// SHR16mCL
+    140124U,	// SHR16mi
+    1708892U,	// SHR16r1
+    1577820U,	// SHR16rCL
+    2233180U,	// SHR16ri
+    1721180U,	// SHR32m1
+    1590108U,	// SHR32mCL
+    148316U,	// SHR32mi
+    1708892U,	// SHR32r1
+    1577820U,	// SHR32rCL
+    2233180U,	// SHR32ri
+    1725276U,	// SHR64m1
+    1594204U,	// SHR64mCL
+    152412U,	// SHR64mi
+    1708892U,	// SHR64r1
+    1577820U,	// SHR64rCL
+    2233180U,	// SHR64ri
+    1729372U,	// SHR8m1
+    1598300U,	// SHR8mCL
+    156508U,	// SHR8mi
+    1708892U,	// SHR8r1
+    1577820U,	// SHR8rCL
+    2233180U,	// SHR8ri
+    100802808U,	// SHRD16mrCL
+    436347128U,	// SHRD16mri8
+    102895864U,	// SHRD16rrCL
+    572657912U,	// SHRD16rri8
+    100811000U,	// SHRD32mrCL
+    436355320U,	// SHRD32mri8
+    102895864U,	// SHRD32rrCL
+    572657912U,	// SHRD32rri8
+    100815096U,	// SHRD64mrCL
+    436359416U,	// SHRD64mri8
+    102895864U,	// SHRD64rrCL
+    572657912U,	// SHRD64rri8
+    444732594U,	// SHRX32rm
+    178394290U,	// SHRX32rr
+    448926898U,	// SHRX64rm
+    178394290U,	// SHRX64rr
+    54273U,	// SIDT16m
+    54273U,	// SIDT32m
+    54273U,	// SIDT64m
+    3445U,	// SKINIT
+    9229U,	// SLDT16m
+    5133U,	// SLDT16r
+    5133U,	// SLDT32r
+    9229U,	// SLDT64m
+    5133U,	// SLDT64r
+    9331U,	// SMSW16m
+    5235U,	// SMSW16r
+    5235U,	// SMSW32r
+    5235U,	// SMSW64r
+    2711U,	// STAC
+    2765U,	// STC
+    2818U,	// STD
+    2949U,	// STGI
+    2958U,	// STI
+    1499228U,	// STOSB
+    1241348U,	// STOSL
+    1430312U,	// STOSQ
+    1115263U,	// STOSW
+    5006U,	// STR16r
+    5006U,	// STR32r
+    5006U,	// STR64r
+    9102U,	// STRm
+    5477U,	// SUB16i16
+    139383U,	// SUB16mi
+    139383U,	// SUB16mi8
+    139383U,	// SUB16mr
+    274551U,	// SUB16ri
+    274551U,	// SUB16ri8
+    405623U,	// SUB16rm
+    274551U,	// SUB16rr
+    2232439U,	// SUB16rr_REV
+    5612U,	// SUB32i32
+    147575U,	// SUB32mi
+    147575U,	// SUB32mi8
+    147575U,	// SUB32mr
+    274551U,	// SUB32ri
+    274551U,	// SUB32ri8
+    536695U,	// SUB32rm
+    274551U,	// SUB32rr
+    2232439U,	// SUB32rr_REV
+    5760U,	// SUB64i32
+    151671U,	// SUB64mi32
+    151671U,	// SUB64mi8
+    151671U,	// SUB64mr
+    274551U,	// SUB64ri32
+    274551U,	// SUB64ri8
+    667767U,	// SUB64rm
+    274551U,	// SUB64rr
+    2232439U,	// SUB64rr_REV
+    5375U,	// SUB8i8
+    155767U,	// SUB8mi
+    155767U,	// SUB8mr
+    274551U,	// SUB8ri
+    274551U,	// SUB8ri8
+    798839U,	// SUB8rm
+    274551U,	// SUB8rr
+    2232439U,	// SUB8rr_REV
+    3255U,	// SWAPGS
+    3021U,	// SYSCALL
+    3148U,	// SYSENTER
+    3339U,	// SYSEXIT
+    3339U,	// SYSEXIT64
+    3332U,	// SYSRET
+    3332U,	// SYSRET64
+    8523931U,	// T1MSKC32rm
+    10621083U,	// T1MSKC32rr
+    12718235U,	// T1MSKC64rm
+    10621083U,	// T1MSKC64rr
+    1864411U,	// TAILJMPd
+    1864411U,	// TAILJMPd64
+    1852123U,	// TAILJMPm
+    1856219U,	// TAILJMPm64
     0U,	// TAILJMPr
-    3678939U,	// TAILJMPr64
+    1839835U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
     0U,	// TCRETURNmi64
     0U,	// TCRETURNri
     0U,	// TCRETURNri64
-    9657U,	// TEST16i16
-    279618U,	// TEST16mi
-    279618U,	// TEST16mi_alt
-    12854338U,	// TEST16ri
-    12854338U,	// TEST16ri_alt
-    21242946U,	// TEST16rm
-    12854338U,	// TEST16rr
-    9825U,	// TEST32i32
-    296002U,	// TEST32mi
-    296002U,	// TEST32mi_alt
-    12854338U,	// TEST32ri
-    12854338U,	// TEST32ri_alt
-    8660034U,	// TEST32rm
-    12854338U,	// TEST32rr
-    9964U,	// TEST64i32
-    304194U,	// TEST64mi32
-    304194U,	// TEST64mi32_alt
-    12854338U,	// TEST64ri32
-    12854338U,	// TEST64ri32_alt
-    17048642U,	// TEST64rm
-    12854338U,	// TEST64rr
-    9545U,	// TEST8i8
-    312386U,	// TEST8mi
-    312386U,	// TEST8mi_alt
-    12854338U,	// TEST8ri
+    5561U,	// TEST16i16
+    140354U,	// TEST16mi
+    140354U,	// TEST16mi_alt
+    10622018U,	// TEST16ri
+    10622018U,	// TEST16ri_alt
+    140354U,	// TEST16rm
+    10622018U,	// TEST16rr
+    5729U,	// TEST32i32
+    148546U,	// TEST32mi
+    148546U,	// TEST32mi_alt
+    10622018U,	// TEST32ri
+    10622018U,	// TEST32ri_alt
+    148546U,	// TEST32rm
+    10622018U,	// TEST32rr
+    5868U,	// TEST64i32
+    152642U,	// TEST64mi32
+    152642U,	// TEST64mi32_alt
+    10622018U,	// TEST64ri32
+    10622018U,	// TEST64ri32_alt
+    152642U,	// TEST64rm
+    10622018U,	// TEST64rr
+    5449U,	// TEST8i8
+    156738U,	// TEST8mi
+    156738U,	// TEST8mi_alt
+    10622018U,	// TEST8ri
     0U,	// TEST8ri_NOREX
-    12854338U,	// TEST8ri_alt
-    38020162U,	// TEST8rm
-    12854338U,	// TEST8rr
-    3143U,	// TLSCall_32
-    3233U,	// TLSCall_64
-    3156U,	// TLS_addr32
-    3246U,	// TLS_addr64
-    3169U,	// TLS_base_addr32
-    3259U,	// TLS_base_addr64
-    3187U,	// TRAP
-    21242911U,	// TZCNT16rm
-    12854303U,	// TZCNT16rr
-    8659999U,	// TZCNT32rm
-    12854303U,	// TZCNT32rr
-    17048607U,	// TZCNT64rm
-    12854303U,	// TZCNT64rr
-    8659511U,	// TZMSK32rm
-    12853815U,	// TZMSK32rr
-    17048119U,	// TZMSK64rm
-    12853815U,	// TZMSK64rr
-    3397U,	// UD2B
-    3057919768U,	// VAARG_64
-    348399418U,	// VASTART_SAVE_XMM_REGS
-    17267U,	// VERRm
-    9075U,	// VERRr
-    17511U,	// VERWm
-    9319U,	// VERWr
-    3735U,	// VMCALL
-    41789U,	// VMCLEARm
-    3504U,	// VMFUNC
-    3656U,	// VMLAUNCH
-    4134U,	// VMLOAD32
-    4189U,	// VMLOAD64
-    3727U,	// VMMCALL
-    41184U,	// VMPTRLDm
-    42056U,	// VMPTRSTm
-    295090U,	// VMREAD32rm
-    12853426U,	// VMREAD32rr
-    303282U,	// VMREAD64rm
-    12853426U,	// VMREAD64rr
-    3590U,	// VMRESUME
-    4156U,	// VMRUN32
-    4211U,	// VMRUN64
-    4145U,	// VMSAVE32
-    4200U,	// VMSAVE64
-    8659398U,	// VMWRITE32rm
-    12853702U,	// VMWRITE32rr
-    17048006U,	// VMWRITE64rm
-    12853702U,	// VMWRITE64rr
-    3623U,	// VMXOFF
-    41624U,	// VMXON
-    57938U,	// W64ALLOCA
-    3574U,	// WBINVD
-    3769U,	// WIN_ALLOCA
-    3683U,	// WIN_FTOL_32
-    3683U,	// WIN_FTOL_64
-    8610U,	// WRFSBASE
-    8610U,	// WRFSBASE64
-    8630U,	// WRGSBASE
-    8630U,	// WRGSBASE64
-    3884U,	// WRMSR
-    278714U,	// XADD16rm
-    12853434U,	// XADD16rr
-    295098U,	// XADD32rm
-    12853434U,	// XADD32rr
-    303290U,	// XADD64rm
-    12853434U,	// XADD64rr
-    311482U,	// XADD8rm
-    12853434U,	// XADD8rr
-    9609U,	// XCHG16ar
-    172528U,	// XCHG16rm
-    246256U,	// XCHG16rr
-    9772U,	// XCHG32ar
-    9772U,	// XCHG32ar64
-    180720U,	// XCHG32rm
-    246256U,	// XCHG32rr
-    9896U,	// XCHG64ar
-    188912U,	// XCHG64rm
-    246256U,	// XCHG64rr
-    197104U,	// XCHG8rm
-    246256U,	// XCHG8rr
-    3468U,	// XCRYPTCBC
-    3412U,	// XCRYPTCFB
-    3890U,	// XCRYPTCTR
-    3402U,	// XCRYPTECB
-    3422U,	// XCRYPTOFB
-    4072U,	// XGETBV
-    3452U,	// XLAT
-    9636U,	// XOR16i16
-    279406U,	// XOR16mi
-    279406U,	// XOR16mi8
-    279406U,	// XOR16mr
-    549742U,	// XOR16ri
-    549742U,	// XOR16ri8
-    811886U,	// XOR16rm
-    549742U,	// XOR16rr
-    4465518U,	// XOR16rr_REV
-    9802U,	// XOR32i32
-    295790U,	// XOR32mi
-    295790U,	// XOR32mi8
-    295790U,	// XOR32mr
-    549742U,	// XOR32ri
-    549742U,	// XOR32ri8
-    1074030U,	// XOR32rm
-    549742U,	// XOR32rr
-    4465518U,	// XOR32rr_REV
-    9941U,	// XOR64i32
-    303982U,	// XOR64mi32
-    303982U,	// XOR64mi8
-    303982U,	// XOR64mr
-    549742U,	// XOR64ri32
-    549742U,	// XOR64ri8
-    1336174U,	// XOR64rm
-    549742U,	// XOR64rr
-    4465518U,	// XOR64rr_REV
-    9524U,	// XOR8i8
-    312174U,	// XOR8mi
-    312174U,	// XOR8mr
-    549742U,	// XOR8ri
-    549742U,	// XOR8ri8
-    1598318U,	// XOR8rm
-    549742U,	// XOR8rr
-    4465518U,	// XOR8rr_REV
-    107366U,	// XRSTOR
-    106506U,	// XRSTOR64
-    106959U,	// XSAVE
-    106497U,	// XSAVE64
-    107576U,	// XSAVEOPT
-    106516U,	// XSAVEOPT64
-    4079U,	// XSETBV
-    3095U,	// XSHA1
-    3290U,	// XSHA256
-    3610U,	// XSTORE
+    10622018U,	// TEST8ri_alt
+    156738U,	// TEST8rm
+    10622018U,	// TEST8rr
+    2391U,	// TLSCall_32
+    2481U,	// TLSCall_64
+    2404U,	// TLS_addr32
+    2494U,	// TLS_addr64
+    2417U,	// TLS_base_addr32
+    2507U,	// TLS_base_addr64
+    2435U,	// TRAP
+    14816287U,	// TZCNT16rm
+    10621983U,	// TZCNT16rr
+    8524831U,	// TZCNT32rm
+    10621983U,	// TZCNT32rr
+    12719135U,	// TZCNT64rm
+    10621983U,	// TZCNT64rr
+    8524343U,	// TZMSK32rm
+    10621495U,	// TZMSK32rr
+    12718647U,	// TZMSK64rm
+    10621495U,	// TZMSK64rr
+    2645U,	// UD2B
+    1528960792U,	// VAARG_64
+    178394952U,	// VASTART_SAVE_XMM_REGS
+    9075U,	// VERRm
+    4979U,	// VERRr
+    9319U,	// VERWm
+    5223U,	// VERWr
+    3014U,	// VMCALL
+    21309U,	// VMCLEARm
+    2752U,	// VMFUNC
+    2935U,	// VMLAUNCH
+    3413U,	// VMLOAD32
+    3468U,	// VMLOAD64
+    3006U,	// VMMCALL
+    20704U,	// VMPTRLDm
+    21576U,	// VMPTRSTm
+    147634U,	// VMREAD32rm
+    10621106U,	// VMREAD32rr
+    151730U,	// VMREAD64rm
+    10621106U,	// VMREAD64rr
+    2838U,	// VMRESUME
+    3435U,	// VMRUN32
+    3490U,	// VMRUN64
+    3424U,	// VMSAVE32
+    3479U,	// VMSAVE64
+    8524230U,	// VMWRITE32rm
+    10621382U,	// VMWRITE32rr
+    12718534U,	// VMWRITE64rm
+    10621382U,	// VMWRITE64rr
+    2902U,	// VMXOFF
+    21144U,	// VMXON
+    29266U,	// W64ALLOCA
+    2822U,	// WBINVD
+    3048U,	// WIN_ALLOCA
+    2962U,	// WIN_FTOL_32
+    2962U,	// WIN_FTOL_64
+    4514U,	// WRFSBASE
+    4514U,	// WRFSBASE64
+    4534U,	// WRGSBASE
+    4534U,	// WRGSBASE64
+    3163U,	// WRMSR
+    139450U,	// XADD16rm
+    10621114U,	// XADD16rr
+    147642U,	// XADD32rm
+    10621114U,	// XADD32rr
+    151738U,	// XADD64rm
+    10621114U,	// XADD64rr
+    155834U,	// XADD8rm
+    10621114U,	// XADD8rr
+    5513U,	// XCHG16ar
+    86512U,	// XCHG16rm
+    123376U,	// XCHG16rr
+    5676U,	// XCHG32ar
+    5676U,	// XCHG32ar64
+    90608U,	// XCHG32rm
+    123376U,	// XCHG32rr
+    5800U,	// XCHG64ar
+    94704U,	// XCHG64rm
+    123376U,	// XCHG64rr
+    98800U,	// XCHG8rm
+    123376U,	// XCHG8rr
+    2716U,	// XCRYPTCBC
+    2660U,	// XCRYPTCFB
+    3169U,	// XCRYPTCTR
+    2650U,	// XCRYPTECB
+    2670U,	// XCRYPTOFB
+    3351U,	// XGETBV
+    2700U,	// XLAT
+    5540U,	// XOR16i16
+    140142U,	// XOR16mi
+    140142U,	// XOR16mi8
+    140142U,	// XOR16mr
+    275310U,	// XOR16ri
+    275310U,	// XOR16ri8
+    406382U,	// XOR16rm
+    275310U,	// XOR16rr
+    2233198U,	// XOR16rr_REV
+    5706U,	// XOR32i32
+    148334U,	// XOR32mi
+    148334U,	// XOR32mi8
+    148334U,	// XOR32mr
+    275310U,	// XOR32ri
+    275310U,	// XOR32ri8
+    537454U,	// XOR32rm
+    275310U,	// XOR32rr
+    2233198U,	// XOR32rr_REV
+    5845U,	// XOR64i32
+    152430U,	// XOR64mi32
+    152430U,	// XOR64mi8
+    152430U,	// XOR64mr
+    275310U,	// XOR64ri32
+    275310U,	// XOR64ri8
+    668526U,	// XOR64rm
+    275310U,	// XOR64rr
+    2233198U,	// XOR64rr_REV
+    5428U,	// XOR8i8
+    156526U,	// XOR8mi
+    156526U,	// XOR8mr
+    275310U,	// XOR8ri
+    275310U,	// XOR8ri8
+    799598U,	// XOR8rm
+    275310U,	// XOR8rr
+    2233198U,	// XOR8rr_REV
+    54118U,	// XRSTOR
+    53258U,	// XRSTOR64
+    53711U,	// XSAVE
+    53249U,	// XSAVE64
+    54328U,	// XSAVEOPT
+    53268U,	// XSAVEOPT64
+    3358U,	// XSETBV
+    2343U,	// XSHA1
+    2538U,	// XSHA256
+    2858U,	// XSTORE
     0U
   };
 
@@ -1977,209 +1937,174 @@
   /* 1804 */ 'o', 'u', 't', 's', 'w', 9, 'd', 'x', ',', 32, 0,
   /* 1815 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
   /* 1826 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 1849 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 1873 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1893 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1913 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1933 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1954 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1975 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 1996 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2018 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2039 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2061 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2083 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2103 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2124 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2145 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2167 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2186 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2206 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2226 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2247 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2267 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2286 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2306 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2326 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2345 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2363 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2382 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2401 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2421 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2440 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2460 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2480 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2500 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2520 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2540 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2560 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2580 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2599 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2619 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2639 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2658 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2676 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2695 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2714 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2734 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2753 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2773 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2792 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2812 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2830 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2849 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2868 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2888 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2906 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2925 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2943 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2962 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2980 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 2997 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3015 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3033 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3052 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3073 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3094 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 3100 */ 'i', 'n', 't', '1', 0,
-  /* 3105 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 3124 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 3142 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 3155 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3168 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3186 */ 'u', 'd', '2', 0,
-  /* 3190 */ 'i', 'n', 't', '3', 0,
-  /* 3195 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 3214 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 3232 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 3245 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 3258 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 3276 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 3282 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 3289 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 3297 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 3310 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 3317 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 3327 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 3345 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 3361 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 3373 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 3388 */ 'a', 'a', 'a', 0,
-  /* 3392 */ 'd', 'a', 'a', 0,
-  /* 3396 */ 'u', 'd', '2', 'b', 0,
-  /* 3401 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 3411 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 3421 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 3431 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
-  /* 3441 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
-  /* 3451 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 3457 */ 'c', 'l', 'a', 'c', 0,
-  /* 3462 */ 's', 't', 'a', 'c', 0,
-  /* 3467 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 3477 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 3484 */ 's', 'a', 'l', 'c', 0,
-  /* 3489 */ 'c', 'l', 'c', 0,
-  /* 3493 */ 'c', 'm', 'c', 0,
-  /* 3497 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 3503 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 3510 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 3516 */ 's', 't', 'c', 0,
-  /* 3520 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
-  /* 3527 */ 'p', 'o', 'p', 'f', 'd', 0,
-  /* 3533 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 3539 */ 'c', 'l', 'd', 0,
-  /* 3543 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
-  /* 3553 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
-  /* 3563 */ 'i', 'r', 'e', 't', 'd', 0,
-  /* 3569 */ 's', 't', 'd', 0,
-  /* 3573 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 3580 */ 'c', 'w', 'd', 0,
-  /* 3584 */ 'c', 'w', 'd', 'e', 0,
-  /* 3589 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 3598 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 3604 */ 'c', 'd', 'q', 'e', 0,
-  /* 3609 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 3616 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 3622 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 3629 */ 'l', 'a', 'h', 'f', 0,
-  /* 3634 */ 's', 'a', 'h', 'f', 0,
-  /* 3639 */ 'p', 'u', 's', 'h', 'f', 0,
-  /* 3645 */ 'p', 'o', 'p', 'f', 0,
-  /* 3650 */ 'r', 'e', 't', 'f', 0,
-  /* 3655 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 3664 */ 'c', 'l', 'g', 'i', 0,
-  /* 3669 */ 's', 't', 'g', 'i', 0,
-  /* 3674 */ 'c', 'l', 'i', 0,
-  /* 3678 */ 's', 't', 'i', 0,
-  /* 3682 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 3697 */ 'l', 'o', 'c', 'k', 0,
-  /* 3702 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
-  /* 3713 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 3720 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 3726 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 3734 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 3741 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 3749 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 3757 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 3764 */ 'r', 's', 'm', 0,
-  /* 3768 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 3795 */ 'c', 'q', 'o', 0,
-  /* 3799 */ 'i', 'n', 't', 'o', 0,
-  /* 3804 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 3811 */ 'r', 'e', 'p', 0,
-  /* 3815 */ 'n', 'o', 'p', 0,
-  /* 3819 */ 'c', 'd', 'q', 0,
-  /* 3823 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 3830 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 3836 */ 'r', 'e', 't', 'f', 'q', 0,
-  /* 3842 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
-  /* 3852 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
-  /* 3862 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 3868 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 3877 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 3883 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 3889 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 3899 */ 'a', 'a', 's', 0,
-  /* 3903 */ 'd', 'a', 's', 0,
-  /* 3907 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
-  /* 3915 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
-  /* 3923 */ 'p', 'o', 'p', 9, 'd', 's', 0,
-  /* 3930 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
-  /* 3938 */ 'p', 'o', 'p', 9, 'e', 's', 0,
-  /* 3945 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
-  /* 3953 */ 'p', 'o', 'p', 9, 'f', 's', 0,
-  /* 3960 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
-  /* 3968 */ 'p', 'o', 'p', 9, 'g', 's', 0,
-  /* 3975 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 3982 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 4027 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
-  /* 4035 */ 'p', 'o', 'p', 9, 's', 's', 0,
-  /* 4042 */ 'c', 'l', 't', 's', 0,
-  /* 4047 */ 'i', 'r', 'e', 't', 0,
-  /* 4052 */ 's', 'y', 's', 'r', 'e', 't', 0,
-  /* 4059 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
-  /* 4067 */ 'h', 'l', 't', 0,
-  /* 4071 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 4078 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 4085 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 4092 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 4098 */ 'c', 'b', 'w', 0,
-  /* 4102 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
-  /* 4112 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
-  /* 4122 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
-  /* 4133 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
-  /* 4144 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
-  /* 4155 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
-  /* 4165 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
-  /* 4176 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
-  /* 4188 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
-  /* 4199 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
-  /* 4210 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
-  /* 4220 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 4237 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
-  /* 4254 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
-  /* 4264 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
-  /* 4274 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 1849 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 1863 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 1887 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 1904 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 1920 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 1935 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 1949 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 1963 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 1983 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2003 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2023 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2043 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2064 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2084 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2104 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2123 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2143 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2163 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2183 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2203 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2223 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2243 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2263 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2282 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2300 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2321 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 2342 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 2348 */ 'i', 'n', 't', '1', 0,
+  /* 2353 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 2372 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 2390 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 2403 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 2416 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 2434 */ 'u', 'd', '2', 0,
+  /* 2438 */ 'i', 'n', 't', '3', 0,
+  /* 2443 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 2462 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 2480 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 2493 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 2506 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 2524 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 2530 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 2537 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 2545 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 2558 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 2565 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 2575 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 2593 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 2609 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 2621 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 2636 */ 'a', 'a', 'a', 0,
+  /* 2640 */ 'd', 'a', 'a', 0,
+  /* 2644 */ 'u', 'd', '2', 'b', 0,
+  /* 2649 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 2659 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 2669 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 2679 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'b', 0,
+  /* 2689 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'b', 0,
+  /* 2699 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 2705 */ 'c', 'l', 'a', 'c', 0,
+  /* 2710 */ 's', 't', 'a', 'c', 0,
+  /* 2715 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 2725 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 2732 */ 's', 'a', 'l', 'c', 0,
+  /* 2737 */ 'c', 'l', 'c', 0,
+  /* 2741 */ 'c', 'm', 'c', 0,
+  /* 2745 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 2751 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 2758 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 2764 */ 's', 't', 'c', 0,
+  /* 2768 */ 'p', 'u', 's', 'h', 'f', 'd', 0,
+  /* 2775 */ 'p', 'o', 'p', 'f', 'd', 0,
+  /* 2781 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 2787 */ 'c', 'l', 'd', 0,
+  /* 2791 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'd', 0,
+  /* 2801 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'd', 0,
+  /* 2811 */ 'i', 'r', 'e', 't', 'd', 0,
+  /* 2817 */ 's', 't', 'd', 0,
+  /* 2821 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 2828 */ 'c', 'w', 'd', 0,
+  /* 2832 */ 'c', 'w', 'd', 'e', 0,
+  /* 2837 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 2846 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 2852 */ 'c', 'd', 'q', 'e', 0,
+  /* 2857 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 2864 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 2878 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 2895 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 2901 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 2908 */ 'l', 'a', 'h', 'f', 0,
+  /* 2913 */ 's', 'a', 'h', 'f', 0,
+  /* 2918 */ 'p', 'u', 's', 'h', 'f', 0,
+  /* 2924 */ 'p', 'o', 'p', 'f', 0,
+  /* 2929 */ 'r', 'e', 't', 'f', 0,
+  /* 2934 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 2943 */ 'c', 'l', 'g', 'i', 0,
+  /* 2948 */ 's', 't', 'g', 'i', 0,
+  /* 2953 */ 'c', 'l', 'i', 0,
+  /* 2957 */ 's', 't', 'i', 0,
+  /* 2961 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 2976 */ 'l', 'o', 'c', 'k', 0,
+  /* 2981 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'l', 0,
+  /* 2992 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 2999 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 3005 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 3013 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 3020 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 3028 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 3036 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 3043 */ 'r', 's', 'm', 0,
+  /* 3047 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 3074 */ 'c', 'q', 'o', 0,
+  /* 3078 */ 'i', 'n', 't', 'o', 0,
+  /* 3083 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 3090 */ 'r', 'e', 'p', 0,
+  /* 3094 */ 'n', 'o', 'p', 0,
+  /* 3098 */ 'c', 'd', 'q', 0,
+  /* 3102 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 3109 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 3115 */ 'r', 'e', 't', 'f', 'q', 0,
+  /* 3121 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'q', 0,
+  /* 3131 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'q', 0,
+  /* 3141 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 3147 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 3156 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 3162 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 3168 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 3178 */ 'a', 'a', 's', 0,
+  /* 3182 */ 'd', 'a', 's', 0,
+  /* 3186 */ 'p', 'u', 's', 'h', 9, 'c', 's', 0,
+  /* 3194 */ 'p', 'u', 's', 'h', 9, 'd', 's', 0,
+  /* 3202 */ 'p', 'o', 'p', 9, 'd', 's', 0,
+  /* 3209 */ 'p', 'u', 's', 'h', 9, 'e', 's', 0,
+  /* 3217 */ 'p', 'o', 'p', 9, 'e', 's', 0,
+  /* 3224 */ 'p', 'u', 's', 'h', 9, 'f', 's', 0,
+  /* 3232 */ 'p', 'o', 'p', 9, 'f', 's', 0,
+  /* 3239 */ 'p', 'u', 's', 'h', 9, 'g', 's', 0,
+  /* 3247 */ 'p', 'o', 'p', 9, 'g', 's', 0,
+  /* 3254 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 3261 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 3306 */ 'p', 'u', 's', 'h', 9, 's', 's', 0,
+  /* 3314 */ 'p', 'o', 'p', 9, 's', 's', 0,
+  /* 3321 */ 'c', 'l', 't', 's', 0,
+  /* 3326 */ 'i', 'r', 'e', 't', 0,
+  /* 3331 */ 's', 'y', 's', 'r', 'e', 't', 0,
+  /* 3338 */ 's', 'y', 's', 'e', 'x', 'i', 't', 0,
+  /* 3346 */ 'h', 'l', 't', 0,
+  /* 3350 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 3357 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 3364 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 3371 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 3377 */ 'c', 'b', 'w', 0,
+  /* 3381 */ 'r', 'e', 'p', 32, 's', 't', 'o', 's', 'w', 0,
+  /* 3391 */ 'r', 'e', 'p', 32, 'm', 'o', 'v', 's', 'w', 0,
+  /* 3401 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'a', 'x', 0,
+  /* 3412 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'e', 'a', 'x', 0,
+  /* 3423 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'e', 'a', 'x', 0,
+  /* 3434 */ 'v', 'm', 'r', 'u', 'n', 9, 'e', 'a', 'x', 0,
+  /* 3444 */ 's', 'k', 'i', 'n', 'i', 't', 9, 'e', 'a', 'x', 0,
+  /* 3455 */ 'o', 'u', 't', 9, 'd', 'x', ',', 32, 'e', 'a', 'x', 0,
+  /* 3467 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, 'r', 'a', 'x', 0,
+  /* 3478 */ 'v', 'm', 's', 'a', 'v', 'e', 9, 'r', 'a', 'x', 0,
+  /* 3489 */ 'v', 'm', 'r', 'u', 'n', 9, 'r', 'a', 'x', 0,
+  /* 3499 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'e', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 3516 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, 'r', 'a', 'x', ',', 32, 'e', 'c', 'x', 0,
+  /* 3533 */ 'i', 'n', 9, 'a', 'l', ',', 32, 'd', 'x', 0,
+  /* 3543 */ 'i', 'n', 9, 'a', 'x', ',', 32, 'd', 'x', 0,
+  /* 3553 */ 'i', 'n', 9, 'e', 'a', 'x', ',', 32, 'd', 'x', 0,
   };
 #endif
 
@@ -2187,13 +2112,12 @@
   uint32_t Bits = OpInfo[MCInst_getOpcode(MI)];
   // assert(Bits != 0 && "Cannot print this instruction.");
 #ifndef CAPSTONE_DIET
-  SStream_concat0(O, AsmStrs+(Bits & 8191)-1);
+  SStream_concat0(O, AsmStrs+(Bits & 4095)-1);
 #endif
 
-
   // Fragment 0 encoded into 5 bits for 31 unique commands.
-  //printf("Frag-0: %"PRIu64"\n", (Bits >> 13) & 31);
-  switch ((Bits >> 13) & 31) {
+  //printf("Frag-0: %"PRIu64"\n", (Bits >> 12) & 31);
+  switch ((Bits >> 12) & 31) {
   default:   // unreachable.
   case 0:
     // DBG_VALUE, BUNDLE, LIFETIME_START, LIFETIME_END, AAA, AAS, ACQUIRE_MOV...
@@ -2229,31 +2153,31 @@
     printPCRelImm(MI, 0, O); 
     break;
   case 8:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
+    // CMPSB
+    printSrcIdx8(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx16(MI, 0, O); 
+    printDstIdx8(MI, 0, O); 
     return;
     break;
   case 9:
-    // CMPS32
+    // CMPSL
     printSrcIdx32(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx32(MI, 0, O); 
     return;
     break;
   case 10:
-    // CMPS64
+    // CMPSQ
     printSrcIdx64(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printDstIdx64(MI, 0, O); 
     return;
     break;
   case 11:
-    // CMPS8
-    printSrcIdx8(MI, 1, O); 
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
     SStream_concat0(O, ", "); 
-    printDstIdx8(MI, 0, O); 
+    printDstIdx16(MI, 0, O); 
     return;
     break;
   case 12:
@@ -2267,16 +2191,16 @@
     return;
     break;
   case 14:
-    // IN16, MOVSW, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // INSB, MOVSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 15:
-    // IN32, MOVSL, SCAS32, STOSL
+    // INSL, MOVSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 16:
-    // IN8, MOVSB, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // INSW, MOVSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 17:
     // LODSB, OUTSB
@@ -2343,7 +2267,7 @@
     printMemOffs8(MI, 0, O); 
     break;
   case 29:
-    // MOVSQ, SCAS64, STOSQ
+    // MOVSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 30:
@@ -2357,8 +2281,8 @@
 
 
   // Fragment 1 encoded into 4 bits for 15 unique commands.
-  //printf("Frag-1: %"PRIu64"\n", (Bits >> 18) & 15);
-  switch ((Bits >> 18) & 15) {
+  //printf("Frag-1: %"PRIu64"\n", (Bits >> 17) & 15);
+  switch ((Bits >> 17) & 15) {
   default:   // unreachable.
   case 0:
     // AAD8i8, AAM8i8, ADC16i16, ADC32i32, ADC64i32, ADC8i8, ADD16i16, ADD32i...
@@ -2394,38 +2318,45 @@
     return;
     break;
   case 7:
-    // IN16, IN32, IN8
+    // INSB, INSL, INSW
     SStream_concat0(O, ", dx"); 
+	op_addReg(MI, X86_REG_DX);
     return;
     break;
   case 8:
     // MOV16ao16, MOV16ao16_16, MOV64ao16, OUT16ir, STOSW
     SStream_concat0(O, ", ax"); 
+	op_addReg(MI, X86_REG_AX);
     return;
     break;
   case 9:
     // MOV32ao32, MOV32ao32_16, MOV64ao32, OUT32ir, STOSL
     SStream_concat0(O, ", eax"); 
+	op_addReg(MI, X86_REG_EAX);
     return;
     break;
   case 10:
     // MOV64ao64, STOSQ
     SStream_concat0(O, ", rax"); 
+	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 11:
     // MOV64ao8, MOV8ao8, MOV8ao8_16, OUT8ir, STOSB
     SStream_concat0(O, ", al"); 
+	op_addReg(MI, X86_REG_AL);
     return;
     break;
   case 12:
     // RCL16mCL, RCL16rCL, RCL32mCL, RCL32rCL, RCL64mCL, RCL64rCL, RCL8mCL, R...
     SStream_concat0(O, ", cl"); 
+	op_addReg(MI, X86_REG_CL);
     return;
     break;
   case 13:
     // ROL16m1, ROL16r1, ROL32m1, ROL32r1, ROL64m1, ROL64r1, ROL8m1, ROL8r1, ...
     SStream_concat0(O, ", 1"); 
+	op_addImm(MI, 1);
     return;
     break;
   case 14:
@@ -2437,48 +2368,46 @@
 
 
   // Fragment 2 encoded into 4 bits for 16 unique commands.
-  //printf("Frag-2: %"PRIu64"\n", (Bits >> 22) & 15);
-  switch ((Bits >> 22) & 15) {
+  //printf("Frag-2: %"PRIu64"\n", (Bits >> 21) & 15);
+  switch ((Bits >> 21) & 15) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC32mi, ADC32mi8, ADC32mr, ADC64mi32, ADC...
     printOperand(MI, 5, O); 
     break;
   case 1:
-    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADD16rr_REV, ADD32r...
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rr, ADCX64rr,...
     printOperand(MI, 2, O); 
     break;
   case 2:
-    // ADCX32rm, ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCI...
-    printi32mem(MI, 1, O); 
+    // ADCX32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMO...
+    printi32mem(MI, 2, O); 
     break;
   case 3:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, ANDN32rm, ANDN32rr, ANDN64rm, ...
-    printOperand(MI, 1, O); 
+    // ADCX64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMO...
+    printi64mem(MI, 2, O); 
     break;
   case 4:
-    // ADCX64rm, ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCI...
-    printi64mem(MI, 1, O); 
+    // ADOX32rm, BEXTR32rm, BEXTRI32mi, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLC...
+    printi32mem(MI, 1, O); 
     break;
   case 5:
+    // ADOX32rr, ADOX64rr, ANDN32rm, ANDN32rr, ANDN64rm, ANDN64rr, ARPL16rr, ...
+    printOperand(MI, 1, O); 
+    break;
+  case 6:
+    // ADOX64rm, BEXTR64rm, BEXTRI64mi, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLC...
+    printi64mem(MI, 1, O); 
+    break;
+  case 7:
     // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, IMUL16rmi, IMUL16rmi8, LAR16rm,...
     printi16mem(MI, 1, O); 
     break;
-  case 6:
+  case 8:
     // CMOVA16rm, CMOVAE16rm, CMOVB16rm, CMOVBE16rm, CMOVE16rm, CMOVG16rm, CM...
     printi16mem(MI, 2, O); 
     return;
     break;
-  case 7:
-    // CMOVA32rm, CMOVAE32rm, CMOVB32rm, CMOVBE32rm, CMOVE32rm, CMOVG32rm, CM...
-    printi32mem(MI, 2, O); 
-    return;
-    break;
-  case 8:
-    // CMOVA64rm, CMOVAE64rm, CMOVB64rm, CMOVBE64rm, CMOVE64rm, CMOVG64rm, CM...
-    printi64mem(MI, 2, O); 
-    return;
-    break;
   case 9:
     // CMP8rm, MOV8rm, MOV8rm_NOREX, MOVSX16rm8, MOVSX32rm8, MOVSX64rm8, MOVZ...
     printi8mem(MI, 1, O); 
@@ -2517,8 +2446,8 @@
 
 
   // Fragment 3 encoded into 2 bits for 4 unique commands.
-  //printf("Frag-3: %"PRIu64"\n", (Bits >> 26) & 3);
-  switch ((Bits >> 26) & 3) {
+  //printf("Frag-3: %"PRIu64"\n", (Bits >> 25) & 3);
+  switch ((Bits >> 25) & 3) {
   default:   // unreachable.
   case 0:
     // ADC16mi, ADC16mi8, ADC16mr, ADC16rr_REV, ADC32mi, ADC32mi8, ADC32mr, A...
@@ -2536,14 +2465,15 @@
   case 3:
     // SHLD16mrCL, SHLD16rrCL, SHLD32mrCL, SHLD32rrCL, SHLD64mrCL, SHLD64rrCL...
     SStream_concat0(O, ", cl"); 
+	op_addReg(MI, X86_REG_CL);
     return;
     break;
   }
 
 
   // Fragment 4 encoded into 3 bits for 5 unique commands.
-  //printf("Frag-4: %"PRIu64"\n", (Bits >> 28) & 7);
-  switch ((Bits >> 28) & 7) {
+  //printf("Frag-4: %"PRIu64"\n", (Bits >> 27) & 7);
+  switch ((Bits >> 27) & 7) {
   default:   // unreachable.
   case 0:
     // ANDN32rm, MULX32rm, PDEP32rm, PEXT32rm
@@ -2573,8 +2503,8 @@
 
 
   // Fragment 5 encoded into 1 bits for 2 unique commands.
-  //printf("Frag-5: %"PRIu64"\n", (Bits >> 31) & 1);
-  if ((Bits >> 31) & 1) {
+  //printf("Frag-5: %"PRIu64"\n", (Bits >> 30) & 1);
+  if ((Bits >> 30) & 1) {
     // VAARG_64
     SStream_concat0(O, ", "); 
     printOperand(MI, 7, O); 
@@ -2585,6 +2515,7 @@
     // BEXTR32rm, BEXTR64rm, BEXTRI32mi, BEXTRI64mi, BZHI32rm, BZHI64rm, IMUL...
     return;
   }
+
 }
 
 
@@ -2593,7 +2524,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -2718,111 +2649,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -2838,14 +2770,20 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -2854,7 +2792,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -2863,30 +2801,105 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenAsmWriter_reduce.inc b/arch/X86/X86GenAsmWriter_reduce.inc
index 668e5d8..40cbec6 100644
--- a/arch/X86/X86GenAsmWriter_reduce.inc
+++ b/arch/X86/X86GenAsmWriter_reduce.inc
@@ -16,7 +16,7 @@
   static const uint32_t OpInfo[] = {
     0U,	// PHI
     0U,	// INLINEASM
-    0U,	// PROLOG_LABEL
+    0U,	// CFI_INSTRUCTION
     0U,	// EH_LABEL
     0U,	// GC_LABEL
     0U,	// KILL
@@ -25,22 +25,23 @@
     0U,	// IMPLICIT_DEF
     0U,	// SUBREG_TO_REG
     0U,	// COPY_TO_REGCLASS
-    4951U,	// DBG_VALUE
+    4199U,	// DBG_VALUE
     0U,	// REG_SEQUENCE
     0U,	// COPY
-    4944U,	// BUNDLE
-    5007U,	// LIFETIME_START
-    4931U,	// LIFETIME_END
+    4192U,	// BUNDLE
+    4255U,	// LIFETIME_START
+    4179U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
-    5022U,	// AAA
+    0U,	// LOAD_STACK_GUARD
+    4270U,	// AAA
     8459U,	// AAD8i8
     9418U,	// AAM8i8
-    5562U,	// AAS
-    4635U,	// ACQUIRE_MOV16rm
-    4635U,	// ACQUIRE_MOV32rm
-    4635U,	// ACQUIRE_MOV64rm
-    4635U,	// ACQUIRE_MOV8rm
+    4841U,	// AAS
+    3883U,	// ACQUIRE_MOV16rm
+    3883U,	// ACQUIRE_MOV32rm
+    3883U,	// ACQUIRE_MOV64rm
+    3883U,	// ACQUIRE_MOV8rm
     534689U,	// ADC16i16
     1067169U,	// ADC16mi
     1067169U,	// ADC16mi8
@@ -75,10 +76,10 @@
     57390U,	// ADC8rm
     1597486U,	// ADC8rr
     2121774U,	// ADC8rr_REV
-    66713U,	// ADCX32rm
-    2172057U,	// ADCX32rr
-    83933U,	// ADCX64rm
-    2172893U,	// ADCX64rr
+    2139289U,	// ADCX32rm
+    2122905U,	// ADCX32rr
+    2148317U,	// ADCX64rm
+    2123741U,	// ADCX64rr
     534714U,	// ADD16i16
     1067194U,	// ADD16mi
     1067194U,	// ADD16mi8
@@ -123,10 +124,10 @@
     57409U,	// ADD8rm
     1597505U,	// ADD8rr
     2121793U,	// ADD8rr_REV
-    4961U,	// ADJCALLSTACKDOWN32
-    4961U,	// ADJCALLSTACKDOWN64
-    4979U,	// ADJCALLSTACKUP32
-    4979U,	// ADJCALLSTACKUP64
+    4209U,	// ADJCALLSTACKDOWN32
+    4209U,	// ADJCALLSTACKDOWN64
+    4227U,	// ADJCALLSTACKUP32
+    4227U,	// ADJCALLSTACKUP64
     66734U,	// ADOX32rm
     2172078U,	// ADOX32rr
     83954U,	// ADOX64rm
@@ -172,49 +173,6 @@
     18376322U,	// ANDN64rr
     1065839U,	// ARPL16mr
     2171759U,	// ARPL16rr
-    3537U,	// ATOMADD6432
-    4317U,	// ATOMAND16
-    3750U,	// ATOMAND32
-    4004U,	// ATOMAND64
-    3558U,	// ATOMAND6432
-    4471U,	// ATOMAND8
-    4432U,	// ATOMMAX16
-    3965U,	// ATOMMAX32
-    4278U,	// ATOMMAX64
-    3707U,	// ATOMMAX6432
-    4598U,	// ATOMMAX8
-    4356U,	// ATOMMIN16
-    3850U,	// ATOMMIN32
-    4163U,	// ATOMMIN64
-    3601U,	// ATOMMIN6432
-    4508U,	// ATOMMIN8
-    4336U,	// ATOMNAND16
-    3769U,	// ATOMNAND32
-    4023U,	// ATOMNAND64
-    3579U,	// ATOMNAND6432
-    4489U,	// ATOMNAND8
-    4395U,	// ATOMOR16
-    3928U,	// ATOMOR32
-    4241U,	// ATOMOR64
-    3666U,	// ATOMOR6432
-    4563U,	// ATOMOR8
-    3516U,	// ATOMSUB6432
-    3644U,	// ATOMSWAP6432
-    4451U,	// ATOMUMAX16
-    3984U,	// ATOMUMAX32
-    4297U,	// ATOMUMAX64
-    3728U,	// ATOMUMAX6432
-    4616U,	// ATOMUMAX8
-    4375U,	// ATOMUMIN16
-    3869U,	// ATOMUMIN32
-    4182U,	// ATOMUMIN64
-    3622U,	// ATOMUMIN6432
-    4526U,	// ATOMUMIN8
-    4413U,	// ATOMXOR16
-    3946U,	// ATOMXOR32
-    4259U,	// ATOMXOR64
-    3686U,	// ATOMXOR6432
-    4580U,	// ATOMXOR8
     5858234U,	// BEXTR32rm
     18375610U,	// BEXTR32rr
     6383372U,	// BEXTR64rm
@@ -331,25 +289,25 @@
     18375359U,	// BZHI32rr
     6383151U,	// BZHI64rm
     18376239U,	// BZHI64rr
-    111208U,	// CALL16m
-    12904U,	// CALL16r
-    119366U,	// CALL32m
-    12870U,	// CALL32r
-    127575U,	// CALL64m
+    110456U,	// CALL16m
+    12152U,	// CALL16r
+    118614U,	// CALL32m
+    12118U,	// CALL32r
+    126823U,	// CALL64m
     132696U,	// CALL64pcrel32
-    12887U,	// CALL64r
+    12135U,	// CALL64r
     133453U,	// CALLpcrel16
     131839U,	// CALLpcrel32
-    5939U,	// CBW
-    5164U,	// CDQ
-    5526U,	// CDQE
-    5091U,	// CLAC
-    5123U,	// CLC
-    5160U,	// CLD
-    5239U,	// CLGI
-    5249U,	// CLI
-    5870U,	// CLTS
-    5127U,	// CMC
+    5218U,	// CBW
+    4412U,	// CDQ
+    4805U,	// CDQE
+    4339U,	// CLAC
+    4371U,	// CLC
+    4408U,	// CLD
+    4518U,	// CLGI
+    4528U,	// CLI
+    5149U,	// CLTS
+    4375U,	// CMC
     2132085U,	// CMOVA16rm
     2123893U,	// CMOVA16rr
     2138555U,	// CMOVA32rm
@@ -446,23 +404,23 @@
     2122772U,	// CMOVS32rr
     2148179U,	// CMOVS64rm
     2123603U,	// CMOVS64rr
-    3909U,	// CMOV_FR32
-    4222U,	// CMOV_FR64
-    3476U,	// CMOV_GR16
-    3456U,	// CMOV_GR32
-    4545U,	// CMOV_GR8
-    3889U,	// CMOV_RFP32
-    4202U,	// CMOV_RFP64
-    3496U,	// CMOV_RFP80
-    3809U,	// CMOV_V16F32
-    4043U,	// CMOV_V2F64
-    4103U,	// CMOV_V2I64
-    3789U,	// CMOV_V4F32
-    4063U,	// CMOV_V4F64
-    4123U,	// CMOV_V4I64
-    3830U,	// CMOV_V8F32
-    4083U,	// CMOV_V8F64
-    4143U,	// CMOV_V8I64
+    3687U,	// CMOV_FR32
+    3846U,	// CMOV_FR64
+    3566U,	// CMOV_GR16
+    3546U,	// CMOV_GR32
+    3865U,	// CMOV_GR8
+    3667U,	// CMOV_RFP32
+    3826U,	// CMOV_RFP64
+    3586U,	// CMOV_RFP80
+    3626U,	// CMOV_V16F32
+    3706U,	// CMOV_V2F64
+    3766U,	// CMOV_V2I64
+    3606U,	// CMOV_V4F32
+    3726U,	// CMOV_V4F64
+    3786U,	// CMOV_V4I64
+    3647U,	// CMOV_V8F32
+    3746U,	// CMOV_V8F64
+    3806U,	// CMOV_V8I64
     534917U,	// CMP16i16
     1067397U,	// CMP16mi
     1067397U,	// CMP16mi8
@@ -497,10 +455,10 @@
     139397U,	// CMP8rm
     2171013U,	// CMP8rr
     2171013U,	// CMP8rr_REV
-    40520233U,	// CMPS16
-    57304058U,	// CMPS32
-    74090304U,	// CMPS64
-    90874048U,	// CMPS8
+    40517824U,	// CMPSB
+    57304058U,	// CMPSL
+    74090304U,	// CMPSQ
+    90876457U,	// CMPSW
     180235U,	// CMPXCHG16B
     1067297U,	// CMPXCHG16rm
     2173217U,	// CMPXCHG16rr
@@ -511,15 +469,14 @@
     122903U,	// CMPXCHG8B
     5259347U,	// CMPXCHG8rm
     2170963U,	// CMPXCHG8rr
-    5154U,	// CPUID32
-    5154U,	// CPUID64
-    5444U,	// CQO
-    5577U,	// CS_PREFIX
-    5173U,	// CWD
-    5388U,	// CWDE
-    5026U,	// DAA
-    5566U,	// DAS
-    4916U,	// DATA16_PREFIX
+    4402U,	// CPUID32
+    4402U,	// CPUID64
+    4723U,	// CQO
+    4421U,	// CWD
+    4667U,	// CWDE
+    4274U,	// DAA
+    4845U,	// DAS
+    4164U,	// DATA16_PREFIX
     108711U,	// DEC16m
     10407U,	// DEC16r
     10407U,	// DEC32_16r
@@ -542,31 +499,27 @@
     10170U,	// DIV64r
     188649U,	// DIV8m
     8425U,	// DIV8r
-    5597U,	// DS_PREFIX
     11601U,	// EH_RETURN
     11601U,	// EH_RETURN64
-    4739U,	// EH_SjLj_LongJmp32
-    4829U,	// EH_SjLj_LongJmp64
-    4758U,	// EH_SjLj_SetJmp32
-    4848U,	// EH_SjLj_SetJmp64
+    3987U,	// EH_SjLj_LongJmp32
+    4077U,	// EH_SjLj_LongJmp64
+    4006U,	// EH_SjLj_SetJmp32
+    4096U,	// EH_SjLj_SetJmp64
     132365U,	// EH_SjLj_Setup
     107489303U,	// ENTER
-    5635U,	// ES_PREFIX
     2173260U,	// FARCALL16i
-    201319U,	// FARCALL16m
+    200567U,	// FARCALL16m
     2171646U,	// FARCALL32i
-    201285U,	// FARCALL32m
-    201302U,	// FARCALL64
+    200533U,	// FARCALL32m
+    200550U,	// FARCALL64
     2173323U,	// FARJMP16i
-    201328U,	// FARJMP16m
+    200576U,	// FARJMP16m
     2171731U,	// FARJMP32i
-    201294U,	// FARJMP32m
-    201311U,	// FARJMP64
-    5401U,	// FSETPM
-    5673U,	// FS_PREFIX
-    5111U,	// GETSEC
-    5730U,	// GS_PREFIX
-    5875U,	// HLT
+    200542U,	// FARJMP32m
+    200559U,	// FARJMP64
+    4680U,	// FSETPM
+    4359U,	// GETSEC
+    5154U,	// HLT
     109214U,	// IDIV16m
     10910U,	// IDIV16r
     115829U,	// IDIV32m
@@ -601,15 +554,12 @@
     18376307U,	// IMUL64rri8
     188537U,	// IMUL8m
     8313U,	// IMUL8r
-    150843U,	// IN16
     534895U,	// IN16ri
-    5956U,	// IN16rr
-    159024U,	// IN32
+    5235U,	// IN16rr
     2630440U,	// IN32ri
-    6035U,	// IN32rr
-    175397U,	// IN8
+    5314U,	// IN32rr
     4726912U,	// IN8ri
-    5277U,	// IN8rr
+    4556U,	// IN8rr
     108717U,	// INC16m
     10413U,	// INC16r
     10413U,	// INC32_16r
@@ -624,24 +574,27 @@
     9563U,	// INC64r
     188474U,	// INC8m
     8250U,	// INC8r
+    150821U,	// INSB
+    159024U,	// INSL
+    175419U,	// INSW
     10319U,	// INT
-    4734U,	// INT1
-    4824U,	// INT3
-    5439U,	// INTO
-    5180U,	// INVD
+    3982U,	// INT1
+    4072U,	// INT3
+    4718U,	// INTO
+    4428U,	// INVD
     206932U,	// INVEPT32
     206932U,	// INVEPT64
     188818U,	// INVLPG
-    6016U,	// INVLPGA32
-    6084U,	// INVLPGA64
+    5295U,	// INVLPGA32
+    5363U,	// INVLPGA64
     205072U,	// INVPCID32
     205072U,	// INVPCID64
     205081U,	// INVVPID32
     205081U,	// INVVPID64
-    5944U,	// IRET16
-    5359U,	// IRET32
-    5497U,	// IRET64
-    4995U,	// Int_MemBarrier
+    5223U,	// IRET16
+    4638U,	// IRET32
+    4776U,	// IRET64
+    4243U,	// Int_MemBarrier
     131378U,	// JAE_1
     131378U,	// JAE_2
     131378U,	// JAE_4
@@ -672,12 +625,12 @@
     131789U,	// JL_1
     131789U,	// JL_2
     131789U,	// JL_4
-    111217U,	// JMP16m
-    12913U,	// JMP16r
-    119375U,	// JMP32m
-    12879U,	// JMP32r
-    127584U,	// JMP64m
-    12896U,	// JMP64r
+    110465U,	// JMP16m
+    12161U,	// JMP16r
+    118623U,	// JMP32m
+    12127U,	// JMP32r
+    126832U,	// JMP64m
+    12144U,	// JMP64r
     132336U,	// JMP_1
     132336U,	// JMP_2
     132336U,	// JMP_4
@@ -703,7 +656,7 @@
     133177U,	// JS_1
     133177U,	// JS_2
     133177U,	// JS_4
-    5220U,	// LAHF
+    4499U,	// LAHF
     100783U,	// LAR16rm
     2173359U,	// LAR16rr
     99197U,	// LAR32rm
@@ -718,12 +671,12 @@
     122903U,	// LCMPXCHG8B
     215553U,	// LDS16rm
     213970U,	// LDS32rm
-    67695U,	// LEA16r
+    100463U,	// LEA16r
     65973U,	// LEA32r
     65973U,	// LEA64_32r
     83229U,	// LEA64r
-    5207U,	// LEAVE
-    5207U,	// LEAVE64
+    4486U,	// LEAVE
+    4486U,	// LEAVE64
     215566U,	// LES16rm
     213983U,	// LES32rm
     215572U,	// LFS16rm
@@ -783,7 +736,7 @@
     4212447U,	// LOCK_OR64mr
     5259422U,	// LOCK_OR8mi
     5259422U,	// LOCK_OR8mr
-    5272U,	// LOCK_PREFIX
+    4551U,	// LOCK_PREFIX
     1067147U,	// LOCK_SUB16mi
     1067147U,	// LOCK_SUB16mi8
     1067147U,	// LOCK_SUB16mr
@@ -816,9 +769,9 @@
     9284U,	// LRETIL
     10115U,	// LRETIQ
     10874U,	// LRETIW
-    5365U,	// LRETL
-    5503U,	// LRETQ
-    5950U,	// LRETW
+    4644U,	// LRETL
+    4782U,	// LRETQ
+    5229U,	// LRETW
     100698U,	// LSL16rm
     2173274U,	// LSL16rr
     66316U,	// LSL32rm
@@ -840,7 +793,7 @@
     2171985U,	// LZCNT32rr
     83850U,	// LZCNT64rm
     2172810U,	// LZCNT64rr
-    5393U,	// MONTMUL
+    4672U,	// MONTMUL
     0U,	// MORESTACK_RET
     0U,	// MORESTACK_RET_RESTORE_R10
     257226U,	// MOV16ao16
@@ -971,7 +924,7 @@
     9744U,	// NEG64r
     188493U,	// NEG8m
     8269U,	// NEG8r
-    5460U,	// NOOP
+    4739U,	// NOOP
     108955U,	// NOOP18_16m4
     108955U,	// NOOP18_16m5
     108955U,	// NOOP18_16m6
@@ -1047,11 +1000,11 @@
     1597598U,	// OR8rr
     2121886U,	// OR8rr_REV
     11455U,	// OUT16ir
-    6117U,	// OUT16rr
+    5396U,	// OUT16rr
     11505U,	// OUT32ir
-    6131U,	// OUT32rr
+    5410U,	// OUT32rr
     10983U,	// OUT8ir
-    6103U,	// OUT8rr
+    5382U,	// OUT8rr
     10707143U,	// OUTSB
     10716173U,	// OUTSL
     10734140U,	// OUTSW
@@ -1072,23 +1025,23 @@
     9912U,	// POP64r
     124600U,	// POP64rmm
     9912U,	// POP64rmr
-    5900U,	// POPA16
-    5297U,	// POPA32
-    5619U,	// POPDS16
-    5600U,	// POPDS32
-    5657U,	// POPES16
-    5638U,	// POPES32
-    5913U,	// POPF16
-    5310U,	// POPF32
-    5471U,	// POPF64
-    5714U,	// POPFS16
-    5676U,	// POPFS32
-    5695U,	// POPFS64
-    5771U,	// POPGS16
-    5733U,	// POPGS32
-    5752U,	// POPGS64
-    5861U,	// POPSS16
-    5842U,	// POPSS32
+    5179U,	// POPA16
+    4576U,	// POPA32
+    4898U,	// POPDS16
+    4879U,	// POPDS32
+    4936U,	// POPES16
+    4917U,	// POPES32
+    5192U,	// POPF16
+    4589U,	// POPF32
+    4750U,	// POPF64
+    4993U,	// POPFS16
+    4955U,	// POPFS32
+    4974U,	// POPFS64
+    5050U,	// POPGS16
+    5012U,	// POPGS32
+    5031U,	// POPGS64
+    5140U,	// POPSS16
+    5121U,	// POPSS32
     10547U,	// PUSH16i8
     10547U,	// PUSH16r
     108851U,	// PUSH16rmm
@@ -1103,25 +1056,25 @@
     9768U,	// PUSH64r
     124456U,	// PUSH64rmm
     9768U,	// PUSH64rmr
-    5893U,	// PUSHA16
-    5290U,	// PUSHA32
-    5580U,	// PUSHCS16
-    5570U,	// PUSHCS32
-    5609U,	// PUSHDS16
-    5590U,	// PUSHDS32
-    5647U,	// PUSHES16
-    5628U,	// PUSHES32
-    5906U,	// PUSHF16
-    5303U,	// PUSHF32
-    5464U,	// PUSHF64
-    5704U,	// PUSHFS16
-    5666U,	// PUSHFS32
-    5685U,	// PUSHFS64
-    5761U,	// PUSHGS16
-    5723U,	// PUSHGS32
-    5742U,	// PUSHGS64
-    5851U,	// PUSHSS16
-    5832U,	// PUSHSS32
+    5172U,	// PUSHA16
+    4569U,	// PUSHA32
+    4859U,	// PUSHCS16
+    4849U,	// PUSHCS32
+    4888U,	// PUSHDS16
+    4869U,	// PUSHDS32
+    4926U,	// PUSHES16
+    4907U,	// PUSHES32
+    5185U,	// PUSHF16
+    4582U,	// PUSHF32
+    4743U,	// PUSHF64
+    4983U,	// PUSHFS16
+    4945U,	// PUSHFS32
+    4964U,	// PUSHFS64
+    5040U,	// PUSHGS16
+    5002U,	// PUSHGS32
+    5021U,	// PUSHGS64
+    5130U,	// PUSHSS16
+    5111U,	// PUSHSS32
     10547U,	// PUSHi16
     8888U,	// PUSHi32
     108864U,	// RCL16m1
@@ -1176,43 +1129,43 @@
     9668U,	// RDFSBASE64
     8818U,	// RDGSBASE
     9690U,	// RDGSBASE64
-    5540U,	// RDMSR
-    5131U,	// RDPMC
+    4819U,	// RDMSR
+    4379U,	// RDPMC
     10448U,	// RDRAND16r
     8735U,	// RDRAND32r
     9607U,	// RDRAND64r
     10432U,	// RDSEED16r
     8719U,	// RDSEED32r
     9591U,	// RDSEED64r
-    5144U,	// RDTSC
-    5449U,	// RDTSCP
-    4656U,	// RELEASE_MOV16mr
-    4656U,	// RELEASE_MOV32mr
-    4656U,	// RELEASE_MOV64mr
-    4656U,	// RELEASE_MOV8mr
-    5194U,	// REPNE_PREFIX
-    5075U,	// REP_MOVSB_32
-    5075U,	// REP_MOVSB_64
-    5349U,	// REP_MOVSD_32
-    5349U,	// REP_MOVSD_64
-    5487U,	// REP_MOVSQ_64
-    5929U,	// REP_MOVSW_32
-    5929U,	// REP_MOVSW_64
-    5456U,	// REP_PREFIX
-    5065U,	// REP_STOSB_32
-    5065U,	// REP_STOSB_64
-    5339U,	// REP_STOSD_32
-    5339U,	// REP_STOSD_64
-    5477U,	// REP_STOSQ_64
-    5919U,	// REP_STOSW_32
-    5919U,	// REP_STOSW_64
+    4392U,	// RDTSC
+    4728U,	// RDTSCP
+    3904U,	// RELEASE_MOV16mr
+    3904U,	// RELEASE_MOV32mr
+    3904U,	// RELEASE_MOV64mr
+    3904U,	// RELEASE_MOV8mr
+    4442U,	// REPNE_PREFIX
+    4323U,	// REP_MOVSB_32
+    4323U,	// REP_MOVSB_64
+    4628U,	// REP_MOVSD_32
+    4628U,	// REP_MOVSD_64
+    4766U,	// REP_MOVSQ_64
+    5208U,	// REP_MOVSW_32
+    5208U,	// REP_MOVSW_64
+    4735U,	// REP_PREFIX
+    4313U,	// REP_STOSB_32
+    4313U,	// REP_STOSB_64
+    4618U,	// REP_STOSD_32
+    4618U,	// REP_STOSD_64
+    4756U,	// REP_STOSQ_64
+    5198U,	// REP_STOSW_32
+    5198U,	// REP_STOSW_64
     9285U,	// RETIL
     10116U,	// RETIQ
     10875U,	// RETIW
-    5360U,	// RETL
-    5498U,	// RETQ
-    5945U,	// RETW
-    4910U,	// REX64_PREFIX
+    4639U,	// RETL
+    4777U,	// RETQ
+    5224U,	// RETW
+    4158U,	// REX64_PREFIX
     108884U,	// ROL16m1
     109678U,	// ROL16mCL
     1067348U,	// ROL16mi
@@ -1265,8 +1218,8 @@
     18375875U,	// RORX32ri
     6383623U,	// RORX64mi
     18376711U,	// RORX64ri
-    5408U,	// RSM
-    5225U,	// SAHF
+    4687U,	// RSM
+    4504U,	// SAHF
     108858U,	// SAL16m1
     109645U,	// SAL16mCL
     1067322U,	// SAL16mi
@@ -1291,7 +1244,7 @@
     8289U,	// SAL8r1
     11005U,	// SAL8rCL
     2121825U,	// SAL8ri
-    5118U,	// SALC
+    4366U,	// SALC
     108981U,	// SAR16m1
     109689U,	// SAR16mCL
     1067445U,	// SAR16mi
@@ -1354,12 +1307,20 @@
     57378U,	// SBB8rm
     1597474U,	// SBB8rr
     2121762U,	// SBB8rr_REV
-    674289U,	// SCAS16
-    2778050U,	// SCAS32
-    3835668U,	// SCAS64
-    4890793U,	// SCAS8
-    5787U,	// SEG_ALLOCA_32
-    5787U,	// SEG_ALLOCA_64
+    4866217U,	// SCASB
+    2778050U,	// SCASL
+    3835668U,	// SCASQ
+    698865U,	// SCASW
+    5066U,	// SEG_ALLOCA_32
+    5066U,	// SEG_ALLOCA_64
+    4469U,	// SEH_EndPrologue
+    4455U,	// SEH_Epilogue
+    11679U,	// SEH_PushFrame
+    11724U,	// SEH_PushReg
+    107490750U,	// SEH_SaveReg
+    107490664U,	// SEH_SaveXMM
+    107490735U,	// SEH_SetFrame
+    11662U,	// SEH_StackAlloc
     188727U,	// SETAEm
     8503U,	// SETAEr
     188421U,	// SETAm
@@ -1482,7 +1443,7 @@
     199269U,	// SIDT16m
     197686U,	// SIDT32m
     198517U,	// SIDT64m
-    6004U,	// SKINIT
+    5283U,	// SKINIT
     109171U,	// SLDT16m
     10867U,	// SLDT16r
     9277U,	// SLDT32r
@@ -1492,16 +1453,15 @@
     10930U,	// SMSW16r
     9346U,	// SMSW32r
     10182U,	// SMSW64r
-    5839U,	// SS_PREFIX
-    5096U,	// STAC
-    5150U,	// STC
-    5169U,	// STD
-    5244U,	// STGI
-    5253U,	// STI
-    174811U,	// STOSB
+    4344U,	// STAC
+    4398U,	// STC
+    4417U,	// STD
+    4523U,	// STGI
+    4532U,	// STI
+    150235U,	// STOSB
     158948U,	// STOSL
     167192U,	// STOSQ
-    150707U,	// STOSW
+    175283U,	// STOSW
     10731U,	// STR16r
     9140U,	// STR32r
     9990U,	// STR64r
@@ -1541,23 +1501,23 @@
     57384U,	// SUB8rm
     1597480U,	// SUB8rr
     2121768U,	// SUB8rr_REV
-    5780U,	// SWAPGS
-    5331U,	// SYSCALL
-    5531U,	// SYSENTER
-    5379U,	// SYSEXIT
-    5517U,	// SYSEXIT64
-    5371U,	// SYSRET
-    5509U,	// SYSRET64
+    5059U,	// SWAPGS
+    4610U,	// SYSCALL
+    4810U,	// SYSENTER
+    4658U,	// SYSEXIT
+    4796U,	// SYSEXIT64
+    4650U,	// SYSRET
+    4788U,	// SYSRET64
     65795U,	// T1MSKC32rm
     2171139U,	// T1MSKC32rr
     82179U,	// T1MSKC64rm
     2171139U,	// T1MSKC64rr
     11666672U,	// TAILJMPd
     11666672U,	// TAILJMPd64
-    11653711U,	// TAILJMPm
-    11661920U,	// TAILJMPm64
+    11652959U,	// TAILJMPm
+    11661168U,	// TAILJMPm64
     0U,	// TAILJMPr
-    11547232U,	// TAILJMPr64
+    11546480U,	// TAILJMPr64
     0U,	// TCRETURNdi
     0U,	// TCRETURNdi64
     0U,	// TCRETURNmi
@@ -1569,21 +1529,21 @@
     1067671U,	// TEST16mi_alt
     2173591U,	// TEST16ri
     2173591U,	// TEST16ri_alt
-    101015U,	// TEST16rm
+    1067671U,	// TEST16rm
     2173591U,	// TEST16rr
     2630759U,	// TEST32i32
     3163239U,	// TEST32mi
     3163239U,	// TEST32mi_alt
     2172007U,	// TEST32ri
     2172007U,	// TEST32ri_alt
-    66663U,	// TEST32rm
+    3163239U,	// TEST32rm
     2172007U,	// TEST32rr
     3680171U,	// TEST64i32
     4212651U,	// TEST64mi32
     4212651U,	// TEST64mi32_alt
     2172843U,	// TEST64ri32
     2172843U,	// TEST64ri32_alt
-    83883U,	// TEST64rm
+    4212651U,	// TEST64rm
     2172843U,	// TEST64rr
     4727009U,	// TEST8i8
     5259489U,	// TEST8mi
@@ -1591,15 +1551,15 @@
     2171105U,	// TEST8ri
     0U,	// TEST8ri_NOREX
     2171105U,	// TEST8ri_alt
-    139489U,	// TEST8rm
+    5259489U,	// TEST8rm
     2171105U,	// TEST8rr
-    4776U,	// TLSCall_32
-    4866U,	// TLSCall_64
-    4789U,	// TLS_addr32
-    4879U,	// TLS_addr64
-    4802U,	// TLS_base_addr32
-    4892U,	// TLS_base_addr64
-    4820U,	// TRAP
+    4024U,	// TLSCall_32
+    4114U,	// TLSCall_64
+    4037U,	// TLS_addr32
+    4127U,	// TLS_addr64
+    4050U,	// TLS_base_addr32
+    4140U,	// TLS_base_addr64
+    4068U,	// TRAP
     101001U,	// TZCNT16rm
     2173577U,	// TZCNT16rr
     66649U,	// TZCNT32rm
@@ -1610,47 +1570,47 @@
     2171310U,	// TZMSK32rr
     82350U,	// TZMSK64rm
     2171310U,	// TZMSK64rr
-    5030U,	// UD2B
+    4278U,	// UD2B
     191376710U,	// VAARG_64
-    375926120U,	// VASTART_SAVE_XMM_REGS
+    375926134U,	// VASTART_SAVE_XMM_REGS
     108582U,	// VERRm
     10278U,	// VERRr
     108993U,	// VERWm
     10689U,	// VERWr
-    5324U,	// VMCALL
+    4603U,	// VMCALL
     124942U,	// VMCLEARm
-    5137U,	// VMFUNC
-    5230U,	// VMLAUNCH
-    5969U,	// VMLOAD32
-    6049U,	// VMLOAD64
-    5316U,	// VMMCALL
+    4385U,	// VMFUNC
+    4509U,	// VMLAUNCH
+    5248U,	// VMLOAD32
+    5328U,	// VMLOAD64
+    4595U,	// VMMCALL
     123170U,	// VMPTRLDm
     125030U,	// VMPTRSTm
     3162623U,	// VMREAD32rm
     2171391U,	// VMREAD32rr
     4212071U,	// VMREAD64rm
     2172263U,	// VMREAD64rr
-    5185U,	// VMRESUME
-    5993U,	// VMRUN32
-    6073U,	// VMRUN64
-    5981U,	// VMSAVE32
-    6061U,	// VMSAVE64
+    4433U,	// VMRESUME
+    5272U,	// VMRUN32
+    5352U,	// VMRUN64
+    5260U,	// VMSAVE32
+    5340U,	// VMSAVE64
     66184U,	// VMWRITE32rm
     2171528U,	// VMWRITE32rr
     83440U,	// VMWRITE64rm
     2172400U,	// VMWRITE64rr
-    5213U,	// VMXOFF
+    4492U,	// VMXOFF
     124111U,	// VMXON
     132696U,	// W64ALLOCA
-    5178U,	// WBINVD
-    5412U,	// WIN_ALLOCA
-    5257U,	// WIN_FTOL_32
-    5257U,	// WIN_FTOL_64
+    4426U,	// WBINVD
+    4691U,	// WIN_ALLOCA
+    4536U,	// WIN_FTOL_32
+    4536U,	// WIN_FTOL_64
     8807U,	// WRFSBASE
     9679U,	// WRFSBASE64
     8829U,	// WRGSBASE
     9701U,	// WRGSBASE64
-    5546U,	// WRMSR
+    4825U,	// WRMSR
     1067193U,	// XADD16rm
     2173113U,	// XADD16rr
     3162632U,	// XADD32rm
@@ -1671,13 +1631,13 @@
     12133913U,	// XCHG64rr
     9510998U,	// XCHG8rm
     12132438U,	// XCHG8rr
-    5101U,	// XCRYPTCBC
-    5045U,	// XCRYPTCFB
-    5552U,	// XCRYPTCTR
-    5035U,	// XCRYPTECB
-    5055U,	// XCRYPTOFB
-    5879U,	// XGETBV
-    5085U,	// XLAT
+    4349U,	// XCRYPTCBC
+    4293U,	// XCRYPTCFB
+    4831U,	// XCRYPTCTR
+    4283U,	// XCRYPTECB
+    4303U,	// XCRYPTOFB
+    5158U,	// XGETBV
+    4333U,	// XLAT
     534995U,	// XOR16i16
     1067475U,	// XOR16mi
     1067475U,	// XOR16mi8
@@ -1719,10 +1679,10 @@
     198138U,	// XSAVE64
     198748U,	// XSAVEOPT
     198560U,	// XSAVEOPT64
-    5886U,	// XSETBV
-    4728U,	// XSHA1
-    4923U,	// XSHA256
-    5200U,	// XSTORE
+    5165U,	// XSETBV
+    3976U,	// XSHA1
+    4171U,	// XSHA256
+    4448U,	// XSTORE
     0U
   };
 
@@ -2176,233 +2136,198 @@
   /* 3386 */ 'i', 'n', 's', 'w', 9, '%', 'd', 'x', ',', 32, 0,
   /* 3397 */ '#', 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 32, 0,
   /* 3408 */ 'r', 'e', 't', 9, '#', 'e', 'h', '_', 'r', 'e', 't', 'u', 'r', 'n', ',', 32, 'a', 'd', 'd', 'r', ':', 32, 0,
-  /* 3431 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
-  /* 3455 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3475 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3495 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3515 */ '#', 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3536 */ '#', 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3557 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3578 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3600 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3621 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3643 */ '#', 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3665 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3685 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3706 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3727 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3749 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3768 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3788 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3808 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3829 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3849 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3868 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3888 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3908 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3927 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3945 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3964 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 3983 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4003 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4022 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4042 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4062 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4082 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4102 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4122 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4142 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4162 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4181 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4201 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4221 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4240 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4258 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4277 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4296 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4316 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4335 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4355 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4374 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4394 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4412 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4431 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4450 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4470 */ '#', 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4488 */ '#', 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4507 */ '#', 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4525 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4544 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4562 */ '#', 'A', 'T', 'O', 'M', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4579 */ '#', 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4597 */ '#', 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4615 */ '#', 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4634 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4655 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
-  /* 4676 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
-  /* 4685 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
-  /* 4693 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
-  /* 4702 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
-  /* 4710 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
-  /* 4719 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
-  /* 4727 */ 'x', 's', 'h', 'a', '1', 0,
-  /* 4733 */ 'i', 'n', 't', '1', 0,
-  /* 4738 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
-  /* 4757 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
-  /* 4775 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 4788 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 4801 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 4819 */ 'u', 'd', '2', 0,
-  /* 4823 */ 'i', 'n', 't', '3', 0,
-  /* 4828 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
-  /* 4847 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
-  /* 4865 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 4878 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 4891 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 4909 */ 'r', 'e', 'x', '6', '4', 0,
-  /* 4915 */ 'd', 'a', 't', 'a', '1', '6', 0,
-  /* 4922 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
-  /* 4930 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 4943 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 4950 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 4960 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
-  /* 4978 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
-  /* 4994 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
-  /* 5006 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 5021 */ 'a', 'a', 'a', 0,
-  /* 5025 */ 'd', 'a', 'a', 0,
-  /* 5029 */ 'u', 'd', '2', 'b', 0,
-  /* 5034 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
-  /* 5044 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
-  /* 5054 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
-  /* 5064 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
-  /* 5074 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
-  /* 5084 */ 'x', 'l', 'a', 't', 'b', 0,
-  /* 5090 */ 'c', 'l', 'a', 'c', 0,
-  /* 5095 */ 's', 't', 'a', 'c', 0,
-  /* 5100 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
-  /* 5110 */ 'g', 'e', 't', 's', 'e', 'c', 0,
-  /* 5117 */ 's', 'a', 'l', 'c', 0,
-  /* 5122 */ 'c', 'l', 'c', 0,
-  /* 5126 */ 'c', 'm', 'c', 0,
-  /* 5130 */ 'r', 'd', 'p', 'm', 'c', 0,
-  /* 5136 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
-  /* 5143 */ 'r', 'd', 't', 's', 'c', 0,
-  /* 5149 */ 's', 't', 'c', 0,
-  /* 5153 */ 'c', 'p', 'u', 'i', 'd', 0,
-  /* 5159 */ 'c', 'l', 'd', 0,
-  /* 5163 */ 'c', 'l', 't', 'd', 0,
-  /* 5168 */ 's', 't', 'd', 0,
-  /* 5172 */ 'c', 'w', 't', 'd', 0,
-  /* 5177 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
-  /* 5184 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
-  /* 5193 */ 'r', 'e', 'p', 'n', 'e', 0,
-  /* 5199 */ 'x', 's', 't', 'o', 'r', 'e', 0,
-  /* 5206 */ 'l', 'e', 'a', 'v', 'e', 0,
-  /* 5212 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
-  /* 5219 */ 'l', 'a', 'h', 'f', 0,
-  /* 5224 */ 's', 'a', 'h', 'f', 0,
-  /* 5229 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
-  /* 5238 */ 'c', 'l', 'g', 'i', 0,
-  /* 5243 */ 's', 't', 'g', 'i', 0,
-  /* 5248 */ 'c', 'l', 'i', 0,
-  /* 5252 */ 's', 't', 'i', 0,
-  /* 5256 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
-  /* 5271 */ 'l', 'o', 'c', 'k', 0,
-  /* 5276 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
-  /* 5289 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
-  /* 5296 */ 'p', 'o', 'p', 'a', 'l', 0,
-  /* 5302 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
-  /* 5309 */ 'p', 'o', 'p', 'f', 'l', 0,
-  /* 5315 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 5323 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
-  /* 5330 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
-  /* 5338 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
-  /* 5348 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
-  /* 5358 */ 'i', 'r', 'e', 't', 'l', 0,
-  /* 5364 */ 'l', 'r', 'e', 't', 'l', 0,
-  /* 5370 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
-  /* 5378 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
-  /* 5387 */ 'c', 'w', 't', 'l', 0,
-  /* 5392 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
-  /* 5400 */ 'f', 's', 'e', 't', 'p', 'm', 0,
-  /* 5407 */ 'r', 's', 'm', 0,
-  /* 5411 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
-  /* 5438 */ 'i', 'n', 't', 'o', 0,
-  /* 5443 */ 'c', 'q', 't', 'o', 0,
-  /* 5448 */ 'r', 'd', 't', 's', 'c', 'p', 0,
-  /* 5455 */ 'r', 'e', 'p', 0,
-  /* 5459 */ 'n', 'o', 'p', 0,
-  /* 5463 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
-  /* 5470 */ 'p', 'o', 'p', 'f', 'q', 0,
-  /* 5476 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
-  /* 5486 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
-  /* 5496 */ 'i', 'r', 'e', 't', 'q', 0,
-  /* 5502 */ 'l', 'r', 'e', 't', 'q', 0,
-  /* 5508 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
-  /* 5516 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
-  /* 5525 */ 'c', 'l', 't', 'q', 0,
-  /* 5530 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
-  /* 5539 */ 'r', 'd', 'm', 's', 'r', 0,
-  /* 5545 */ 'w', 'r', 'm', 's', 'r', 0,
-  /* 5551 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
-  /* 5561 */ 'a', 'a', 's', 0,
-  /* 5565 */ 'd', 'a', 's', 0,
-  /* 5569 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
-  /* 5579 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
-  /* 5589 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
-  /* 5599 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
-  /* 5608 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
-  /* 5618 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
-  /* 5627 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
-  /* 5637 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
-  /* 5646 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
-  /* 5656 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
-  /* 5665 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
-  /* 5675 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
-  /* 5684 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
-  /* 5694 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
-  /* 5703 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
-  /* 5713 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
-  /* 5722 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
-  /* 5732 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
-  /* 5741 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
-  /* 5751 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
-  /* 5760 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
-  /* 5770 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
-  /* 5779 */ 's', 'w', 'a', 'p', 'g', 's', 0,
-  /* 5786 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
-  /* 5831 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
-  /* 5841 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
-  /* 5850 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
-  /* 5860 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
-  /* 5869 */ 'c', 'l', 't', 's', 0,
-  /* 5874 */ 'h', 'l', 't', 0,
-  /* 5878 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
-  /* 5885 */ 'x', 's', 'e', 't', 'b', 'v', 0,
-  /* 5892 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
-  /* 5899 */ 'p', 'o', 'p', 'a', 'w', 0,
-  /* 5905 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
-  /* 5912 */ 'p', 'o', 'p', 'f', 'w', 0,
-  /* 5918 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
-  /* 5928 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
-  /* 5938 */ 'c', 'b', 't', 'w', 0,
-  /* 5943 */ 'i', 'r', 'e', 't', 'w', 0,
-  /* 5949 */ 'l', 'r', 'e', 't', 'w', 0,
-  /* 5955 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
-  /* 5968 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
-  /* 5980 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
-  /* 5992 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
-  /* 6003 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
-  /* 6015 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 6034 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
-  /* 6048 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
-  /* 6060 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
-  /* 6072 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
-  /* 6083 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
-  /* 6102 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
-  /* 6116 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
-  /* 6130 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 3431 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'X', 'M', 'M', 32, 0,
+  /* 3445 */ '#', 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 32, 0,
+  /* 3469 */ '#', 'S', 'E', 'H', '_', 'S', 't', 'a', 'c', 'k', 'A', 'l', 'l', 'o', 'c', 32, 0,
+  /* 3486 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 3502 */ '#', 'S', 'E', 'H', '_', 'S', 'e', 't', 'F', 'r', 'a', 'm', 'e', 32, 0,
+  /* 3517 */ '#', 'S', 'E', 'H', '_', 'S', 'a', 'v', 'e', 'R', 'e', 'g', 32, 0,
+  /* 3531 */ '#', 'S', 'E', 'H', '_', 'P', 'u', 's', 'h', 'R', 'e', 'g', 32, 0,
+  /* 3545 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3565 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', '*', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3585 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3605 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3625 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3646 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3666 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3686 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3705 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3725 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3745 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3765 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3785 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3805 */ '#', 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3825 */ '#', 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3845 */ '#', 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3864 */ '#', 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3882 */ '#', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3903 */ '#', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', 32, 'P', 'S', 'E', 'U', 'D', 'O', '!', 0,
+  /* 3924 */ 'l', 'c', 'a', 'l', 'l', 'l', 9, '*', 0,
+  /* 3933 */ 'l', 'j', 'm', 'p', 'l', 9, '*', 0,
+  /* 3941 */ 'l', 'c', 'a', 'l', 'l', 'q', 9, '*', 0,
+  /* 3950 */ 'l', 'j', 'm', 'p', 'q', 9, '*', 0,
+  /* 3958 */ 'l', 'c', 'a', 'l', 'l', 'w', 9, '*', 0,
+  /* 3967 */ 'l', 'j', 'm', 'p', 'w', 9, '*', 0,
+  /* 3975 */ 'x', 's', 'h', 'a', '1', 0,
+  /* 3981 */ 'i', 'n', 't', '1', 0,
+  /* 3986 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '3', '2', 0,
+  /* 4005 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '3', '2', 0,
+  /* 4023 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
+  /* 4036 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 4049 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
+  /* 4067 */ 'u', 'd', '2', 0,
+  /* 4071 */ 'i', 'n', 't', '3', 0,
+  /* 4076 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'L', 'O', 'N', 'G', 'J', 'M', 'P', '6', '4', 0,
+  /* 4095 */ '#', 'E', 'H', '_', 'S', 'J', 'L', 'J', '_', 'S', 'E', 'T', 'J', 'M', 'P', '6', '4', 0,
+  /* 4113 */ '#', 32, 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
+  /* 4126 */ '#', 32, 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 4139 */ '#', 32, 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
+  /* 4157 */ 'r', 'e', 'x', '6', '4', 0,
+  /* 4163 */ 'd', 'a', 't', 'a', '1', '6', 0,
+  /* 4170 */ 'x', 's', 'h', 'a', '2', '5', '6', 0,
+  /* 4178 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
+  /* 4191 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
+  /* 4198 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
+  /* 4208 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', 0,
+  /* 4226 */ '#', 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', 0,
+  /* 4242 */ '#', 'M', 'E', 'M', 'B', 'A', 'R', 'R', 'I', 'E', 'R', 0,
+  /* 4254 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
+  /* 4269 */ 'a', 'a', 'a', 0,
+  /* 4273 */ 'd', 'a', 'a', 0,
+  /* 4277 */ 'u', 'd', '2', 'b', 0,
+  /* 4282 */ 'x', 'c', 'r', 'y', 'p', 't', 'e', 'c', 'b', 0,
+  /* 4292 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'f', 'b', 0,
+  /* 4302 */ 'x', 'c', 'r', 'y', 'p', 't', 'o', 'f', 'b', 0,
+  /* 4312 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'b', 0,
+  /* 4322 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'b', 0,
+  /* 4332 */ 'x', 'l', 'a', 't', 'b', 0,
+  /* 4338 */ 'c', 'l', 'a', 'c', 0,
+  /* 4343 */ 's', 't', 'a', 'c', 0,
+  /* 4348 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 'b', 'c', 0,
+  /* 4358 */ 'g', 'e', 't', 's', 'e', 'c', 0,
+  /* 4365 */ 's', 'a', 'l', 'c', 0,
+  /* 4370 */ 'c', 'l', 'c', 0,
+  /* 4374 */ 'c', 'm', 'c', 0,
+  /* 4378 */ 'r', 'd', 'p', 'm', 'c', 0,
+  /* 4384 */ 'v', 'm', 'f', 'u', 'n', 'c', 0,
+  /* 4391 */ 'r', 'd', 't', 's', 'c', 0,
+  /* 4397 */ 's', 't', 'c', 0,
+  /* 4401 */ 'c', 'p', 'u', 'i', 'd', 0,
+  /* 4407 */ 'c', 'l', 'd', 0,
+  /* 4411 */ 'c', 'l', 't', 'd', 0,
+  /* 4416 */ 's', 't', 'd', 0,
+  /* 4420 */ 'c', 'w', 't', 'd', 0,
+  /* 4425 */ 'w', 'b', 'i', 'n', 'v', 'd', 0,
+  /* 4432 */ 'v', 'm', 'r', 'e', 's', 'u', 'm', 'e', 0,
+  /* 4441 */ 'r', 'e', 'p', 'n', 'e', 0,
+  /* 4447 */ 'x', 's', 't', 'o', 'r', 'e', 0,
+  /* 4454 */ '#', 'S', 'E', 'H', '_', 'E', 'p', 'i', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 4468 */ '#', 'S', 'E', 'H', '_', 'E', 'n', 'd', 'P', 'r', 'o', 'l', 'o', 'g', 'u', 'e', 0,
+  /* 4485 */ 'l', 'e', 'a', 'v', 'e', 0,
+  /* 4491 */ 'v', 'm', 'x', 'o', 'f', 'f', 0,
+  /* 4498 */ 'l', 'a', 'h', 'f', 0,
+  /* 4503 */ 's', 'a', 'h', 'f', 0,
+  /* 4508 */ 'v', 'm', 'l', 'a', 'u', 'n', 'c', 'h', 0,
+  /* 4517 */ 'c', 'l', 'g', 'i', 0,
+  /* 4522 */ 's', 't', 'g', 'i', 0,
+  /* 4527 */ 'c', 'l', 'i', 0,
+  /* 4531 */ 's', 't', 'i', 0,
+  /* 4535 */ '#', 32, 'w', 'i', 'n', '3', '2', 32, 'f', 'p', 't', 'o', 'u', 'i', 0,
+  /* 4550 */ 'l', 'o', 'c', 'k', 0,
+  /* 4555 */ 'i', 'n', 'b', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'l', 0,
+  /* 4568 */ 'p', 'u', 's', 'h', 'a', 'l', 0,
+  /* 4575 */ 'p', 'o', 'p', 'a', 'l', 0,
+  /* 4581 */ 'p', 'u', 's', 'h', 'f', 'l', 0,
+  /* 4588 */ 'p', 'o', 'p', 'f', 'l', 0,
+  /* 4594 */ 'v', 'm', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 4602 */ 'v', 'm', 'c', 'a', 'l', 'l', 0,
+  /* 4609 */ 's', 'y', 's', 'c', 'a', 'l', 'l', 0,
+  /* 4617 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'l', 0,
+  /* 4627 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'l', 0,
+  /* 4637 */ 'i', 'r', 'e', 't', 'l', 0,
+  /* 4643 */ 'l', 'r', 'e', 't', 'l', 0,
+  /* 4649 */ 's', 'y', 's', 'r', 'e', 't', 'l', 0,
+  /* 4657 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'l', 0,
+  /* 4666 */ 'c', 'w', 't', 'l', 0,
+  /* 4671 */ 'm', 'o', 'n', 't', 'm', 'u', 'l', 0,
+  /* 4679 */ 'f', 's', 'e', 't', 'p', 'm', 0,
+  /* 4686 */ 'r', 's', 'm', 0,
+  /* 4690 */ '#', 32, 'd', 'y', 'n', 'a', 'm', 'i', 'c', 32, 's', 't', 'a', 'c', 'k', 32, 'a', 'l', 'l', 'o', 'c', 'a', 't', 'i', 'o', 'n', 0,
+  /* 4717 */ 'i', 'n', 't', 'o', 0,
+  /* 4722 */ 'c', 'q', 't', 'o', 0,
+  /* 4727 */ 'r', 'd', 't', 's', 'c', 'p', 0,
+  /* 4734 */ 'r', 'e', 'p', 0,
+  /* 4738 */ 'n', 'o', 'p', 0,
+  /* 4742 */ 'p', 'u', 's', 'h', 'f', 'q', 0,
+  /* 4749 */ 'p', 'o', 'p', 'f', 'q', 0,
+  /* 4755 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'q', 0,
+  /* 4765 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'q', 0,
+  /* 4775 */ 'i', 'r', 'e', 't', 'q', 0,
+  /* 4781 */ 'l', 'r', 'e', 't', 'q', 0,
+  /* 4787 */ 's', 'y', 's', 'r', 'e', 't', 'q', 0,
+  /* 4795 */ 's', 'y', 's', 'e', 'x', 'i', 't', 'q', 0,
+  /* 4804 */ 'c', 'l', 't', 'q', 0,
+  /* 4809 */ 's', 'y', 's', 'e', 'n', 't', 'e', 'r', 0,
+  /* 4818 */ 'r', 'd', 'm', 's', 'r', 0,
+  /* 4824 */ 'w', 'r', 'm', 's', 'r', 0,
+  /* 4830 */ 'x', 'c', 'r', 'y', 'p', 't', 'c', 't', 'r', 0,
+  /* 4840 */ 'a', 'a', 's', 0,
+  /* 4844 */ 'd', 'a', 's', 0,
+  /* 4848 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'c', 's', 0,
+  /* 4858 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'c', 's', 0,
+  /* 4868 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'd', 's', 0,
+  /* 4878 */ 'p', 'o', 'p', 'l', 9, '%', 'd', 's', 0,
+  /* 4887 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'd', 's', 0,
+  /* 4897 */ 'p', 'o', 'p', 'w', 9, '%', 'd', 's', 0,
+  /* 4906 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'e', 's', 0,
+  /* 4916 */ 'p', 'o', 'p', 'l', 9, '%', 'e', 's', 0,
+  /* 4925 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'e', 's', 0,
+  /* 4935 */ 'p', 'o', 'p', 'w', 9, '%', 'e', 's', 0,
+  /* 4944 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'f', 's', 0,
+  /* 4954 */ 'p', 'o', 'p', 'l', 9, '%', 'f', 's', 0,
+  /* 4963 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'f', 's', 0,
+  /* 4973 */ 'p', 'o', 'p', 'q', 9, '%', 'f', 's', 0,
+  /* 4982 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'f', 's', 0,
+  /* 4992 */ 'p', 'o', 'p', 'w', 9, '%', 'f', 's', 0,
+  /* 5001 */ 'p', 'u', 's', 'h', 'l', 9, '%', 'g', 's', 0,
+  /* 5011 */ 'p', 'o', 'p', 'l', 9, '%', 'g', 's', 0,
+  /* 5020 */ 'p', 'u', 's', 'h', 'q', 9, '%', 'g', 's', 0,
+  /* 5030 */ 'p', 'o', 'p', 'q', 9, '%', 'g', 's', 0,
+  /* 5039 */ 'p', 'u', 's', 'h', 'w', 9, '%', 'g', 's', 0,
+  /* 5049 */ 'p', 'o', 'p', 'w', 9, '%', 'g', 's', 0,
+  /* 5058 */ 's', 'w', 'a', 'p', 'g', 's', 0,
+  /* 5065 */ '#', 32, 'v', 'a', 'r', 'i', 'a', 'b', 'l', 'e', 32, 's', 'i', 'z', 'e', 'd', 32, 'a', 'l', 'l', 'o', 'c', 'a', 32, 'f', 'o', 'r', 32, 's', 'e', 'g', 'm', 'e', 'n', 't', 'e', 'd', 32, 's', 't', 'a', 'c', 'k', 's', 0,
+  /* 5110 */ 'p', 'u', 's', 'h', 'l', 9, '%', 's', 's', 0,
+  /* 5120 */ 'p', 'o', 'p', 'l', 9, '%', 's', 's', 0,
+  /* 5129 */ 'p', 'u', 's', 'h', 'w', 9, '%', 's', 's', 0,
+  /* 5139 */ 'p', 'o', 'p', 'w', 9, '%', 's', 's', 0,
+  /* 5148 */ 'c', 'l', 't', 's', 0,
+  /* 5153 */ 'h', 'l', 't', 0,
+  /* 5157 */ 'x', 'g', 'e', 't', 'b', 'v', 0,
+  /* 5164 */ 'x', 's', 'e', 't', 'b', 'v', 0,
+  /* 5171 */ 'p', 'u', 's', 'h', 'a', 'w', 0,
+  /* 5178 */ 'p', 'o', 'p', 'a', 'w', 0,
+  /* 5184 */ 'p', 'u', 's', 'h', 'f', 'w', 0,
+  /* 5191 */ 'p', 'o', 'p', 'f', 'w', 0,
+  /* 5197 */ 'r', 'e', 'p', ';', 's', 't', 'o', 's', 'w', 0,
+  /* 5207 */ 'r', 'e', 'p', ';', 'm', 'o', 'v', 's', 'w', 0,
+  /* 5217 */ 'c', 'b', 't', 'w', 0,
+  /* 5222 */ 'i', 'r', 'e', 't', 'w', 0,
+  /* 5228 */ 'l', 'r', 'e', 't', 'w', 0,
+  /* 5234 */ 'i', 'n', 'w', 9, '%', 'd', 'x', ',', 32, '%', 'a', 'x', 0,
+  /* 5247 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'e', 'a', 'x', 0,
+  /* 5259 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'e', 'a', 'x', 0,
+  /* 5271 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'e', 'a', 'x', 0,
+  /* 5282 */ 's', 'k', 'i', 'n', 'i', 't', 9, '%', 'e', 'a', 'x', 0,
+  /* 5294 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 5313 */ 'i', 'n', 'l', 9, '%', 'd', 'x', ',', 32, '%', 'e', 'a', 'x', 0,
+  /* 5327 */ 'v', 'm', 'l', 'o', 'a', 'd', 9, '%', 'r', 'a', 'x', 0,
+  /* 5339 */ 'v', 'm', 's', 'a', 'v', 'e', 9, '%', 'r', 'a', 'x', 0,
+  /* 5351 */ 'v', 'm', 'r', 'u', 'n', 9, '%', 'r', 'a', 'x', 0,
+  /* 5362 */ 'i', 'n', 'v', 'l', 'p', 'g', 'a', 9, '%', 'e', 'c', 'x', ',', 32, '%', 'r', 'a', 'x', 0,
+  /* 5381 */ 'o', 'u', 't', 'b', 9, '%', 'a', 'l', ',', 32, '%', 'd', 'x', 0,
+  /* 5395 */ 'o', 'u', 't', 'w', 9, '%', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
+  /* 5409 */ 'o', 'u', 't', 'l', 9, '%', 'e', 'a', 'x', ',', 32, '%', 'd', 'x', 0,
   };
 #endif
 
@@ -2442,12 +2367,12 @@
     SStream_concat0(O, ", "); 
     break;
   case 5:
-    // ADC32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, CMOVB32rm,...
+    // ADC32rm, ADCX32rm, ADD32rm, AND32rm, ANDN32rm, CMOVA32rm, CMOVAE32rm, ...
     printi32mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
   case 6:
-    // ADC64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, CMOVB64rm,...
+    // ADC64rm, ADCX64rm, ADD64rm, AND64rm, ANDN64rm, CMOVA64rm, CMOVAE64rm, ...
     printi64mem(MI, 2, O); 
     SStream_concat0(O, ", "); 
     break;
@@ -2459,19 +2384,19 @@
     return;
     break;
   case 8:
-    // ADCX32rm, ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS...
+    // ADOX32rm, BLCFILL32rm, BLCI32rm, BLCIC32rm, BLCMSK32rm, BLCS32rm, BLSF...
     printi32mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
     return;
     break;
   case 9:
-    // ADCX32rr, ADCX64rr, ADOX32rr, ADOX64rr, ARPL16rr, BLCFILL32rr, BLCFILL...
+    // ADOX32rr, ADOX64rr, ARPL16rr, BLCFILL32rr, BLCFILL64rr, BLCI32rr, BLCI...
     printOperand(MI, 1, O); 
     SStream_concat0(O, ", "); 
     break;
   case 10:
-    // ADCX64rm, ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS...
+    // ADOX64rm, BLCFILL64rm, BLCI64rm, BLCIC64rm, BLCMSK64rm, BLCS64rm, BLSF...
     printi64mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -2483,7 +2408,7 @@
     SStream_concat0(O, ", "); 
     break;
   case 12:
-    // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, LAR16rm, LAR32rm, LAR64rm, LSL1...
+    // BOUNDS16rm, BSF16rm, BSR16rm, CMP16rm, LAR16rm, LAR32rm, LAR64rm, LEA1...
     printi16mem(MI, 1, O); 
     SStream_concat0(O, ", "); 
     printOperand(MI, 0, O); 
@@ -2513,20 +2438,20 @@
     printOperand(MI, 0, O); 
     break;
   case 18:
-    // CMPS16, IN16, SCAS16, STOSW
-    printDstIdx16(MI, 0, O); 
+    // CMPSB, INSB, SCASB, STOSB
+    printDstIdx8(MI, 0, O); 
     break;
   case 19:
-    // CMPS32, IN32, SCAS32, STOSL
+    // CMPSL, INSL, SCASL, STOSL
     printDstIdx32(MI, 0, O); 
     break;
   case 20:
-    // CMPS64, SCAS64, STOSQ
+    // CMPSQ, SCASQ, STOSQ
     printDstIdx64(MI, 0, O); 
     break;
   case 21:
-    // CMPS8, IN8, SCAS8, STOSB
-    printDstIdx8(MI, 0, O); 
+    // CMPSW, INSW, SCASW, STOSW
+    printDstIdx16(MI, 0, O); 
     break;
   case 22:
     // CMPXCHG16B, LCMPXCHG16B
@@ -2569,6 +2494,7 @@
     // LODSQ
     printSrcIdx64(MI, 0, O); 
     SStream_concat0(O, ", %rax"); 
+	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 30:
@@ -2642,6 +2568,7 @@
   case 1:
     // ADC16i16, ADD16i16, AND16i16, CMP16i16, IN16ri, LODSW, MOV16o16a, MOV1...
     SStream_concat0(O, ", %ax"); 
+	op_addReg(MI, X86_REG_AX);
     return;
     break;
   case 2:
@@ -2654,12 +2581,13 @@
     printOperand(MI, 1, O); 
     break;
   case 4:
-    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rr, ADCX64rr,...
+    // ADC16rr_REV, ADC32rr_REV, ADC64rr_REV, ADC8rr_REV, ADCX32rm, ADCX32rr,...
     printOperand(MI, 0, O); 
     break;
   case 5:
     // ADC32i32, ADD32i32, AND32i32, CMP32i32, IN32ri, LODSL, MOV32o32a, MOV3...
     SStream_concat0(O, ", %eax"); 
+	op_addReg(MI, X86_REG_EAX);
     return;
     break;
   case 6:
@@ -2670,6 +2598,7 @@
   case 7:
     // ADC64i32, ADD64i32, AND64i32, CMP64i32, MOV64o64a, OR64i32, SBB64i32, ...
     SStream_concat0(O, ", %rax"); 
+	op_addReg(MI, X86_REG_RAX);
     return;
     break;
   case 8:
@@ -2680,6 +2609,7 @@
   case 9:
     // ADC8i8, ADD8i8, AND8i8, CMP8i8, IN8ri, LODSB, MOV64o8a, MOV8o8a, MOV8o...
     SStream_concat0(O, ", %al"); 
+	op_addReg(MI, X86_REG_AL);
     return;
     break;
   case 10:
@@ -2701,7 +2631,7 @@
     return;
     break;
   case 13:
-    // CMPS16, CMPS32, CMPS64, CMPS8, ENTER, VAARG_64, VASTART_SAVE_XMM_REGS
+    // CMPSB, CMPSL, CMPSQ, CMPSW, ENTER, SEH_SaveReg, SEH_SaveXMM, SEH_SetFr...
     SStream_concat0(O, ", "); 
     break;
   case 14:
@@ -2739,6 +2669,7 @@
   case 20:
     // OUTSB, OUTSL, OUTSW
     SStream_concat0(O, ", %dx"); 
+	op_addReg(MI, X86_REG_DX);
     return;
     break;
   case 21:
@@ -2774,27 +2705,27 @@
     return;
     break;
   case 2:
-    // CMPS16
-    printSrcIdx16(MI, 1, O); 
+    // CMPSB
+    printSrcIdx8(MI, 1, O); 
     return;
     break;
   case 3:
-    // CMPS32
+    // CMPSL
     printSrcIdx32(MI, 1, O); 
     return;
     break;
   case 4:
-    // CMPS64
+    // CMPSQ
     printSrcIdx64(MI, 1, O); 
     return;
     break;
   case 5:
-    // CMPS8
-    printSrcIdx8(MI, 1, O); 
+    // CMPSW
+    printSrcIdx16(MI, 1, O); 
     return;
     break;
   case 6:
-    // ENTER, VASTART_SAVE_XMM_REGS
+    // ENTER, SEH_SaveReg, SEH_SaveXMM, SEH_SetFrame, VASTART_SAVE_XMM_REGS
     printOperand(MI, 1, O); 
     break;
   case 7:
@@ -2839,7 +2770,7 @@
     printOperand(MI, 2, O); 
     return;
   } else {
-    // ENTER
+    // ENTER, SEH_SaveReg, SEH_SaveXMM, SEH_SetFrame
     return;
   }
 }
@@ -2850,7 +2781,7 @@
 /// for the specified register.
 static char *getRegisterName(unsigned RegNo)
 {
-  // assert(RegNo && RegNo < 233 && "Invalid register number!");
+  // assert(RegNo && RegNo < 234 && "Invalid register number!");
 
 #ifndef CAPSTONE_DIET
   static char AsmStrs[] = {
@@ -2975,111 +2906,112 @@
   /* 615 */ 'x', 'm', 'm', '7', 0,
   /* 620 */ 'y', 'm', 'm', '7', 0,
   /* 625 */ 'z', 'm', 'm', '7', 0,
-  /* 630 */ 'c', 'r', '7', 0,
-  /* 634 */ 'd', 'r', '7', 0,
-  /* 638 */ 'x', 'm', 'm', '1', '8', 0,
-  /* 644 */ 'y', 'm', 'm', '1', '8', 0,
-  /* 650 */ 'z', 'm', 'm', '1', '8', 0,
-  /* 656 */ 'x', 'm', 'm', '2', '8', 0,
-  /* 662 */ 'y', 'm', 'm', '2', '8', 0,
-  /* 668 */ 'z', 'm', 'm', '2', '8', 0,
-  /* 674 */ 'x', 'm', 'm', '8', 0,
-  /* 679 */ 'y', 'm', 'm', '8', 0,
-  /* 684 */ 'z', 'm', 'm', '8', 0,
-  /* 689 */ 'c', 'r', '8', 0,
-  /* 693 */ 'x', 'm', 'm', '1', '9', 0,
-  /* 699 */ 'y', 'm', 'm', '1', '9', 0,
-  /* 705 */ 'z', 'm', 'm', '1', '9', 0,
-  /* 711 */ 'x', 'm', 'm', '2', '9', 0,
-  /* 717 */ 'y', 'm', 'm', '2', '9', 0,
-  /* 723 */ 'z', 'm', 'm', '2', '9', 0,
-  /* 729 */ 'x', 'm', 'm', '9', 0,
-  /* 734 */ 'y', 'm', 'm', '9', 0,
-  /* 739 */ 'z', 'm', 'm', '9', 0,
-  /* 744 */ 'c', 'r', '9', 0,
-  /* 748 */ 'r', '1', '0', 'b', 0,
-  /* 753 */ 'r', '1', '1', 'b', 0,
-  /* 758 */ 'r', '1', '2', 'b', 0,
-  /* 763 */ 'r', '1', '3', 'b', 0,
-  /* 768 */ 'r', '1', '4', 'b', 0,
-  /* 773 */ 'r', '1', '5', 'b', 0,
-  /* 778 */ 'r', '8', 'b', 0,
-  /* 782 */ 'r', '9', 'b', 0,
-  /* 786 */ 'r', '1', '0', 'd', 0,
-  /* 791 */ 'r', '1', '1', 'd', 0,
-  /* 796 */ 'r', '1', '2', 'd', 0,
-  /* 801 */ 'r', '1', '3', 'd', 0,
-  /* 806 */ 'r', '1', '4', 'd', 0,
-  /* 811 */ 'r', '1', '5', 'd', 0,
-  /* 816 */ 'r', '8', 'd', 0,
-  /* 820 */ 'r', '9', 'd', 0,
-  /* 824 */ 'a', 'h', 0,
-  /* 827 */ 'b', 'h', 0,
-  /* 830 */ 'c', 'h', 0,
-  /* 833 */ 'd', 'h', 0,
-  /* 836 */ 'e', 'd', 'i', 0,
-  /* 840 */ 'r', 'd', 'i', 0,
-  /* 844 */ 'e', 's', 'i', 0,
-  /* 848 */ 'r', 's', 'i', 0,
-  /* 852 */ 'a', 'l', 0,
-  /* 855 */ 'b', 'l', 0,
-  /* 858 */ 'c', 'l', 0,
-  /* 861 */ 'd', 'l', 0,
-  /* 864 */ 'd', 'i', 'l', 0,
-  /* 868 */ 's', 'i', 'l', 0,
-  /* 872 */ 'b', 'p', 'l', 0,
-  /* 876 */ 's', 'p', 'l', 0,
-  /* 880 */ 'e', 'b', 'p', 0,
-  /* 884 */ 'r', 'b', 'p', 0,
-  /* 888 */ 'e', 'i', 'p', 0,
-  /* 892 */ 'r', 'i', 'p', 0,
-  /* 896 */ 'e', 's', 'p', 0,
-  /* 900 */ 'r', 's', 'p', 0,
-  /* 904 */ 'c', 's', 0,
-  /* 907 */ 'd', 's', 0,
-  /* 910 */ 'e', 's', 0,
-  /* 913 */ 'f', 's', 0,
-  /* 916 */ 'f', 'l', 'a', 'g', 's', 0,
-  /* 922 */ 's', 's', 0,
-  /* 925 */ 'r', '1', '0', 'w', 0,
-  /* 930 */ 'r', '1', '1', 'w', 0,
-  /* 935 */ 'r', '1', '2', 'w', 0,
-  /* 940 */ 'r', '1', '3', 'w', 0,
-  /* 945 */ 'r', '1', '4', 'w', 0,
-  /* 950 */ 'r', '1', '5', 'w', 0,
-  /* 955 */ 'r', '8', 'w', 0,
-  /* 959 */ 'r', '9', 'w', 0,
-  /* 963 */ 'f', 'p', 's', 'w', 0,
-  /* 968 */ 'e', 'a', 'x', 0,
-  /* 972 */ 'r', 'a', 'x', 0,
-  /* 976 */ 'e', 'b', 'x', 0,
-  /* 980 */ 'r', 'b', 'x', 0,
-  /* 984 */ 'e', 'c', 'x', 0,
-  /* 988 */ 'r', 'c', 'x', 0,
-  /* 992 */ 'e', 'd', 'x', 0,
-  /* 996 */ 'r', 'd', 'x', 0,
-  /* 1000 */ 'e', 'i', 'z', 0,
-  /* 1004 */ 'r', 'i', 'z', 0,
+  /* 630 */ 'f', 'p', '7', 0,
+  /* 634 */ 'c', 'r', '7', 0,
+  /* 638 */ 'd', 'r', '7', 0,
+  /* 642 */ 'x', 'm', 'm', '1', '8', 0,
+  /* 648 */ 'y', 'm', 'm', '1', '8', 0,
+  /* 654 */ 'z', 'm', 'm', '1', '8', 0,
+  /* 660 */ 'x', 'm', 'm', '2', '8', 0,
+  /* 666 */ 'y', 'm', 'm', '2', '8', 0,
+  /* 672 */ 'z', 'm', 'm', '2', '8', 0,
+  /* 678 */ 'x', 'm', 'm', '8', 0,
+  /* 683 */ 'y', 'm', 'm', '8', 0,
+  /* 688 */ 'z', 'm', 'm', '8', 0,
+  /* 693 */ 'c', 'r', '8', 0,
+  /* 697 */ 'x', 'm', 'm', '1', '9', 0,
+  /* 703 */ 'y', 'm', 'm', '1', '9', 0,
+  /* 709 */ 'z', 'm', 'm', '1', '9', 0,
+  /* 715 */ 'x', 'm', 'm', '2', '9', 0,
+  /* 721 */ 'y', 'm', 'm', '2', '9', 0,
+  /* 727 */ 'z', 'm', 'm', '2', '9', 0,
+  /* 733 */ 'x', 'm', 'm', '9', 0,
+  /* 738 */ 'y', 'm', 'm', '9', 0,
+  /* 743 */ 'z', 'm', 'm', '9', 0,
+  /* 748 */ 'c', 'r', '9', 0,
+  /* 752 */ 'r', '1', '0', 'b', 0,
+  /* 757 */ 'r', '1', '1', 'b', 0,
+  /* 762 */ 'r', '1', '2', 'b', 0,
+  /* 767 */ 'r', '1', '3', 'b', 0,
+  /* 772 */ 'r', '1', '4', 'b', 0,
+  /* 777 */ 'r', '1', '5', 'b', 0,
+  /* 782 */ 'r', '8', 'b', 0,
+  /* 786 */ 'r', '9', 'b', 0,
+  /* 790 */ 'r', '1', '0', 'd', 0,
+  /* 795 */ 'r', '1', '1', 'd', 0,
+  /* 800 */ 'r', '1', '2', 'd', 0,
+  /* 805 */ 'r', '1', '3', 'd', 0,
+  /* 810 */ 'r', '1', '4', 'd', 0,
+  /* 815 */ 'r', '1', '5', 'd', 0,
+  /* 820 */ 'r', '8', 'd', 0,
+  /* 824 */ 'r', '9', 'd', 0,
+  /* 828 */ 'a', 'h', 0,
+  /* 831 */ 'b', 'h', 0,
+  /* 834 */ 'c', 'h', 0,
+  /* 837 */ 'd', 'h', 0,
+  /* 840 */ 'e', 'd', 'i', 0,
+  /* 844 */ 'r', 'd', 'i', 0,
+  /* 848 */ 'e', 's', 'i', 0,
+  /* 852 */ 'r', 's', 'i', 0,
+  /* 856 */ 'a', 'l', 0,
+  /* 859 */ 'b', 'l', 0,
+  /* 862 */ 'c', 'l', 0,
+  /* 865 */ 'd', 'l', 0,
+  /* 868 */ 'd', 'i', 'l', 0,
+  /* 872 */ 's', 'i', 'l', 0,
+  /* 876 */ 'b', 'p', 'l', 0,
+  /* 880 */ 's', 'p', 'l', 0,
+  /* 884 */ 'e', 'b', 'p', 0,
+  /* 888 */ 'r', 'b', 'p', 0,
+  /* 892 */ 'e', 'i', 'p', 0,
+  /* 896 */ 'r', 'i', 'p', 0,
+  /* 900 */ 'e', 's', 'p', 0,
+  /* 904 */ 'r', 's', 'p', 0,
+  /* 908 */ 'c', 's', 0,
+  /* 911 */ 'd', 's', 0,
+  /* 914 */ 'e', 's', 0,
+  /* 917 */ 'f', 's', 0,
+  /* 920 */ 'f', 'l', 'a', 'g', 's', 0,
+  /* 926 */ 's', 's', 0,
+  /* 929 */ 'r', '1', '0', 'w', 0,
+  /* 934 */ 'r', '1', '1', 'w', 0,
+  /* 939 */ 'r', '1', '2', 'w', 0,
+  /* 944 */ 'r', '1', '3', 'w', 0,
+  /* 949 */ 'r', '1', '4', 'w', 0,
+  /* 954 */ 'r', '1', '5', 'w', 0,
+  /* 959 */ 'r', '8', 'w', 0,
+  /* 963 */ 'r', '9', 'w', 0,
+  /* 967 */ 'f', 'p', 's', 'w', 0,
+  /* 972 */ 'e', 'a', 'x', 0,
+  /* 976 */ 'r', 'a', 'x', 0,
+  /* 980 */ 'e', 'b', 'x', 0,
+  /* 984 */ 'r', 'b', 'x', 0,
+  /* 988 */ 'e', 'c', 'x', 0,
+  /* 992 */ 'r', 'c', 'x', 0,
+  /* 996 */ 'e', 'd', 'x', 0,
+  /* 1000 */ 'r', 'd', 'x', 0,
+  /* 1004 */ 'e', 'i', 'z', 0,
+  /* 1008 */ 'r', 'i', 'z', 0,
   };
 
   static const uint32_t RegAsmOffset[] = {
-    824, 852, 969, 827, 855, 881, 872, 977, 830, 858, 904, 985, 833, 837, 
-    864, 861, 907, 993, 968, 880, 976, 984, 836, 992, 916, 888, 1000, 910, 
-    844, 896, 963, 913, 919, 889, 972, 884, 980, 988, 840, 996, 892, 1004, 
-    848, 900, 845, 868, 897, 876, 922, 129, 218, 289, 360, 431, 502, 568, 
-    630, 689, 744, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
-    506, 572, 634, 125, 214, 285, 356, 427, 498, 564, 107, 196, 267, 338, 
-    409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 690, 745, 
-    67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 42, 
-    110, 199, 270, 341, 412, 483, 549, 615, 674, 729, 48, 137, 226, 297, 
-    368, 439, 510, 576, 638, 693, 71, 160, 249, 320, 391, 462, 528, 594, 
-    656, 711, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 679, 734, 
-    54, 143, 232, 303, 374, 445, 516, 582, 644, 699, 77, 166, 255, 326, 
-    397, 468, 534, 600, 662, 717, 95, 184, 120, 209, 280, 351, 422, 493, 
-    559, 625, 684, 739, 60, 149, 238, 309, 380, 451, 522, 588, 650, 705, 
-    83, 172, 261, 332, 403, 474, 540, 606, 668, 723, 101, 190, 778, 782, 
-    748, 753, 758, 763, 768, 773, 816, 820, 786, 791, 796, 801, 806, 811, 
-    955, 959, 925, 930, 935, 940, 945, 950, 
+    828, 856, 973, 831, 859, 885, 876, 981, 834, 862, 908, 989, 837, 841, 
+    868, 865, 911, 997, 972, 884, 980, 988, 840, 996, 920, 892, 1004, 914, 
+    848, 900, 967, 917, 923, 893, 976, 888, 984, 992, 844, 1000, 896, 1008, 
+    852, 904, 849, 872, 901, 880, 926, 129, 218, 289, 360, 431, 502, 568, 
+    634, 693, 748, 66, 155, 244, 315, 386, 457, 133, 222, 293, 364, 435, 
+    506, 572, 638, 125, 214, 285, 356, 427, 498, 564, 630, 107, 196, 267, 
+    338, 409, 480, 546, 612, 111, 200, 271, 342, 413, 484, 550, 616, 694, 
+    749, 67, 156, 245, 316, 387, 458, 0, 6, 12, 18, 24, 30, 36, 
+    42, 110, 199, 270, 341, 412, 483, 549, 615, 678, 733, 48, 137, 226, 
+    297, 368, 439, 510, 576, 642, 697, 71, 160, 249, 320, 391, 462, 528, 
+    594, 660, 715, 89, 178, 115, 204, 275, 346, 417, 488, 554, 620, 683, 
+    738, 54, 143, 232, 303, 374, 445, 516, 582, 648, 703, 77, 166, 255, 
+    326, 397, 468, 534, 600, 666, 721, 95, 184, 120, 209, 280, 351, 422, 
+    493, 559, 625, 688, 743, 60, 149, 238, 309, 380, 451, 522, 588, 654, 
+    709, 83, 172, 261, 332, 403, 474, 540, 606, 672, 727, 101, 190, 782, 
+    786, 752, 757, 762, 767, 772, 777, 820, 824, 790, 795, 800, 805, 810, 
+    815, 959, 963, 929, 934, 939, 944, 949, 954, 
   };
 
   //int i;
@@ -3095,14 +3027,20 @@
 #ifdef PRINT_ALIAS_INSTR
 #undef PRINT_ALIAS_INSTR
 
+static void printCustomAliasOperand(MCInst *MI, unsigned OpIdx,
+  unsigned PrintMethodIdx, SStream *OS)
+{
+}
+
 static char *printAliasInstr(MCInst *MI, SStream *OS, void *info)
 {
   #define GETREGCLASS_CONTAIN(_class, _reg) MCRegisterClass_contains(MCRegisterInfo_getRegClass(MRI, _class), MCOperand_getReg(MCInst_getOperand(MI, _reg)))
   const char *AsmString;
   char *tmp, *AsmMnem, *AsmOps, *c;
-  // MCRegisterInfo *MRI = (MCRegisterInfo *)info;
+  int OpIdx, PrintMethodIdx;
+  MCRegisterInfo *MRI = (MCRegisterInfo *)info;
   switch (MCInst_getOpcode(MI)) {
-  default: return 0;
+  default: return NULL;
   case X86_AAD8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3111,7 +3049,7 @@
       AsmString = "aad";
       break;
     }
-    return 0;
+    return NULL;
   case X86_AAM8i8:
     if (MCInst_getNumOperands(MI) == 1 &&
         MCOperand_isImm(MCInst_getOperand(MI, 0)) &&
@@ -3120,30 +3058,105 @@
       AsmString = "aam";
       break;
     }
-    return 0;
+    return NULL;
+  case X86_IMUL16rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri GR16:$r, GR16:$r, i16imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL16rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR16RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL16rri8 GR16:$r, GR16:$r, i16i8imm:$imm)
+      AsmString = "imulw $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri GR32:$r, GR32:$r, i32imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL32rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR32RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL32rri8 GR32:$r, GR32:$r, i32i8imm:$imm)
+      AsmString = "imull $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri32:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri32 GR64:$r, GR64:$r, i64i32imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
+  case X86_IMUL64rri8:
+    if (MCInst_getNumOperands(MI) == 3 &&
+        MCOperand_isReg(MCInst_getOperand(MI, 0)) &&
+        GETREGCLASS_CONTAIN(X86_GR64RegClassID, 0) &&
+        MCOperand_isReg(MCInst_getOperand(MI, 1)) &&
+        MCOperand_getReg(MCInst_getOperand(MI, 1)) == MCOperand_getReg(MCInst_getOperand(MI, 0))) {
+      // (IMUL64rri8 GR64:$r, GR64:$r, i64i8imm:$imm)
+      AsmString = "imulq $\x03, $\x01";
+      break;
+    }
+    return NULL;
   case X86_XSTORE:
     if (MCInst_getNumOperands(MI) == 0) {
       // (XSTORE)
       AsmString = "xstorerng";
       break;
     }
-    return 0;
+    return NULL;
   }
 
   tmp = cs_strdup(AsmString);
   AsmMnem = tmp;
-  AsmOps = strchr(tmp, ' ');
-  if (AsmOps) {
-    *AsmOps = '\0';
-    AsmOps += 1;
+  for(AsmOps = tmp; *AsmOps; AsmOps++) {
+    if (*AsmOps == ' ' || *AsmOps == '\t') {
+      *AsmOps = '\0';
+      AsmOps++;
+      break;
+    }
   }
   SStream_concat0(OS, AsmMnem);
-  if (AsmOps) {
+  if (*AsmOps) {
     SStream_concat0(OS, "\t");
     for (c = AsmOps; *c; c++) {
       if (*c == '$') {
         c += 1;
-        printOperand(MI, *c - 1, OS);
+        if (*c == (char)0xff) {
+          c += 1;
+          OpIdx = *c - 1;
+          c += 1;
+          PrintMethodIdx = *c - 1;
+          printCustomAliasOperand(MI, OpIdx, PrintMethodIdx, OS);
+        } else
+          printOperand(MI, *c - 1, OS);
       } else {
         SStream_concat(OS, "%c", *c);
       }
diff --git a/arch/X86/X86GenDisassemblerTables.inc b/arch/X86/X86GenDisassemblerTables.inc
index b88066d..8ab0642 100644
--- a/arch/X86/X86GenDisassemblerTables.inc
+++ b/arch/X86/X86GenDisassemblerTables.inc
@@ -10,6 +10,7 @@
 /* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 static const struct OpcodeDecision emptyTable = {
+ /* IC_OF */
   {
    /* 0x00 */
    { /* ModRMDecision */
@@ -1294,13 +1295,14 @@
   }
 };
 
-static const struct OperandSpecifier x86OperandSets[][5] = {
+static const struct OperandSpecifier x86OperandSets[][6] = {
   { /* 0 */
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 1 */
     { ENCODING_IB, TYPE_IMM8 },
@@ -1308,6 +1310,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 2 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -1315,6 +1318,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 3 */
     { ENCODING_RM, TYPE_Mv },
@@ -1322,6 +1326,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 4 */
     { ENCODING_RM, TYPE_Mv },
@@ -1329,6 +1334,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 5 */
     { ENCODING_RM, TYPE_Mv },
@@ -1336,6 +1342,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 6 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1343,6 +1350,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 7 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1350,6 +1358,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 8 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1357,6 +1366,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 9 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1364,6 +1374,7 @@
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 10 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1371,6 +1382,7 @@
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 11 */
     { ENCODING_RM, TYPE_Mv },
@@ -1378,6 +1390,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 12 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1385,6 +1398,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 13 */
     { ENCODING_ID, TYPE_IMM64 },
@@ -1392,6 +1406,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 14 */
     { ENCODING_RM, TYPE_Mv },
@@ -1399,6 +1414,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 15 */
     { ENCODING_RM, TYPE_Mv },
@@ -1406,6 +1422,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 16 */
     { ENCODING_RM, TYPE_Mv },
@@ -1413,6 +1430,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 17 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1420,6 +1438,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 18 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1427,6 +1446,7 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 19 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1434,6 +1454,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 20 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1441,6 +1462,7 @@
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 21 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1448,6 +1470,7 @@
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 22 */
     { ENCODING_RM, TYPE_M8 },
@@ -1455,6 +1478,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 23 */
     { ENCODING_RM, TYPE_M8 },
@@ -1462,6 +1486,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 24 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1469,6 +1494,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 25 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1476,6 +1502,7 @@
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 26 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1483,6 +1510,7 @@
     { ENCODING_REG, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 27 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1490,8 +1518,10 @@
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 28 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1499,6 +1529,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 29 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1506,99 +1537,113 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 30 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 31 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 32 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 33 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 34 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 35 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 36 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 37 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 36 */
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 37 */
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 38 */
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 39 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 40 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 41 */
     { ENCODING_FP, TYPE_ST },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 40 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 41 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 42 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 43 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1606,599 +1651,685 @@
   { /* 44 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 45 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 46 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 47 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 48 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 47 */
+  { /* 49 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 48 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 49 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 50 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 51 */
-    { ENCODING_RM, TYPE_R16 },
-    { ENCODING_REG, TYPE_R16 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 52 */
-    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_REG, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 53 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_REG, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 54 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 55 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 56 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 55 */
+  { /* 57 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 56 */
+  { /* 58 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 57 */
+  { /* 59 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 58 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_ID, TYPE_IMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 59 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_ID, TYPE_IMM64 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 60 */
-    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
+    { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 61 */
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 62 */
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 63 */
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 64 */
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 65 */
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 66 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 65 */
+  { /* 67 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 66 */
+  { /* 68 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 67 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 68 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_Rv, TYPE_Rv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 69 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 70 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 71 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 72 */
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 73 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 74 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 75 */
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 76 */
-    { ENCODING_ID, TYPE_REL64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 77 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 78 */
-    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_ID, TYPE_REL64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 79 */
-    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 80 */
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 81 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 82 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_ID, TYPE_IMM64 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 83 */
-    { ENCODING_RM, TYPE_R8 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 84 */
-    { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_ID, TYPE_IMM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 85 */
     { ENCODING_RM, TYPE_R8 },
-    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 86 */
     { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 87 */
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 88 */
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 89 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 88 */
+  { /* 90 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 89 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
-    { ENCODING_SI, TYPE_SRCIDX16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 90 */
-    { ENCODING_DI, TYPE_DSTIDX32 },
-    { ENCODING_SI, TYPE_SRCIDX32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 91 */
-    { ENCODING_DI, TYPE_DSTIDX64 },
-    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_DI, TYPE_DSTIDX8 },
+    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 92 */
-    { ENCODING_DI, TYPE_DSTIDX8 },
-    { ENCODING_SI, TYPE_SRCIDX8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 93 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_IMM3 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 94 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 94 */
+    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 95 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM3 },
+    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 96 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 97 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM3 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 98 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 99 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 100 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 101 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 102 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 103 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 104 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 105 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 106 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 107 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 108 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 109 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 110 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 111 */
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 112 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 113 */
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 114 */
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 115 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 116 */
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 117 */
     { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 118 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 119 */
     { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 120 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 121 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 122 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 123 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 124 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 125 */
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 126 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 127 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 128 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 129 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -2206,820 +2337,937 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 130 */
-    { ENCODING_IW, TYPE_IMM16 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 131 */
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 132 */
     { ENCODING_RM, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 132 */
+  { /* 133 */
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 133 */
+  { /* 134 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 134 */
-    { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 135 */
-    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 136 */
-    { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 137 */
-    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_IW, TYPE_IMM16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 138 */
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 139 */
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 140 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 141 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 142 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 143 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 144 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 145 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 146 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 147 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 148 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 149 */
-    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_DI, TYPE_DSTIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 150 */
-    { ENCODING_DI, TYPE_DSTIDX8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 151 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 152 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 152 */
+    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 153 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 154 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 155 */
-    { ENCODING_IB, TYPE_REL8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 156 */
-    { ENCODING_Iv, TYPE_RELv },
+    { ENCODING_IB, TYPE_REL8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 157 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_Iv, TYPE_RELv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 158 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_VK8 },
+    { ENCODING_RM, TYPE_VK8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 159 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_VK32 },
+    { ENCODING_RM, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 160 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_VK64 },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 161 */
-    { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_VK16 },
+    { ENCODING_RM, TYPE_VK16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 162 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_RM, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 163 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_RM, TYPE_VK16 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 164 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_VK8 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 165 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 166 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 167 */
-    { ENCODING_RM, TYPE_M80FP },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 168 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 169 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 170 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 171 */
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_VK32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 172 */
-    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 173 */
-    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 174 */
-    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 175 */
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 176 */
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_VK64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 177 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 178 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 179 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 180 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 181 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 182 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_RM, TYPE_VK16 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 183 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 184 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 185 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 186 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_M80FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 187 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 188 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 189 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 190 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 191 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 192 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 193 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 194 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 195 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 196 */
-    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 197 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 198 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 199 */
-    { ENCODING_REG, TYPE_MM64 },
-    { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 200 */
     { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_RM, TYPE_MM64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 201 */
-    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 202 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 203 */
-    { ENCODING_Rv, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 204 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 205 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 206 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 207 */
-    { ENCODING_Ia, TYPE_MOFFS32 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 208 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 209 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 210 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 211 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 212 */
-    { ENCODING_Ia, TYPE_MOFFS64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 213 */
-    { ENCODING_Ia, TYPE_MOFFS8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 214 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 215 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 216 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 217 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 218 */
-    { ENCODING_RO, TYPE_R64 },
-    { ENCODING_IO, TYPE_IMMv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 219 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 220 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 221 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 222 */
-    { ENCODING_RB, TYPE_R8 },
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
+  { /* 219 */
+    { ENCODING_REG, TYPE_MM64 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 220 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_MM64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 221 */
+    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 222 */
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
   { /* 223 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 224 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 225 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 226 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 227 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_Ia, TYPE_MOFFS32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 228 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 229 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 230 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 231 */
     { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 232 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_Ia, TYPE_MOFFS64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 233 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_Ia, TYPE_MOFFS8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 234 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 235 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 236 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 237 */
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 238 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 239 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 240 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 241 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 242 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RB, TYPE_R8 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 243 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 244 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 245 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 246 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3027,82 +3275,94 @@
   { /* 247 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 248 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 249 */
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 250 */
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 251 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 252 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 253 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 254 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 255 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 256 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 257 */
-    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 258 */
-    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3110,112 +3370,128 @@
   },
   { /* 259 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 260 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 261 */
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 262 */
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 263 */
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 264 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 265 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 266 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 267 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 268 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 269 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 270 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 271 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 272 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 273 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM, TYPE_M8 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 274 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -3223,1651 +3499,3798 @@
   { /* 275 */
     { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 276 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 277 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 278 */
-    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 279 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 280 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 281 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 282 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 283 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 284 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 285 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 286 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 287 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 288 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 289 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 290 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 291 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 292 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 293 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 294 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 295 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 296 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 297 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 298 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 299 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 300 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 301 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 302 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 303 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 304 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 305 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 306 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 307 */
+  { /* 305 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 306 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 307 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 308 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 309 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 310 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 311 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 312 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 313 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 314 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 315 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 316 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 317 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 318 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 319 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 320 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 321 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 322 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 323 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 324 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 325 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 326 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 327 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 328 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_IMM5 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
   },
   { /* 329 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 330 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM5 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
   },
   { /* 331 */
-    { ENCODING_REG, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 332 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 333 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 334 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 335 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 336 */
     { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_IB, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 337 */
     { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_IB, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 338 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 339 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 340 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 341 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 342 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 343 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 344 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 345 */
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 346 */
-    { ENCODING_RM, TYPE_M128 },
     { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 347 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 348 */
-    { ENCODING_RM, TYPE_M256 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 349 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 350 */
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 351 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 352 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 353 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 354 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM5 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 355 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 356 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 357 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 358 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 359 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 360 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 361 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 362 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 363 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 364 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 365 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 366 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 367 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 368 */
+    { ENCODING_REG, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 369 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 370 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM5 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 371 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 372 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 373 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 374 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 375 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 376 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 377 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 378 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 379 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 380 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 381 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 382 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 383 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 384 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 385 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 386 */
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 387 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 388 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 389 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 390 */
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 391 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 392 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 393 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 394 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 395 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 396 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 397 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 398 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 399 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 400 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 401 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 356 */
+  { /* 402 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 403 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD4, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 404 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 357 */
+  { /* 405 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 358 */
+  { /* 406 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 359 */
+  { /* 407 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 408 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 409 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 360 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 361 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 362 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 363 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 364 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 365 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 366 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 367 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 368 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 369 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 370 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 371 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 372 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 373 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 374 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 375 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 376 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 377 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 378 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 379 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 380 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 381 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 382 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 383 */
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_IB, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 384 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 385 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 386 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM64 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 387 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 388 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 389 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 390 */
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_IB, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 391 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 392 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 393 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM32 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 394 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-  },
-  { /* 395 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M64 },
-  },
-  { /* 396 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-  },
-  { /* 397 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M32 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-  },
-  { /* 398 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M32 },
-  },
-  { /* 399 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP4 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-  },
-  { /* 400 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_DUP, TYPE_DUP3 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M64 },
-  },
-  { /* 401 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 402 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 403 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 404 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 405 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 406 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 407 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M32FP },
-    { ENCODING_IB, TYPE_IMM32 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 408 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 409 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 410 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 411 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 412 */
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD8, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 413 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD8, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 414 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 415 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 416 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 417 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 418 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 419 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 420 */
     { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 421 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 422 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 423 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 424 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 425 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 426 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 427 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_WRITEMASK, TYPE_VK1 },
-    { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 428 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 429 */
+    { ENCODING_RM_CD64, TYPE_XMM128 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 430 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 431 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD64, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 432 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 433 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 434 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 435 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 436 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 437 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 438 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 439 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 440 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 441 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 442 */
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 443 */
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 444 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 445 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
+  { /* 443 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 444 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 445 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
   { /* 446 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 447 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 448 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_IB, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 449 */
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 450 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 451 */
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 452 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 453 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 454 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 455 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 456 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 457 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 458 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 459 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 460 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 461 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 462 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_M32FP },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 463 */
-    { ENCODING_REG, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 464 */
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M64 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 465 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M64 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 466 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
     { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64 },
     { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M8 },
-    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 467 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 468 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_M32 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 469 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP4 },
     { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M32 },
     { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 470 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD4, TYPE_M32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 471 */
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_M32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 472 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 473 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_VK16 },
-    { ENCODING_IB, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_DUP, TYPE_DUP3 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD4, TYPE_M64 },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 474 */
-    { ENCODING_RM, TYPE_M256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 475 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 476 */
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 477 */
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_REG, TYPE_VK8 },
-    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 478 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 479 */
-    { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_M128 },
-    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 480 */
     { ENCODING_REG, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 481 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_RM, TYPE_M32 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 482 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 483 */
-    { ENCODING_DUP, TYPE_DUP2 },
-    { ENCODING_RM, TYPE_M64 },
-    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 484 */
-    { ENCODING_VVVV, TYPE_XMM256 },
-    { ENCODING_RM, TYPE_XMM256 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 485 */
-    { ENCODING_VVVV, TYPE_XMM128 },
-    { ENCODING_RM, TYPE_XMM128 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 486 */
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 487 */
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 488 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 489 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 490 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 491 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 492 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 493 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 494 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 495 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 496 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 497 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 498 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 499 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 500 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 501 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 502 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 503 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 504 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 505 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 506 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 507 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 508 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 509 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 510 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 511 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 512 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 513 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 514 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 515 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 516 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 517 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 518 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 519 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 520 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 521 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 522 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 523 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 524 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 525 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 526 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 527 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 528 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 529 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 530 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 531 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 532 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 533 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 534 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 535 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 536 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 537 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 538 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 539 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 540 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 541 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 542 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 543 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 544 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 545 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 546 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 547 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 548 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 549 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 550 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 551 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 552 */
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 553 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 554 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 555 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 556 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 557 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 558 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 559 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 560 */
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 561 */
+    { ENCODING_RM_CD16, TYPE_R32 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 562 */
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 563 */
+    { ENCODING_RM_CD16, TYPE_R64 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 564 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 565 */
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 566 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_M64FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 567 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 568 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 569 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 570 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 571 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 572 */
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 573 */
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 574 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_M32FP },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 575 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 576 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 577 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_WRITEMASK, TYPE_VK1 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 578 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 579 */
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 580 */
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 581 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 582 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 583 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 584 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 585 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 586 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 587 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 588 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 589 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 590 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 591 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 592 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 593 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 594 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 595 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 596 */
+    { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 487 */
+  { /* 597 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 598 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 599 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 600 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 601 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 602 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 603 */
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 604 */
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 605 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 606 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD64, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 607 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 608 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 609 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 610 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 611 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 612 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 613 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 614 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 615 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 616 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 617 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 618 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 619 */
+    { ENCODING_REG, TYPE_VK64 },
+    { ENCODING_WRITEMASK, TYPE_VK64 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 620 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 621 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 622 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 623 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 624 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 625 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 626 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 627 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 628 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 629 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 630 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 631 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 632 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 633 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 634 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 635 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 636 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 637 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 638 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 639 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 640 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 641 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 642 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 643 */
+    { ENCODING_REG, TYPE_VK2 },
+    { ENCODING_WRITEMASK, TYPE_VK2 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 644 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 645 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 646 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 647 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 648 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 649 */
+    { ENCODING_REG, TYPE_VK4 },
+    { ENCODING_WRITEMASK, TYPE_VK4 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 650 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 651 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 652 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 653 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 654 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 655 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 656 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 657 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 658 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 659 */
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 660 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 661 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 662 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 663 */
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 664 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 665 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 666 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 667 */
+    { ENCODING_REG, TYPE_VK32 },
+    { ENCODING_WRITEMASK, TYPE_VK32 },
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 668 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD4, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 669 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 670 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 671 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 672 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 673 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 674 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 675 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 676 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_M256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 677 */
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 678 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 679 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 680 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 681 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 682 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 683 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 684 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 685 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 686 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 687 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 688 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 689 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 690 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 691 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_VK16 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 692 */
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 693 */
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 694 */
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 695 */
+    { ENCODING_RM_CD8, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 696 */
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 697 */
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 698 */
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 699 */
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_REG, TYPE_VK8 },
+    { ENCODING_IB, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 700 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 701 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 702 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 703 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD8, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 704 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_M256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 705 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 706 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD32, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 707 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 708 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 709 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 710 */
+    { ENCODING_REG, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 711 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_RM_CD4, TYPE_M32 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 712 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_RM_CD8, TYPE_M64 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 713 */
+    { ENCODING_DUP, TYPE_DUP2 },
+    { ENCODING_RM_CD4, TYPE_M64 },
+    { ENCODING_WRITEMASK, TYPE_VK8 },
+    { ENCODING_REG, TYPE_XMM256 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 714 */
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 715 */
+    { ENCODING_VVVV, TYPE_XMM128 },
+    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 716 */
+    { ENCODING_VVVV, TYPE_XMM256 },
+    { ENCODING_RM, TYPE_XMM256 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 717 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 488 */
+  { /* 718 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_VVVV, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 489 */
+  { /* 719 */
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 720 */
+    { ENCODING_VVVV, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 490 */
+  { /* 721 */
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 491 */
+  { /* 722 */
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_WRITEMASK, TYPE_VK16 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 492 */
-    { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_WRITEMASK, TYPE_VK16 },
-    { ENCODING_RM, TYPE_XMM512 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 493 */
+  { /* 723 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 494 */
+  { /* 724 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 495 */
+  { /* 725 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 496 */
+  { /* 726 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK16 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 497 */
+  { /* 727 */
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_XMM128 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 498 */
+  { /* 728 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_M512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 499 */
+  { /* 729 */
     { ENCODING_VVVV, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
-    { ENCODING_RM, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 500 */
+  { /* 730 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_RM_CD16, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 501 */
+  { /* 731 */
     { ENCODING_REG, TYPE_XMM512 },
     { ENCODING_WRITEMASK, TYPE_VK8 },
     { ENCODING_VVVV, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_XMM128 },
+    { ENCODING_RM_CD16, TYPE_XMM128 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 502 */
+  { /* 732 */
     { ENCODING_REG, TYPE_XMM512 },
-    { ENCODING_RM, TYPE_M512 },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM_CD8, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 503 */
+  { /* 733 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD4, TYPE_XMM512 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 734 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_M512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 735 */
+    { ENCODING_REG, TYPE_XMM512 },
+    { ENCODING_RM_CD64, TYPE_XMM512 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 736 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_M64FP },
+    { ENCODING_RM_CD8, TYPE_M64FP },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 504 */
+  { /* 737 */
     { ENCODING_REG, TYPE_XMM64 },
     { ENCODING_VVVV, TYPE_XMM64 },
-    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 505 */
+  { /* 738 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_M32FP },
+    { ENCODING_RM_CD4, TYPE_M32FP },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 506 */
+  { /* 739 */
     { ENCODING_REG, TYPE_XMM32 },
     { ENCODING_VVVV, TYPE_XMM32 },
-    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 507 */
+  { /* 740 */
     { ENCODING_REG, TYPE_XMM128 },
     { ENCODING_VVVV, TYPE_XMM128 },
     { ENCODING_RM, TYPE_M64FP },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 508 */
+  { /* 741 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM, TYPE_XMM64 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 742 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM, TYPE_XMM32 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 743 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_M256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 509 */
+  { /* 744 */
     { ENCODING_REG, TYPE_XMM256 },
     { ENCODING_RM, TYPE_XMM256 },
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 745 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_VVVV, TYPE_XMM64 },
+    { ENCODING_RM_CD16, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 746 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_VVVV, TYPE_XMM32 },
+    { ENCODING_RM_CD16, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 747 */
+    { ENCODING_REG, TYPE_XMM64 },
+    { ENCODING_RM_CD8, TYPE_XMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 748 */
+    { ENCODING_REG, TYPE_XMM32 },
+    { ENCODING_RM_CD4, TYPE_XMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
 };
 
-static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[5450] = {
+static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[6259] = {
   { /* 0 */
     0,
     /*  */
@@ -4946,27 +7369,27 @@
   },
   { /* 19 */
     0,
-    /* AAA */
+    /*  */
   },
   { /* 20 */
-    1,
-    /* AAD8i8 */
+    0,
+    /* AAA */
   },
   { /* 21 */
     1,
-    /* AAM8i8 */
+    /* AAD8i8 */
   },
   { /* 22 */
-    0,
-    /* AAS */
+    1,
+    /* AAM8i8 */
   },
   { /* 23 */
     0,
-    /* ABS_F */
+    /* AAS */
   },
   { /* 24 */
     0,
-    /*  */
+    /* ABS_F */
   },
   { /* 25 */
     0,
@@ -4993,425 +7416,425 @@
     /*  */
   },
   { /* 31 */
+    0,
+    /*  */
+  },
+  { /* 32 */
     2,
     /* ADC16i16 */
   },
-  { /* 32 */
+  { /* 33 */
     3,
     /* ADC16mi */
   },
-  { /* 33 */
+  { /* 34 */
     4,
     /* ADC16mi8 */
   },
-  { /* 34 */
+  { /* 35 */
     5,
     /* ADC16mr */
   },
-  { /* 35 */
+  { /* 36 */
     6,
     /* ADC16ri */
   },
-  { /* 36 */
+  { /* 37 */
     7,
     /* ADC16ri8 */
   },
-  { /* 37 */
+  { /* 38 */
     8,
     /* ADC16rm */
   },
-  { /* 38 */
+  { /* 39 */
     9,
     /* ADC16rr */
   },
-  { /* 39 */
+  { /* 40 */
     10,
     /* ADC16rr_REV */
   },
-  { /* 40 */
+  { /* 41 */
     2,
     /* ADC32i32 */
   },
-  { /* 41 */
+  { /* 42 */
     3,
     /* ADC32mi */
   },
-  { /* 42 */
+  { /* 43 */
     11,
     /* ADC32mi8 */
   },
-  { /* 43 */
+  { /* 44 */
     5,
     /* ADC32mr */
   },
-  { /* 44 */
+  { /* 45 */
     6,
     /* ADC32ri */
   },
-  { /* 45 */
+  { /* 46 */
     12,
     /* ADC32ri8 */
   },
-  { /* 46 */
+  { /* 47 */
     8,
     /* ADC32rm */
   },
-  { /* 47 */
+  { /* 48 */
     9,
     /* ADC32rr */
   },
-  { /* 48 */
+  { /* 49 */
     10,
     /* ADC32rr_REV */
   },
-  { /* 49 */
+  { /* 50 */
     13,
     /* ADC64i32 */
   },
-  { /* 50 */
+  { /* 51 */
     14,
     /* ADC64mi32 */
   },
-  { /* 51 */
+  { /* 52 */
     15,
     /* ADC64mi8 */
   },
-  { /* 52 */
+  { /* 53 */
     16,
     /* ADC64mr */
   },
-  { /* 53 */
+  { /* 54 */
     17,
     /* ADC64ri32 */
   },
-  { /* 54 */
+  { /* 55 */
     18,
     /* ADC64ri8 */
   },
-  { /* 55 */
+  { /* 56 */
     19,
     /* ADC64rm */
   },
-  { /* 56 */
+  { /* 57 */
     20,
     /* ADC64rr */
   },
-  { /* 57 */
+  { /* 58 */
     21,
     /* ADC64rr_REV */
   },
-  { /* 58 */
+  { /* 59 */
     1,
     /* ADC8i8 */
   },
-  { /* 59 */
+  { /* 60 */
     22,
     /* ADC8mi */
   },
-  { /* 60 */
+  { /* 61 */
     23,
     /* ADC8mr */
   },
-  { /* 61 */
+  { /* 62 */
     24,
     /* ADC8ri */
   },
-  { /* 62 */
+  { /* 63 */
     25,
     /* ADC8rm */
   },
-  { /* 63 */
+  { /* 64 */
     26,
     /* ADC8rr */
   },
-  { /* 64 */
+  { /* 65 */
     27,
     /* ADC8rr_REV */
   },
-  { /* 65 */
+  { /* 66 */
     28,
     /* ADCX32rm */
   },
-  { /* 66 */
+  { /* 67 */
     29,
     /* ADCX32rr */
   },
-  { /* 67 */
-    30,
+  { /* 68 */
+    19,
     /* ADCX64rm */
   },
-  { /* 68 */
-    31,
+  { /* 69 */
+    21,
     /* ADCX64rr */
   },
-  { /* 69 */
+  { /* 70 */
     2,
     /* ADD16i16 */
   },
-  { /* 70 */
+  { /* 71 */
     3,
     /* ADD16mi */
   },
-  { /* 71 */
+  { /* 72 */
     4,
     /* ADD16mi8 */
   },
-  { /* 72 */
+  { /* 73 */
     5,
     /* ADD16mr */
   },
-  { /* 73 */
+  { /* 74 */
     6,
     /* ADD16ri */
   },
-  { /* 74 */
+  { /* 75 */
     7,
     /* ADD16ri8 */
   },
-  { /* 75 */
-    0,
-    /*  */
-  },
   { /* 76 */
     0,
     /*  */
   },
   { /* 77 */
+    0,
+    /*  */
+  },
+  { /* 78 */
     8,
     /* ADD16rm */
   },
-  { /* 78 */
+  { /* 79 */
     9,
     /* ADD16rr */
   },
-  { /* 79 */
+  { /* 80 */
     0,
     /*  */
   },
-  { /* 80 */
+  { /* 81 */
     10,
     /* ADD16rr_REV */
   },
-  { /* 81 */
+  { /* 82 */
     2,
     /* ADD32i32 */
   },
-  { /* 82 */
+  { /* 83 */
     3,
     /* ADD32mi */
   },
-  { /* 83 */
+  { /* 84 */
     11,
     /* ADD32mi8 */
   },
-  { /* 84 */
+  { /* 85 */
     5,
     /* ADD32mr */
   },
-  { /* 85 */
+  { /* 86 */
     6,
     /* ADD32ri */
   },
-  { /* 86 */
+  { /* 87 */
     12,
     /* ADD32ri8 */
   },
-  { /* 87 */
-    0,
-    /*  */
-  },
   { /* 88 */
     0,
     /*  */
   },
   { /* 89 */
+    0,
+    /*  */
+  },
+  { /* 90 */
     8,
     /* ADD32rm */
   },
-  { /* 90 */
+  { /* 91 */
     9,
     /* ADD32rr */
   },
-  { /* 91 */
+  { /* 92 */
     0,
     /*  */
   },
-  { /* 92 */
+  { /* 93 */
     10,
     /* ADD32rr_REV */
   },
-  { /* 93 */
+  { /* 94 */
     13,
     /* ADD64i32 */
   },
-  { /* 94 */
+  { /* 95 */
     14,
     /* ADD64mi32 */
   },
-  { /* 95 */
+  { /* 96 */
     15,
     /* ADD64mi8 */
   },
-  { /* 96 */
+  { /* 97 */
     16,
     /* ADD64mr */
   },
-  { /* 97 */
+  { /* 98 */
     17,
     /* ADD64ri32 */
   },
-  { /* 98 */
+  { /* 99 */
     0,
     /*  */
   },
-  { /* 99 */
+  { /* 100 */
     18,
     /* ADD64ri8 */
   },
-  { /* 100 */
+  { /* 101 */
     0,
     /*  */
   },
-  { /* 101 */
+  { /* 102 */
     19,
     /* ADD64rm */
   },
-  { /* 102 */
+  { /* 103 */
     20,
     /* ADD64rr */
   },
-  { /* 103 */
+  { /* 104 */
     0,
     /*  */
   },
-  { /* 104 */
+  { /* 105 */
     21,
     /* ADD64rr_REV */
   },
-  { /* 105 */
+  { /* 106 */
     1,
     /* ADD8i8 */
   },
-  { /* 106 */
+  { /* 107 */
     22,
     /* ADD8mi */
   },
-  { /* 107 */
+  { /* 108 */
     23,
     /* ADD8mr */
   },
-  { /* 108 */
+  { /* 109 */
     24,
     /* ADD8ri */
   },
-  { /* 109 */
+  { /* 110 */
     24,
     /* ADD8ri8 */
   },
-  { /* 110 */
+  { /* 111 */
     25,
     /* ADD8rm */
   },
-  { /* 111 */
+  { /* 112 */
     26,
     /* ADD8rr */
   },
-  { /* 112 */
+  { /* 113 */
     27,
     /* ADD8rr_REV */
   },
-  { /* 113 */
-    32,
+  { /* 114 */
+    30,
     /* ADDPDrm */
   },
-  { /* 114 */
-    33,
+  { /* 115 */
+    31,
     /* ADDPDrr */
   },
-  { /* 115 */
-    32,
+  { /* 116 */
+    30,
     /* ADDPSrm */
   },
-  { /* 116 */
-    33,
+  { /* 117 */
+    31,
     /* ADDPSrr */
   },
-  { /* 117 */
-    34,
+  { /* 118 */
+    32,
     /* ADDSDrm */
   },
-  { /* 118 */
+  { /* 119 */
     0,
     /*  */
   },
-  { /* 119 */
-    35,
-    /* ADDSDrr */
-  },
   { /* 120 */
-    0,
-    /*  */
+    33,
+    /* ADDSDrr */
   },
   { /* 121 */
-    36,
-    /* ADDSSrm */
+    0,
+    /*  */
   },
   { /* 122 */
-    0,
-    /*  */
+    34,
+    /* ADDSSrm */
   },
   { /* 123 */
-    37,
-    /* ADDSSrr */
+    0,
+    /*  */
   },
   { /* 124 */
-    0,
-    /*  */
+    35,
+    /* ADDSSrr */
   },
   { /* 125 */
-    32,
-    /* ADDSUBPDrm */
-  },
-  { /* 126 */
-    33,
-    /* ADDSUBPDrr */
-  },
-  { /* 127 */
-    32,
-    /* ADDSUBPSrm */
-  },
-  { /* 128 */
-    33,
-    /* ADDSUBPSrr */
-  },
-  { /* 129 */
-    38,
-    /* ADD_F32m */
-  },
-  { /* 130 */
-    39,
-    /* ADD_F64m */
-  },
-  { /* 131 */
-    40,
-    /* ADD_FI16m */
-  },
-  { /* 132 */
-    40,
-    /* ADD_FI32m */
-  },
-  { /* 133 */
-    41,
-    /* ADD_FPrST0 */
-  },
-  { /* 134 */
-    41,
-    /* ADD_FST0r */
-  },
-  { /* 135 */
     0,
     /*  */
   },
+  { /* 126 */
+    30,
+    /* ADDSUBPDrm */
+  },
+  { /* 127 */
+    31,
+    /* ADDSUBPDrr */
+  },
+  { /* 128 */
+    30,
+    /* ADDSUBPSrm */
+  },
+  { /* 129 */
+    31,
+    /* ADDSUBPSrr */
+  },
+  { /* 130 */
+    36,
+    /* ADD_F32m */
+  },
+  { /* 131 */
+    37,
+    /* ADD_F64m */
+  },
+  { /* 132 */
+    38,
+    /* ADD_FI16m */
+  },
+  { /* 133 */
+    38,
+    /* ADD_FI32m */
+  },
+  { /* 134 */
+    39,
+    /* ADD_FPrST0 */
+  },
+  { /* 135 */
+    39,
+    /* ADD_FST0r */
+  },
   { /* 136 */
     0,
     /*  */
@@ -5465,13 +7888,13 @@
     /*  */
   },
   { /* 149 */
-    41,
-    /* ADD_FrST0 */
-  },
-  { /* 150 */
     0,
     /*  */
   },
+  { /* 150 */
+    39,
+    /* ADD_FrST0 */
+  },
   { /* 151 */
     0,
     /*  */
@@ -5485,268 +7908,268 @@
     /*  */
   },
   { /* 154 */
-    28,
-    /* ADOX32rm */
+    0,
+    /*  */
   },
   { /* 155 */
-    29,
-    /* ADOX32rr */
+    40,
+    /* ADOX32rm */
   },
   { /* 156 */
-    30,
-    /* ADOX64rm */
+    41,
+    /* ADOX32rr */
   },
   { /* 157 */
-    31,
-    /* ADOX64rr */
+    42,
+    /* ADOX64rm */
   },
   { /* 158 */
-    32,
-    /* AESDECLASTrm */
+    43,
+    /* ADOX64rr */
   },
   { /* 159 */
-    33,
-    /* AESDECLASTrr */
+    30,
+    /* AESDECLASTrm */
   },
   { /* 160 */
-    32,
-    /* AESDECrm */
+    31,
+    /* AESDECLASTrr */
   },
   { /* 161 */
-    33,
-    /* AESDECrr */
+    30,
+    /* AESDECrm */
   },
   { /* 162 */
-    32,
-    /* AESENCLASTrm */
+    31,
+    /* AESDECrr */
   },
   { /* 163 */
-    33,
-    /* AESENCLASTrr */
+    30,
+    /* AESENCLASTrm */
   },
   { /* 164 */
-    32,
-    /* AESENCrm */
+    31,
+    /* AESENCLASTrr */
   },
   { /* 165 */
-    33,
-    /* AESENCrr */
+    30,
+    /* AESENCrm */
   },
   { /* 166 */
-    42,
-    /* AESIMCrm */
+    31,
+    /* AESENCrr */
   },
   { /* 167 */
-    43,
-    /* AESIMCrr */
+    44,
+    /* AESIMCrm */
   },
   { /* 168 */
-    44,
-    /* AESKEYGENASSIST128rm */
+    45,
+    /* AESIMCrr */
   },
   { /* 169 */
-    45,
-    /* AESKEYGENASSIST128rr */
+    46,
+    /* AESKEYGENASSIST128rm */
   },
   { /* 170 */
+    47,
+    /* AESKEYGENASSIST128rr */
+  },
+  { /* 171 */
     2,
     /* AND16i16 */
   },
-  { /* 171 */
+  { /* 172 */
     3,
     /* AND16mi */
   },
-  { /* 172 */
+  { /* 173 */
     4,
     /* AND16mi8 */
   },
-  { /* 173 */
+  { /* 174 */
     5,
     /* AND16mr */
   },
-  { /* 174 */
+  { /* 175 */
     6,
     /* AND16ri */
   },
-  { /* 175 */
+  { /* 176 */
     7,
     /* AND16ri8 */
   },
-  { /* 176 */
+  { /* 177 */
     8,
     /* AND16rm */
   },
-  { /* 177 */
+  { /* 178 */
     9,
     /* AND16rr */
   },
-  { /* 178 */
+  { /* 179 */
     10,
     /* AND16rr_REV */
   },
-  { /* 179 */
+  { /* 180 */
     2,
     /* AND32i32 */
   },
-  { /* 180 */
+  { /* 181 */
     3,
     /* AND32mi */
   },
-  { /* 181 */
+  { /* 182 */
     11,
     /* AND32mi8 */
   },
-  { /* 182 */
+  { /* 183 */
     5,
     /* AND32mr */
   },
-  { /* 183 */
+  { /* 184 */
     6,
     /* AND32ri */
   },
-  { /* 184 */
+  { /* 185 */
     12,
     /* AND32ri8 */
   },
-  { /* 185 */
+  { /* 186 */
     8,
     /* AND32rm */
   },
-  { /* 186 */
+  { /* 187 */
     9,
     /* AND32rr */
   },
-  { /* 187 */
+  { /* 188 */
     10,
     /* AND32rr_REV */
   },
-  { /* 188 */
+  { /* 189 */
     13,
     /* AND64i32 */
   },
-  { /* 189 */
+  { /* 190 */
     14,
     /* AND64mi32 */
   },
-  { /* 190 */
+  { /* 191 */
     15,
     /* AND64mi8 */
   },
-  { /* 191 */
+  { /* 192 */
     16,
     /* AND64mr */
   },
-  { /* 192 */
+  { /* 193 */
     17,
     /* AND64ri32 */
   },
-  { /* 193 */
+  { /* 194 */
     18,
     /* AND64ri8 */
   },
-  { /* 194 */
+  { /* 195 */
     19,
     /* AND64rm */
   },
-  { /* 195 */
+  { /* 196 */
     20,
     /* AND64rr */
   },
-  { /* 196 */
+  { /* 197 */
     21,
     /* AND64rr_REV */
   },
-  { /* 197 */
+  { /* 198 */
     1,
     /* AND8i8 */
   },
-  { /* 198 */
+  { /* 199 */
     22,
     /* AND8mi */
   },
-  { /* 199 */
+  { /* 200 */
     23,
     /* AND8mr */
   },
-  { /* 200 */
+  { /* 201 */
     24,
     /* AND8ri */
   },
-  { /* 201 */
+  { /* 202 */
     24,
     /* AND8ri8 */
   },
-  { /* 202 */
+  { /* 203 */
     25,
     /* AND8rm */
   },
-  { /* 203 */
+  { /* 204 */
     26,
     /* AND8rr */
   },
-  { /* 204 */
+  { /* 205 */
     27,
     /* AND8rr_REV */
   },
-  { /* 205 */
-    46,
+  { /* 206 */
+    48,
     /* ANDN32rm */
   },
-  { /* 206 */
-    47,
+  { /* 207 */
+    49,
     /* ANDN32rr */
   },
-  { /* 207 */
-    48,
+  { /* 208 */
+    50,
     /* ANDN64rm */
   },
-  { /* 208 */
-    49,
+  { /* 209 */
+    51,
     /* ANDN64rr */
   },
-  { /* 209 */
-    32,
+  { /* 210 */
+    30,
     /* ANDNPDrm */
   },
-  { /* 210 */
-    33,
+  { /* 211 */
+    31,
     /* ANDNPDrr */
   },
-  { /* 211 */
-    32,
+  { /* 212 */
+    30,
     /* ANDNPSrm */
   },
-  { /* 212 */
-    33,
+  { /* 213 */
+    31,
     /* ANDNPSrr */
   },
-  { /* 213 */
-    32,
+  { /* 214 */
+    30,
     /* ANDPDrm */
   },
-  { /* 214 */
-    33,
+  { /* 215 */
+    31,
     /* ANDPDrr */
   },
-  { /* 215 */
-    32,
+  { /* 216 */
+    30,
     /* ANDPSrm */
   },
-  { /* 216 */
-    33,
+  { /* 217 */
+    31,
     /* ANDPSrr */
   },
-  { /* 217 */
-    50,
+  { /* 218 */
+    52,
     /* ARPL16mr */
   },
-  { /* 218 */
-    51,
-    /* ARPL16rr */
-  },
   { /* 219 */
-    0,
-    /*  */
+    53,
+    /* ARPL16rr */
   },
   { /* 220 */
     0,
@@ -5761,864 +8184,864 @@
     /*  */
   },
   { /* 223 */
-    0,
-    /*  */
-  },
-  { /* 224 */
-    0,
-    /*  */
-  },
-  { /* 225 */
-    0,
-    /*  */
-  },
-  { /* 226 */
-    0,
-    /*  */
-  },
-  { /* 227 */
-    0,
-    /*  */
-  },
-  { /* 228 */
-    0,
-    /*  */
-  },
-  { /* 229 */
-    0,
-    /*  */
-  },
-  { /* 230 */
-    0,
-    /*  */
-  },
-  { /* 231 */
-    0,
-    /*  */
-  },
-  { /* 232 */
-    0,
-    /*  */
-  },
-  { /* 233 */
-    0,
-    /*  */
-  },
-  { /* 234 */
-    0,
-    /*  */
-  },
-  { /* 235 */
-    0,
-    /*  */
-  },
-  { /* 236 */
-    0,
-    /*  */
-  },
-  { /* 237 */
-    0,
-    /*  */
-  },
-  { /* 238 */
-    0,
-    /*  */
-  },
-  { /* 239 */
-    0,
-    /*  */
-  },
-  { /* 240 */
-    0,
-    /*  */
-  },
-  { /* 241 */
-    0,
-    /*  */
-  },
-  { /* 242 */
-    0,
-    /*  */
-  },
-  { /* 243 */
-    0,
-    /*  */
-  },
-  { /* 244 */
-    0,
-    /*  */
-  },
-  { /* 245 */
-    0,
-    /*  */
-  },
-  { /* 246 */
-    0,
-    /*  */
-  },
-  { /* 247 */
-    0,
-    /*  */
-  },
-  { /* 248 */
-    0,
-    /*  */
-  },
-  { /* 249 */
-    0,
-    /*  */
-  },
-  { /* 250 */
-    0,
-    /*  */
-  },
-  { /* 251 */
-    0,
-    /*  */
-  },
-  { /* 252 */
-    0,
-    /*  */
-  },
-  { /* 253 */
-    0,
-    /*  */
-  },
-  { /* 254 */
-    0,
-    /*  */
-  },
-  { /* 255 */
-    0,
-    /*  */
-  },
-  { /* 256 */
-    0,
-    /*  */
-  },
-  { /* 257 */
-    0,
-    /*  */
-  },
-  { /* 258 */
-    0,
-    /*  */
-  },
-  { /* 259 */
-    0,
-    /*  */
-  },
-  { /* 260 */
-    0,
-    /*  */
-  },
-  { /* 261 */
-    0,
-    /*  */
-  },
-  { /* 262 */
-    0,
-    /*  */
-  },
-  { /* 263 */
-    0,
-    /*  */
-  },
-  { /* 264 */
-    0,
-    /*  */
-  },
-  { /* 265 */
-    52,
+    54,
     /* BEXTR32rm */
   },
-  { /* 266 */
-    53,
+  { /* 224 */
+    55,
     /* BEXTR32rr */
   },
-  { /* 267 */
-    54,
+  { /* 225 */
+    56,
     /* BEXTR64rm */
   },
-  { /* 268 */
-    55,
+  { /* 226 */
+    57,
     /* BEXTR64rr */
   },
-  { /* 269 */
-    56,
+  { /* 227 */
+    58,
     /* BEXTRI32mi */
   },
-  { /* 270 */
-    57,
+  { /* 228 */
+    59,
     /* BEXTRI32ri */
   },
-  { /* 271 */
-    58,
+  { /* 229 */
+    60,
     /* BEXTRI64mi */
   },
-  { /* 272 */
-    59,
+  { /* 230 */
+    61,
     /* BEXTRI64ri */
   },
-  { /* 273 */
-    60,
+  { /* 231 */
+    62,
     /* BLCFILL32rm */
   },
-  { /* 274 */
-    61,
+  { /* 232 */
+    63,
     /* BLCFILL32rr */
   },
+  { /* 233 */
+    64,
+    /* BLCFILL64rm */
+  },
+  { /* 234 */
+    65,
+    /* BLCFILL64rr */
+  },
+  { /* 235 */
+    62,
+    /* BLCI32rm */
+  },
+  { /* 236 */
+    63,
+    /* BLCI32rr */
+  },
+  { /* 237 */
+    64,
+    /* BLCI64rm */
+  },
+  { /* 238 */
+    65,
+    /* BLCI64rr */
+  },
+  { /* 239 */
+    62,
+    /* BLCIC32rm */
+  },
+  { /* 240 */
+    63,
+    /* BLCIC32rr */
+  },
+  { /* 241 */
+    64,
+    /* BLCIC64rm */
+  },
+  { /* 242 */
+    65,
+    /* BLCIC64rr */
+  },
+  { /* 243 */
+    62,
+    /* BLCMSK32rm */
+  },
+  { /* 244 */
+    63,
+    /* BLCMSK32rr */
+  },
+  { /* 245 */
+    64,
+    /* BLCMSK64rm */
+  },
+  { /* 246 */
+    65,
+    /* BLCMSK64rr */
+  },
+  { /* 247 */
+    62,
+    /* BLCS32rm */
+  },
+  { /* 248 */
+    63,
+    /* BLCS32rr */
+  },
+  { /* 249 */
+    64,
+    /* BLCS64rm */
+  },
+  { /* 250 */
+    65,
+    /* BLCS64rr */
+  },
+  { /* 251 */
+    66,
+    /* BLENDPDrmi */
+  },
+  { /* 252 */
+    67,
+    /* BLENDPDrri */
+  },
+  { /* 253 */
+    66,
+    /* BLENDPSrmi */
+  },
+  { /* 254 */
+    67,
+    /* BLENDPSrri */
+  },
+  { /* 255 */
+    30,
+    /* BLENDVPDrm0 */
+  },
+  { /* 256 */
+    31,
+    /* BLENDVPDrr0 */
+  },
+  { /* 257 */
+    30,
+    /* BLENDVPSrm0 */
+  },
+  { /* 258 */
+    31,
+    /* BLENDVPSrr0 */
+  },
+  { /* 259 */
+    62,
+    /* BLSFILL32rm */
+  },
+  { /* 260 */
+    63,
+    /* BLSFILL32rr */
+  },
+  { /* 261 */
+    64,
+    /* BLSFILL64rm */
+  },
+  { /* 262 */
+    65,
+    /* BLSFILL64rr */
+  },
+  { /* 263 */
+    62,
+    /* BLSI32rm */
+  },
+  { /* 264 */
+    63,
+    /* BLSI32rr */
+  },
+  { /* 265 */
+    64,
+    /* BLSI64rm */
+  },
+  { /* 266 */
+    65,
+    /* BLSI64rr */
+  },
+  { /* 267 */
+    62,
+    /* BLSIC32rm */
+  },
+  { /* 268 */
+    63,
+    /* BLSIC32rr */
+  },
+  { /* 269 */
+    64,
+    /* BLSIC64rm */
+  },
+  { /* 270 */
+    65,
+    /* BLSIC64rr */
+  },
+  { /* 271 */
+    62,
+    /* BLSMSK32rm */
+  },
+  { /* 272 */
+    63,
+    /* BLSMSK32rr */
+  },
+  { /* 273 */
+    64,
+    /* BLSMSK64rm */
+  },
+  { /* 274 */
+    65,
+    /* BLSMSK64rr */
+  },
   { /* 275 */
     62,
-    /* BLCFILL64rm */
+    /* BLSR32rm */
   },
   { /* 276 */
     63,
-    /* BLCFILL64rr */
-  },
-  { /* 277 */
-    60,
-    /* BLCI32rm */
-  },
-  { /* 278 */
-    61,
-    /* BLCI32rr */
-  },
-  { /* 279 */
-    62,
-    /* BLCI64rm */
-  },
-  { /* 280 */
-    63,
-    /* BLCI64rr */
-  },
-  { /* 281 */
-    60,
-    /* BLCIC32rm */
-  },
-  { /* 282 */
-    61,
-    /* BLCIC32rr */
-  },
-  { /* 283 */
-    62,
-    /* BLCIC64rm */
-  },
-  { /* 284 */
-    63,
-    /* BLCIC64rr */
-  },
-  { /* 285 */
-    60,
-    /* BLCMSK32rm */
-  },
-  { /* 286 */
-    61,
-    /* BLCMSK32rr */
-  },
-  { /* 287 */
-    62,
-    /* BLCMSK64rm */
-  },
-  { /* 288 */
-    63,
-    /* BLCMSK64rr */
-  },
-  { /* 289 */
-    60,
-    /* BLCS32rm */
-  },
-  { /* 290 */
-    61,
-    /* BLCS32rr */
-  },
-  { /* 291 */
-    62,
-    /* BLCS64rm */
-  },
-  { /* 292 */
-    63,
-    /* BLCS64rr */
-  },
-  { /* 293 */
-    64,
-    /* BLENDPDrmi */
-  },
-  { /* 294 */
-    65,
-    /* BLENDPDrri */
-  },
-  { /* 295 */
-    64,
-    /* BLENDPSrmi */
-  },
-  { /* 296 */
-    65,
-    /* BLENDPSrri */
-  },
-  { /* 297 */
-    32,
-    /* BLENDVPDrm0 */
-  },
-  { /* 298 */
-    33,
-    /* BLENDVPDrr0 */
-  },
-  { /* 299 */
-    32,
-    /* BLENDVPSrm0 */
-  },
-  { /* 300 */
-    33,
-    /* BLENDVPSrr0 */
-  },
-  { /* 301 */
-    60,
-    /* BLSFILL32rm */
-  },
-  { /* 302 */
-    61,
-    /* BLSFILL32rr */
-  },
-  { /* 303 */
-    62,
-    /* BLSFILL64rm */
-  },
-  { /* 304 */
-    63,
-    /* BLSFILL64rr */
-  },
-  { /* 305 */
-    60,
-    /* BLSI32rm */
-  },
-  { /* 306 */
-    61,
-    /* BLSI32rr */
-  },
-  { /* 307 */
-    62,
-    /* BLSI64rm */
-  },
-  { /* 308 */
-    63,
-    /* BLSI64rr */
-  },
-  { /* 309 */
-    60,
-    /* BLSIC32rm */
-  },
-  { /* 310 */
-    61,
-    /* BLSIC32rr */
-  },
-  { /* 311 */
-    62,
-    /* BLSIC64rm */
-  },
-  { /* 312 */
-    63,
-    /* BLSIC64rr */
-  },
-  { /* 313 */
-    60,
-    /* BLSMSK32rm */
-  },
-  { /* 314 */
-    61,
-    /* BLSMSK32rr */
-  },
-  { /* 315 */
-    62,
-    /* BLSMSK64rm */
-  },
-  { /* 316 */
-    63,
-    /* BLSMSK64rr */
-  },
-  { /* 317 */
-    60,
-    /* BLSR32rm */
-  },
-  { /* 318 */
-    61,
     /* BLSR32rr */
   },
-  { /* 319 */
-    62,
+  { /* 277 */
+    64,
     /* BLSR64rm */
   },
-  { /* 320 */
-    63,
+  { /* 278 */
+    65,
     /* BLSR64rr */
   },
-  { /* 321 */
-    66,
+  { /* 279 */
+    68,
     /* BOUNDS16rm */
   },
-  { /* 322 */
-    66,
+  { /* 280 */
+    68,
     /* BOUNDS32rm */
   },
-  { /* 323 */
-    66,
+  { /* 281 */
+    68,
     /* BSF16rm */
   },
-  { /* 324 */
-    67,
+  { /* 282 */
+    69,
     /* BSF16rr */
   },
-  { /* 325 */
-    66,
+  { /* 283 */
+    68,
     /* BSF32rm */
   },
-  { /* 326 */
-    67,
+  { /* 284 */
+    69,
     /* BSF32rr */
   },
-  { /* 327 */
-    30,
+  { /* 285 */
+    42,
     /* BSF64rm */
   },
-  { /* 328 */
-    31,
+  { /* 286 */
+    43,
     /* BSF64rr */
   },
-  { /* 329 */
-    66,
+  { /* 287 */
+    68,
     /* BSR16rm */
   },
-  { /* 330 */
-    67,
+  { /* 288 */
+    69,
     /* BSR16rr */
   },
-  { /* 331 */
-    66,
+  { /* 289 */
+    68,
     /* BSR32rm */
   },
-  { /* 332 */
-    67,
+  { /* 290 */
+    69,
     /* BSR32rr */
   },
-  { /* 333 */
-    30,
+  { /* 291 */
+    42,
     /* BSR64rm */
   },
-  { /* 334 */
-    31,
+  { /* 292 */
+    43,
     /* BSR64rr */
   },
-  { /* 335 */
-    68,
+  { /* 293 */
+    70,
     /* BSWAP32r */
   },
-  { /* 336 */
-    69,
+  { /* 294 */
+    71,
     /* BSWAP64r */
   },
-  { /* 337 */
+  { /* 295 */
     4,
     /* BT16mi8 */
   },
-  { /* 338 */
+  { /* 296 */
     5,
     /* BT16mr */
   },
-  { /* 339 */
-    70,
+  { /* 297 */
+    72,
     /* BT16ri8 */
   },
-  { /* 340 */
-    71,
+  { /* 298 */
+    73,
     /* BT16rr */
   },
-  { /* 341 */
+  { /* 299 */
     11,
     /* BT32mi8 */
   },
-  { /* 342 */
+  { /* 300 */
     5,
     /* BT32mr */
   },
-  { /* 343 */
-    72,
+  { /* 301 */
+    74,
     /* BT32ri8 */
   },
-  { /* 344 */
-    71,
+  { /* 302 */
+    73,
     /* BT32rr */
   },
-  { /* 345 */
+  { /* 303 */
     15,
     /* BT64mi8 */
   },
-  { /* 346 */
+  { /* 304 */
     16,
     /* BT64mr */
   },
-  { /* 347 */
-    73,
+  { /* 305 */
+    75,
     /* BT64ri8 */
   },
-  { /* 348 */
-    74,
+  { /* 306 */
+    76,
     /* BT64rr */
   },
-  { /* 349 */
+  { /* 307 */
     4,
     /* BTC16mi8 */
   },
-  { /* 350 */
+  { /* 308 */
     5,
     /* BTC16mr */
   },
-  { /* 351 */
-    70,
+  { /* 309 */
+    72,
     /* BTC16ri8 */
   },
-  { /* 352 */
-    71,
+  { /* 310 */
+    73,
     /* BTC16rr */
   },
-  { /* 353 */
+  { /* 311 */
     11,
     /* BTC32mi8 */
   },
-  { /* 354 */
+  { /* 312 */
     5,
     /* BTC32mr */
   },
-  { /* 355 */
-    72,
+  { /* 313 */
+    74,
     /* BTC32ri8 */
   },
-  { /* 356 */
-    71,
+  { /* 314 */
+    73,
     /* BTC32rr */
   },
-  { /* 357 */
+  { /* 315 */
     15,
     /* BTC64mi8 */
   },
-  { /* 358 */
+  { /* 316 */
     16,
     /* BTC64mr */
   },
-  { /* 359 */
-    73,
+  { /* 317 */
+    75,
     /* BTC64ri8 */
   },
-  { /* 360 */
-    74,
+  { /* 318 */
+    76,
     /* BTC64rr */
   },
-  { /* 361 */
+  { /* 319 */
     4,
     /* BTR16mi8 */
   },
-  { /* 362 */
+  { /* 320 */
     5,
     /* BTR16mr */
   },
-  { /* 363 */
-    70,
+  { /* 321 */
+    72,
     /* BTR16ri8 */
   },
-  { /* 364 */
-    71,
+  { /* 322 */
+    73,
     /* BTR16rr */
   },
-  { /* 365 */
+  { /* 323 */
     11,
     /* BTR32mi8 */
   },
-  { /* 366 */
+  { /* 324 */
     5,
     /* BTR32mr */
   },
-  { /* 367 */
-    72,
+  { /* 325 */
+    74,
     /* BTR32ri8 */
   },
-  { /* 368 */
-    71,
+  { /* 326 */
+    73,
     /* BTR32rr */
   },
-  { /* 369 */
+  { /* 327 */
     15,
     /* BTR64mi8 */
   },
-  { /* 370 */
+  { /* 328 */
     16,
     /* BTR64mr */
   },
-  { /* 371 */
-    73,
+  { /* 329 */
+    75,
     /* BTR64ri8 */
   },
-  { /* 372 */
-    74,
+  { /* 330 */
+    76,
     /* BTR64rr */
   },
-  { /* 373 */
+  { /* 331 */
     4,
     /* BTS16mi8 */
   },
-  { /* 374 */
+  { /* 332 */
     5,
     /* BTS16mr */
   },
-  { /* 375 */
-    70,
+  { /* 333 */
+    72,
     /* BTS16ri8 */
   },
-  { /* 376 */
-    71,
+  { /* 334 */
+    73,
     /* BTS16rr */
   },
-  { /* 377 */
+  { /* 335 */
     11,
     /* BTS32mi8 */
   },
-  { /* 378 */
+  { /* 336 */
     5,
     /* BTS32mr */
   },
-  { /* 379 */
-    72,
+  { /* 337 */
+    74,
     /* BTS32ri8 */
   },
-  { /* 380 */
-    71,
+  { /* 338 */
+    73,
     /* BTS32rr */
   },
-  { /* 381 */
+  { /* 339 */
     15,
     /* BTS64mi8 */
   },
-  { /* 382 */
+  { /* 340 */
     16,
     /* BTS64mr */
   },
-  { /* 383 */
-    73,
+  { /* 341 */
+    75,
     /* BTS64ri8 */
   },
-  { /* 384 */
-    74,
+  { /* 342 */
+    76,
     /* BTS64rr */
   },
-  { /* 385 */
-    52,
+  { /* 343 */
+    54,
     /* BZHI32rm */
   },
-  { /* 386 */
-    53,
+  { /* 344 */
+    55,
     /* BZHI32rr */
   },
-  { /* 387 */
-    54,
+  { /* 345 */
+    56,
     /* BZHI64rm */
   },
-  { /* 388 */
-    55,
+  { /* 346 */
+    57,
     /* BZHI64rr */
   },
-  { /* 389 */
-    40,
+  { /* 347 */
+    38,
     /* CALL16m */
   },
-  { /* 390 */
-    75,
+  { /* 348 */
+    77,
     /* CALL16r */
   },
-  { /* 391 */
-    40,
+  { /* 349 */
+    38,
     /* CALL32m */
   },
-  { /* 392 */
-    75,
+  { /* 350 */
+    77,
     /* CALL32r */
   },
-  { /* 393 */
-    40,
+  { /* 351 */
+    38,
     /* CALL64m */
   },
-  { /* 394 */
-    76,
+  { /* 352 */
+    78,
     /* CALL64pcrel32 */
   },
-  { /* 395 */
-    77,
+  { /* 353 */
+    79,
     /* CALL64r */
   },
-  { /* 396 */
-    78,
+  { /* 354 */
+    80,
     /* CALLpcrel16 */
   },
-  { /* 397 */
-    79,
+  { /* 355 */
+    81,
     /* CALLpcrel32 */
   },
-  { /* 398 */
+  { /* 356 */
     0,
     /* CBW */
   },
-  { /* 399 */
+  { /* 357 */
     0,
     /* CDQ */
   },
-  { /* 400 */
+  { /* 358 */
     0,
     /* CDQE */
   },
-  { /* 401 */
+  { /* 359 */
     0,
     /* CHS_F */
   },
+  { /* 360 */
+    0,
+    /*  */
+  },
+  { /* 361 */
+    0,
+    /*  */
+  },
+  { /* 362 */
+    0,
+    /*  */
+  },
+  { /* 363 */
+    0,
+    /* CLAC */
+  },
+  { /* 364 */
+    0,
+    /* CLC */
+  },
+  { /* 365 */
+    0,
+    /* CLD */
+  },
+  { /* 366 */
+    82,
+    /* CLFLUSH */
+  },
+  { /* 367 */
+    0,
+    /* CLGI */
+  },
+  { /* 368 */
+    0,
+    /* CLI */
+  },
+  { /* 369 */
+    0,
+    /* CLTS */
+  },
+  { /* 370 */
+    0,
+    /* CMC */
+  },
+  { /* 371 */
+    8,
+    /* CMOVA16rm */
+  },
+  { /* 372 */
+    10,
+    /* CMOVA16rr */
+  },
+  { /* 373 */
+    8,
+    /* CMOVA32rm */
+  },
+  { /* 374 */
+    10,
+    /* CMOVA32rr */
+  },
+  { /* 375 */
+    19,
+    /* CMOVA64rm */
+  },
+  { /* 376 */
+    21,
+    /* CMOVA64rr */
+  },
+  { /* 377 */
+    8,
+    /* CMOVAE16rm */
+  },
+  { /* 378 */
+    10,
+    /* CMOVAE16rr */
+  },
+  { /* 379 */
+    8,
+    /* CMOVAE32rm */
+  },
+  { /* 380 */
+    10,
+    /* CMOVAE32rr */
+  },
+  { /* 381 */
+    19,
+    /* CMOVAE64rm */
+  },
+  { /* 382 */
+    21,
+    /* CMOVAE64rr */
+  },
+  { /* 383 */
+    8,
+    /* CMOVB16rm */
+  },
+  { /* 384 */
+    10,
+    /* CMOVB16rr */
+  },
+  { /* 385 */
+    8,
+    /* CMOVB32rm */
+  },
+  { /* 386 */
+    10,
+    /* CMOVB32rr */
+  },
+  { /* 387 */
+    19,
+    /* CMOVB64rm */
+  },
+  { /* 388 */
+    21,
+    /* CMOVB64rr */
+  },
+  { /* 389 */
+    8,
+    /* CMOVBE16rm */
+  },
+  { /* 390 */
+    10,
+    /* CMOVBE16rr */
+  },
+  { /* 391 */
+    8,
+    /* CMOVBE32rm */
+  },
+  { /* 392 */
+    10,
+    /* CMOVBE32rr */
+  },
+  { /* 393 */
+    19,
+    /* CMOVBE64rm */
+  },
+  { /* 394 */
+    21,
+    /* CMOVBE64rr */
+  },
+  { /* 395 */
+    39,
+    /* CMOVBE_F */
+  },
+  { /* 396 */
+    0,
+    /*  */
+  },
+  { /* 397 */
+    0,
+    /*  */
+  },
+  { /* 398 */
+    0,
+    /*  */
+  },
+  { /* 399 */
+    39,
+    /* CMOVB_F */
+  },
+  { /* 400 */
+    0,
+    /*  */
+  },
+  { /* 401 */
+    0,
+    /*  */
+  },
   { /* 402 */
     0,
     /*  */
   },
   { /* 403 */
-    0,
-    /*  */
+    8,
+    /* CMOVE16rm */
   },
   { /* 404 */
-    0,
-    /*  */
+    10,
+    /* CMOVE16rr */
   },
   { /* 405 */
-    0,
-    /* CLAC */
+    8,
+    /* CMOVE32rm */
   },
   { /* 406 */
-    0,
-    /* CLC */
+    10,
+    /* CMOVE32rr */
   },
   { /* 407 */
-    0,
-    /* CLD */
+    19,
+    /* CMOVE64rm */
   },
   { /* 408 */
-    80,
-    /* CLFLUSH */
+    21,
+    /* CMOVE64rr */
   },
   { /* 409 */
-    0,
-    /* CLGI */
+    39,
+    /* CMOVE_F */
   },
   { /* 410 */
     0,
-    /* CLI */
+    /*  */
   },
   { /* 411 */
     0,
-    /* CLTS */
+    /*  */
   },
   { /* 412 */
     0,
-    /* CMC */
+    /*  */
   },
   { /* 413 */
     8,
-    /* CMOVA16rm */
+    /* CMOVG16rm */
   },
   { /* 414 */
     10,
-    /* CMOVA16rr */
+    /* CMOVG16rr */
   },
   { /* 415 */
     8,
-    /* CMOVA32rm */
+    /* CMOVG32rm */
   },
   { /* 416 */
     10,
-    /* CMOVA32rr */
+    /* CMOVG32rr */
   },
   { /* 417 */
     19,
-    /* CMOVA64rm */
+    /* CMOVG64rm */
   },
   { /* 418 */
     21,
-    /* CMOVA64rr */
+    /* CMOVG64rr */
   },
   { /* 419 */
     8,
-    /* CMOVAE16rm */
+    /* CMOVGE16rm */
   },
   { /* 420 */
     10,
-    /* CMOVAE16rr */
+    /* CMOVGE16rr */
   },
   { /* 421 */
     8,
-    /* CMOVAE32rm */
+    /* CMOVGE32rm */
   },
   { /* 422 */
     10,
-    /* CMOVAE32rr */
+    /* CMOVGE32rr */
   },
   { /* 423 */
     19,
-    /* CMOVAE64rm */
+    /* CMOVGE64rm */
   },
   { /* 424 */
     21,
-    /* CMOVAE64rr */
+    /* CMOVGE64rr */
   },
   { /* 425 */
     8,
-    /* CMOVB16rm */
+    /* CMOVL16rm */
   },
   { /* 426 */
     10,
-    /* CMOVB16rr */
+    /* CMOVL16rr */
   },
   { /* 427 */
     8,
-    /* CMOVB32rm */
+    /* CMOVL32rm */
   },
   { /* 428 */
     10,
-    /* CMOVB32rr */
+    /* CMOVL32rr */
   },
   { /* 429 */
     19,
-    /* CMOVB64rm */
+    /* CMOVL64rm */
   },
   { /* 430 */
     21,
-    /* CMOVB64rr */
+    /* CMOVL64rr */
   },
   { /* 431 */
     8,
-    /* CMOVBE16rm */
+    /* CMOVLE16rm */
   },
   { /* 432 */
     10,
-    /* CMOVBE16rr */
+    /* CMOVLE16rr */
   },
   { /* 433 */
     8,
-    /* CMOVBE32rm */
+    /* CMOVLE32rm */
   },
   { /* 434 */
     10,
-    /* CMOVBE32rr */
+    /* CMOVLE32rr */
   },
   { /* 435 */
     19,
-    /* CMOVBE64rm */
+    /* CMOVLE64rm */
   },
   { /* 436 */
     21,
-    /* CMOVBE64rr */
+    /* CMOVLE64rr */
   },
   { /* 437 */
-    41,
-    /* CMOVBE_F */
+    39,
+    /* CMOVNBE_F */
   },
   { /* 438 */
     0,
@@ -6633,8 +9056,8 @@
     /*  */
   },
   { /* 441 */
-    41,
-    /* CMOVB_F */
+    39,
+    /* CMOVNB_F */
   },
   { /* 442 */
     0,
@@ -6650,31 +9073,31 @@
   },
   { /* 445 */
     8,
-    /* CMOVE16rm */
+    /* CMOVNE16rm */
   },
   { /* 446 */
     10,
-    /* CMOVE16rr */
+    /* CMOVNE16rr */
   },
   { /* 447 */
     8,
-    /* CMOVE32rm */
+    /* CMOVNE32rm */
   },
   { /* 448 */
     10,
-    /* CMOVE32rr */
+    /* CMOVNE32rr */
   },
   { /* 449 */
     19,
-    /* CMOVE64rm */
+    /* CMOVNE64rm */
   },
   { /* 450 */
     21,
-    /* CMOVE64rr */
+    /* CMOVNE64rr */
   },
   { /* 451 */
-    41,
-    /* CMOVE_F */
+    39,
+    /* CMOVNE_F */
   },
   { /* 452 */
     0,
@@ -6690,223 +9113,223 @@
   },
   { /* 455 */
     8,
-    /* CMOVG16rm */
+    /* CMOVNO16rm */
   },
   { /* 456 */
     10,
-    /* CMOVG16rr */
+    /* CMOVNO16rr */
   },
   { /* 457 */
     8,
-    /* CMOVG32rm */
+    /* CMOVNO32rm */
   },
   { /* 458 */
     10,
-    /* CMOVG32rr */
+    /* CMOVNO32rr */
   },
   { /* 459 */
     19,
-    /* CMOVG64rm */
+    /* CMOVNO64rm */
   },
   { /* 460 */
     21,
-    /* CMOVG64rr */
+    /* CMOVNO64rr */
   },
   { /* 461 */
     8,
-    /* CMOVGE16rm */
+    /* CMOVNP16rm */
   },
   { /* 462 */
     10,
-    /* CMOVGE16rr */
+    /* CMOVNP16rr */
   },
   { /* 463 */
     8,
-    /* CMOVGE32rm */
+    /* CMOVNP32rm */
   },
   { /* 464 */
     10,
-    /* CMOVGE32rr */
+    /* CMOVNP32rr */
   },
   { /* 465 */
     19,
-    /* CMOVGE64rm */
+    /* CMOVNP64rm */
   },
   { /* 466 */
     21,
-    /* CMOVGE64rr */
+    /* CMOVNP64rr */
   },
   { /* 467 */
-    8,
-    /* CMOVL16rm */
+    39,
+    /* CMOVNP_F */
   },
   { /* 468 */
-    10,
-    /* CMOVL16rr */
+    0,
+    /*  */
   },
   { /* 469 */
-    8,
-    /* CMOVL32rm */
+    0,
+    /*  */
   },
   { /* 470 */
-    10,
-    /* CMOVL32rr */
+    0,
+    /*  */
   },
   { /* 471 */
-    19,
-    /* CMOVL64rm */
+    8,
+    /* CMOVNS16rm */
   },
   { /* 472 */
-    21,
-    /* CMOVL64rr */
+    10,
+    /* CMOVNS16rr */
   },
   { /* 473 */
     8,
-    /* CMOVLE16rm */
+    /* CMOVNS32rm */
   },
   { /* 474 */
     10,
-    /* CMOVLE16rr */
+    /* CMOVNS32rr */
   },
   { /* 475 */
-    8,
-    /* CMOVLE32rm */
+    19,
+    /* CMOVNS64rm */
   },
   { /* 476 */
-    10,
-    /* CMOVLE32rr */
+    21,
+    /* CMOVNS64rr */
   },
   { /* 477 */
-    19,
-    /* CMOVLE64rm */
+    8,
+    /* CMOVO16rm */
   },
   { /* 478 */
-    21,
-    /* CMOVLE64rr */
+    10,
+    /* CMOVO16rr */
   },
   { /* 479 */
-    41,
-    /* CMOVNBE_F */
+    8,
+    /* CMOVO32rm */
   },
   { /* 480 */
-    0,
-    /*  */
+    10,
+    /* CMOVO32rr */
   },
   { /* 481 */
-    0,
-    /*  */
+    19,
+    /* CMOVO64rm */
   },
   { /* 482 */
-    0,
-    /*  */
+    21,
+    /* CMOVO64rr */
   },
   { /* 483 */
-    41,
-    /* CMOVNB_F */
+    8,
+    /* CMOVP16rm */
   },
   { /* 484 */
-    0,
-    /*  */
+    10,
+    /* CMOVP16rr */
   },
   { /* 485 */
-    0,
-    /*  */
+    8,
+    /* CMOVP32rm */
   },
   { /* 486 */
-    0,
-    /*  */
+    10,
+    /* CMOVP32rr */
   },
   { /* 487 */
-    8,
-    /* CMOVNE16rm */
+    19,
+    /* CMOVP64rm */
   },
   { /* 488 */
-    10,
-    /* CMOVNE16rr */
+    21,
+    /* CMOVP64rr */
   },
   { /* 489 */
-    8,
-    /* CMOVNE32rm */
+    39,
+    /* CMOVP_F */
   },
   { /* 490 */
-    10,
-    /* CMOVNE32rr */
+    0,
+    /*  */
   },
   { /* 491 */
-    19,
-    /* CMOVNE64rm */
+    0,
+    /*  */
   },
   { /* 492 */
-    21,
-    /* CMOVNE64rr */
+    0,
+    /*  */
   },
   { /* 493 */
-    41,
-    /* CMOVNE_F */
+    8,
+    /* CMOVS16rm */
   },
   { /* 494 */
-    0,
-    /*  */
+    10,
+    /* CMOVS16rr */
   },
   { /* 495 */
-    0,
-    /*  */
+    8,
+    /* CMOVS32rm */
   },
   { /* 496 */
+    10,
+    /* CMOVS32rr */
+  },
+  { /* 497 */
+    19,
+    /* CMOVS64rm */
+  },
+  { /* 498 */
+    21,
+    /* CMOVS64rr */
+  },
+  { /* 499 */
     0,
     /*  */
   },
-  { /* 497 */
-    8,
-    /* CMOVNO16rm */
-  },
-  { /* 498 */
-    10,
-    /* CMOVNO16rr */
-  },
-  { /* 499 */
-    8,
-    /* CMOVNO32rm */
-  },
   { /* 500 */
-    10,
-    /* CMOVNO32rr */
+    0,
+    /*  */
   },
   { /* 501 */
-    19,
-    /* CMOVNO64rm */
+    0,
+    /*  */
   },
   { /* 502 */
-    21,
-    /* CMOVNO64rr */
+    0,
+    /*  */
   },
   { /* 503 */
-    8,
-    /* CMOVNP16rm */
+    0,
+    /*  */
   },
   { /* 504 */
-    10,
-    /* CMOVNP16rr */
+    0,
+    /*  */
   },
   { /* 505 */
-    8,
-    /* CMOVNP32rm */
+    0,
+    /*  */
   },
   { /* 506 */
-    10,
-    /* CMOVNP32rr */
+    0,
+    /*  */
   },
   { /* 507 */
-    19,
-    /* CMOVNP64rm */
+    0,
+    /*  */
   },
   { /* 508 */
-    21,
-    /* CMOVNP64rr */
+    0,
+    /*  */
   },
   { /* 509 */
-    41,
-    /* CMOVNP_F */
+    0,
+    /*  */
   },
   { /* 510 */
     0,
@@ -6921,877 +9344,877 @@
     /*  */
   },
   { /* 513 */
-    8,
-    /* CMOVNS16rm */
+    0,
+    /*  */
   },
   { /* 514 */
-    10,
-    /* CMOVNS16rr */
+    0,
+    /*  */
   },
   { /* 515 */
-    8,
-    /* CMOVNS32rm */
+    0,
+    /*  */
   },
   { /* 516 */
-    10,
-    /* CMOVNS32rr */
+    2,
+    /* CMP16i16 */
   },
   { /* 517 */
-    19,
-    /* CMOVNS64rm */
+    3,
+    /* CMP16mi */
   },
   { /* 518 */
-    21,
-    /* CMOVNS64rr */
+    4,
+    /* CMP16mi8 */
   },
   { /* 519 */
-    8,
-    /* CMOVO16rm */
+    5,
+    /* CMP16mr */
   },
   { /* 520 */
-    10,
-    /* CMOVO16rr */
+    83,
+    /* CMP16ri */
   },
   { /* 521 */
-    8,
-    /* CMOVO32rm */
+    72,
+    /* CMP16ri8 */
   },
   { /* 522 */
-    10,
-    /* CMOVO32rr */
+    68,
+    /* CMP16rm */
   },
   { /* 523 */
-    19,
-    /* CMOVO64rm */
+    73,
+    /* CMP16rr */
   },
   { /* 524 */
-    21,
-    /* CMOVO64rr */
+    69,
+    /* CMP16rr_REV */
   },
   { /* 525 */
-    8,
-    /* CMOVP16rm */
+    2,
+    /* CMP32i32 */
   },
   { /* 526 */
-    10,
-    /* CMOVP16rr */
+    3,
+    /* CMP32mi */
   },
   { /* 527 */
-    8,
-    /* CMOVP32rm */
+    11,
+    /* CMP32mi8 */
   },
   { /* 528 */
-    10,
-    /* CMOVP32rr */
+    5,
+    /* CMP32mr */
   },
   { /* 529 */
-    19,
-    /* CMOVP64rm */
+    83,
+    /* CMP32ri */
   },
   { /* 530 */
-    21,
-    /* CMOVP64rr */
+    74,
+    /* CMP32ri8 */
   },
   { /* 531 */
-    41,
-    /* CMOVP_F */
+    68,
+    /* CMP32rm */
   },
   { /* 532 */
-    0,
-    /*  */
+    73,
+    /* CMP32rr */
   },
   { /* 533 */
-    0,
-    /*  */
+    69,
+    /* CMP32rr_REV */
   },
   { /* 534 */
-    0,
-    /*  */
+    13,
+    /* CMP64i32 */
   },
   { /* 535 */
-    8,
-    /* CMOVS16rm */
+    14,
+    /* CMP64mi32 */
   },
   { /* 536 */
-    10,
-    /* CMOVS16rr */
+    15,
+    /* CMP64mi8 */
   },
   { /* 537 */
-    8,
-    /* CMOVS32rm */
+    16,
+    /* CMP64mr */
   },
   { /* 538 */
-    10,
-    /* CMOVS32rr */
+    84,
+    /* CMP64ri32 */
   },
   { /* 539 */
-    19,
-    /* CMOVS64rm */
+    75,
+    /* CMP64ri8 */
   },
   { /* 540 */
-    21,
-    /* CMOVS64rr */
+    42,
+    /* CMP64rm */
   },
   { /* 541 */
-    0,
-    /*  */
+    76,
+    /* CMP64rr */
   },
   { /* 542 */
-    0,
-    /*  */
+    43,
+    /* CMP64rr_REV */
   },
   { /* 543 */
-    0,
-    /*  */
+    1,
+    /* CMP8i8 */
   },
   { /* 544 */
-    0,
-    /*  */
+    22,
+    /* CMP8mi */
   },
   { /* 545 */
-    0,
-    /*  */
+    23,
+    /* CMP8mr */
   },
   { /* 546 */
-    0,
-    /*  */
+    85,
+    /* CMP8ri */
   },
   { /* 547 */
-    0,
-    /*  */
+    86,
+    /* CMP8rm */
   },
   { /* 548 */
-    0,
-    /*  */
+    87,
+    /* CMP8rr */
   },
   { /* 549 */
-    0,
-    /*  */
+    88,
+    /* CMP8rr_REV */
   },
   { /* 550 */
-    0,
-    /*  */
+    89,
+    /* CMPPDrmi */
   },
   { /* 551 */
     0,
     /*  */
   },
   { /* 552 */
-    0,
-    /*  */
+    90,
+    /* CMPPDrri */
   },
   { /* 553 */
     0,
     /*  */
   },
   { /* 554 */
-    0,
-    /*  */
+    89,
+    /* CMPPSrmi */
   },
   { /* 555 */
     0,
     /*  */
   },
   { /* 556 */
-    0,
-    /*  */
+    90,
+    /* CMPPSrri */
   },
   { /* 557 */
     0,
     /*  */
   },
   { /* 558 */
-    2,
-    /* CMP16i16 */
+    91,
+    /* CMPSB */
   },
   { /* 559 */
-    3,
-    /* CMP16mi */
-  },
-  { /* 560 */
-    4,
-    /* CMP16mi8 */
-  },
-  { /* 561 */
-    5,
-    /* CMP16mr */
-  },
-  { /* 562 */
-    81,
-    /* CMP16ri */
-  },
-  { /* 563 */
-    70,
-    /* CMP16ri8 */
-  },
-  { /* 564 */
-    66,
-    /* CMP16rm */
-  },
-  { /* 565 */
-    71,
-    /* CMP16rr */
-  },
-  { /* 566 */
-    67,
-    /* CMP16rr_REV */
-  },
-  { /* 567 */
-    2,
-    /* CMP32i32 */
-  },
-  { /* 568 */
-    3,
-    /* CMP32mi */
-  },
-  { /* 569 */
-    11,
-    /* CMP32mi8 */
-  },
-  { /* 570 */
-    5,
-    /* CMP32mr */
-  },
-  { /* 571 */
-    81,
-    /* CMP32ri */
-  },
-  { /* 572 */
-    72,
-    /* CMP32ri8 */
-  },
-  { /* 573 */
-    66,
-    /* CMP32rm */
-  },
-  { /* 574 */
-    71,
-    /* CMP32rr */
-  },
-  { /* 575 */
-    67,
-    /* CMP32rr_REV */
-  },
-  { /* 576 */
-    13,
-    /* CMP64i32 */
-  },
-  { /* 577 */
-    14,
-    /* CMP64mi32 */
-  },
-  { /* 578 */
-    15,
-    /* CMP64mi8 */
-  },
-  { /* 579 */
-    16,
-    /* CMP64mr */
-  },
-  { /* 580 */
-    82,
-    /* CMP64ri32 */
-  },
-  { /* 581 */
-    73,
-    /* CMP64ri8 */
-  },
-  { /* 582 */
-    30,
-    /* CMP64rm */
-  },
-  { /* 583 */
-    74,
-    /* CMP64rr */
-  },
-  { /* 584 */
-    31,
-    /* CMP64rr_REV */
-  },
-  { /* 585 */
-    1,
-    /* CMP8i8 */
-  },
-  { /* 586 */
-    22,
-    /* CMP8mi */
-  },
-  { /* 587 */
-    23,
-    /* CMP8mr */
-  },
-  { /* 588 */
-    83,
-    /* CMP8ri */
-  },
-  { /* 589 */
-    84,
-    /* CMP8rm */
-  },
-  { /* 590 */
-    85,
-    /* CMP8rr */
-  },
-  { /* 591 */
-    86,
-    /* CMP8rr_REV */
-  },
-  { /* 592 */
-    87,
-    /* CMPPDrmi */
-  },
-  { /* 593 */
-    0,
-    /*  */
-  },
-  { /* 594 */
-    88,
-    /* CMPPDrri */
-  },
-  { /* 595 */
-    0,
-    /*  */
-  },
-  { /* 596 */
-    87,
-    /* CMPPSrmi */
-  },
-  { /* 597 */
-    0,
-    /*  */
-  },
-  { /* 598 */
-    88,
-    /* CMPPSrri */
-  },
-  { /* 599 */
-    0,
-    /*  */
-  },
-  { /* 600 */
-    89,
-    /* CMPS16 */
-  },
-  { /* 601 */
-    90,
-    /* CMPS32 */
-  },
-  { /* 602 */
-    91,
-    /* CMPS64 */
-  },
-  { /* 603 */
     92,
-    /* CMPS8 */
-  },
-  { /* 604 */
-    93,
     /* CMPSDrm */
   },
-  { /* 605 */
+  { /* 560 */
     0,
     /*  */
   },
-  { /* 606 */
-    94,
+  { /* 561 */
+    93,
     /* CMPSDrr */
   },
-  { /* 607 */
+  { /* 562 */
     0,
     /*  */
   },
-  { /* 608 */
+  { /* 563 */
+    94,
+    /* CMPSL */
+  },
+  { /* 564 */
     95,
+    /* CMPSQ */
+  },
+  { /* 565 */
+    96,
     /* CMPSSrm */
   },
-  { /* 609 */
+  { /* 566 */
     0,
     /*  */
   },
-  { /* 610 */
-    96,
+  { /* 567 */
+    97,
     /* CMPSSrr */
   },
-  { /* 611 */
+  { /* 568 */
     0,
     /*  */
   },
-  { /* 612 */
-    97,
+  { /* 569 */
+    98,
+    /* CMPSW */
+  },
+  { /* 570 */
+    99,
     /* CMPXCHG16B */
   },
-  { /* 613 */
+  { /* 571 */
     5,
     /* CMPXCHG16rm */
   },
-  { /* 614 */
-    71,
+  { /* 572 */
+    73,
     /* CMPXCHG16rr */
   },
-  { /* 615 */
+  { /* 573 */
     5,
     /* CMPXCHG32rm */
   },
-  { /* 616 */
-    71,
+  { /* 574 */
+    73,
     /* CMPXCHG32rr */
   },
-  { /* 617 */
+  { /* 575 */
     16,
     /* CMPXCHG64rm */
   },
-  { /* 618 */
-    74,
+  { /* 576 */
+    76,
     /* CMPXCHG64rr */
   },
-  { /* 619 */
-    40,
+  { /* 577 */
+    38,
     /* CMPXCHG8B */
   },
-  { /* 620 */
+  { /* 578 */
     23,
     /* CMPXCHG8rm */
   },
-  { /* 621 */
-    85,
+  { /* 579 */
+    87,
     /* CMPXCHG8rr */
   },
-  { /* 622 */
-    42,
+  { /* 580 */
+    44,
     /* COMISDrm */
   },
-  { /* 623 */
-    43,
+  { /* 581 */
+    45,
     /* COMISDrr */
   },
-  { /* 624 */
-    42,
+  { /* 582 */
+    44,
     /* COMISSrm */
   },
-  { /* 625 */
-    43,
+  { /* 583 */
+    45,
     /* COMISSrr */
   },
-  { /* 626 */
-    41,
+  { /* 584 */
+    39,
     /* COMP_FST0r */
   },
-  { /* 627 */
-    41,
+  { /* 585 */
+    39,
     /* COM_FIPr */
   },
-  { /* 628 */
-    41,
+  { /* 586 */
+    39,
     /* COM_FIr */
   },
-  { /* 629 */
-    41,
+  { /* 587 */
+    39,
     /* COM_FST0r */
   },
-  { /* 630 */
+  { /* 588 */
     0,
     /* COS_F */
   },
-  { /* 631 */
+  { /* 589 */
     0,
     /*  */
   },
-  { /* 632 */
+  { /* 590 */
     0,
     /*  */
   },
-  { /* 633 */
+  { /* 591 */
     0,
     /*  */
   },
-  { /* 634 */
+  { /* 592 */
     0,
     /* CPUID32 */
   },
-  { /* 635 */
+  { /* 593 */
     0,
     /* CPUID64 */
   },
-  { /* 636 */
+  { /* 594 */
     0,
     /* CQO */
   },
-  { /* 637 */
-    98,
+  { /* 595 */
+    28,
     /* CRC32r32m16 */
   },
-  { /* 638 */
+  { /* 596 */
     8,
     /* CRC32r32m32 */
   },
-  { /* 639 */
-    99,
+  { /* 597 */
+    100,
     /* CRC32r32m8 */
   },
-  { /* 640 */
-    100,
+  { /* 598 */
+    101,
     /* CRC32r32r16 */
   },
-  { /* 641 */
+  { /* 599 */
     10,
     /* CRC32r32r32 */
   },
-  { /* 642 */
-    101,
+  { /* 600 */
+    102,
     /* CRC32r32r8 */
   },
-  { /* 643 */
+  { /* 601 */
     19,
     /* CRC32r64m64 */
   },
-  { /* 644 */
-    102,
+  { /* 602 */
+    103,
     /* CRC32r64m8 */
   },
-  { /* 645 */
+  { /* 603 */
     21,
     /* CRC32r64r64 */
   },
-  { /* 646 */
-    103,
+  { /* 604 */
+    104,
     /* CRC32r64r8 */
   },
-  { /* 647 */
-    0,
-    /* CS_PREFIX */
-  },
-  { /* 648 */
-    104,
+  { /* 605 */
+    105,
     /* CVTDQ2PDrm */
   },
-  { /* 649 */
-    43,
+  { /* 606 */
+    45,
     /* CVTDQ2PDrr */
   },
-  { /* 650 */
-    42,
+  { /* 607 */
+    44,
     /* CVTDQ2PSrm */
   },
-  { /* 651 */
-    43,
+  { /* 608 */
+    45,
     /* CVTDQ2PSrr */
   },
-  { /* 652 */
-    42,
+  { /* 609 */
+    44,
     /* CVTPD2DQrm */
   },
-  { /* 653 */
-    43,
+  { /* 610 */
+    45,
     /* CVTPD2DQrr */
   },
-  { /* 654 */
-    42,
+  { /* 611 */
+    44,
     /* CVTPD2PSrm */
   },
-  { /* 655 */
-    43,
+  { /* 612 */
+    45,
     /* CVTPD2PSrr */
   },
-  { /* 656 */
-    42,
+  { /* 613 */
+    44,
     /* CVTPS2DQrm */
   },
-  { /* 657 */
-    43,
+  { /* 614 */
+    45,
     /* CVTPS2DQrr */
   },
-  { /* 658 */
-    105,
+  { /* 615 */
+    106,
     /* CVTPS2PDrm */
   },
-  { /* 659 */
-    43,
+  { /* 616 */
+    45,
     /* CVTPS2PDrr */
   },
-  { /* 660 */
-    106,
+  { /* 617 */
+    107,
     /* CVTSD2SI64rm */
   },
-  { /* 661 */
-    107,
+  { /* 618 */
+    108,
     /* CVTSD2SI64rr */
   },
-  { /* 662 */
-    108,
+  { /* 619 */
+    109,
     /* CVTSD2SIrm */
   },
-  { /* 663 */
-    109,
+  { /* 620 */
+    110,
     /* CVTSD2SIrr */
   },
-  { /* 664 */
-    110,
+  { /* 621 */
+    111,
     /* CVTSD2SSrm */
   },
-  { /* 665 */
-    111,
+  { /* 622 */
+    112,
     /* CVTSD2SSrr */
   },
-  { /* 666 */
-    112,
+  { /* 623 */
+    113,
     /* CVTSI2SD64rm */
   },
-  { /* 667 */
-    113,
+  { /* 624 */
+    114,
     /* CVTSI2SD64rr */
   },
-  { /* 668 */
-    112,
+  { /* 625 */
+    113,
     /* CVTSI2SDrm */
   },
-  { /* 669 */
-    114,
+  { /* 626 */
+    115,
     /* CVTSI2SDrr */
   },
-  { /* 670 */
-    115,
+  { /* 627 */
+    116,
     /* CVTSI2SS64rm */
   },
-  { /* 671 */
-    116,
+  { /* 628 */
+    117,
     /* CVTSI2SS64rr */
   },
-  { /* 672 */
-    115,
+  { /* 629 */
+    116,
     /* CVTSI2SSrm */
   },
-  { /* 673 */
-    117,
+  { /* 630 */
+    118,
     /* CVTSI2SSrr */
   },
-  { /* 674 */
-    118,
+  { /* 631 */
+    119,
     /* CVTSS2SDrm */
   },
-  { /* 675 */
-    119,
+  { /* 632 */
+    120,
     /* CVTSS2SDrr */
   },
-  { /* 676 */
-    120,
+  { /* 633 */
+    121,
     /* CVTSS2SI64rm */
   },
-  { /* 677 */
-    107,
+  { /* 634 */
+    108,
     /* CVTSS2SI64rr */
   },
-  { /* 678 */
-    121,
+  { /* 635 */
+    122,
     /* CVTSS2SIrm */
   },
-  { /* 679 */
-    109,
+  { /* 636 */
+    110,
     /* CVTSS2SIrr */
   },
-  { /* 680 */
-    42,
+  { /* 637 */
+    44,
     /* CVTTPD2DQrm */
   },
-  { /* 681 */
-    43,
+  { /* 638 */
+    45,
     /* CVTTPD2DQrr */
   },
-  { /* 682 */
-    42,
+  { /* 639 */
+    44,
     /* CVTTPS2DQrm */
   },
-  { /* 683 */
-    43,
+  { /* 640 */
+    45,
     /* CVTTPS2DQrr */
   },
-  { /* 684 */
-    106,
+  { /* 641 */
+    107,
     /* CVTTSD2SI64rm */
   },
-  { /* 685 */
-    122,
+  { /* 642 */
+    123,
     /* CVTTSD2SI64rr */
   },
-  { /* 686 */
-    108,
+  { /* 643 */
+    109,
     /* CVTTSD2SIrm */
   },
-  { /* 687 */
-    123,
+  { /* 644 */
+    124,
     /* CVTTSD2SIrr */
   },
-  { /* 688 */
-    120,
+  { /* 645 */
+    121,
     /* CVTTSS2SI64rm */
   },
-  { /* 689 */
-    124,
+  { /* 646 */
+    125,
     /* CVTTSS2SI64rr */
   },
-  { /* 690 */
-    121,
+  { /* 647 */
+    122,
     /* CVTTSS2SIrm */
   },
-  { /* 691 */
-    125,
+  { /* 648 */
+    126,
     /* CVTTSS2SIrr */
   },
-  { /* 692 */
+  { /* 649 */
     0,
     /* CWD */
   },
-  { /* 693 */
+  { /* 650 */
     0,
     /* CWDE */
   },
-  { /* 694 */
+  { /* 651 */
     0,
     /* DAA */
   },
-  { /* 695 */
+  { /* 652 */
     0,
     /* DAS */
   },
-  { /* 696 */
+  { /* 653 */
     0,
     /* DATA16_PREFIX */
   },
-  { /* 697 */
-    40,
+  { /* 654 */
+    38,
     /* DEC16m */
   },
-  { /* 698 */
-    68,
+  { /* 655 */
+    70,
     /* DEC16r */
   },
-  { /* 699 */
-    126,
+  { /* 656 */
+    127,
     /* DEC32_16r */
   },
-  { /* 700 */
-    126,
+  { /* 657 */
+    127,
     /* DEC32_32r */
   },
-  { /* 701 */
-    40,
+  { /* 658 */
+    38,
     /* DEC32m */
   },
-  { /* 702 */
-    68,
+  { /* 659 */
+    70,
     /* DEC32r */
   },
-  { /* 703 */
-    40,
+  { /* 660 */
+    38,
     /* DEC64_16m */
   },
-  { /* 704 */
-    126,
+  { /* 661 */
+    127,
     /* DEC64_16r */
   },
-  { /* 705 */
-    40,
+  { /* 662 */
+    38,
     /* DEC64_32m */
   },
-  { /* 706 */
-    126,
+  { /* 663 */
+    127,
     /* DEC64_32r */
   },
-  { /* 707 */
-    40,
+  { /* 664 */
+    38,
     /* DEC64m */
   },
-  { /* 708 */
-    127,
+  { /* 665 */
+    128,
     /* DEC64r */
   },
-  { /* 709 */
-    80,
+  { /* 666 */
+    82,
     /* DEC8m */
   },
-  { /* 710 */
-    128,
+  { /* 667 */
+    129,
     /* DEC8r */
   },
-  { /* 711 */
-    40,
+  { /* 668 */
+    38,
     /* DIV16m */
   },
-  { /* 712 */
-    75,
+  { /* 669 */
+    77,
     /* DIV16r */
   },
-  { /* 713 */
-    40,
+  { /* 670 */
+    38,
     /* DIV32m */
   },
-  { /* 714 */
-    75,
+  { /* 671 */
+    77,
     /* DIV32r */
   },
-  { /* 715 */
-    40,
+  { /* 672 */
+    38,
     /* DIV64m */
   },
-  { /* 716 */
-    77,
+  { /* 673 */
+    79,
     /* DIV64r */
   },
-  { /* 717 */
-    80,
+  { /* 674 */
+    82,
     /* DIV8m */
   },
-  { /* 718 */
-    129,
+  { /* 675 */
+    130,
     /* DIV8r */
   },
-  { /* 719 */
-    32,
+  { /* 676 */
+    30,
     /* DIVPDrm */
   },
-  { /* 720 */
-    33,
+  { /* 677 */
+    31,
     /* DIVPDrr */
   },
-  { /* 721 */
-    32,
+  { /* 678 */
+    30,
     /* DIVPSrm */
   },
-  { /* 722 */
-    33,
+  { /* 679 */
+    31,
     /* DIVPSrr */
   },
-  { /* 723 */
-    38,
+  { /* 680 */
+    36,
     /* DIVR_F32m */
   },
-  { /* 724 */
-    39,
+  { /* 681 */
+    37,
     /* DIVR_F64m */
   },
-  { /* 725 */
-    40,
+  { /* 682 */
+    38,
     /* DIVR_FI16m */
   },
-  { /* 726 */
-    40,
+  { /* 683 */
+    38,
     /* DIVR_FI32m */
   },
-  { /* 727 */
-    41,
+  { /* 684 */
+    39,
     /* DIVR_FPrST0 */
   },
-  { /* 728 */
-    41,
+  { /* 685 */
+    39,
     /* DIVR_FST0r */
   },
-  { /* 729 */
+  { /* 686 */
     0,
     /*  */
   },
-  { /* 730 */
+  { /* 687 */
     0,
     /*  */
   },
+  { /* 688 */
+    0,
+    /*  */
+  },
+  { /* 689 */
+    0,
+    /*  */
+  },
+  { /* 690 */
+    0,
+    /*  */
+  },
+  { /* 691 */
+    0,
+    /*  */
+  },
+  { /* 692 */
+    0,
+    /*  */
+  },
+  { /* 693 */
+    0,
+    /*  */
+  },
+  { /* 694 */
+    0,
+    /*  */
+  },
+  { /* 695 */
+    0,
+    /*  */
+  },
+  { /* 696 */
+    0,
+    /*  */
+  },
+  { /* 697 */
+    39,
+    /* DIVR_FrST0 */
+  },
+  { /* 698 */
+    32,
+    /* DIVSDrm */
+  },
+  { /* 699 */
+    0,
+    /*  */
+  },
+  { /* 700 */
+    33,
+    /* DIVSDrr */
+  },
+  { /* 701 */
+    0,
+    /*  */
+  },
+  { /* 702 */
+    34,
+    /* DIVSSrm */
+  },
+  { /* 703 */
+    0,
+    /*  */
+  },
+  { /* 704 */
+    35,
+    /* DIVSSrr */
+  },
+  { /* 705 */
+    0,
+    /*  */
+  },
+  { /* 706 */
+    36,
+    /* DIV_F32m */
+  },
+  { /* 707 */
+    37,
+    /* DIV_F64m */
+  },
+  { /* 708 */
+    38,
+    /* DIV_FI16m */
+  },
+  { /* 709 */
+    38,
+    /* DIV_FI32m */
+  },
+  { /* 710 */
+    39,
+    /* DIV_FPrST0 */
+  },
+  { /* 711 */
+    39,
+    /* DIV_FST0r */
+  },
+  { /* 712 */
+    0,
+    /*  */
+  },
+  { /* 713 */
+    0,
+    /*  */
+  },
+  { /* 714 */
+    0,
+    /*  */
+  },
+  { /* 715 */
+    0,
+    /*  */
+  },
+  { /* 716 */
+    0,
+    /*  */
+  },
+  { /* 717 */
+    0,
+    /*  */
+  },
+  { /* 718 */
+    0,
+    /*  */
+  },
+  { /* 719 */
+    0,
+    /*  */
+  },
+  { /* 720 */
+    0,
+    /*  */
+  },
+  { /* 721 */
+    0,
+    /*  */
+  },
+  { /* 722 */
+    0,
+    /*  */
+  },
+  { /* 723 */
+    0,
+    /*  */
+  },
+  { /* 724 */
+    0,
+    /*  */
+  },
+  { /* 725 */
+    0,
+    /*  */
+  },
+  { /* 726 */
+    39,
+    /* DIV_FrST0 */
+  },
+  { /* 727 */
+    66,
+    /* DPPDrmi */
+  },
+  { /* 728 */
+    67,
+    /* DPPDrri */
+  },
+  { /* 729 */
+    66,
+    /* DPPSrmi */
+  },
+  { /* 730 */
+    67,
+    /* DPPSrri */
+  },
   { /* 731 */
     0,
     /*  */
@@ -7822,360 +10245,360 @@
   },
   { /* 738 */
     0,
-    /*  */
+    /* ENCLS */
   },
   { /* 739 */
     0,
-    /*  */
+    /* ENCLU */
   },
   { /* 740 */
-    41,
-    /* DIVR_FrST0 */
-  },
-  { /* 741 */
-    34,
-    /* DIVSDrm */
-  },
-  { /* 742 */
-    0,
-    /*  */
-  },
-  { /* 743 */
-    35,
-    /* DIVSDrr */
-  },
-  { /* 744 */
-    0,
-    /*  */
-  },
-  { /* 745 */
-    36,
-    /* DIVSSrm */
-  },
-  { /* 746 */
-    0,
-    /*  */
-  },
-  { /* 747 */
-    37,
-    /* DIVSSrr */
-  },
-  { /* 748 */
-    0,
-    /*  */
-  },
-  { /* 749 */
-    38,
-    /* DIV_F32m */
-  },
-  { /* 750 */
-    39,
-    /* DIV_F64m */
-  },
-  { /* 751 */
-    40,
-    /* DIV_FI16m */
-  },
-  { /* 752 */
-    40,
-    /* DIV_FI32m */
-  },
-  { /* 753 */
-    41,
-    /* DIV_FPrST0 */
-  },
-  { /* 754 */
-    41,
-    /* DIV_FST0r */
-  },
-  { /* 755 */
-    0,
-    /*  */
-  },
-  { /* 756 */
-    0,
-    /*  */
-  },
-  { /* 757 */
-    0,
-    /*  */
-  },
-  { /* 758 */
-    0,
-    /*  */
-  },
-  { /* 759 */
-    0,
-    /*  */
-  },
-  { /* 760 */
-    0,
-    /*  */
-  },
-  { /* 761 */
-    0,
-    /*  */
-  },
-  { /* 762 */
-    0,
-    /*  */
-  },
-  { /* 763 */
-    0,
-    /*  */
-  },
-  { /* 764 */
-    0,
-    /*  */
-  },
-  { /* 765 */
-    0,
-    /*  */
-  },
-  { /* 766 */
-    0,
-    /*  */
-  },
-  { /* 767 */
-    0,
-    /*  */
-  },
-  { /* 768 */
-    0,
-    /*  */
-  },
-  { /* 769 */
-    41,
-    /* DIV_FrST0 */
-  },
-  { /* 770 */
-    64,
-    /* DPPDrmi */
-  },
-  { /* 771 */
-    65,
-    /* DPPDrri */
-  },
-  { /* 772 */
-    64,
-    /* DPPSrmi */
-  },
-  { /* 773 */
-    65,
-    /* DPPSrri */
-  },
-  { /* 774 */
-    0,
-    /* DS_PREFIX */
-  },
-  { /* 775 */
-    0,
-    /*  */
-  },
-  { /* 776 */
-    0,
-    /*  */
-  },
-  { /* 777 */
-    0,
-    /*  */
-  },
-  { /* 778 */
-    0,
-    /*  */
-  },
-  { /* 779 */
-    0,
-    /*  */
-  },
-  { /* 780 */
-    0,
-    /*  */
-  },
-  { /* 781 */
-    0,
-    /*  */
-  },
-  { /* 782 */
-    130,
+    131,
     /* ENTER */
   },
-  { /* 783 */
-    0,
-    /* ES_PREFIX */
-  },
-  { /* 784 */
-    131,
+  { /* 741 */
+    132,
     /* EXTRACTPSmr */
   },
-  { /* 785 */
-    132,
+  { /* 742 */
+    133,
     /* EXTRACTPSrr */
   },
-  { /* 786 */
-    33,
+  { /* 743 */
+    31,
     /* EXTRQ */
   },
-  { /* 787 */
-    133,
+  { /* 744 */
+    134,
     /* EXTRQI */
   },
-  { /* 788 */
+  { /* 745 */
     0,
     /* F2XM1 */
   },
-  { /* 789 */
-    134,
+  { /* 746 */
+    135,
     /* FARCALL16i */
   },
-  { /* 790 */
-    135,
+  { /* 747 */
+    136,
     /* FARCALL16m */
   },
-  { /* 791 */
-    136,
+  { /* 748 */
+    137,
     /* FARCALL32i */
   },
-  { /* 792 */
-    137,
+  { /* 749 */
+    138,
     /* FARCALL32m */
   },
-  { /* 793 */
-    138,
+  { /* 750 */
+    139,
     /* FARCALL64 */
   },
-  { /* 794 */
-    134,
+  { /* 751 */
+    135,
     /* FARJMP16i */
   },
-  { /* 795 */
-    135,
+  { /* 752 */
+    136,
     /* FARJMP16m */
   },
-  { /* 796 */
-    136,
+  { /* 753 */
+    137,
     /* FARJMP32i */
   },
-  { /* 797 */
-    137,
+  { /* 754 */
+    138,
     /* FARJMP32m */
   },
-  { /* 798 */
-    138,
+  { /* 755 */
+    139,
     /* FARJMP64 */
   },
-  { /* 799 */
-    38,
+  { /* 756 */
+    36,
     /* FBLDm */
   },
-  { /* 800 */
-    38,
+  { /* 757 */
+    36,
     /* FBSTPm */
   },
-  { /* 801 */
-    38,
+  { /* 758 */
+    36,
     /* FCOM32m */
   },
-  { /* 802 */
-    39,
+  { /* 759 */
+    37,
     /* FCOM64m */
   },
-  { /* 803 */
-    38,
+  { /* 760 */
+    36,
     /* FCOMP32m */
   },
-  { /* 804 */
-    39,
+  { /* 761 */
+    37,
     /* FCOMP64m */
   },
-  { /* 805 */
+  { /* 762 */
     0,
     /* FCOMPP */
   },
-  { /* 806 */
+  { /* 763 */
     0,
     /* FDECSTP */
   },
-  { /* 807 */
+  { /* 764 */
     0,
     /* FEMMS */
   },
-  { /* 808 */
-    41,
+  { /* 765 */
+    39,
     /* FFREE */
   },
-  { /* 809 */
-    40,
+  { /* 766 */
+    38,
     /* FICOM16m */
   },
-  { /* 810 */
-    40,
+  { /* 767 */
+    38,
     /* FICOM32m */
   },
-  { /* 811 */
-    40,
+  { /* 768 */
+    38,
     /* FICOMP16m */
   },
-  { /* 812 */
-    40,
+  { /* 769 */
+    38,
     /* FICOMP32m */
   },
-  { /* 813 */
+  { /* 770 */
     0,
     /* FINCSTP */
   },
-  { /* 814 */
-    40,
+  { /* 771 */
+    38,
     /* FLDCW16m */
   },
-  { /* 815 */
-    38,
+  { /* 772 */
+    36,
     /* FLDENVm */
   },
-  { /* 816 */
+  { /* 773 */
     0,
     /* FLDL2E */
   },
-  { /* 817 */
+  { /* 774 */
     0,
     /* FLDL2T */
   },
-  { /* 818 */
+  { /* 775 */
     0,
     /* FLDLG2 */
   },
-  { /* 819 */
+  { /* 776 */
     0,
     /* FLDLN2 */
   },
-  { /* 820 */
+  { /* 777 */
     0,
     /* FLDPI */
   },
-  { /* 821 */
+  { /* 778 */
     0,
     /* FNCLEX */
   },
-  { /* 822 */
+  { /* 779 */
     0,
     /* FNINIT */
   },
-  { /* 823 */
+  { /* 780 */
     0,
     /* FNOP */
   },
-  { /* 824 */
-    40,
+  { /* 781 */
+    38,
     /* FNSTCW16m */
   },
-  { /* 825 */
+  { /* 782 */
     0,
     /* FNSTSW16r */
   },
-  { /* 826 */
-    38,
+  { /* 783 */
+    36,
     /* FNSTSWm */
   },
+  { /* 784 */
+    0,
+    /*  */
+  },
+  { /* 785 */
+    0,
+    /*  */
+  },
+  { /* 786 */
+    0,
+    /*  */
+  },
+  { /* 787 */
+    0,
+    /*  */
+  },
+  { /* 788 */
+    0,
+    /*  */
+  },
+  { /* 789 */
+    0,
+    /*  */
+  },
+  { /* 790 */
+    0,
+    /*  */
+  },
+  { /* 791 */
+    0,
+    /*  */
+  },
+  { /* 792 */
+    0,
+    /*  */
+  },
+  { /* 793 */
+    0,
+    /* FPATAN */
+  },
+  { /* 794 */
+    0,
+    /* FPREM */
+  },
+  { /* 795 */
+    0,
+    /* FPREM1 */
+  },
+  { /* 796 */
+    0,
+    /* FPTAN */
+  },
+  { /* 797 */
+    0,
+    /* FRNDINT */
+  },
+  { /* 798 */
+    36,
+    /* FRSTORm */
+  },
+  { /* 799 */
+    36,
+    /* FSAVEm */
+  },
+  { /* 800 */
+    0,
+    /* FSCALE */
+  },
+  { /* 801 */
+    0,
+    /* FSETPM */
+  },
+  { /* 802 */
+    0,
+    /* FSINCOS */
+  },
+  { /* 803 */
+    36,
+    /* FSTENVm */
+  },
+  { /* 804 */
+    0,
+    /* FXAM */
+  },
+  { /* 805 */
+    140,
+    /* FXRSTOR */
+  },
+  { /* 806 */
+    140,
+    /* FXRSTOR64 */
+  },
+  { /* 807 */
+    140,
+    /* FXSAVE */
+  },
+  { /* 808 */
+    140,
+    /* FXSAVE64 */
+  },
+  { /* 809 */
+    0,
+    /* FXTRACT */
+  },
+  { /* 810 */
+    0,
+    /* FYL2X */
+  },
+  { /* 811 */
+    0,
+    /* FYL2XP1 */
+  },
+  { /* 812 */
+    0,
+    /*  */
+  },
+  { /* 813 */
+    0,
+    /*  */
+  },
+  { /* 814 */
+    0,
+    /*  */
+  },
+  { /* 815 */
+    0,
+    /*  */
+  },
+  { /* 816 */
+    0,
+    /*  */
+  },
+  { /* 817 */
+    0,
+    /*  */
+  },
+  { /* 818 */
+    0,
+    /*  */
+  },
+  { /* 819 */
+    0,
+    /*  */
+  },
+  { /* 820 */
+    0,
+    /*  */
+  },
+  { /* 821 */
+    0,
+    /*  */
+  },
+  { /* 822 */
+    0,
+    /*  */
+  },
+  { /* 823 */
+    0,
+    /*  */
+  },
+  { /* 824 */
+    0,
+    /*  */
+  },
+  { /* 825 */
+    0,
+    /*  */
+  },
+  { /* 826 */
+    0,
+    /*  */
+  },
   { /* 827 */
     0,
     /*  */
@@ -8206,91 +10629,91 @@
   },
   { /* 834 */
     0,
-    /*  */
+    /* GETSEC */
   },
   { /* 835 */
-    0,
-    /*  */
+    30,
+    /* HADDPDrm */
   },
   { /* 836 */
-    0,
-    /* FPATAN */
+    31,
+    /* HADDPDrr */
   },
   { /* 837 */
-    0,
-    /* FPREM */
+    30,
+    /* HADDPSrm */
   },
   { /* 838 */
-    0,
-    /* FPREM1 */
+    31,
+    /* HADDPSrr */
   },
   { /* 839 */
     0,
-    /* FPTAN */
+    /* HLT */
   },
   { /* 840 */
-    0,
-    /* FRNDINT */
+    30,
+    /* HSUBPDrm */
   },
   { /* 841 */
-    38,
-    /* FRSTORm */
+    31,
+    /* HSUBPDrr */
   },
   { /* 842 */
-    38,
-    /* FSAVEm */
+    30,
+    /* HSUBPSrm */
   },
   { /* 843 */
-    0,
-    /* FSCALE */
+    31,
+    /* HSUBPSrr */
   },
   { /* 844 */
-    0,
-    /* FSETPM */
+    38,
+    /* IDIV16m */
   },
   { /* 845 */
-    0,
-    /* FSINCOS */
+    77,
+    /* IDIV16r */
   },
   { /* 846 */
     38,
-    /* FSTENVm */
+    /* IDIV32m */
   },
   { /* 847 */
-    0,
-    /* FS_PREFIX */
+    77,
+    /* IDIV32r */
   },
   { /* 848 */
-    0,
-    /* FXAM */
+    38,
+    /* IDIV64m */
   },
   { /* 849 */
-    139,
-    /* FXRSTOR */
+    79,
+    /* IDIV64r */
   },
   { /* 850 */
-    139,
-    /* FXRSTOR64 */
+    82,
+    /* IDIV8m */
   },
   { /* 851 */
-    139,
-    /* FXSAVE */
+    130,
+    /* IDIV8r */
   },
   { /* 852 */
-    139,
-    /* FXSAVE64 */
+    38,
+    /* ILD_F16m */
   },
   { /* 853 */
-    0,
-    /* FXTRACT */
+    38,
+    /* ILD_F32m */
   },
   { /* 854 */
-    0,
-    /* FYL2X */
+    38,
+    /* ILD_F64m */
   },
   { /* 855 */
     0,
-    /* FYL2XP1 */
+    /*  */
   },
   { /* 856 */
     0,
@@ -8325,481 +10748,481 @@
     /*  */
   },
   { /* 864 */
-    0,
-    /*  */
-  },
-  { /* 865 */
-    0,
-    /*  */
-  },
-  { /* 866 */
-    0,
-    /*  */
-  },
-  { /* 867 */
-    0,
-    /*  */
-  },
-  { /* 868 */
-    0,
-    /*  */
-  },
-  { /* 869 */
-    0,
-    /*  */
-  },
-  { /* 870 */
-    0,
-    /*  */
-  },
-  { /* 871 */
-    0,
-    /*  */
-  },
-  { /* 872 */
-    0,
-    /*  */
-  },
-  { /* 873 */
-    0,
-    /*  */
-  },
-  { /* 874 */
-    0,
-    /*  */
-  },
-  { /* 875 */
-    0,
-    /*  */
-  },
-  { /* 876 */
-    0,
-    /*  */
-  },
-  { /* 877 */
-    0,
-    /*  */
-  },
-  { /* 878 */
-    0,
-    /*  */
-  },
-  { /* 879 */
-    0,
-    /* GETSEC */
-  },
-  { /* 880 */
-    0,
-    /* GS_PREFIX */
-  },
-  { /* 881 */
-    32,
-    /* HADDPDrm */
-  },
-  { /* 882 */
-    33,
-    /* HADDPDrr */
-  },
-  { /* 883 */
-    32,
-    /* HADDPSrm */
-  },
-  { /* 884 */
-    33,
-    /* HADDPSrr */
-  },
-  { /* 885 */
-    0,
-    /* HLT */
-  },
-  { /* 886 */
-    32,
-    /* HSUBPDrm */
-  },
-  { /* 887 */
-    33,
-    /* HSUBPDrr */
-  },
-  { /* 888 */
-    32,
-    /* HSUBPSrm */
-  },
-  { /* 889 */
-    33,
-    /* HSUBPSrr */
-  },
-  { /* 890 */
-    40,
-    /* IDIV16m */
-  },
-  { /* 891 */
-    75,
-    /* IDIV16r */
-  },
-  { /* 892 */
-    40,
-    /* IDIV32m */
-  },
-  { /* 893 */
-    75,
-    /* IDIV32r */
-  },
-  { /* 894 */
-    40,
-    /* IDIV64m */
-  },
-  { /* 895 */
-    77,
-    /* IDIV64r */
-  },
-  { /* 896 */
-    80,
-    /* IDIV8m */
-  },
-  { /* 897 */
-    129,
-    /* IDIV8r */
-  },
-  { /* 898 */
-    40,
-    /* ILD_F16m */
-  },
-  { /* 899 */
-    40,
-    /* ILD_F32m */
-  },
-  { /* 900 */
-    40,
-    /* ILD_F64m */
-  },
-  { /* 901 */
-    0,
-    /*  */
-  },
-  { /* 902 */
-    0,
-    /*  */
-  },
-  { /* 903 */
-    0,
-    /*  */
-  },
-  { /* 904 */
-    0,
-    /*  */
-  },
-  { /* 905 */
-    0,
-    /*  */
-  },
-  { /* 906 */
-    0,
-    /*  */
-  },
-  { /* 907 */
-    0,
-    /*  */
-  },
-  { /* 908 */
-    0,
-    /*  */
-  },
-  { /* 909 */
-    0,
-    /*  */
-  },
-  { /* 910 */
-    40,
+    38,
     /* IMUL16m */
   },
-  { /* 911 */
-    75,
+  { /* 865 */
+    77,
     /* IMUL16r */
   },
-  { /* 912 */
+  { /* 866 */
     8,
     /* IMUL16rm */
   },
-  { /* 913 */
-    140,
+  { /* 867 */
+    141,
     /* IMUL16rmi */
   },
-  { /* 914 */
-    141,
+  { /* 868 */
+    142,
     /* IMUL16rmi8 */
   },
-  { /* 915 */
+  { /* 869 */
     10,
     /* IMUL16rr */
   },
-  { /* 916 */
-    142,
+  { /* 870 */
+    143,
     /* IMUL16rri */
   },
-  { /* 917 */
-    143,
+  { /* 871 */
+    144,
     /* IMUL16rri8 */
   },
-  { /* 918 */
-    40,
+  { /* 872 */
+    38,
     /* IMUL32m */
   },
-  { /* 919 */
-    75,
+  { /* 873 */
+    77,
     /* IMUL32r */
   },
-  { /* 920 */
+  { /* 874 */
     8,
     /* IMUL32rm */
   },
-  { /* 921 */
-    140,
+  { /* 875 */
+    141,
     /* IMUL32rmi */
   },
-  { /* 922 */
-    144,
+  { /* 876 */
+    145,
     /* IMUL32rmi8 */
   },
-  { /* 923 */
+  { /* 877 */
     10,
     /* IMUL32rr */
   },
-  { /* 924 */
-    142,
+  { /* 878 */
+    143,
     /* IMUL32rri */
   },
-  { /* 925 */
-    145,
+  { /* 879 */
+    146,
     /* IMUL32rri8 */
   },
-  { /* 926 */
-    40,
+  { /* 880 */
+    38,
     /* IMUL64m */
   },
-  { /* 927 */
-    77,
+  { /* 881 */
+    79,
     /* IMUL64r */
   },
-  { /* 928 */
+  { /* 882 */
     19,
     /* IMUL64rm */
   },
-  { /* 929 */
-    58,
+  { /* 883 */
+    60,
     /* IMUL64rmi32 */
   },
-  { /* 930 */
-    146,
+  { /* 884 */
+    147,
     /* IMUL64rmi8 */
   },
-  { /* 931 */
+  { /* 885 */
     21,
     /* IMUL64rr */
   },
-  { /* 932 */
-    59,
+  { /* 886 */
+    61,
     /* IMUL64rri32 */
   },
-  { /* 933 */
-    147,
+  { /* 887 */
+    148,
     /* IMUL64rri8 */
   },
-  { /* 934 */
-    80,
+  { /* 888 */
+    82,
     /* IMUL8m */
   },
-  { /* 935 */
-    129,
+  { /* 889 */
+    130,
     /* IMUL8r */
   },
-  { /* 936 */
-    148,
-    /* IN16 */
-  },
-  { /* 937 */
+  { /* 890 */
     1,
     /* IN16ri */
   },
-  { /* 938 */
+  { /* 891 */
     0,
     /* IN16rr */
   },
-  { /* 939 */
-    149,
-    /* IN32 */
-  },
-  { /* 940 */
+  { /* 892 */
     1,
     /* IN32ri */
   },
-  { /* 941 */
+  { /* 893 */
     0,
     /* IN32rr */
   },
-  { /* 942 */
-    150,
-    /* IN8 */
-  },
-  { /* 943 */
+  { /* 894 */
     1,
     /* IN8ri */
   },
-  { /* 944 */
+  { /* 895 */
     0,
     /* IN8rr */
   },
-  { /* 945 */
-    40,
+  { /* 896 */
+    38,
     /* INC16m */
   },
-  { /* 946 */
-    68,
+  { /* 897 */
+    70,
     /* INC16r */
   },
-  { /* 947 */
-    126,
+  { /* 898 */
+    127,
     /* INC32_16r */
   },
-  { /* 948 */
-    126,
+  { /* 899 */
+    127,
     /* INC32_32r */
   },
-  { /* 949 */
-    40,
+  { /* 900 */
+    38,
     /* INC32m */
   },
-  { /* 950 */
-    68,
+  { /* 901 */
+    70,
     /* INC32r */
   },
-  { /* 951 */
-    40,
+  { /* 902 */
+    38,
     /* INC64_16m */
   },
-  { /* 952 */
-    126,
+  { /* 903 */
+    127,
     /* INC64_16r */
   },
-  { /* 953 */
-    40,
+  { /* 904 */
+    38,
     /* INC64_32m */
   },
-  { /* 954 */
-    126,
+  { /* 905 */
+    127,
     /* INC64_32r */
   },
-  { /* 955 */
-    40,
+  { /* 906 */
+    38,
     /* INC64m */
   },
-  { /* 956 */
-    127,
+  { /* 907 */
+    128,
     /* INC64r */
   },
-  { /* 957 */
-    80,
+  { /* 908 */
+    82,
     /* INC8m */
   },
-  { /* 958 */
-    128,
+  { /* 909 */
+    129,
     /* INC8r */
   },
-  { /* 959 */
-    151,
+  { /* 910 */
+    149,
+    /* INSB */
+  },
+  { /* 911 */
+    150,
     /* INSERTPSrm */
   },
-  { /* 960 */
-    65,
+  { /* 912 */
+    67,
     /* INSERTPSrr */
   },
-  { /* 961 */
-    33,
+  { /* 913 */
+    31,
     /* INSERTQ */
   },
-  { /* 962 */
-    152,
+  { /* 914 */
+    151,
     /* INSERTQI */
   },
-  { /* 963 */
+  { /* 915 */
+    152,
+    /* INSL */
+  },
+  { /* 916 */
+    153,
+    /* INSW */
+  },
+  { /* 917 */
     1,
     /* INT */
   },
-  { /* 964 */
+  { /* 918 */
     0,
     /* INT1 */
   },
-  { /* 965 */
+  { /* 919 */
     0,
     /* INT3 */
   },
-  { /* 966 */
+  { /* 920 */
     0,
     /* INTO */
   },
-  { /* 967 */
+  { /* 921 */
     0,
     /* INVD */
   },
-  { /* 968 */
-    153,
+  { /* 922 */
+    154,
     /* INVEPT32 */
   },
-  { /* 969 */
-    154,
+  { /* 923 */
+    155,
     /* INVEPT64 */
   },
-  { /* 970 */
-    80,
+  { /* 924 */
+    82,
     /* INVLPG */
   },
-  { /* 971 */
+  { /* 925 */
     0,
     /* INVLPGA32 */
   },
-  { /* 972 */
+  { /* 926 */
     0,
     /* INVLPGA64 */
   },
-  { /* 973 */
-    153,
+  { /* 927 */
+    154,
     /* INVPCID32 */
   },
-  { /* 974 */
-    154,
+  { /* 928 */
+    155,
     /* INVPCID64 */
   },
-  { /* 975 */
-    153,
+  { /* 929 */
+    154,
     /* INVVPID32 */
   },
-  { /* 976 */
-    154,
+  { /* 930 */
+    155,
     /* INVVPID64 */
   },
-  { /* 977 */
+  { /* 931 */
     0,
     /* IRET16 */
   },
-  { /* 978 */
+  { /* 932 */
     0,
     /* IRET32 */
   },
-  { /* 979 */
+  { /* 933 */
     0,
     /* IRET64 */
   },
-  { /* 980 */
-    40,
+  { /* 934 */
+    38,
     /* ISTT_FP16m */
   },
-  { /* 981 */
-    40,
+  { /* 935 */
+    38,
     /* ISTT_FP32m */
   },
-  { /* 982 */
-    40,
+  { /* 936 */
+    38,
     /* ISTT_FP64m */
   },
+  { /* 937 */
+    0,
+    /*  */
+  },
+  { /* 938 */
+    0,
+    /*  */
+  },
+  { /* 939 */
+    0,
+    /*  */
+  },
+  { /* 940 */
+    0,
+    /*  */
+  },
+  { /* 941 */
+    0,
+    /*  */
+  },
+  { /* 942 */
+    0,
+    /*  */
+  },
+  { /* 943 */
+    0,
+    /*  */
+  },
+  { /* 944 */
+    0,
+    /*  */
+  },
+  { /* 945 */
+    0,
+    /*  */
+  },
+  { /* 946 */
+    38,
+    /* IST_F16m */
+  },
+  { /* 947 */
+    38,
+    /* IST_F32m */
+  },
+  { /* 948 */
+    38,
+    /* IST_FP16m */
+  },
+  { /* 949 */
+    38,
+    /* IST_FP32m */
+  },
+  { /* 950 */
+    38,
+    /* IST_FP64m */
+  },
+  { /* 951 */
+    0,
+    /*  */
+  },
+  { /* 952 */
+    0,
+    /*  */
+  },
+  { /* 953 */
+    0,
+    /*  */
+  },
+  { /* 954 */
+    0,
+    /*  */
+  },
+  { /* 955 */
+    0,
+    /*  */
+  },
+  { /* 956 */
+    0,
+    /*  */
+  },
+  { /* 957 */
+    0,
+    /*  */
+  },
+  { /* 958 */
+    0,
+    /*  */
+  },
+  { /* 959 */
+    0,
+    /*  */
+  },
+  { /* 960 */
+    0,
+    /*  */
+  },
+  { /* 961 */
+    0,
+    /*  */
+  },
+  { /* 962 */
+    0,
+    /*  */
+  },
+  { /* 963 */
+    0,
+    /*  */
+  },
+  { /* 964 */
+    0,
+    /*  */
+  },
+  { /* 965 */
+    0,
+    /*  */
+  },
+  { /* 966 */
+    0,
+    /*  */
+  },
+  { /* 967 */
+    0,
+    /*  */
+  },
+  { /* 968 */
+    0,
+    /*  */
+  },
+  { /* 969 */
+    0,
+    /*  */
+  },
+  { /* 970 */
+    0,
+    /*  */
+  },
+  { /* 971 */
+    0,
+    /*  */
+  },
+  { /* 972 */
+    0,
+    /*  */
+  },
+  { /* 973 */
+    0,
+    /*  */
+  },
+  { /* 974 */
+    0,
+    /*  */
+  },
+  { /* 975 */
+    0,
+    /*  */
+  },
+  { /* 976 */
+    0,
+    /*  */
+  },
+  { /* 977 */
+    0,
+    /*  */
+  },
+  { /* 978 */
+    0,
+    /*  */
+  },
+  { /* 979 */
+    0,
+    /*  */
+  },
+  { /* 980 */
+    0,
+    /*  */
+  },
+  { /* 981 */
+    0,
+    /*  */
+  },
+  { /* 982 */
+    0,
+    /*  */
+  },
   { /* 983 */
     0,
     /*  */
@@ -8837,24 +11260,24 @@
     /*  */
   },
   { /* 992 */
-    40,
-    /* IST_F16m */
+    0,
+    /*  */
   },
   { /* 993 */
-    40,
-    /* IST_F32m */
+    0,
+    /*  */
   },
   { /* 994 */
-    40,
-    /* IST_FP16m */
+    0,
+    /*  */
   },
   { /* 995 */
-    40,
-    /* IST_FP32m */
+    0,
+    /*  */
   },
   { /* 996 */
-    40,
-    /* IST_FP64m */
+    0,
+    /*  */
   },
   { /* 997 */
     0,
@@ -9129,544 +11552,544 @@
     /*  */
   },
   { /* 1065 */
-    0,
-    /*  */
-  },
-  { /* 1066 */
-    0,
-    /*  */
-  },
-  { /* 1067 */
-    0,
-    /*  */
-  },
-  { /* 1068 */
-    0,
-    /*  */
-  },
-  { /* 1069 */
-    0,
-    /*  */
-  },
-  { /* 1070 */
-    0,
-    /*  */
-  },
-  { /* 1071 */
-    0,
-    /*  */
-  },
-  { /* 1072 */
-    0,
-    /*  */
-  },
-  { /* 1073 */
-    0,
-    /*  */
-  },
-  { /* 1074 */
-    0,
-    /*  */
-  },
-  { /* 1075 */
-    0,
-    /*  */
-  },
-  { /* 1076 */
-    0,
-    /*  */
-  },
-  { /* 1077 */
-    0,
-    /*  */
-  },
-  { /* 1078 */
-    0,
-    /*  */
-  },
-  { /* 1079 */
-    0,
-    /*  */
-  },
-  { /* 1080 */
-    0,
-    /*  */
-  },
-  { /* 1081 */
-    0,
-    /*  */
-  },
-  { /* 1082 */
-    0,
-    /*  */
-  },
-  { /* 1083 */
-    0,
-    /*  */
-  },
-  { /* 1084 */
-    0,
-    /*  */
-  },
-  { /* 1085 */
-    0,
-    /*  */
-  },
-  { /* 1086 */
-    0,
-    /*  */
-  },
-  { /* 1087 */
-    0,
-    /*  */
-  },
-  { /* 1088 */
-    0,
-    /*  */
-  },
-  { /* 1089 */
-    0,
-    /*  */
-  },
-  { /* 1090 */
-    0,
-    /*  */
-  },
-  { /* 1091 */
-    0,
-    /*  */
-  },
-  { /* 1092 */
-    0,
-    /*  */
-  },
-  { /* 1093 */
-    0,
-    /*  */
-  },
-  { /* 1094 */
-    0,
-    /*  */
-  },
-  { /* 1095 */
-    0,
-    /*  */
-  },
-  { /* 1096 */
-    0,
-    /*  */
-  },
-  { /* 1097 */
-    0,
-    /*  */
-  },
-  { /* 1098 */
-    0,
-    /*  */
-  },
-  { /* 1099 */
-    0,
-    /*  */
-  },
-  { /* 1100 */
-    0,
-    /*  */
-  },
-  { /* 1101 */
-    0,
-    /*  */
-  },
-  { /* 1102 */
-    0,
-    /*  */
-  },
-  { /* 1103 */
-    0,
-    /*  */
-  },
-  { /* 1104 */
-    0,
-    /*  */
-  },
-  { /* 1105 */
-    0,
-    /*  */
-  },
-  { /* 1106 */
-    0,
-    /*  */
-  },
-  { /* 1107 */
-    0,
-    /*  */
-  },
-  { /* 1108 */
-    0,
-    /*  */
-  },
-  { /* 1109 */
-    0,
-    /*  */
-  },
-  { /* 1110 */
-    0,
-    /*  */
-  },
-  { /* 1111 */
-    155,
+    156,
     /* JAE_1 */
   },
-  { /* 1112 */
-    156,
+  { /* 1066 */
+    157,
     /* JAE_2 */
   },
+  { /* 1067 */
+    157,
+    /* JAE_4 */
+  },
+  { /* 1068 */
+    156,
+    /* JA_1 */
+  },
+  { /* 1069 */
+    157,
+    /* JA_2 */
+  },
+  { /* 1070 */
+    157,
+    /* JA_4 */
+  },
+  { /* 1071 */
+    156,
+    /* JBE_1 */
+  },
+  { /* 1072 */
+    157,
+    /* JBE_2 */
+  },
+  { /* 1073 */
+    157,
+    /* JBE_4 */
+  },
+  { /* 1074 */
+    156,
+    /* JB_1 */
+  },
+  { /* 1075 */
+    157,
+    /* JB_2 */
+  },
+  { /* 1076 */
+    157,
+    /* JB_4 */
+  },
+  { /* 1077 */
+    156,
+    /* JCXZ */
+  },
+  { /* 1078 */
+    156,
+    /* JECXZ_32 */
+  },
+  { /* 1079 */
+    156,
+    /* JECXZ_64 */
+  },
+  { /* 1080 */
+    156,
+    /* JE_1 */
+  },
+  { /* 1081 */
+    157,
+    /* JE_2 */
+  },
+  { /* 1082 */
+    157,
+    /* JE_4 */
+  },
+  { /* 1083 */
+    156,
+    /* JGE_1 */
+  },
+  { /* 1084 */
+    157,
+    /* JGE_2 */
+  },
+  { /* 1085 */
+    157,
+    /* JGE_4 */
+  },
+  { /* 1086 */
+    156,
+    /* JG_1 */
+  },
+  { /* 1087 */
+    157,
+    /* JG_2 */
+  },
+  { /* 1088 */
+    157,
+    /* JG_4 */
+  },
+  { /* 1089 */
+    156,
+    /* JLE_1 */
+  },
+  { /* 1090 */
+    157,
+    /* JLE_2 */
+  },
+  { /* 1091 */
+    157,
+    /* JLE_4 */
+  },
+  { /* 1092 */
+    156,
+    /* JL_1 */
+  },
+  { /* 1093 */
+    157,
+    /* JL_2 */
+  },
+  { /* 1094 */
+    157,
+    /* JL_4 */
+  },
+  { /* 1095 */
+    38,
+    /* JMP16m */
+  },
+  { /* 1096 */
+    77,
+    /* JMP16r */
+  },
+  { /* 1097 */
+    38,
+    /* JMP32m */
+  },
+  { /* 1098 */
+    77,
+    /* JMP32r */
+  },
+  { /* 1099 */
+    38,
+    /* JMP64m */
+  },
+  { /* 1100 */
+    79,
+    /* JMP64r */
+  },
+  { /* 1101 */
+    156,
+    /* JMP_1 */
+  },
+  { /* 1102 */
+    157,
+    /* JMP_2 */
+  },
+  { /* 1103 */
+    157,
+    /* JMP_4 */
+  },
+  { /* 1104 */
+    156,
+    /* JNE_1 */
+  },
+  { /* 1105 */
+    157,
+    /* JNE_2 */
+  },
+  { /* 1106 */
+    157,
+    /* JNE_4 */
+  },
+  { /* 1107 */
+    156,
+    /* JNO_1 */
+  },
+  { /* 1108 */
+    157,
+    /* JNO_2 */
+  },
+  { /* 1109 */
+    157,
+    /* JNO_4 */
+  },
+  { /* 1110 */
+    156,
+    /* JNP_1 */
+  },
+  { /* 1111 */
+    157,
+    /* JNP_2 */
+  },
+  { /* 1112 */
+    157,
+    /* JNP_4 */
+  },
   { /* 1113 */
     156,
-    /* JAE_4 */
+    /* JNS_1 */
   },
   { /* 1114 */
-    155,
-    /* JA_1 */
+    157,
+    /* JNS_2 */
   },
   { /* 1115 */
-    156,
-    /* JA_2 */
+    157,
+    /* JNS_4 */
   },
   { /* 1116 */
     156,
-    /* JA_4 */
+    /* JO_1 */
   },
   { /* 1117 */
-    155,
-    /* JBE_1 */
+    157,
+    /* JO_2 */
   },
   { /* 1118 */
-    156,
-    /* JBE_2 */
+    157,
+    /* JO_4 */
   },
   { /* 1119 */
     156,
-    /* JBE_4 */
+    /* JP_1 */
   },
   { /* 1120 */
-    155,
-    /* JB_1 */
+    157,
+    /* JP_2 */
   },
   { /* 1121 */
-    156,
-    /* JB_2 */
+    157,
+    /* JP_4 */
   },
   { /* 1122 */
     156,
-    /* JB_4 */
-  },
-  { /* 1123 */
-    155,
-    /* JCXZ */
-  },
-  { /* 1124 */
-    155,
-    /* JECXZ_32 */
-  },
-  { /* 1125 */
-    155,
-    /* JECXZ_64 */
-  },
-  { /* 1126 */
-    155,
-    /* JE_1 */
-  },
-  { /* 1127 */
-    156,
-    /* JE_2 */
-  },
-  { /* 1128 */
-    156,
-    /* JE_4 */
-  },
-  { /* 1129 */
-    155,
-    /* JGE_1 */
-  },
-  { /* 1130 */
-    156,
-    /* JGE_2 */
-  },
-  { /* 1131 */
-    156,
-    /* JGE_4 */
-  },
-  { /* 1132 */
-    155,
-    /* JG_1 */
-  },
-  { /* 1133 */
-    156,
-    /* JG_2 */
-  },
-  { /* 1134 */
-    156,
-    /* JG_4 */
-  },
-  { /* 1135 */
-    155,
-    /* JLE_1 */
-  },
-  { /* 1136 */
-    156,
-    /* JLE_2 */
-  },
-  { /* 1137 */
-    156,
-    /* JLE_4 */
-  },
-  { /* 1138 */
-    155,
-    /* JL_1 */
-  },
-  { /* 1139 */
-    156,
-    /* JL_2 */
-  },
-  { /* 1140 */
-    156,
-    /* JL_4 */
-  },
-  { /* 1141 */
-    40,
-    /* JMP16m */
-  },
-  { /* 1142 */
-    75,
-    /* JMP16r */
-  },
-  { /* 1143 */
-    40,
-    /* JMP32m */
-  },
-  { /* 1144 */
-    75,
-    /* JMP32r */
-  },
-  { /* 1145 */
-    40,
-    /* JMP64m */
-  },
-  { /* 1146 */
-    77,
-    /* JMP64r */
-  },
-  { /* 1147 */
-    155,
-    /* JMP_1 */
-  },
-  { /* 1148 */
-    156,
-    /* JMP_2 */
-  },
-  { /* 1149 */
-    156,
-    /* JMP_4 */
-  },
-  { /* 1150 */
-    155,
-    /* JNE_1 */
-  },
-  { /* 1151 */
-    156,
-    /* JNE_2 */
-  },
-  { /* 1152 */
-    156,
-    /* JNE_4 */
-  },
-  { /* 1153 */
-    155,
-    /* JNO_1 */
-  },
-  { /* 1154 */
-    156,
-    /* JNO_2 */
-  },
-  { /* 1155 */
-    156,
-    /* JNO_4 */
-  },
-  { /* 1156 */
-    155,
-    /* JNP_1 */
-  },
-  { /* 1157 */
-    156,
-    /* JNP_2 */
-  },
-  { /* 1158 */
-    156,
-    /* JNP_4 */
-  },
-  { /* 1159 */
-    155,
-    /* JNS_1 */
-  },
-  { /* 1160 */
-    156,
-    /* JNS_2 */
-  },
-  { /* 1161 */
-    156,
-    /* JNS_4 */
-  },
-  { /* 1162 */
-    155,
-    /* JO_1 */
-  },
-  { /* 1163 */
-    156,
-    /* JO_2 */
-  },
-  { /* 1164 */
-    156,
-    /* JO_4 */
-  },
-  { /* 1165 */
-    155,
-    /* JP_1 */
-  },
-  { /* 1166 */
-    156,
-    /* JP_2 */
-  },
-  { /* 1167 */
-    156,
-    /* JP_4 */
-  },
-  { /* 1168 */
-    155,
     /* JRCXZ */
   },
-  { /* 1169 */
-    155,
+  { /* 1123 */
+    156,
     /* JS_1 */
   },
-  { /* 1170 */
-    156,
+  { /* 1124 */
+    157,
     /* JS_2 */
   },
-  { /* 1171 */
-    156,
+  { /* 1125 */
+    157,
     /* JS_4 */
   },
-  { /* 1172 */
-    157,
+  { /* 1126 */
+    158,
+    /* KANDBrr */
+  },
+  { /* 1127 */
+    159,
+    /* KANDDrr */
+  },
+  { /* 1128 */
+    158,
+    /* KANDNBrr */
+  },
+  { /* 1129 */
+    159,
+    /* KANDNDrr */
+  },
+  { /* 1130 */
+    160,
+    /* KANDNQrr */
+  },
+  { /* 1131 */
+    161,
     /* KANDNWrr */
   },
-  { /* 1173 */
-    157,
+  { /* 1132 */
+    160,
+    /* KANDQrr */
+  },
+  { /* 1133 */
+    161,
     /* KANDWrr */
   },
+  { /* 1134 */
+    162,
+    /* KMOVBkk */
+  },
+  { /* 1135 */
+    163,
+    /* KMOVBkm */
+  },
+  { /* 1136 */
+    164,
+    /* KMOVBkr */
+  },
+  { /* 1137 */
+    165,
+    /* KMOVBmk */
+  },
+  { /* 1138 */
+    166,
+    /* KMOVBrk */
+  },
+  { /* 1139 */
+    167,
+    /* KMOVDkk */
+  },
+  { /* 1140 */
+    168,
+    /* KMOVDkm */
+  },
+  { /* 1141 */
+    169,
+    /* KMOVDkr */
+  },
+  { /* 1142 */
+    170,
+    /* KMOVDmk */
+  },
+  { /* 1143 */
+    171,
+    /* KMOVDrk */
+  },
+  { /* 1144 */
+    172,
+    /* KMOVQkk */
+  },
+  { /* 1145 */
+    173,
+    /* KMOVQkm */
+  },
+  { /* 1146 */
+    174,
+    /* KMOVQkr */
+  },
+  { /* 1147 */
+    175,
+    /* KMOVQmk */
+  },
+  { /* 1148 */
+    176,
+    /* KMOVQrk */
+  },
+  { /* 1149 */
+    177,
+    /* KMOVWkk */
+  },
+  { /* 1150 */
+    178,
+    /* KMOVWkm */
+  },
+  { /* 1151 */
+    179,
+    /* KMOVWkr */
+  },
+  { /* 1152 */
+    180,
+    /* KMOVWmk */
+  },
+  { /* 1153 */
+    181,
+    /* KMOVWrk */
+  },
+  { /* 1154 */
+    162,
+    /* KNOTBrr */
+  },
+  { /* 1155 */
+    167,
+    /* KNOTDrr */
+  },
+  { /* 1156 */
+    172,
+    /* KNOTQrr */
+  },
+  { /* 1157 */
+    177,
+    /* KNOTWrr */
+  },
+  { /* 1158 */
+    158,
+    /* KORBrr */
+  },
+  { /* 1159 */
+    159,
+    /* KORDrr */
+  },
+  { /* 1160 */
+    160,
+    /* KORQrr */
+  },
+  { /* 1161 */
+    177,
+    /* KORTESTWrr */
+  },
+  { /* 1162 */
+    161,
+    /* KORWrr */
+  },
+  { /* 1163 */
+    0,
+    /*  */
+  },
+  { /* 1164 */
+    0,
+    /*  */
+  },
+  { /* 1165 */
+    0,
+    /*  */
+  },
+  { /* 1166 */
+    0,
+    /*  */
+  },
+  { /* 1167 */
+    182,
+    /* KSHIFTLWri */
+  },
+  { /* 1168 */
+    182,
+    /* KSHIFTRWri */
+  },
+  { /* 1169 */
+    161,
+    /* KUNPCKBWrr */
+  },
+  { /* 1170 */
+    158,
+    /* KXNORBrr */
+  },
+  { /* 1171 */
+    159,
+    /* KXNORDrr */
+  },
+  { /* 1172 */
+    160,
+    /* KXNORQrr */
+  },
+  { /* 1173 */
+    161,
+    /* KXNORWrr */
+  },
   { /* 1174 */
     158,
-    /* KMOVWkk */
+    /* KXORBrr */
   },
   { /* 1175 */
     159,
-    /* KMOVWkm */
+    /* KXORDrr */
   },
   { /* 1176 */
     160,
-    /* KMOVWkr */
+    /* KXORQrr */
   },
   { /* 1177 */
     161,
-    /* KMOVWmk */
+    /* KXORWrr */
   },
   { /* 1178 */
-    162,
-    /* KMOVWrk */
+    0,
+    /* LAHF */
   },
   { /* 1179 */
-    158,
-    /* KNOTWrr */
+    68,
+    /* LAR16rm */
   },
   { /* 1180 */
-    158,
-    /* KORTESTWrr */
+    69,
+    /* LAR16rr */
   },
   { /* 1181 */
-    157,
-    /* KORWrr */
+    68,
+    /* LAR32rm */
   },
   { /* 1182 */
-    0,
-    /*  */
+    69,
+    /* LAR32rr */
   },
   { /* 1183 */
-    0,
-    /*  */
+    42,
+    /* LAR64rm */
   },
   { /* 1184 */
-    0,
-    /*  */
+    183,
+    /* LAR64rr */
   },
   { /* 1185 */
     0,
     /*  */
   },
   { /* 1186 */
-    163,
-    /* KSHIFTLWri */
+    0,
+    /*  */
   },
   { /* 1187 */
-    163,
-    /* KSHIFTRWri */
+    0,
+    /*  */
   },
   { /* 1188 */
-    157,
-    /* KUNPCKBWrr */
+    0,
+    /*  */
   },
   { /* 1189 */
-    157,
-    /* KXNORWrr */
+    0,
+    /*  */
   },
   { /* 1190 */
-    157,
-    /* KXORWrr */
+    0,
+    /*  */
   },
   { /* 1191 */
-    0,
-    /* LAHF */
+    44,
+    /* LDDQUrm */
   },
   { /* 1192 */
-    66,
-    /* LAR16rm */
+    38,
+    /* LDMXCSR */
   },
   { /* 1193 */
-    67,
-    /* LAR16rr */
+    184,
+    /* LDS16rm */
   },
   { /* 1194 */
-    66,
-    /* LAR32rm */
+    185,
+    /* LDS32rm */
   },
   { /* 1195 */
-    67,
-    /* LAR32rr */
+    0,
+    /* LD_F0 */
   },
   { /* 1196 */
-    30,
-    /* LAR64rm */
+    0,
+    /* LD_F1 */
   },
   { /* 1197 */
-    164,
-    /* LAR64rr */
+    36,
+    /* LD_F32m */
   },
   { /* 1198 */
-    0,
-    /*  */
+    37,
+    /* LD_F64m */
   },
   { /* 1199 */
-    0,
-    /*  */
+    186,
+    /* LD_F80m */
   },
   { /* 1200 */
     0,
@@ -9685,193 +12108,193 @@
     /*  */
   },
   { /* 1204 */
-    42,
-    /* LDDQUrm */
+    0,
+    /*  */
   },
   { /* 1205 */
-    40,
-    /* LDMXCSR */
+    0,
+    /*  */
   },
   { /* 1206 */
-    165,
-    /* LDS16rm */
+    0,
+    /*  */
   },
   { /* 1207 */
-    166,
-    /* LDS32rm */
+    0,
+    /*  */
   },
   { /* 1208 */
     0,
-    /* LD_F0 */
+    /*  */
   },
   { /* 1209 */
     0,
-    /* LD_F1 */
+    /*  */
   },
   { /* 1210 */
-    38,
-    /* LD_F32m */
+    0,
+    /*  */
   },
   { /* 1211 */
-    39,
-    /* LD_F64m */
+    0,
+    /*  */
   },
   { /* 1212 */
-    167,
-    /* LD_F80m */
+    39,
+    /* LD_Frr */
   },
   { /* 1213 */
-    0,
-    /*  */
+    68,
+    /* LEA16r */
   },
   { /* 1214 */
-    0,
-    /*  */
+    68,
+    /* LEA32r */
   },
   { /* 1215 */
-    0,
-    /*  */
+    187,
+    /* LEA64_32r */
   },
   { /* 1216 */
-    0,
-    /*  */
+    188,
+    /* LEA64r */
   },
   { /* 1217 */
     0,
-    /*  */
+    /* LEAVE */
   },
   { /* 1218 */
     0,
-    /*  */
+    /* LEAVE64 */
   },
   { /* 1219 */
-    0,
-    /*  */
+    184,
+    /* LES16rm */
   },
   { /* 1220 */
-    0,
-    /*  */
+    185,
+    /* LES32rm */
   },
   { /* 1221 */
     0,
-    /*  */
-  },
-  { /* 1222 */
-    0,
-    /*  */
-  },
-  { /* 1223 */
-    0,
-    /*  */
-  },
-  { /* 1224 */
-    0,
-    /*  */
-  },
-  { /* 1225 */
-    41,
-    /* LD_Frr */
-  },
-  { /* 1226 */
-    66,
-    /* LEA16r */
-  },
-  { /* 1227 */
-    66,
-    /* LEA32r */
-  },
-  { /* 1228 */
-    168,
-    /* LEA64_32r */
-  },
-  { /* 1229 */
-    169,
-    /* LEA64r */
-  },
-  { /* 1230 */
-    0,
-    /* LEAVE */
-  },
-  { /* 1231 */
-    0,
-    /* LEAVE64 */
-  },
-  { /* 1232 */
-    165,
-    /* LES16rm */
-  },
-  { /* 1233 */
-    166,
-    /* LES32rm */
-  },
-  { /* 1234 */
-    0,
     /* LFENCE */
   },
-  { /* 1235 */
-    165,
+  { /* 1222 */
+    184,
     /* LFS16rm */
   },
-  { /* 1236 */
-    166,
+  { /* 1223 */
+    185,
     /* LFS32rm */
   },
-  { /* 1237 */
-    170,
+  { /* 1224 */
+    189,
     /* LFS64rm */
   },
-  { /* 1238 */
-    137,
+  { /* 1225 */
+    138,
     /* LGDT16m */
   },
-  { /* 1239 */
-    137,
+  { /* 1226 */
+    138,
     /* LGDT32m */
   },
-  { /* 1240 */
-    138,
+  { /* 1227 */
+    139,
     /* LGDT64m */
   },
-  { /* 1241 */
-    165,
+  { /* 1228 */
+    184,
     /* LGS16rm */
   },
-  { /* 1242 */
-    166,
+  { /* 1229 */
+    185,
     /* LGS32rm */
   },
-  { /* 1243 */
-    170,
+  { /* 1230 */
+    189,
     /* LGS64rm */
   },
-  { /* 1244 */
-    137,
+  { /* 1231 */
+    138,
     /* LIDT16m */
   },
-  { /* 1245 */
-    137,
+  { /* 1232 */
+    138,
     /* LIDT32m */
   },
-  { /* 1246 */
-    138,
+  { /* 1233 */
+    139,
     /* LIDT64m */
   },
-  { /* 1247 */
-    40,
+  { /* 1234 */
+    38,
     /* LLDT16m */
   },
-  { /* 1248 */
-    171,
+  { /* 1235 */
+    190,
     /* LLDT16r */
   },
-  { /* 1249 */
-    40,
+  { /* 1236 */
+    38,
     /* LMSW16m */
   },
-  { /* 1250 */
-    171,
+  { /* 1237 */
+    190,
     /* LMSW16r */
   },
+  { /* 1238 */
+    0,
+    /*  */
+  },
+  { /* 1239 */
+    0,
+    /*  */
+  },
+  { /* 1240 */
+    0,
+    /*  */
+  },
+  { /* 1241 */
+    0,
+    /*  */
+  },
+  { /* 1242 */
+    0,
+    /*  */
+  },
+  { /* 1243 */
+    0,
+    /*  */
+  },
+  { /* 1244 */
+    0,
+    /*  */
+  },
+  { /* 1245 */
+    0,
+    /*  */
+  },
+  { /* 1246 */
+    0,
+    /*  */
+  },
+  { /* 1247 */
+    0,
+    /*  */
+  },
+  { /* 1248 */
+    0,
+    /*  */
+  },
+  { /* 1249 */
+    0,
+    /*  */
+  },
+  { /* 1250 */
+    0,
+    /*  */
+  },
   { /* 1251 */
     0,
     /*  */
@@ -9986,7 +12409,7 @@
   },
   { /* 1279 */
     0,
-    /*  */
+    /* LOCK_PREFIX */
   },
   { /* 1280 */
     0,
@@ -10038,7 +12461,7 @@
   },
   { /* 1292 */
     0,
-    /* LOCK_PREFIX */
+    /*  */
   },
   { /* 1293 */
     0,
@@ -10077,153 +12500,153 @@
     /*  */
   },
   { /* 1302 */
-    0,
-    /*  */
-  },
-  { /* 1303 */
-    0,
-    /*  */
-  },
-  { /* 1304 */
-    0,
-    /*  */
-  },
-  { /* 1305 */
-    0,
-    /*  */
-  },
-  { /* 1306 */
-    0,
-    /*  */
-  },
-  { /* 1307 */
-    0,
-    /*  */
-  },
-  { /* 1308 */
-    0,
-    /*  */
-  },
-  { /* 1309 */
-    0,
-    /*  */
-  },
-  { /* 1310 */
-    0,
-    /*  */
-  },
-  { /* 1311 */
-    0,
-    /*  */
-  },
-  { /* 1312 */
-    0,
-    /*  */
-  },
-  { /* 1313 */
-    0,
-    /*  */
-  },
-  { /* 1314 */
-    0,
-    /*  */
-  },
-  { /* 1315 */
-    172,
+    191,
     /* LODSB */
   },
-  { /* 1316 */
-    173,
+  { /* 1303 */
+    192,
     /* LODSL */
   },
-  { /* 1317 */
-    174,
+  { /* 1304 */
+    193,
     /* LODSQ */
   },
-  { /* 1318 */
-    175,
+  { /* 1305 */
+    194,
     /* LODSW */
   },
-  { /* 1319 */
-    155,
+  { /* 1306 */
+    156,
     /* LOOP */
   },
-  { /* 1320 */
-    155,
+  { /* 1307 */
+    156,
     /* LOOPE */
   },
-  { /* 1321 */
-    155,
+  { /* 1308 */
+    156,
     /* LOOPNE */
   },
-  { /* 1322 */
-    176,
+  { /* 1309 */
+    195,
     /* LRETIL */
   },
-  { /* 1323 */
-    176,
+  { /* 1310 */
+    195,
     /* LRETIQ */
   },
-  { /* 1324 */
+  { /* 1311 */
     2,
     /* LRETIW */
   },
-  { /* 1325 */
+  { /* 1312 */
     0,
     /* LRETL */
   },
-  { /* 1326 */
+  { /* 1313 */
     0,
     /* LRETQ */
   },
-  { /* 1327 */
+  { /* 1314 */
     0,
     /* LRETW */
   },
-  { /* 1328 */
-    66,
+  { /* 1315 */
+    68,
     /* LSL16rm */
   },
-  { /* 1329 */
-    67,
+  { /* 1316 */
+    69,
     /* LSL16rr */
   },
-  { /* 1330 */
-    66,
+  { /* 1317 */
+    68,
     /* LSL32rm */
   },
-  { /* 1331 */
-    67,
+  { /* 1318 */
+    69,
     /* LSL32rr */
   },
-  { /* 1332 */
-    30,
+  { /* 1319 */
+    42,
     /* LSL64rm */
   },
-  { /* 1333 */
-    31,
+  { /* 1320 */
+    43,
     /* LSL64rr */
   },
-  { /* 1334 */
-    165,
+  { /* 1321 */
+    184,
     /* LSS16rm */
   },
-  { /* 1335 */
-    166,
+  { /* 1322 */
+    185,
     /* LSS32rm */
   },
-  { /* 1336 */
-    170,
+  { /* 1323 */
+    189,
     /* LSS64rm */
   },
-  { /* 1337 */
-    40,
+  { /* 1324 */
+    38,
     /* LTRm */
   },
-  { /* 1338 */
-    171,
+  { /* 1325 */
+    190,
     /* LTRr */
   },
+  { /* 1326 */
+    0,
+    /*  */
+  },
+  { /* 1327 */
+    0,
+    /*  */
+  },
+  { /* 1328 */
+    0,
+    /*  */
+  },
+  { /* 1329 */
+    0,
+    /*  */
+  },
+  { /* 1330 */
+    68,
+    /* LZCNT16rm */
+  },
+  { /* 1331 */
+    69,
+    /* LZCNT16rr */
+  },
+  { /* 1332 */
+    68,
+    /* LZCNT32rm */
+  },
+  { /* 1333 */
+    69,
+    /* LZCNT32rr */
+  },
+  { /* 1334 */
+    42,
+    /* LZCNT64rm */
+  },
+  { /* 1335 */
+    43,
+    /* LZCNT64rr */
+  },
+  { /* 1336 */
+    45,
+    /* MASKMOVDQU */
+  },
+  { /* 1337 */
+    45,
+    /* MASKMOVDQU64 */
+  },
+  { /* 1338 */
+    0,
+    /*  */
+  },
   { /* 1339 */
     0,
     /*  */
@@ -10241,60 +12664,60 @@
     /*  */
   },
   { /* 1343 */
-    66,
-    /* LZCNT16rm */
+    0,
+    /*  */
   },
   { /* 1344 */
-    67,
-    /* LZCNT16rr */
+    0,
+    /*  */
   },
   { /* 1345 */
-    66,
-    /* LZCNT32rm */
+    0,
+    /*  */
   },
   { /* 1346 */
-    67,
-    /* LZCNT32rr */
+    30,
+    /* MAXPDrm */
   },
   { /* 1347 */
-    30,
-    /* LZCNT64rm */
+    31,
+    /* MAXPDrr */
   },
   { /* 1348 */
-    31,
-    /* LZCNT64rr */
+    30,
+    /* MAXPSrm */
   },
   { /* 1349 */
-    43,
-    /* MASKMOVDQU */
+    31,
+    /* MAXPSrr */
   },
   { /* 1350 */
-    43,
-    /* MASKMOVDQU64 */
+    32,
+    /* MAXSDrm */
   },
   { /* 1351 */
     0,
     /*  */
   },
   { /* 1352 */
-    0,
-    /*  */
+    33,
+    /* MAXSDrr */
   },
   { /* 1353 */
     0,
     /*  */
   },
   { /* 1354 */
-    0,
-    /*  */
+    34,
+    /* MAXSSrm */
   },
   { /* 1355 */
     0,
     /*  */
   },
   { /* 1356 */
-    0,
-    /*  */
+    35,
+    /* MAXSSrr */
   },
   { /* 1357 */
     0,
@@ -10302,1775 +12725,1775 @@
   },
   { /* 1358 */
     0,
-    /*  */
+    /* MFENCE */
   },
   { /* 1359 */
-    32,
-    /* MAXPDrm */
+    0,
+    /*  */
   },
   { /* 1360 */
-    33,
-    /* MAXPDrr */
+    0,
+    /*  */
   },
   { /* 1361 */
-    32,
-    /* MAXPSrm */
+    0,
+    /*  */
   },
   { /* 1362 */
-    33,
-    /* MAXPSrr */
+    0,
+    /*  */
   },
   { /* 1363 */
-    34,
-    /* MAXSDrm */
+    0,
+    /*  */
   },
   { /* 1364 */
     0,
     /*  */
   },
   { /* 1365 */
-    35,
-    /* MAXSDrr */
+    0,
+    /*  */
   },
   { /* 1366 */
     0,
     /*  */
   },
   { /* 1367 */
-    36,
-    /* MAXSSrm */
+    30,
+    /* MINPDrm */
   },
   { /* 1368 */
-    0,
-    /*  */
+    31,
+    /* MINPDrr */
   },
   { /* 1369 */
-    37,
-    /* MAXSSrr */
+    30,
+    /* MINPSrm */
   },
   { /* 1370 */
-    0,
-    /*  */
+    31,
+    /* MINPSrr */
   },
   { /* 1371 */
-    0,
-    /* MFENCE */
+    32,
+    /* MINSDrm */
   },
   { /* 1372 */
     0,
     /*  */
   },
   { /* 1373 */
-    0,
-    /*  */
+    33,
+    /* MINSDrr */
   },
   { /* 1374 */
     0,
     /*  */
   },
   { /* 1375 */
-    0,
-    /*  */
+    34,
+    /* MINSSrm */
   },
   { /* 1376 */
     0,
     /*  */
   },
   { /* 1377 */
-    0,
-    /*  */
+    35,
+    /* MINSSrr */
   },
   { /* 1378 */
     0,
     /*  */
   },
   { /* 1379 */
-    0,
-    /*  */
+    196,
+    /* MMX_CVTPD2PIirm */
   },
   { /* 1380 */
-    32,
-    /* MINPDrm */
+    197,
+    /* MMX_CVTPD2PIirr */
   },
   { /* 1381 */
-    33,
-    /* MINPDrr */
+    105,
+    /* MMX_CVTPI2PDirm */
   },
   { /* 1382 */
-    32,
-    /* MINPSrm */
+    198,
+    /* MMX_CVTPI2PDirr */
   },
   { /* 1383 */
-    33,
-    /* MINPSrr */
+    199,
+    /* MMX_CVTPI2PSirm */
   },
   { /* 1384 */
-    34,
-    /* MINSDrm */
+    200,
+    /* MMX_CVTPI2PSirr */
   },
   { /* 1385 */
-    0,
-    /*  */
+    201,
+    /* MMX_CVTPS2PIirm */
   },
   { /* 1386 */
-    35,
-    /* MINSDrr */
+    197,
+    /* MMX_CVTPS2PIirr */
   },
   { /* 1387 */
-    0,
-    /*  */
+    196,
+    /* MMX_CVTTPD2PIirm */
   },
   { /* 1388 */
-    36,
-    /* MINSSrm */
+    197,
+    /* MMX_CVTTPD2PIirr */
   },
   { /* 1389 */
-    0,
-    /*  */
+    201,
+    /* MMX_CVTTPS2PIirm */
   },
   { /* 1390 */
-    37,
-    /* MINSSrr */
+    197,
+    /* MMX_CVTTPS2PIirr */
   },
   { /* 1391 */
     0,
-    /*  */
+    /* MMX_EMMS */
   },
   { /* 1392 */
-    177,
-    /* MMX_CVTPD2PIirm */
+    202,
+    /* MMX_MASKMOVQ */
   },
   { /* 1393 */
-    178,
-    /* MMX_CVTPD2PIirr */
+    202,
+    /* MMX_MASKMOVQ64 */
   },
   { /* 1394 */
-    104,
-    /* MMX_CVTPI2PDirm */
+    203,
+    /* MMX_MOVD64from64rr */
   },
   { /* 1395 */
-    179,
-    /* MMX_CVTPI2PDirr */
+    204,
+    /* MMX_MOVD64grr */
   },
   { /* 1396 */
-    180,
-    /* MMX_CVTPI2PSirm */
+    205,
+    /* MMX_MOVD64mr */
   },
   { /* 1397 */
-    181,
-    /* MMX_CVTPI2PSirr */
+    206,
+    /* MMX_MOVD64rm */
   },
   { /* 1398 */
-    182,
-    /* MMX_CVTPS2PIirm */
+    207,
+    /* MMX_MOVD64rr */
   },
   { /* 1399 */
-    178,
-    /* MMX_CVTPS2PIirr */
+    208,
+    /* MMX_MOVD64to64rr */
   },
   { /* 1400 */
-    177,
-    /* MMX_CVTTPD2PIirm */
+    197,
+    /* MMX_MOVDQ2Qrr */
   },
   { /* 1401 */
-    178,
-    /* MMX_CVTTPD2PIirr */
+    0,
+    /*  */
   },
   { /* 1402 */
-    182,
-    /* MMX_CVTTPS2PIirm */
+    205,
+    /* MMX_MOVNTQmr */
   },
   { /* 1403 */
-    178,
-    /* MMX_CVTTPS2PIirr */
+    198,
+    /* MMX_MOVQ2DQrr */
   },
   { /* 1404 */
     0,
-    /* MMX_EMMS */
+    /*  */
   },
   { /* 1405 */
-    183,
-    /* MMX_MASKMOVQ */
-  },
-  { /* 1406 */
-    183,
-    /* MMX_MASKMOVQ64 */
-  },
-  { /* 1407 */
-    184,
-    /* MMX_MOVD64from64rr */
-  },
-  { /* 1408 */
-    185,
-    /* MMX_MOVD64grr */
-  },
-  { /* 1409 */
-    186,
-    /* MMX_MOVD64mr */
-  },
-  { /* 1410 */
-    187,
-    /* MMX_MOVD64rm */
-  },
-  { /* 1411 */
-    188,
-    /* MMX_MOVD64rr */
-  },
-  { /* 1412 */
-    189,
-    /* MMX_MOVD64to64rr */
-  },
-  { /* 1413 */
-    178,
-    /* MMX_MOVDQ2Qrr */
-  },
-  { /* 1414 */
-    0,
-    /*  */
-  },
-  { /* 1415 */
-    186,
-    /* MMX_MOVNTQmr */
-  },
-  { /* 1416 */
-    179,
-    /* MMX_MOVQ2DQrr */
-  },
-  { /* 1417 */
-    0,
-    /*  */
-  },
-  { /* 1418 */
-    186,
+    205,
     /* MMX_MOVQ64mr */
   },
-  { /* 1419 */
-    187,
+  { /* 1406 */
+    206,
     /* MMX_MOVQ64rm */
   },
-  { /* 1420 */
-    183,
+  { /* 1407 */
+    202,
     /* MMX_MOVQ64rr */
   },
-  { /* 1421 */
-    187,
+  { /* 1408 */
+    209,
+    /* MMX_MOVQ64rr_REV */
+  },
+  { /* 1409 */
+    206,
     /* MMX_PABSBrm64 */
   },
-  { /* 1422 */
-    183,
+  { /* 1410 */
+    202,
     /* MMX_PABSBrr64 */
   },
-  { /* 1423 */
-    187,
+  { /* 1411 */
+    206,
     /* MMX_PABSDrm64 */
   },
-  { /* 1424 */
-    183,
+  { /* 1412 */
+    202,
     /* MMX_PABSDrr64 */
   },
-  { /* 1425 */
-    187,
+  { /* 1413 */
+    206,
     /* MMX_PABSWrm64 */
   },
-  { /* 1426 */
-    183,
+  { /* 1414 */
+    202,
     /* MMX_PABSWrr64 */
   },
-  { /* 1427 */
-    190,
+  { /* 1415 */
+    210,
     /* MMX_PACKSSDWirm */
   },
-  { /* 1428 */
-    191,
+  { /* 1416 */
+    211,
     /* MMX_PACKSSDWirr */
   },
-  { /* 1429 */
-    190,
+  { /* 1417 */
+    210,
     /* MMX_PACKSSWBirm */
   },
-  { /* 1430 */
-    191,
+  { /* 1418 */
+    211,
     /* MMX_PACKSSWBirr */
   },
-  { /* 1431 */
-    190,
+  { /* 1419 */
+    210,
     /* MMX_PACKUSWBirm */
   },
-  { /* 1432 */
-    191,
+  { /* 1420 */
+    211,
     /* MMX_PACKUSWBirr */
   },
-  { /* 1433 */
-    190,
+  { /* 1421 */
+    210,
     /* MMX_PADDBirm */
   },
-  { /* 1434 */
-    191,
+  { /* 1422 */
+    211,
     /* MMX_PADDBirr */
   },
-  { /* 1435 */
-    190,
+  { /* 1423 */
+    210,
     /* MMX_PADDDirm */
   },
-  { /* 1436 */
-    191,
+  { /* 1424 */
+    211,
     /* MMX_PADDDirr */
   },
-  { /* 1437 */
-    190,
+  { /* 1425 */
+    210,
     /* MMX_PADDQirm */
   },
-  { /* 1438 */
-    191,
+  { /* 1426 */
+    211,
     /* MMX_PADDQirr */
   },
-  { /* 1439 */
-    190,
+  { /* 1427 */
+    210,
     /* MMX_PADDSBirm */
   },
-  { /* 1440 */
-    191,
+  { /* 1428 */
+    211,
     /* MMX_PADDSBirr */
   },
-  { /* 1441 */
-    190,
+  { /* 1429 */
+    210,
     /* MMX_PADDSWirm */
   },
-  { /* 1442 */
-    191,
+  { /* 1430 */
+    211,
     /* MMX_PADDSWirr */
   },
-  { /* 1443 */
-    190,
+  { /* 1431 */
+    210,
     /* MMX_PADDUSBirm */
   },
-  { /* 1444 */
-    191,
+  { /* 1432 */
+    211,
     /* MMX_PADDUSBirr */
   },
-  { /* 1445 */
-    190,
+  { /* 1433 */
+    210,
     /* MMX_PADDUSWirm */
   },
-  { /* 1446 */
-    191,
+  { /* 1434 */
+    211,
     /* MMX_PADDUSWirr */
   },
-  { /* 1447 */
-    190,
+  { /* 1435 */
+    210,
     /* MMX_PADDWirm */
   },
-  { /* 1448 */
-    191,
+  { /* 1436 */
+    211,
     /* MMX_PADDWirr */
   },
-  { /* 1449 */
-    192,
+  { /* 1437 */
+    212,
     /* MMX_PALIGNR64irm */
   },
-  { /* 1450 */
-    193,
+  { /* 1438 */
+    213,
     /* MMX_PALIGNR64irr */
   },
-  { /* 1451 */
-    190,
+  { /* 1439 */
+    210,
     /* MMX_PANDNirm */
   },
-  { /* 1452 */
-    191,
+  { /* 1440 */
+    211,
     /* MMX_PANDNirr */
   },
-  { /* 1453 */
-    190,
+  { /* 1441 */
+    210,
     /* MMX_PANDirm */
   },
-  { /* 1454 */
-    191,
+  { /* 1442 */
+    211,
     /* MMX_PANDirr */
   },
-  { /* 1455 */
-    190,
+  { /* 1443 */
+    210,
     /* MMX_PAVGBirm */
   },
-  { /* 1456 */
-    191,
+  { /* 1444 */
+    211,
     /* MMX_PAVGBirr */
   },
-  { /* 1457 */
-    190,
+  { /* 1445 */
+    210,
     /* MMX_PAVGWirm */
   },
-  { /* 1458 */
-    191,
+  { /* 1446 */
+    211,
     /* MMX_PAVGWirr */
   },
-  { /* 1459 */
-    190,
+  { /* 1447 */
+    210,
     /* MMX_PCMPEQBirm */
   },
-  { /* 1460 */
-    191,
+  { /* 1448 */
+    211,
     /* MMX_PCMPEQBirr */
   },
-  { /* 1461 */
-    190,
+  { /* 1449 */
+    210,
     /* MMX_PCMPEQDirm */
   },
-  { /* 1462 */
-    191,
+  { /* 1450 */
+    211,
     /* MMX_PCMPEQDirr */
   },
-  { /* 1463 */
-    190,
+  { /* 1451 */
+    210,
     /* MMX_PCMPEQWirm */
   },
-  { /* 1464 */
-    191,
+  { /* 1452 */
+    211,
     /* MMX_PCMPEQWirr */
   },
-  { /* 1465 */
-    190,
+  { /* 1453 */
+    210,
     /* MMX_PCMPGTBirm */
   },
-  { /* 1466 */
-    191,
+  { /* 1454 */
+    211,
     /* MMX_PCMPGTBirr */
   },
-  { /* 1467 */
-    190,
+  { /* 1455 */
+    210,
     /* MMX_PCMPGTDirm */
   },
-  { /* 1468 */
-    191,
+  { /* 1456 */
+    211,
     /* MMX_PCMPGTDirr */
   },
-  { /* 1469 */
-    190,
+  { /* 1457 */
+    210,
     /* MMX_PCMPGTWirm */
   },
-  { /* 1470 */
-    191,
+  { /* 1458 */
+    211,
     /* MMX_PCMPGTWirr */
   },
-  { /* 1471 */
-    194,
+  { /* 1459 */
+    214,
     /* MMX_PEXTRWirri */
   },
-  { /* 1472 */
-    190,
+  { /* 1460 */
+    210,
     /* MMX_PHADDSWrm64 */
   },
-  { /* 1473 */
-    191,
+  { /* 1461 */
+    211,
     /* MMX_PHADDSWrr64 */
   },
-  { /* 1474 */
-    190,
+  { /* 1462 */
+    210,
     /* MMX_PHADDWrm64 */
   },
-  { /* 1475 */
-    191,
+  { /* 1463 */
+    211,
     /* MMX_PHADDWrr64 */
   },
-  { /* 1476 */
-    190,
+  { /* 1464 */
+    210,
     /* MMX_PHADDrm64 */
   },
-  { /* 1477 */
-    191,
+  { /* 1465 */
+    211,
     /* MMX_PHADDrr64 */
   },
-  { /* 1478 */
-    190,
+  { /* 1466 */
+    210,
     /* MMX_PHSUBDrm64 */
   },
-  { /* 1479 */
-    191,
+  { /* 1467 */
+    211,
     /* MMX_PHSUBDrr64 */
   },
-  { /* 1480 */
-    190,
+  { /* 1468 */
+    210,
     /* MMX_PHSUBSWrm64 */
   },
-  { /* 1481 */
-    191,
+  { /* 1469 */
+    211,
     /* MMX_PHSUBSWrr64 */
   },
-  { /* 1482 */
-    190,
+  { /* 1470 */
+    210,
     /* MMX_PHSUBWrm64 */
   },
-  { /* 1483 */
-    191,
+  { /* 1471 */
+    211,
     /* MMX_PHSUBWrr64 */
   },
-  { /* 1484 */
-    195,
+  { /* 1472 */
+    215,
     /* MMX_PINSRWirmi */
   },
-  { /* 1485 */
-    196,
+  { /* 1473 */
+    216,
     /* MMX_PINSRWirri */
   },
-  { /* 1486 */
-    190,
+  { /* 1474 */
+    210,
     /* MMX_PMADDUBSWrm64 */
   },
-  { /* 1487 */
-    191,
+  { /* 1475 */
+    211,
     /* MMX_PMADDUBSWrr64 */
   },
-  { /* 1488 */
-    190,
+  { /* 1476 */
+    210,
     /* MMX_PMADDWDirm */
   },
-  { /* 1489 */
-    191,
+  { /* 1477 */
+    211,
     /* MMX_PMADDWDirr */
   },
-  { /* 1490 */
-    190,
+  { /* 1478 */
+    210,
     /* MMX_PMAXSWirm */
   },
-  { /* 1491 */
-    191,
+  { /* 1479 */
+    211,
     /* MMX_PMAXSWirr */
   },
-  { /* 1492 */
-    190,
+  { /* 1480 */
+    210,
     /* MMX_PMAXUBirm */
   },
-  { /* 1493 */
-    191,
+  { /* 1481 */
+    211,
     /* MMX_PMAXUBirr */
   },
-  { /* 1494 */
-    190,
+  { /* 1482 */
+    210,
     /* MMX_PMINSWirm */
   },
-  { /* 1495 */
-    191,
+  { /* 1483 */
+    211,
     /* MMX_PMINSWirr */
   },
-  { /* 1496 */
-    190,
+  { /* 1484 */
+    210,
     /* MMX_PMINUBirm */
   },
-  { /* 1497 */
-    191,
+  { /* 1485 */
+    211,
     /* MMX_PMINUBirr */
   },
-  { /* 1498 */
-    197,
+  { /* 1486 */
+    217,
     /* MMX_PMOVMSKBrr */
   },
-  { /* 1499 */
-    190,
+  { /* 1487 */
+    210,
     /* MMX_PMULHRSWrm64 */
   },
-  { /* 1500 */
-    191,
+  { /* 1488 */
+    211,
     /* MMX_PMULHRSWrr64 */
   },
-  { /* 1501 */
-    190,
+  { /* 1489 */
+    210,
     /* MMX_PMULHUWirm */
   },
-  { /* 1502 */
-    191,
+  { /* 1490 */
+    211,
     /* MMX_PMULHUWirr */
   },
-  { /* 1503 */
-    190,
+  { /* 1491 */
+    210,
     /* MMX_PMULHWirm */
   },
-  { /* 1504 */
-    191,
+  { /* 1492 */
+    211,
     /* MMX_PMULHWirr */
   },
-  { /* 1505 */
-    190,
+  { /* 1493 */
+    210,
     /* MMX_PMULLWirm */
   },
-  { /* 1506 */
-    191,
+  { /* 1494 */
+    211,
     /* MMX_PMULLWirr */
   },
-  { /* 1507 */
-    190,
+  { /* 1495 */
+    210,
     /* MMX_PMULUDQirm */
   },
-  { /* 1508 */
-    191,
+  { /* 1496 */
+    211,
     /* MMX_PMULUDQirr */
   },
-  { /* 1509 */
-    190,
+  { /* 1497 */
+    210,
     /* MMX_PORirm */
   },
-  { /* 1510 */
-    191,
+  { /* 1498 */
+    211,
     /* MMX_PORirr */
   },
-  { /* 1511 */
-    190,
+  { /* 1499 */
+    210,
     /* MMX_PSADBWirm */
   },
-  { /* 1512 */
-    191,
+  { /* 1500 */
+    211,
     /* MMX_PSADBWirr */
   },
-  { /* 1513 */
-    190,
+  { /* 1501 */
+    210,
     /* MMX_PSHUFBrm64 */
   },
-  { /* 1514 */
-    191,
+  { /* 1502 */
+    211,
     /* MMX_PSHUFBrr64 */
   },
-  { /* 1515 */
-    198,
+  { /* 1503 */
+    218,
     /* MMX_PSHUFWmi */
   },
-  { /* 1516 */
-    199,
+  { /* 1504 */
+    219,
     /* MMX_PSHUFWri */
   },
-  { /* 1517 */
-    190,
+  { /* 1505 */
+    210,
     /* MMX_PSIGNBrm64 */
   },
-  { /* 1518 */
-    191,
+  { /* 1506 */
+    211,
     /* MMX_PSIGNBrr64 */
   },
-  { /* 1519 */
-    190,
+  { /* 1507 */
+    210,
     /* MMX_PSIGNDrm64 */
   },
-  { /* 1520 */
-    191,
+  { /* 1508 */
+    211,
     /* MMX_PSIGNDrr64 */
   },
-  { /* 1521 */
-    190,
+  { /* 1509 */
+    210,
     /* MMX_PSIGNWrm64 */
   },
-  { /* 1522 */
-    191,
+  { /* 1510 */
+    211,
     /* MMX_PSIGNWrr64 */
   },
-  { /* 1523 */
-    200,
+  { /* 1511 */
+    220,
     /* MMX_PSLLDri */
   },
-  { /* 1524 */
-    190,
+  { /* 1512 */
+    210,
     /* MMX_PSLLDrm */
   },
-  { /* 1525 */
-    191,
+  { /* 1513 */
+    211,
     /* MMX_PSLLDrr */
   },
-  { /* 1526 */
-    200,
+  { /* 1514 */
+    220,
     /* MMX_PSLLQri */
   },
-  { /* 1527 */
-    190,
+  { /* 1515 */
+    210,
     /* MMX_PSLLQrm */
   },
-  { /* 1528 */
-    191,
+  { /* 1516 */
+    211,
     /* MMX_PSLLQrr */
   },
-  { /* 1529 */
-    200,
+  { /* 1517 */
+    220,
     /* MMX_PSLLWri */
   },
-  { /* 1530 */
-    190,
+  { /* 1518 */
+    210,
     /* MMX_PSLLWrm */
   },
-  { /* 1531 */
-    191,
+  { /* 1519 */
+    211,
     /* MMX_PSLLWrr */
   },
-  { /* 1532 */
-    200,
+  { /* 1520 */
+    220,
     /* MMX_PSRADri */
   },
-  { /* 1533 */
-    190,
+  { /* 1521 */
+    210,
     /* MMX_PSRADrm */
   },
-  { /* 1534 */
-    191,
+  { /* 1522 */
+    211,
     /* MMX_PSRADrr */
   },
-  { /* 1535 */
-    200,
+  { /* 1523 */
+    220,
     /* MMX_PSRAWri */
   },
-  { /* 1536 */
-    190,
+  { /* 1524 */
+    210,
     /* MMX_PSRAWrm */
   },
-  { /* 1537 */
-    191,
+  { /* 1525 */
+    211,
     /* MMX_PSRAWrr */
   },
-  { /* 1538 */
-    200,
+  { /* 1526 */
+    220,
     /* MMX_PSRLDri */
   },
-  { /* 1539 */
-    190,
+  { /* 1527 */
+    210,
     /* MMX_PSRLDrm */
   },
-  { /* 1540 */
-    191,
+  { /* 1528 */
+    211,
     /* MMX_PSRLDrr */
   },
-  { /* 1541 */
-    200,
+  { /* 1529 */
+    220,
     /* MMX_PSRLQri */
   },
-  { /* 1542 */
-    190,
+  { /* 1530 */
+    210,
     /* MMX_PSRLQrm */
   },
-  { /* 1543 */
-    191,
+  { /* 1531 */
+    211,
     /* MMX_PSRLQrr */
   },
-  { /* 1544 */
-    200,
+  { /* 1532 */
+    220,
     /* MMX_PSRLWri */
   },
-  { /* 1545 */
-    190,
+  { /* 1533 */
+    210,
     /* MMX_PSRLWrm */
   },
-  { /* 1546 */
-    191,
+  { /* 1534 */
+    211,
     /* MMX_PSRLWrr */
   },
-  { /* 1547 */
-    190,
+  { /* 1535 */
+    210,
     /* MMX_PSUBBirm */
   },
-  { /* 1548 */
-    191,
+  { /* 1536 */
+    211,
     /* MMX_PSUBBirr */
   },
-  { /* 1549 */
-    190,
+  { /* 1537 */
+    210,
     /* MMX_PSUBDirm */
   },
-  { /* 1550 */
-    191,
+  { /* 1538 */
+    211,
     /* MMX_PSUBDirr */
   },
-  { /* 1551 */
-    190,
+  { /* 1539 */
+    210,
     /* MMX_PSUBQirm */
   },
-  { /* 1552 */
-    191,
+  { /* 1540 */
+    211,
     /* MMX_PSUBQirr */
   },
-  { /* 1553 */
-    190,
+  { /* 1541 */
+    210,
     /* MMX_PSUBSBirm */
   },
-  { /* 1554 */
-    191,
+  { /* 1542 */
+    211,
     /* MMX_PSUBSBirr */
   },
-  { /* 1555 */
-    190,
+  { /* 1543 */
+    210,
     /* MMX_PSUBSWirm */
   },
-  { /* 1556 */
-    191,
+  { /* 1544 */
+    211,
     /* MMX_PSUBSWirr */
   },
-  { /* 1557 */
-    190,
+  { /* 1545 */
+    210,
     /* MMX_PSUBUSBirm */
   },
-  { /* 1558 */
-    191,
+  { /* 1546 */
+    211,
     /* MMX_PSUBUSBirr */
   },
-  { /* 1559 */
-    190,
+  { /* 1547 */
+    210,
     /* MMX_PSUBUSWirm */
   },
-  { /* 1560 */
-    191,
+  { /* 1548 */
+    211,
     /* MMX_PSUBUSWirr */
   },
-  { /* 1561 */
-    190,
+  { /* 1549 */
+    210,
     /* MMX_PSUBWirm */
   },
-  { /* 1562 */
-    191,
+  { /* 1550 */
+    211,
     /* MMX_PSUBWirr */
   },
-  { /* 1563 */
-    190,
+  { /* 1551 */
+    210,
     /* MMX_PUNPCKHBWirm */
   },
-  { /* 1564 */
-    191,
+  { /* 1552 */
+    211,
     /* MMX_PUNPCKHBWirr */
   },
-  { /* 1565 */
-    190,
+  { /* 1553 */
+    210,
     /* MMX_PUNPCKHDQirm */
   },
-  { /* 1566 */
-    191,
+  { /* 1554 */
+    211,
     /* MMX_PUNPCKHDQirr */
   },
-  { /* 1567 */
-    190,
+  { /* 1555 */
+    210,
     /* MMX_PUNPCKHWDirm */
   },
-  { /* 1568 */
-    191,
+  { /* 1556 */
+    211,
     /* MMX_PUNPCKHWDirr */
   },
-  { /* 1569 */
-    190,
+  { /* 1557 */
+    210,
     /* MMX_PUNPCKLBWirm */
   },
-  { /* 1570 */
-    191,
+  { /* 1558 */
+    211,
     /* MMX_PUNPCKLBWirr */
   },
-  { /* 1571 */
-    190,
+  { /* 1559 */
+    210,
     /* MMX_PUNPCKLDQirm */
   },
-  { /* 1572 */
-    191,
+  { /* 1560 */
+    211,
     /* MMX_PUNPCKLDQirr */
   },
-  { /* 1573 */
-    190,
+  { /* 1561 */
+    210,
     /* MMX_PUNPCKLWDirm */
   },
-  { /* 1574 */
-    191,
+  { /* 1562 */
+    211,
     /* MMX_PUNPCKLWDirr */
   },
-  { /* 1575 */
-    190,
+  { /* 1563 */
+    210,
     /* MMX_PXORirm */
   },
-  { /* 1576 */
-    191,
+  { /* 1564 */
+    211,
     /* MMX_PXORirr */
   },
-  { /* 1577 */
+  { /* 1565 */
     0,
     /*  */
   },
-  { /* 1578 */
+  { /* 1566 */
     0,
     /* MONITORrrr */
   },
-  { /* 1579 */
+  { /* 1567 */
     0,
     /* MONTMUL */
   },
-  { /* 1580 */
+  { /* 1568 */
     0,
     /*  */
   },
-  { /* 1581 */
+  { /* 1569 */
     0,
     /*  */
   },
-  { /* 1582 */
-    201,
+  { /* 1570 */
+    221,
     /* MOV16ao16 */
   },
-  { /* 1583 */
-    201,
+  { /* 1571 */
+    221,
     /* MOV16ao16_16 */
   },
-  { /* 1584 */
+  { /* 1572 */
     3,
     /* MOV16mi */
   },
-  { /* 1585 */
+  { /* 1573 */
     5,
     /* MOV16mr */
   },
-  { /* 1586 */
-    202,
+  { /* 1574 */
+    222,
     /* MOV16ms */
   },
-  { /* 1587 */
-    201,
+  { /* 1575 */
+    221,
     /* MOV16o16a */
   },
-  { /* 1588 */
-    201,
+  { /* 1576 */
+    221,
     /* MOV16o16a_16 */
   },
-  { /* 1589 */
-    203,
+  { /* 1577 */
+    223,
     /* MOV16ri */
   },
-  { /* 1590 */
-    81,
+  { /* 1578 */
+    83,
     /* MOV16ri_alt */
   },
-  { /* 1591 */
-    66,
+  { /* 1579 */
+    68,
     /* MOV16rm */
   },
-  { /* 1592 */
-    71,
+  { /* 1580 */
+    73,
     /* MOV16rr */
   },
-  { /* 1593 */
-    67,
+  { /* 1581 */
+    69,
     /* MOV16rr_REV */
   },
-  { /* 1594 */
-    204,
+  { /* 1582 */
+    224,
     /* MOV16rs */
   },
-  { /* 1595 */
-    205,
+  { /* 1583 */
+    225,
     /* MOV16sm */
   },
-  { /* 1596 */
-    206,
+  { /* 1584 */
+    226,
     /* MOV16sr */
   },
-  { /* 1597 */
-    207,
+  { /* 1585 */
+    227,
     /* MOV32ao32 */
   },
-  { /* 1598 */
-    207,
+  { /* 1586 */
+    227,
     /* MOV32ao32_16 */
   },
-  { /* 1599 */
-    208,
+  { /* 1587 */
+    228,
     /* MOV32cr */
   },
-  { /* 1600 */
-    209,
+  { /* 1588 */
+    229,
     /* MOV32dr */
   },
-  { /* 1601 */
+  { /* 1589 */
     3,
     /* MOV32mi */
   },
-  { /* 1602 */
+  { /* 1590 */
     5,
     /* MOV32mr */
   },
-  { /* 1603 */
-    202,
+  { /* 1591 */
+    222,
     /* MOV32ms */
   },
-  { /* 1604 */
-    207,
+  { /* 1592 */
+    227,
     /* MOV32o32a */
   },
-  { /* 1605 */
-    207,
+  { /* 1593 */
+    227,
     /* MOV32o32a_16 */
   },
-  { /* 1606 */
+  { /* 1594 */
     0,
     /*  */
   },
-  { /* 1607 */
-    210,
+  { /* 1595 */
+    230,
     /* MOV32rc */
   },
-  { /* 1608 */
-    211,
+  { /* 1596 */
+    231,
     /* MOV32rd */
   },
-  { /* 1609 */
-    203,
+  { /* 1597 */
+    223,
     /* MOV32ri */
   },
-  { /* 1610 */
+  { /* 1598 */
     0,
     /*  */
   },
-  { /* 1611 */
-    81,
+  { /* 1599 */
+    83,
     /* MOV32ri_alt */
   },
-  { /* 1612 */
-    66,
+  { /* 1600 */
+    68,
     /* MOV32rm */
   },
-  { /* 1613 */
-    71,
+  { /* 1601 */
+    73,
     /* MOV32rr */
   },
-  { /* 1614 */
-    67,
+  { /* 1602 */
+    69,
     /* MOV32rr_REV */
   },
-  { /* 1615 */
-    204,
+  { /* 1603 */
+    224,
     /* MOV32rs */
   },
-  { /* 1616 */
-    205,
+  { /* 1604 */
+    225,
     /* MOV32sm */
   },
-  { /* 1617 */
-    206,
+  { /* 1605 */
+    226,
     /* MOV32sr */
   },
-  { /* 1618 */
-    201,
+  { /* 1606 */
+    221,
     /* MOV64ao16 */
   },
-  { /* 1619 */
-    207,
+  { /* 1607 */
+    227,
     /* MOV64ao32 */
   },
-  { /* 1620 */
-    212,
+  { /* 1608 */
+    232,
     /* MOV64ao64 */
   },
-  { /* 1621 */
-    213,
+  { /* 1609 */
+    233,
     /* MOV64ao8 */
   },
-  { /* 1622 */
-    214,
+  { /* 1610 */
+    234,
     /* MOV64cr */
   },
-  { /* 1623 */
-    215,
+  { /* 1611 */
+    235,
     /* MOV64dr */
   },
-  { /* 1624 */
+  { /* 1612 */
     14,
     /* MOV64mi32 */
   },
-  { /* 1625 */
+  { /* 1613 */
     16,
     /* MOV64mr */
   },
-  { /* 1626 */
-    202,
+  { /* 1614 */
+    222,
     /* MOV64ms */
   },
-  { /* 1627 */
-    201,
+  { /* 1615 */
+    221,
     /* MOV64o16a */
   },
-  { /* 1628 */
-    207,
+  { /* 1616 */
+    227,
     /* MOV64o32a */
   },
-  { /* 1629 */
-    212,
+  { /* 1617 */
+    232,
     /* MOV64o64a */
   },
-  { /* 1630 */
-    213,
+  { /* 1618 */
+    233,
     /* MOV64o8a */
   },
-  { /* 1631 */
-    216,
+  { /* 1619 */
+    236,
     /* MOV64rc */
   },
-  { /* 1632 */
-    217,
+  { /* 1620 */
+    237,
     /* MOV64rd */
   },
-  { /* 1633 */
-    218,
+  { /* 1621 */
+    238,
     /* MOV64ri */
   },
-  { /* 1634 */
-    82,
+  { /* 1622 */
+    84,
     /* MOV64ri32 */
   },
-  { /* 1635 */
-    30,
+  { /* 1623 */
+    42,
     /* MOV64rm */
   },
-  { /* 1636 */
-    74,
+  { /* 1624 */
+    76,
     /* MOV64rr */
   },
-  { /* 1637 */
-    31,
+  { /* 1625 */
+    43,
     /* MOV64rr_REV */
   },
-  { /* 1638 */
-    219,
+  { /* 1626 */
+    239,
     /* MOV64rs */
   },
-  { /* 1639 */
-    205,
+  { /* 1627 */
+    225,
     /* MOV64sm */
   },
-  { /* 1640 */
-    220,
+  { /* 1628 */
+    240,
     /* MOV64sr */
   },
-  { /* 1641 */
-    221,
+  { /* 1629 */
+    241,
     /* MOV64toPQIrr */
   },
+  { /* 1630 */
+    0,
+    /*  */
+  },
+  { /* 1631 */
+    0,
+    /*  */
+  },
+  { /* 1632 */
+    233,
+    /* MOV8ao8 */
+  },
+  { /* 1633 */
+    233,
+    /* MOV8ao8_16 */
+  },
+  { /* 1634 */
+    22,
+    /* MOV8mi */
+  },
+  { /* 1635 */
+    23,
+    /* MOV8mr */
+  },
+  { /* 1636 */
+    0,
+    /*  */
+  },
+  { /* 1637 */
+    233,
+    /* MOV8o8a */
+  },
+  { /* 1638 */
+    233,
+    /* MOV8o8a_16 */
+  },
+  { /* 1639 */
+    242,
+    /* MOV8ri */
+  },
+  { /* 1640 */
+    85,
+    /* MOV8ri_alt */
+  },
+  { /* 1641 */
+    86,
+    /* MOV8rm */
+  },
   { /* 1642 */
     0,
     /*  */
   },
   { /* 1643 */
-    0,
-    /*  */
-  },
-  { /* 1644 */
-    213,
-    /* MOV8ao8 */
-  },
-  { /* 1645 */
-    213,
-    /* MOV8ao8_16 */
-  },
-  { /* 1646 */
-    22,
-    /* MOV8mi */
-  },
-  { /* 1647 */
-    23,
-    /* MOV8mr */
-  },
-  { /* 1648 */
-    0,
-    /*  */
-  },
-  { /* 1649 */
-    213,
-    /* MOV8o8a */
-  },
-  { /* 1650 */
-    213,
-    /* MOV8o8a_16 */
-  },
-  { /* 1651 */
-    222,
-    /* MOV8ri */
-  },
-  { /* 1652 */
-    83,
-    /* MOV8ri_alt */
-  },
-  { /* 1653 */
-    84,
-    /* MOV8rm */
-  },
-  { /* 1654 */
-    0,
-    /*  */
-  },
-  { /* 1655 */
-    85,
+    87,
     /* MOV8rr */
   },
-  { /* 1656 */
+  { /* 1644 */
     0,
     /*  */
   },
-  { /* 1657 */
-    86,
+  { /* 1645 */
+    88,
     /* MOV8rr_REV */
   },
-  { /* 1658 */
-    223,
+  { /* 1646 */
+    243,
     /* MOVAPDmr */
   },
-  { /* 1659 */
-    42,
+  { /* 1647 */
+    44,
     /* MOVAPDrm */
   },
-  { /* 1660 */
-    43,
+  { /* 1648 */
+    45,
     /* MOVAPDrr */
   },
-  { /* 1661 */
-    224,
+  { /* 1649 */
+    244,
     /* MOVAPDrr_REV */
   },
-  { /* 1662 */
-    223,
+  { /* 1650 */
+    243,
     /* MOVAPSmr */
   },
-  { /* 1663 */
-    42,
+  { /* 1651 */
+    44,
     /* MOVAPSrm */
   },
-  { /* 1664 */
-    43,
+  { /* 1652 */
+    45,
     /* MOVAPSrr */
   },
-  { /* 1665 */
-    224,
+  { /* 1653 */
+    244,
     /* MOVAPSrr_REV */
   },
-  { /* 1666 */
+  { /* 1654 */
     5,
     /* MOVBE16mr */
   },
-  { /* 1667 */
-    66,
+  { /* 1655 */
+    68,
     /* MOVBE16rm */
   },
-  { /* 1668 */
+  { /* 1656 */
     5,
     /* MOVBE32mr */
   },
-  { /* 1669 */
-    66,
+  { /* 1657 */
+    68,
     /* MOVBE32rm */
   },
-  { /* 1670 */
+  { /* 1658 */
     16,
     /* MOVBE64mr */
   },
-  { /* 1671 */
-    30,
+  { /* 1659 */
+    42,
     /* MOVBE64rm */
   },
-  { /* 1672 */
-    105,
+  { /* 1660 */
+    106,
     /* MOVDDUPrm */
   },
-  { /* 1673 */
-    43,
+  { /* 1661 */
+    45,
     /* MOVDDUPrr */
   },
-  { /* 1674 */
-    104,
+  { /* 1662 */
+    105,
     /* MOVDI2PDIrm */
   },
-  { /* 1675 */
-    225,
+  { /* 1663 */
+    245,
     /* MOVDI2PDIrr */
   },
-  { /* 1676 */
+  { /* 1664 */
     0,
     /*  */
   },
-  { /* 1677 */
+  { /* 1665 */
     0,
     /*  */
   },
-  { /* 1678 */
-    223,
+  { /* 1666 */
+    243,
     /* MOVDQAmr */
   },
-  { /* 1679 */
-    42,
+  { /* 1667 */
+    44,
     /* MOVDQArm */
   },
-  { /* 1680 */
-    43,
+  { /* 1668 */
+    45,
     /* MOVDQArr */
   },
-  { /* 1681 */
-    224,
+  { /* 1669 */
+    244,
     /* MOVDQArr_REV */
   },
-  { /* 1682 */
-    223,
+  { /* 1670 */
+    243,
     /* MOVDQUmr */
   },
-  { /* 1683 */
-    42,
+  { /* 1671 */
+    44,
     /* MOVDQUrm */
   },
-  { /* 1684 */
-    43,
+  { /* 1672 */
+    45,
     /* MOVDQUrr */
   },
-  { /* 1685 */
-    224,
+  { /* 1673 */
+    244,
     /* MOVDQUrr_REV */
   },
-  { /* 1686 */
-    33,
+  { /* 1674 */
+    31,
     /* MOVHLPSrr */
   },
-  { /* 1687 */
-    226,
+  { /* 1675 */
+    246,
     /* MOVHPDmr */
   },
-  { /* 1688 */
-    227,
+  { /* 1676 */
+    247,
     /* MOVHPDrm */
   },
-  { /* 1689 */
-    226,
+  { /* 1677 */
+    246,
     /* MOVHPSmr */
   },
-  { /* 1690 */
-    227,
+  { /* 1678 */
+    247,
     /* MOVHPSrm */
   },
-  { /* 1691 */
-    33,
+  { /* 1679 */
+    31,
     /* MOVLHPSrr */
   },
-  { /* 1692 */
-    226,
+  { /* 1680 */
+    246,
     /* MOVLPDmr */
   },
-  { /* 1693 */
-    227,
+  { /* 1681 */
+    247,
     /* MOVLPDrm */
   },
-  { /* 1694 */
-    226,
+  { /* 1682 */
+    246,
     /* MOVLPSmr */
   },
-  { /* 1695 */
-    227,
+  { /* 1683 */
+    247,
     /* MOVLPSrm */
   },
-  { /* 1696 */
-    109,
+  { /* 1684 */
+    110,
     /* MOVMSKPDrr */
   },
-  { /* 1697 */
-    109,
+  { /* 1685 */
+    110,
     /* MOVMSKPSrr */
   },
-  { /* 1698 */
-    42,
+  { /* 1686 */
+    44,
     /* MOVNTDQArm */
   },
-  { /* 1699 */
-    223,
+  { /* 1687 */
+    243,
     /* MOVNTDQmr */
   },
-  { /* 1700 */
+  { /* 1688 */
     16,
     /* MOVNTI_64mr */
   },
-  { /* 1701 */
-    228,
+  { /* 1689 */
+    248,
     /* MOVNTImr */
   },
-  { /* 1702 */
-    223,
+  { /* 1690 */
+    243,
     /* MOVNTPDmr */
   },
-  { /* 1703 */
-    223,
+  { /* 1691 */
+    243,
     /* MOVNTPSmr */
   },
-  { /* 1704 */
-    226,
+  { /* 1692 */
+    246,
     /* MOVNTSD */
   },
-  { /* 1705 */
-    229,
+  { /* 1693 */
+    249,
     /* MOVNTSS */
   },
+  { /* 1694 */
+    0,
+    /*  */
+  },
+  { /* 1695 */
+    250,
+    /* MOVPDI2DImr */
+  },
+  { /* 1696 */
+    251,
+    /* MOVPDI2DIrr */
+  },
+  { /* 1697 */
+    250,
+    /* MOVPQI2QImr */
+  },
+  { /* 1698 */
+    244,
+    /* MOVPQI2QIrr */
+  },
+  { /* 1699 */
+    252,
+    /* MOVPQIto64rr */
+  },
+  { /* 1700 */
+    105,
+    /* MOVQI2PQIrm */
+  },
+  { /* 1701 */
+    91,
+    /* MOVSB */
+  },
+  { /* 1702 */
+    253,
+    /* MOVSDmr */
+  },
+  { /* 1703 */
+    254,
+    /* MOVSDrm */
+  },
+  { /* 1704 */
+    255,
+    /* MOVSDrr */
+  },
+  { /* 1705 */
+    256,
+    /* MOVSDrr_REV */
+  },
   { /* 1706 */
     0,
     /*  */
   },
   { /* 1707 */
-    230,
-    /* MOVPDI2DImr */
+    0,
+    /*  */
   },
   { /* 1708 */
-    231,
-    /* MOVPDI2DIrr */
-  },
-  { /* 1709 */
-    230,
-    /* MOVPQI2QImr */
-  },
-  { /* 1710 */
-    224,
-    /* MOVPQI2QIrr */
-  },
-  { /* 1711 */
-    232,
-    /* MOVPQIto64rr */
-  },
-  { /* 1712 */
-    104,
-    /* MOVQI2PQIrm */
-  },
-  { /* 1713 */
-    92,
-    /* MOVSB */
-  },
-  { /* 1714 */
-    233,
-    /* MOVSDmr */
-  },
-  { /* 1715 */
-    234,
-    /* MOVSDrm */
-  },
-  { /* 1716 */
-    235,
-    /* MOVSDrr */
-  },
-  { /* 1717 */
-    236,
-    /* MOVSDrr_REV */
-  },
-  { /* 1718 */
-    0,
-    /*  */
-  },
-  { /* 1719 */
-    0,
-    /*  */
-  },
-  { /* 1720 */
-    42,
+    44,
     /* MOVSHDUPrm */
   },
-  { /* 1721 */
-    43,
+  { /* 1709 */
+    45,
     /* MOVSHDUPrr */
   },
-  { /* 1722 */
-    90,
+  { /* 1710 */
+    94,
     /* MOVSL */
   },
-  { /* 1723 */
-    42,
+  { /* 1711 */
+    44,
     /* MOVSLDUPrm */
   },
-  { /* 1724 */
-    43,
+  { /* 1712 */
+    45,
     /* MOVSLDUPrr */
   },
-  { /* 1725 */
-    91,
+  { /* 1713 */
+    95,
     /* MOVSQ */
   },
-  { /* 1726 */
+  { /* 1714 */
     0,
     /*  */
   },
-  { /* 1727 */
+  { /* 1715 */
     0,
     /*  */
   },
-  { /* 1728 */
-    237,
+  { /* 1716 */
+    257,
     /* MOVSSmr */
   },
-  { /* 1729 */
-    238,
+  { /* 1717 */
+    258,
     /* MOVSSrm */
   },
-  { /* 1730 */
-    239,
+  { /* 1718 */
+    259,
     /* MOVSSrr */
   },
-  { /* 1731 */
-    240,
+  { /* 1719 */
+    260,
     /* MOVSSrr_REV */
   },
-  { /* 1732 */
-    89,
+  { /* 1720 */
+    98,
     /* MOVSW */
   },
-  { /* 1733 */
-    241,
+  { /* 1721 */
+    261,
     /* MOVSX16rm8 */
   },
-  { /* 1734 */
-    242,
+  { /* 1722 */
+    262,
     /* MOVSX16rr8 */
   },
-  { /* 1735 */
-    66,
+  { /* 1723 */
+    68,
     /* MOVSX32rm16 */
   },
-  { /* 1736 */
-    241,
+  { /* 1724 */
+    261,
     /* MOVSX32rm8 */
   },
+  { /* 1725 */
+    263,
+    /* MOVSX32rr16 */
+  },
+  { /* 1726 */
+    262,
+    /* MOVSX32rr8 */
+  },
+  { /* 1727 */
+    42,
+    /* MOVSX64rm16 */
+  },
+  { /* 1728 */
+    42,
+    /* MOVSX64rm32 */
+  },
+  { /* 1729 */
+    264,
+    /* MOVSX64rm8 */
+  },
+  { /* 1730 */
+    265,
+    /* MOVSX64rr16 */
+  },
+  { /* 1731 */
+    183,
+    /* MOVSX64rr32 */
+  },
+  { /* 1732 */
+    266,
+    /* MOVSX64rr8 */
+  },
+  { /* 1733 */
+    243,
+    /* MOVUPDmr */
+  },
+  { /* 1734 */
+    44,
+    /* MOVUPDrm */
+  },
+  { /* 1735 */
+    45,
+    /* MOVUPDrr */
+  },
+  { /* 1736 */
+    244,
+    /* MOVUPDrr_REV */
+  },
   { /* 1737 */
     243,
-    /* MOVSX32rr16 */
-  },
-  { /* 1738 */
-    242,
-    /* MOVSX32rr8 */
-  },
-  { /* 1739 */
-    30,
-    /* MOVSX64rm16 */
-  },
-  { /* 1740 */
-    30,
-    /* MOVSX64rm32 */
-  },
-  { /* 1741 */
-    244,
-    /* MOVSX64rm8 */
-  },
-  { /* 1742 */
-    245,
-    /* MOVSX64rr16 */
-  },
-  { /* 1743 */
-    164,
-    /* MOVSX64rr32 */
-  },
-  { /* 1744 */
-    246,
-    /* MOVSX64rr8 */
-  },
-  { /* 1745 */
-    223,
-    /* MOVUPDmr */
-  },
-  { /* 1746 */
-    42,
-    /* MOVUPDrm */
-  },
-  { /* 1747 */
-    43,
-    /* MOVUPDrr */
-  },
-  { /* 1748 */
-    224,
-    /* MOVUPDrr_REV */
-  },
-  { /* 1749 */
-    223,
     /* MOVUPSmr */
   },
-  { /* 1750 */
-    42,
+  { /* 1738 */
+    44,
     /* MOVUPSrm */
   },
-  { /* 1751 */
-    43,
+  { /* 1739 */
+    45,
     /* MOVUPSrr */
   },
-  { /* 1752 */
-    224,
+  { /* 1740 */
+    244,
     /* MOVUPSrr_REV */
   },
-  { /* 1753 */
+  { /* 1741 */
     0,
     /*  */
   },
-  { /* 1754 */
-    43,
+  { /* 1742 */
+    45,
     /* MOVZPQILo2PQIrr */
   },
-  { /* 1755 */
+  { /* 1743 */
     0,
     /*  */
   },
-  { /* 1756 */
+  { /* 1744 */
     0,
     /*  */
   },
-  { /* 1757 */
-    241,
+  { /* 1745 */
+    261,
     /* MOVZX16rm8 */
   },
-  { /* 1758 */
-    242,
+  { /* 1746 */
+    262,
     /* MOVZX16rr8 */
   },
-  { /* 1759 */
+  { /* 1747 */
     0,
     /*  */
   },
-  { /* 1760 */
+  { /* 1748 */
     0,
     /*  */
   },
-  { /* 1761 */
-    66,
+  { /* 1749 */
+    68,
     /* MOVZX32rm16 */
   },
-  { /* 1762 */
-    241,
+  { /* 1750 */
+    261,
     /* MOVZX32rm8 */
   },
-  { /* 1763 */
-    243,
+  { /* 1751 */
+    263,
     /* MOVZX32rr16 */
   },
-  { /* 1764 */
-    242,
+  { /* 1752 */
+    262,
     /* MOVZX32rr8 */
   },
-  { /* 1765 */
-    30,
+  { /* 1753 */
+    42,
     /* MOVZX64rm16_Q */
   },
-  { /* 1766 */
-    244,
+  { /* 1754 */
+    264,
     /* MOVZX64rm8_Q */
   },
-  { /* 1767 */
-    245,
+  { /* 1755 */
+    265,
     /* MOVZX64rr16_Q */
   },
-  { /* 1768 */
-    246,
+  { /* 1756 */
+    266,
     /* MOVZX64rr8_Q */
   },
-  { /* 1769 */
-    64,
+  { /* 1757 */
+    66,
     /* MPSADBWrmi */
   },
-  { /* 1770 */
-    65,
+  { /* 1758 */
+    67,
     /* MPSADBWrri */
   },
-  { /* 1771 */
-    40,
+  { /* 1759 */
+    38,
     /* MUL16m */
   },
-  { /* 1772 */
-    75,
+  { /* 1760 */
+    77,
     /* MUL16r */
   },
-  { /* 1773 */
-    40,
+  { /* 1761 */
+    38,
     /* MUL32m */
   },
-  { /* 1774 */
-    75,
+  { /* 1762 */
+    77,
     /* MUL32r */
   },
-  { /* 1775 */
-    40,
+  { /* 1763 */
+    38,
     /* MUL64m */
   },
-  { /* 1776 */
-    77,
+  { /* 1764 */
+    79,
     /* MUL64r */
   },
-  { /* 1777 */
-    80,
+  { /* 1765 */
+    82,
     /* MUL8m */
   },
-  { /* 1778 */
-    129,
+  { /* 1766 */
+    130,
     /* MUL8r */
   },
-  { /* 1779 */
-    32,
+  { /* 1767 */
+    30,
     /* MULPDrm */
   },
-  { /* 1780 */
-    33,
+  { /* 1768 */
+    31,
     /* MULPDrr */
   },
-  { /* 1781 */
-    32,
+  { /* 1769 */
+    30,
     /* MULPSrm */
   },
-  { /* 1782 */
-    33,
+  { /* 1770 */
+    31,
     /* MULPSrr */
   },
-  { /* 1783 */
-    34,
+  { /* 1771 */
+    32,
     /* MULSDrm */
   },
-  { /* 1784 */
+  { /* 1772 */
     0,
     /*  */
   },
-  { /* 1785 */
-    35,
+  { /* 1773 */
+    33,
     /* MULSDrr */
   },
-  { /* 1786 */
+  { /* 1774 */
     0,
     /*  */
   },
-  { /* 1787 */
-    36,
+  { /* 1775 */
+    34,
     /* MULSSrm */
   },
-  { /* 1788 */
+  { /* 1776 */
     0,
     /*  */
   },
-  { /* 1789 */
-    37,
+  { /* 1777 */
+    35,
     /* MULSSrr */
   },
+  { /* 1778 */
+    0,
+    /*  */
+  },
+  { /* 1779 */
+    48,
+    /* MULX32rm */
+  },
+  { /* 1780 */
+    49,
+    /* MULX32rr */
+  },
+  { /* 1781 */
+    50,
+    /* MULX64rm */
+  },
+  { /* 1782 */
+    51,
+    /* MULX64rr */
+  },
+  { /* 1783 */
+    36,
+    /* MUL_F32m */
+  },
+  { /* 1784 */
+    37,
+    /* MUL_F64m */
+  },
+  { /* 1785 */
+    38,
+    /* MUL_FI16m */
+  },
+  { /* 1786 */
+    38,
+    /* MUL_FI32m */
+  },
+  { /* 1787 */
+    39,
+    /* MUL_FPrST0 */
+  },
+  { /* 1788 */
+    39,
+    /* MUL_FST0r */
+  },
+  { /* 1789 */
+    0,
+    /*  */
+  },
   { /* 1790 */
     0,
     /*  */
   },
   { /* 1791 */
-    46,
-    /* MULX32rm */
+    0,
+    /*  */
   },
   { /* 1792 */
-    47,
-    /* MULX32rr */
+    0,
+    /*  */
   },
   { /* 1793 */
-    48,
-    /* MULX64rm */
+    0,
+    /*  */
   },
   { /* 1794 */
-    49,
-    /* MULX64rr */
+    0,
+    /*  */
   },
   { /* 1795 */
-    38,
-    /* MUL_F32m */
+    0,
+    /*  */
   },
   { /* 1796 */
-    39,
-    /* MUL_F64m */
+    0,
+    /*  */
   },
   { /* 1797 */
-    40,
-    /* MUL_FI16m */
+    0,
+    /*  */
   },
   { /* 1798 */
-    40,
-    /* MUL_FI32m */
+    0,
+    /*  */
   },
   { /* 1799 */
-    41,
-    /* MUL_FPrST0 */
+    0,
+    /*  */
   },
   { /* 1800 */
-    41,
-    /* MUL_FST0r */
+    0,
+    /*  */
   },
   { /* 1801 */
     0,
@@ -12081,689 +14504,689 @@
     /*  */
   },
   { /* 1803 */
-    0,
-    /*  */
+    39,
+    /* MUL_FrST0 */
   },
   { /* 1804 */
     0,
-    /*  */
+    /* MWAITrr */
   },
   { /* 1805 */
-    0,
-    /*  */
+    38,
+    /* NEG16m */
   },
   { /* 1806 */
-    0,
-    /*  */
+    127,
+    /* NEG16r */
   },
   { /* 1807 */
-    0,
-    /*  */
+    38,
+    /* NEG32m */
   },
   { /* 1808 */
-    0,
-    /*  */
+    127,
+    /* NEG32r */
   },
   { /* 1809 */
-    0,
-    /*  */
+    38,
+    /* NEG64m */
   },
   { /* 1810 */
-    0,
-    /*  */
+    128,
+    /* NEG64r */
   },
   { /* 1811 */
-    0,
-    /*  */
+    82,
+    /* NEG8m */
   },
   { /* 1812 */
-    0,
-    /*  */
+    129,
+    /* NEG8r */
   },
   { /* 1813 */
     0,
-    /*  */
-  },
-  { /* 1814 */
-    0,
-    /*  */
-  },
-  { /* 1815 */
-    41,
-    /* MUL_FrST0 */
-  },
-  { /* 1816 */
-    0,
-    /* MWAITrr */
-  },
-  { /* 1817 */
-    40,
-    /* NEG16m */
-  },
-  { /* 1818 */
-    126,
-    /* NEG16r */
-  },
-  { /* 1819 */
-    40,
-    /* NEG32m */
-  },
-  { /* 1820 */
-    126,
-    /* NEG32r */
-  },
-  { /* 1821 */
-    40,
-    /* NEG64m */
-  },
-  { /* 1822 */
-    127,
-    /* NEG64r */
-  },
-  { /* 1823 */
-    80,
-    /* NEG8m */
-  },
-  { /* 1824 */
-    128,
-    /* NEG8r */
-  },
-  { /* 1825 */
-    0,
     /* NOOP */
   },
-  { /* 1826 */
-    40,
+  { /* 1814 */
+    38,
     /* NOOP18_16m4 */
   },
-  { /* 1827 */
-    40,
+  { /* 1815 */
+    38,
     /* NOOP18_16m5 */
   },
-  { /* 1828 */
-    40,
+  { /* 1816 */
+    38,
     /* NOOP18_16m6 */
   },
-  { /* 1829 */
-    40,
+  { /* 1817 */
+    38,
     /* NOOP18_16m7 */
   },
-  { /* 1830 */
-    75,
+  { /* 1818 */
+    77,
     /* NOOP18_16r4 */
   },
-  { /* 1831 */
-    75,
+  { /* 1819 */
+    77,
     /* NOOP18_16r5 */
   },
-  { /* 1832 */
-    75,
+  { /* 1820 */
+    77,
     /* NOOP18_16r6 */
   },
-  { /* 1833 */
-    75,
+  { /* 1821 */
+    77,
     /* NOOP18_16r7 */
   },
-  { /* 1834 */
-    40,
+  { /* 1822 */
+    38,
     /* NOOP18_m4 */
   },
-  { /* 1835 */
-    40,
+  { /* 1823 */
+    38,
     /* NOOP18_m5 */
   },
-  { /* 1836 */
-    40,
+  { /* 1824 */
+    38,
     /* NOOP18_m6 */
   },
-  { /* 1837 */
-    40,
+  { /* 1825 */
+    38,
     /* NOOP18_m7 */
   },
-  { /* 1838 */
-    75,
+  { /* 1826 */
+    77,
     /* NOOP18_r4 */
   },
-  { /* 1839 */
-    75,
+  { /* 1827 */
+    77,
     /* NOOP18_r5 */
   },
-  { /* 1840 */
-    75,
+  { /* 1828 */
+    77,
     /* NOOP18_r6 */
   },
-  { /* 1841 */
-    75,
+  { /* 1829 */
+    77,
     /* NOOP18_r7 */
   },
-  { /* 1842 */
-    40,
+  { /* 1830 */
+    38,
     /* NOOPL */
   },
-  { /* 1843 */
-    40,
+  { /* 1831 */
+    38,
     /* NOOPL_19 */
   },
-  { /* 1844 */
-    40,
+  { /* 1832 */
+    38,
     /* NOOPL_1a */
   },
-  { /* 1845 */
-    40,
+  { /* 1833 */
+    38,
     /* NOOPL_1b */
   },
-  { /* 1846 */
-    40,
+  { /* 1834 */
+    38,
     /* NOOPL_1c */
   },
-  { /* 1847 */
-    40,
+  { /* 1835 */
+    38,
     /* NOOPL_1d */
   },
-  { /* 1848 */
-    40,
+  { /* 1836 */
+    38,
     /* NOOPL_1e */
   },
-  { /* 1849 */
-    40,
+  { /* 1837 */
+    38,
     /* NOOPW */
   },
-  { /* 1850 */
-    40,
+  { /* 1838 */
+    38,
     /* NOOPW_19 */
   },
-  { /* 1851 */
-    40,
+  { /* 1839 */
+    38,
     /* NOOPW_1a */
   },
-  { /* 1852 */
-    40,
+  { /* 1840 */
+    38,
     /* NOOPW_1b */
   },
-  { /* 1853 */
-    40,
+  { /* 1841 */
+    38,
     /* NOOPW_1c */
   },
-  { /* 1854 */
-    40,
+  { /* 1842 */
+    38,
     /* NOOPW_1d */
   },
-  { /* 1855 */
-    40,
+  { /* 1843 */
+    38,
     /* NOOPW_1e */
   },
-  { /* 1856 */
-    40,
+  { /* 1844 */
+    38,
     /* NOT16m */
   },
-  { /* 1857 */
-    126,
+  { /* 1845 */
+    127,
     /* NOT16r */
   },
-  { /* 1858 */
-    40,
+  { /* 1846 */
+    38,
     /* NOT32m */
   },
-  { /* 1859 */
-    126,
+  { /* 1847 */
+    127,
     /* NOT32r */
   },
-  { /* 1860 */
-    40,
+  { /* 1848 */
+    38,
     /* NOT64m */
   },
-  { /* 1861 */
-    127,
+  { /* 1849 */
+    128,
     /* NOT64r */
   },
-  { /* 1862 */
-    80,
+  { /* 1850 */
+    82,
     /* NOT8m */
   },
-  { /* 1863 */
-    128,
+  { /* 1851 */
+    129,
     /* NOT8r */
   },
-  { /* 1864 */
+  { /* 1852 */
     2,
     /* OR16i16 */
   },
-  { /* 1865 */
+  { /* 1853 */
     3,
     /* OR16mi */
   },
-  { /* 1866 */
+  { /* 1854 */
     4,
     /* OR16mi8 */
   },
-  { /* 1867 */
+  { /* 1855 */
     5,
     /* OR16mr */
   },
-  { /* 1868 */
+  { /* 1856 */
     6,
     /* OR16ri */
   },
-  { /* 1869 */
+  { /* 1857 */
     7,
     /* OR16ri8 */
   },
-  { /* 1870 */
+  { /* 1858 */
     8,
     /* OR16rm */
   },
-  { /* 1871 */
+  { /* 1859 */
     9,
     /* OR16rr */
   },
-  { /* 1872 */
+  { /* 1860 */
     10,
     /* OR16rr_REV */
   },
-  { /* 1873 */
+  { /* 1861 */
     2,
     /* OR32i32 */
   },
-  { /* 1874 */
+  { /* 1862 */
     3,
     /* OR32mi */
   },
-  { /* 1875 */
+  { /* 1863 */
     11,
     /* OR32mi8 */
   },
-  { /* 1876 */
+  { /* 1864 */
     5,
     /* OR32mr */
   },
-  { /* 1877 */
+  { /* 1865 */
     0,
     /*  */
   },
-  { /* 1878 */
+  { /* 1866 */
     6,
     /* OR32ri */
   },
-  { /* 1879 */
+  { /* 1867 */
     12,
     /* OR32ri8 */
   },
-  { /* 1880 */
+  { /* 1868 */
     8,
     /* OR32rm */
   },
-  { /* 1881 */
+  { /* 1869 */
     9,
     /* OR32rr */
   },
-  { /* 1882 */
+  { /* 1870 */
     10,
     /* OR32rr_REV */
   },
-  { /* 1883 */
+  { /* 1871 */
     13,
     /* OR64i32 */
   },
-  { /* 1884 */
+  { /* 1872 */
     14,
     /* OR64mi32 */
   },
-  { /* 1885 */
+  { /* 1873 */
     15,
     /* OR64mi8 */
   },
-  { /* 1886 */
+  { /* 1874 */
     16,
     /* OR64mr */
   },
-  { /* 1887 */
+  { /* 1875 */
     17,
     /* OR64ri32 */
   },
-  { /* 1888 */
+  { /* 1876 */
     18,
     /* OR64ri8 */
   },
-  { /* 1889 */
+  { /* 1877 */
     19,
     /* OR64rm */
   },
-  { /* 1890 */
+  { /* 1878 */
     20,
     /* OR64rr */
   },
-  { /* 1891 */
+  { /* 1879 */
     21,
     /* OR64rr_REV */
   },
-  { /* 1892 */
+  { /* 1880 */
     1,
     /* OR8i8 */
   },
-  { /* 1893 */
+  { /* 1881 */
     22,
     /* OR8mi */
   },
-  { /* 1894 */
+  { /* 1882 */
     23,
     /* OR8mr */
   },
-  { /* 1895 */
+  { /* 1883 */
     24,
     /* OR8ri */
   },
-  { /* 1896 */
+  { /* 1884 */
     24,
     /* OR8ri8 */
   },
-  { /* 1897 */
+  { /* 1885 */
     25,
     /* OR8rm */
   },
-  { /* 1898 */
+  { /* 1886 */
     26,
     /* OR8rr */
   },
-  { /* 1899 */
+  { /* 1887 */
     27,
     /* OR8rr_REV */
   },
-  { /* 1900 */
-    32,
+  { /* 1888 */
+    30,
     /* ORPDrm */
   },
-  { /* 1901 */
-    33,
+  { /* 1889 */
+    31,
     /* ORPDrr */
   },
-  { /* 1902 */
-    32,
+  { /* 1890 */
+    30,
     /* ORPSrm */
   },
-  { /* 1903 */
-    33,
+  { /* 1891 */
+    31,
     /* ORPSrr */
   },
-  { /* 1904 */
+  { /* 1892 */
     1,
     /* OUT16ir */
   },
-  { /* 1905 */
+  { /* 1893 */
     0,
     /* OUT16rr */
   },
-  { /* 1906 */
+  { /* 1894 */
     1,
     /* OUT32ir */
   },
-  { /* 1907 */
+  { /* 1895 */
     0,
     /* OUT32rr */
   },
-  { /* 1908 */
+  { /* 1896 */
     1,
     /* OUT8ir */
   },
-  { /* 1909 */
+  { /* 1897 */
     0,
     /* OUT8rr */
   },
-  { /* 1910 */
-    172,
+  { /* 1898 */
+    191,
     /* OUTSB */
   },
-  { /* 1911 */
-    173,
+  { /* 1899 */
+    192,
     /* OUTSL */
   },
-  { /* 1912 */
-    175,
+  { /* 1900 */
+    194,
     /* OUTSW */
   },
-  { /* 1913 */
-    42,
+  { /* 1901 */
+    44,
     /* PABSBrm128 */
   },
-  { /* 1914 */
-    43,
+  { /* 1902 */
+    45,
     /* PABSBrr128 */
   },
-  { /* 1915 */
-    42,
+  { /* 1903 */
+    44,
     /* PABSDrm128 */
   },
-  { /* 1916 */
-    43,
+  { /* 1904 */
+    45,
     /* PABSDrr128 */
   },
-  { /* 1917 */
-    42,
+  { /* 1905 */
+    44,
     /* PABSWrm128 */
   },
-  { /* 1918 */
-    43,
+  { /* 1906 */
+    45,
     /* PABSWrr128 */
   },
-  { /* 1919 */
-    32,
+  { /* 1907 */
+    30,
     /* PACKSSDWrm */
   },
-  { /* 1920 */
-    33,
+  { /* 1908 */
+    31,
     /* PACKSSDWrr */
   },
-  { /* 1921 */
-    32,
+  { /* 1909 */
+    30,
     /* PACKSSWBrm */
   },
-  { /* 1922 */
-    33,
+  { /* 1910 */
+    31,
     /* PACKSSWBrr */
   },
-  { /* 1923 */
-    32,
+  { /* 1911 */
+    30,
     /* PACKUSDWrm */
   },
-  { /* 1924 */
-    33,
+  { /* 1912 */
+    31,
     /* PACKUSDWrr */
   },
-  { /* 1925 */
-    32,
+  { /* 1913 */
+    30,
     /* PACKUSWBrm */
   },
-  { /* 1926 */
-    33,
+  { /* 1914 */
+    31,
     /* PACKUSWBrr */
   },
-  { /* 1927 */
-    32,
+  { /* 1915 */
+    30,
     /* PADDBrm */
   },
-  { /* 1928 */
-    33,
+  { /* 1916 */
+    31,
     /* PADDBrr */
   },
-  { /* 1929 */
-    32,
+  { /* 1917 */
+    30,
     /* PADDDrm */
   },
-  { /* 1930 */
-    33,
+  { /* 1918 */
+    31,
     /* PADDDrr */
   },
-  { /* 1931 */
-    32,
+  { /* 1919 */
+    30,
     /* PADDQrm */
   },
-  { /* 1932 */
-    33,
+  { /* 1920 */
+    31,
     /* PADDQrr */
   },
-  { /* 1933 */
-    32,
+  { /* 1921 */
+    30,
     /* PADDSBrm */
   },
-  { /* 1934 */
-    33,
+  { /* 1922 */
+    31,
     /* PADDSBrr */
   },
-  { /* 1935 */
-    32,
+  { /* 1923 */
+    30,
     /* PADDSWrm */
   },
-  { /* 1936 */
-    33,
+  { /* 1924 */
+    31,
     /* PADDSWrr */
   },
-  { /* 1937 */
-    32,
+  { /* 1925 */
+    30,
     /* PADDUSBrm */
   },
-  { /* 1938 */
-    33,
+  { /* 1926 */
+    31,
     /* PADDUSBrr */
   },
-  { /* 1939 */
-    32,
+  { /* 1927 */
+    30,
     /* PADDUSWrm */
   },
-  { /* 1940 */
-    33,
+  { /* 1928 */
+    31,
     /* PADDUSWrr */
   },
-  { /* 1941 */
-    32,
+  { /* 1929 */
+    30,
     /* PADDWrm */
   },
-  { /* 1942 */
-    33,
+  { /* 1930 */
+    31,
     /* PADDWrr */
   },
-  { /* 1943 */
-    247,
+  { /* 1931 */
+    267,
     /* PALIGNR128rm */
   },
-  { /* 1944 */
-    248,
+  { /* 1932 */
+    268,
     /* PALIGNR128rr */
   },
-  { /* 1945 */
-    32,
+  { /* 1933 */
+    30,
     /* PANDNrm */
   },
-  { /* 1946 */
-    33,
+  { /* 1934 */
+    31,
     /* PANDNrr */
   },
-  { /* 1947 */
-    32,
+  { /* 1935 */
+    30,
     /* PANDrm */
   },
-  { /* 1948 */
-    33,
+  { /* 1936 */
+    31,
     /* PANDrr */
   },
-  { /* 1949 */
+  { /* 1937 */
     0,
     /* PAUSE */
   },
-  { /* 1950 */
-    32,
+  { /* 1938 */
+    30,
     /* PAVGBrm */
   },
-  { /* 1951 */
-    33,
+  { /* 1939 */
+    31,
     /* PAVGBrr */
   },
-  { /* 1952 */
-    190,
+  { /* 1940 */
+    210,
     /* PAVGUSBrm */
   },
-  { /* 1953 */
-    191,
+  { /* 1941 */
+    211,
     /* PAVGUSBrr */
   },
-  { /* 1954 */
-    32,
+  { /* 1942 */
+    30,
     /* PAVGWrm */
   },
-  { /* 1955 */
-    33,
+  { /* 1943 */
+    31,
     /* PAVGWrr */
   },
-  { /* 1956 */
-    32,
+  { /* 1944 */
+    30,
     /* PBLENDVBrm0 */
   },
-  { /* 1957 */
-    33,
+  { /* 1945 */
+    31,
     /* PBLENDVBrr0 */
   },
-  { /* 1958 */
-    64,
+  { /* 1946 */
+    66,
     /* PBLENDWrmi */
   },
-  { /* 1959 */
-    65,
+  { /* 1947 */
+    67,
     /* PBLENDWrri */
   },
-  { /* 1960 */
-    247,
+  { /* 1948 */
+    267,
     /* PCLMULQDQrm */
   },
-  { /* 1961 */
-    248,
+  { /* 1949 */
+    268,
     /* PCLMULQDQrr */
   },
-  { /* 1962 */
-    32,
+  { /* 1950 */
+    30,
     /* PCMPEQBrm */
   },
-  { /* 1963 */
-    33,
+  { /* 1951 */
+    31,
     /* PCMPEQBrr */
   },
-  { /* 1964 */
-    32,
+  { /* 1952 */
+    30,
     /* PCMPEQDrm */
   },
-  { /* 1965 */
-    33,
+  { /* 1953 */
+    31,
     /* PCMPEQDrr */
   },
-  { /* 1966 */
-    32,
+  { /* 1954 */
+    30,
     /* PCMPEQQrm */
   },
-  { /* 1967 */
-    33,
+  { /* 1955 */
+    31,
     /* PCMPEQQrr */
   },
-  { /* 1968 */
-    32,
+  { /* 1956 */
+    30,
     /* PCMPEQWrm */
   },
-  { /* 1969 */
-    33,
+  { /* 1957 */
+    31,
     /* PCMPEQWrr */
   },
-  { /* 1970 */
+  { /* 1958 */
     0,
     /*  */
   },
-  { /* 1971 */
+  { /* 1959 */
     0,
     /*  */
   },
-  { /* 1972 */
-    44,
+  { /* 1960 */
+    46,
     /* PCMPESTRIrm */
   },
-  { /* 1973 */
-    45,
+  { /* 1961 */
+    47,
     /* PCMPESTRIrr */
   },
+  { /* 1962 */
+    0,
+    /*  */
+  },
+  { /* 1963 */
+    0,
+    /*  */
+  },
+  { /* 1964 */
+    46,
+    /* PCMPESTRM128rm */
+  },
+  { /* 1965 */
+    47,
+    /* PCMPESTRM128rr */
+  },
+  { /* 1966 */
+    30,
+    /* PCMPGTBrm */
+  },
+  { /* 1967 */
+    31,
+    /* PCMPGTBrr */
+  },
+  { /* 1968 */
+    30,
+    /* PCMPGTDrm */
+  },
+  { /* 1969 */
+    31,
+    /* PCMPGTDrr */
+  },
+  { /* 1970 */
+    30,
+    /* PCMPGTQrm */
+  },
+  { /* 1971 */
+    31,
+    /* PCMPGTQrr */
+  },
+  { /* 1972 */
+    30,
+    /* PCMPGTWrm */
+  },
+  { /* 1973 */
+    31,
+    /* PCMPGTWrr */
+  },
   { /* 1974 */
     0,
     /*  */
@@ -12773,1581 +15196,1581 @@
     /*  */
   },
   { /* 1976 */
-    44,
-    /* PCMPESTRM128rm */
-  },
-  { /* 1977 */
-    45,
-    /* PCMPESTRM128rr */
-  },
-  { /* 1978 */
-    32,
-    /* PCMPGTBrm */
-  },
-  { /* 1979 */
-    33,
-    /* PCMPGTBrr */
-  },
-  { /* 1980 */
-    32,
-    /* PCMPGTDrm */
-  },
-  { /* 1981 */
-    33,
-    /* PCMPGTDrr */
-  },
-  { /* 1982 */
-    32,
-    /* PCMPGTQrm */
-  },
-  { /* 1983 */
-    33,
-    /* PCMPGTQrr */
-  },
-  { /* 1984 */
-    32,
-    /* PCMPGTWrm */
-  },
-  { /* 1985 */
-    33,
-    /* PCMPGTWrr */
-  },
-  { /* 1986 */
-    0,
-    /*  */
-  },
-  { /* 1987 */
-    0,
-    /*  */
-  },
-  { /* 1988 */
-    44,
+    46,
     /* PCMPISTRIrm */
   },
-  { /* 1989 */
-    45,
+  { /* 1977 */
+    47,
     /* PCMPISTRIrr */
   },
-  { /* 1990 */
+  { /* 1978 */
     0,
     /*  */
   },
-  { /* 1991 */
+  { /* 1979 */
     0,
     /*  */
   },
-  { /* 1992 */
-    44,
+  { /* 1980 */
+    46,
     /* PCMPISTRM128rm */
   },
-  { /* 1993 */
-    45,
+  { /* 1981 */
+    47,
     /* PCMPISTRM128rr */
   },
-  { /* 1994 */
-    46,
+  { /* 1982 */
+    48,
     /* PDEP32rm */
   },
-  { /* 1995 */
-    47,
+  { /* 1983 */
+    49,
     /* PDEP32rr */
   },
-  { /* 1996 */
-    48,
+  { /* 1984 */
+    50,
     /* PDEP64rm */
   },
-  { /* 1997 */
-    49,
+  { /* 1985 */
+    51,
     /* PDEP64rr */
   },
-  { /* 1998 */
-    46,
+  { /* 1986 */
+    48,
     /* PEXT32rm */
   },
-  { /* 1999 */
-    47,
+  { /* 1987 */
+    49,
     /* PEXT32rr */
   },
-  { /* 2000 */
-    48,
+  { /* 1988 */
+    50,
     /* PEXT64rm */
   },
-  { /* 2001 */
-    49,
+  { /* 1989 */
+    51,
     /* PEXT64rr */
   },
-  { /* 2002 */
-    249,
+  { /* 1990 */
+    269,
     /* PEXTRBmr */
   },
-  { /* 2003 */
-    132,
+  { /* 1991 */
+    133,
     /* PEXTRBrr */
   },
-  { /* 2004 */
-    250,
+  { /* 1992 */
+    270,
     /* PEXTRDmr */
   },
-  { /* 2005 */
-    132,
+  { /* 1993 */
+    133,
     /* PEXTRDrr */
   },
-  { /* 2006 */
-    250,
+  { /* 1994 */
+    270,
     /* PEXTRQmr */
   },
-  { /* 2007 */
-    251,
+  { /* 1995 */
+    271,
     /* PEXTRQrr */
   },
-  { /* 2008 */
-    250,
+  { /* 1996 */
+    270,
     /* PEXTRWmr */
   },
-  { /* 2009 */
-    252,
+  { /* 1997 */
+    272,
     /* PEXTRWri */
   },
-  { /* 2010 */
-    132,
+  { /* 1998 */
+    133,
     /* PEXTRWrr_REV */
   },
-  { /* 2011 */
-    187,
+  { /* 1999 */
+    206,
     /* PF2IDrm */
   },
-  { /* 2012 */
-    183,
+  { /* 2000 */
+    202,
     /* PF2IDrr */
   },
-  { /* 2013 */
-    187,
+  { /* 2001 */
+    206,
     /* PF2IWrm */
   },
-  { /* 2014 */
-    183,
+  { /* 2002 */
+    202,
     /* PF2IWrr */
   },
-  { /* 2015 */
-    190,
+  { /* 2003 */
+    210,
     /* PFACCrm */
   },
-  { /* 2016 */
-    191,
+  { /* 2004 */
+    211,
     /* PFACCrr */
   },
-  { /* 2017 */
-    190,
+  { /* 2005 */
+    210,
     /* PFADDrm */
   },
-  { /* 2018 */
-    191,
+  { /* 2006 */
+    211,
     /* PFADDrr */
   },
-  { /* 2019 */
-    190,
+  { /* 2007 */
+    210,
     /* PFCMPEQrm */
   },
-  { /* 2020 */
-    191,
+  { /* 2008 */
+    211,
     /* PFCMPEQrr */
   },
-  { /* 2021 */
-    190,
+  { /* 2009 */
+    210,
     /* PFCMPGErm */
   },
-  { /* 2022 */
-    191,
+  { /* 2010 */
+    211,
     /* PFCMPGErr */
   },
-  { /* 2023 */
-    190,
+  { /* 2011 */
+    210,
     /* PFCMPGTrm */
   },
-  { /* 2024 */
-    191,
+  { /* 2012 */
+    211,
     /* PFCMPGTrr */
   },
-  { /* 2025 */
-    190,
+  { /* 2013 */
+    210,
     /* PFMAXrm */
   },
-  { /* 2026 */
-    191,
+  { /* 2014 */
+    211,
     /* PFMAXrr */
   },
-  { /* 2027 */
-    190,
+  { /* 2015 */
+    210,
     /* PFMINrm */
   },
-  { /* 2028 */
-    191,
+  { /* 2016 */
+    211,
     /* PFMINrr */
   },
-  { /* 2029 */
-    190,
+  { /* 2017 */
+    210,
     /* PFMULrm */
   },
-  { /* 2030 */
-    191,
+  { /* 2018 */
+    211,
     /* PFMULrr */
   },
-  { /* 2031 */
-    190,
+  { /* 2019 */
+    210,
     /* PFNACCrm */
   },
-  { /* 2032 */
-    191,
+  { /* 2020 */
+    211,
     /* PFNACCrr */
   },
-  { /* 2033 */
-    190,
+  { /* 2021 */
+    210,
     /* PFPNACCrm */
   },
-  { /* 2034 */
-    191,
+  { /* 2022 */
+    211,
     /* PFPNACCrr */
   },
-  { /* 2035 */
-    190,
+  { /* 2023 */
+    210,
     /* PFRCPIT1rm */
   },
-  { /* 2036 */
-    191,
+  { /* 2024 */
+    211,
     /* PFRCPIT1rr */
   },
-  { /* 2037 */
-    190,
+  { /* 2025 */
+    210,
     /* PFRCPIT2rm */
   },
-  { /* 2038 */
-    191,
+  { /* 2026 */
+    211,
     /* PFRCPIT2rr */
   },
-  { /* 2039 */
-    187,
+  { /* 2027 */
+    206,
     /* PFRCPrm */
   },
-  { /* 2040 */
-    183,
+  { /* 2028 */
+    202,
     /* PFRCPrr */
   },
-  { /* 2041 */
-    190,
+  { /* 2029 */
+    210,
     /* PFRSQIT1rm */
   },
-  { /* 2042 */
-    191,
+  { /* 2030 */
+    211,
     /* PFRSQIT1rr */
   },
-  { /* 2043 */
-    187,
+  { /* 2031 */
+    206,
     /* PFRSQRTrm */
   },
-  { /* 2044 */
-    183,
+  { /* 2032 */
+    202,
     /* PFRSQRTrr */
   },
-  { /* 2045 */
-    190,
+  { /* 2033 */
+    210,
     /* PFSUBRrm */
   },
-  { /* 2046 */
-    191,
+  { /* 2034 */
+    211,
     /* PFSUBRrr */
   },
-  { /* 2047 */
-    190,
+  { /* 2035 */
+    210,
     /* PFSUBrm */
   },
-  { /* 2048 */
-    191,
+  { /* 2036 */
+    211,
     /* PFSUBrr */
   },
-  { /* 2049 */
-    32,
+  { /* 2037 */
+    30,
     /* PHADDDrm */
   },
-  { /* 2050 */
-    33,
+  { /* 2038 */
+    31,
     /* PHADDDrr */
   },
-  { /* 2051 */
-    32,
+  { /* 2039 */
+    30,
     /* PHADDSWrm128 */
   },
-  { /* 2052 */
-    33,
+  { /* 2040 */
+    31,
     /* PHADDSWrr128 */
   },
-  { /* 2053 */
-    32,
+  { /* 2041 */
+    30,
     /* PHADDWrm */
   },
-  { /* 2054 */
-    33,
+  { /* 2042 */
+    31,
     /* PHADDWrr */
   },
-  { /* 2055 */
-    42,
+  { /* 2043 */
+    44,
     /* PHMINPOSUWrm128 */
   },
-  { /* 2056 */
-    43,
+  { /* 2044 */
+    45,
     /* PHMINPOSUWrr128 */
   },
-  { /* 2057 */
-    32,
+  { /* 2045 */
+    30,
     /* PHSUBDrm */
   },
-  { /* 2058 */
-    33,
+  { /* 2046 */
+    31,
     /* PHSUBDrr */
   },
-  { /* 2059 */
-    32,
+  { /* 2047 */
+    30,
     /* PHSUBSWrm128 */
   },
-  { /* 2060 */
-    33,
+  { /* 2048 */
+    31,
     /* PHSUBSWrr128 */
   },
-  { /* 2061 */
-    32,
+  { /* 2049 */
+    30,
     /* PHSUBWrm */
   },
-  { /* 2062 */
-    33,
+  { /* 2050 */
+    31,
     /* PHSUBWrr */
   },
-  { /* 2063 */
-    187,
+  { /* 2051 */
+    206,
     /* PI2FDrm */
   },
-  { /* 2064 */
-    183,
+  { /* 2052 */
+    202,
     /* PI2FDrr */
   },
-  { /* 2065 */
-    187,
+  { /* 2053 */
+    206,
     /* PI2FWrm */
   },
-  { /* 2066 */
-    183,
+  { /* 2054 */
+    202,
     /* PI2FWrr */
   },
-  { /* 2067 */
-    253,
+  { /* 2055 */
+    273,
     /* PINSRBrm */
   },
-  { /* 2068 */
-    254,
+  { /* 2056 */
+    274,
     /* PINSRBrr */
   },
-  { /* 2069 */
-    255,
+  { /* 2057 */
+    275,
     /* PINSRDrm */
   },
-  { /* 2070 */
-    254,
+  { /* 2058 */
+    274,
     /* PINSRDrr */
   },
-  { /* 2071 */
-    255,
+  { /* 2059 */
+    275,
     /* PINSRQrm */
   },
-  { /* 2072 */
-    256,
+  { /* 2060 */
+    276,
     /* PINSRQrr */
   },
-  { /* 2073 */
-    255,
+  { /* 2061 */
+    275,
     /* PINSRWrmi */
   },
-  { /* 2074 */
-    254,
+  { /* 2062 */
+    274,
     /* PINSRWrri */
   },
-  { /* 2075 */
-    32,
+  { /* 2063 */
+    30,
     /* PMADDUBSWrm128 */
   },
-  { /* 2076 */
-    33,
+  { /* 2064 */
+    31,
     /* PMADDUBSWrr128 */
   },
-  { /* 2077 */
-    32,
+  { /* 2065 */
+    30,
     /* PMADDWDrm */
   },
-  { /* 2078 */
-    33,
+  { /* 2066 */
+    31,
     /* PMADDWDrr */
   },
-  { /* 2079 */
-    32,
+  { /* 2067 */
+    30,
     /* PMAXSBrm */
   },
-  { /* 2080 */
-    33,
+  { /* 2068 */
+    31,
     /* PMAXSBrr */
   },
-  { /* 2081 */
-    32,
+  { /* 2069 */
+    30,
     /* PMAXSDrm */
   },
-  { /* 2082 */
-    33,
+  { /* 2070 */
+    31,
     /* PMAXSDrr */
   },
-  { /* 2083 */
-    32,
+  { /* 2071 */
+    30,
     /* PMAXSWrm */
   },
-  { /* 2084 */
-    33,
+  { /* 2072 */
+    31,
     /* PMAXSWrr */
   },
-  { /* 2085 */
-    32,
+  { /* 2073 */
+    30,
     /* PMAXUBrm */
   },
-  { /* 2086 */
-    33,
+  { /* 2074 */
+    31,
     /* PMAXUBrr */
   },
-  { /* 2087 */
-    32,
+  { /* 2075 */
+    30,
     /* PMAXUDrm */
   },
-  { /* 2088 */
-    33,
+  { /* 2076 */
+    31,
     /* PMAXUDrr */
   },
-  { /* 2089 */
-    32,
+  { /* 2077 */
+    30,
     /* PMAXUWrm */
   },
-  { /* 2090 */
-    33,
+  { /* 2078 */
+    31,
     /* PMAXUWrr */
   },
-  { /* 2091 */
-    32,
+  { /* 2079 */
+    30,
     /* PMINSBrm */
   },
-  { /* 2092 */
-    33,
+  { /* 2080 */
+    31,
     /* PMINSBrr */
   },
-  { /* 2093 */
-    32,
+  { /* 2081 */
+    30,
     /* PMINSDrm */
   },
-  { /* 2094 */
-    33,
+  { /* 2082 */
+    31,
     /* PMINSDrr */
   },
-  { /* 2095 */
-    32,
+  { /* 2083 */
+    30,
     /* PMINSWrm */
   },
-  { /* 2096 */
-    33,
+  { /* 2084 */
+    31,
     /* PMINSWrr */
   },
-  { /* 2097 */
-    32,
+  { /* 2085 */
+    30,
     /* PMINUBrm */
   },
-  { /* 2098 */
-    33,
+  { /* 2086 */
+    31,
     /* PMINUBrr */
   },
-  { /* 2099 */
-    32,
+  { /* 2087 */
+    30,
     /* PMINUDrm */
   },
-  { /* 2100 */
-    33,
+  { /* 2088 */
+    31,
     /* PMINUDrr */
   },
-  { /* 2101 */
-    32,
+  { /* 2089 */
+    30,
     /* PMINUWrm */
   },
-  { /* 2102 */
-    33,
+  { /* 2090 */
+    31,
     /* PMINUWrr */
   },
-  { /* 2103 */
-    109,
+  { /* 2091 */
+    110,
     /* PMOVMSKBrr */
   },
-  { /* 2104 */
-    104,
+  { /* 2092 */
+    105,
     /* PMOVSXBDrm */
   },
-  { /* 2105 */
-    43,
+  { /* 2093 */
+    45,
     /* PMOVSXBDrr */
   },
-  { /* 2106 */
-    104,
+  { /* 2094 */
+    105,
     /* PMOVSXBQrm */
   },
-  { /* 2107 */
-    43,
+  { /* 2095 */
+    45,
     /* PMOVSXBQrr */
   },
-  { /* 2108 */
-    104,
+  { /* 2096 */
+    105,
     /* PMOVSXBWrm */
   },
-  { /* 2109 */
-    43,
+  { /* 2097 */
+    45,
     /* PMOVSXBWrr */
   },
-  { /* 2110 */
-    104,
+  { /* 2098 */
+    105,
     /* PMOVSXDQrm */
   },
-  { /* 2111 */
-    43,
+  { /* 2099 */
+    45,
     /* PMOVSXDQrr */
   },
-  { /* 2112 */
-    104,
+  { /* 2100 */
+    105,
     /* PMOVSXWDrm */
   },
-  { /* 2113 */
-    43,
+  { /* 2101 */
+    45,
     /* PMOVSXWDrr */
   },
-  { /* 2114 */
-    104,
+  { /* 2102 */
+    105,
     /* PMOVSXWQrm */
   },
-  { /* 2115 */
-    43,
+  { /* 2103 */
+    45,
     /* PMOVSXWQrr */
   },
-  { /* 2116 */
-    104,
+  { /* 2104 */
+    105,
     /* PMOVZXBDrm */
   },
-  { /* 2117 */
-    43,
+  { /* 2105 */
+    45,
     /* PMOVZXBDrr */
   },
-  { /* 2118 */
-    104,
+  { /* 2106 */
+    105,
     /* PMOVZXBQrm */
   },
-  { /* 2119 */
-    43,
+  { /* 2107 */
+    45,
     /* PMOVZXBQrr */
   },
-  { /* 2120 */
-    104,
+  { /* 2108 */
+    105,
     /* PMOVZXBWrm */
   },
-  { /* 2121 */
-    43,
+  { /* 2109 */
+    45,
     /* PMOVZXBWrr */
   },
-  { /* 2122 */
-    104,
+  { /* 2110 */
+    105,
     /* PMOVZXDQrm */
   },
-  { /* 2123 */
-    43,
+  { /* 2111 */
+    45,
     /* PMOVZXDQrr */
   },
-  { /* 2124 */
-    104,
+  { /* 2112 */
+    105,
     /* PMOVZXWDrm */
   },
-  { /* 2125 */
-    43,
+  { /* 2113 */
+    45,
     /* PMOVZXWDrr */
   },
-  { /* 2126 */
-    104,
+  { /* 2114 */
+    105,
     /* PMOVZXWQrm */
   },
-  { /* 2127 */
-    43,
+  { /* 2115 */
+    45,
     /* PMOVZXWQrr */
   },
-  { /* 2128 */
-    32,
+  { /* 2116 */
+    30,
     /* PMULDQrm */
   },
-  { /* 2129 */
-    33,
+  { /* 2117 */
+    31,
     /* PMULDQrr */
   },
-  { /* 2130 */
-    32,
+  { /* 2118 */
+    30,
     /* PMULHRSWrm128 */
   },
-  { /* 2131 */
-    33,
+  { /* 2119 */
+    31,
     /* PMULHRSWrr128 */
   },
-  { /* 2132 */
-    190,
+  { /* 2120 */
+    210,
     /* PMULHRWrm */
   },
-  { /* 2133 */
-    191,
+  { /* 2121 */
+    211,
     /* PMULHRWrr */
   },
-  { /* 2134 */
-    32,
+  { /* 2122 */
+    30,
     /* PMULHUWrm */
   },
-  { /* 2135 */
-    33,
+  { /* 2123 */
+    31,
     /* PMULHUWrr */
   },
-  { /* 2136 */
-    32,
+  { /* 2124 */
+    30,
     /* PMULHWrm */
   },
-  { /* 2137 */
-    33,
+  { /* 2125 */
+    31,
     /* PMULHWrr */
   },
-  { /* 2138 */
-    32,
+  { /* 2126 */
+    30,
     /* PMULLDrm */
   },
-  { /* 2139 */
-    33,
+  { /* 2127 */
+    31,
     /* PMULLDrr */
   },
-  { /* 2140 */
-    32,
+  { /* 2128 */
+    30,
     /* PMULLWrm */
   },
-  { /* 2141 */
-    33,
+  { /* 2129 */
+    31,
     /* PMULLWrr */
   },
-  { /* 2142 */
-    32,
+  { /* 2130 */
+    30,
     /* PMULUDQrm */
   },
-  { /* 2143 */
-    33,
+  { /* 2131 */
+    31,
     /* PMULUDQrr */
   },
-  { /* 2144 */
-    257,
+  { /* 2132 */
+    277,
     /* POP16r */
   },
-  { /* 2145 */
-    40,
+  { /* 2133 */
+    38,
     /* POP16rmm */
   },
-  { /* 2146 */
-    75,
+  { /* 2134 */
+    77,
     /* POP16rmr */
   },
-  { /* 2147 */
-    257,
+  { /* 2135 */
+    277,
     /* POP32r */
   },
-  { /* 2148 */
-    40,
+  { /* 2136 */
+    38,
     /* POP32rmm */
   },
-  { /* 2149 */
-    75,
+  { /* 2137 */
+    77,
     /* POP32rmr */
   },
-  { /* 2150 */
-    258,
+  { /* 2138 */
+    278,
     /* POP64r */
   },
-  { /* 2151 */
-    40,
+  { /* 2139 */
+    38,
     /* POP64rmm */
   },
-  { /* 2152 */
-    77,
+  { /* 2140 */
+    79,
     /* POP64rmr */
   },
-  { /* 2153 */
+  { /* 2141 */
     0,
     /* POPA16 */
   },
-  { /* 2154 */
+  { /* 2142 */
     0,
     /* POPA32 */
   },
-  { /* 2155 */
-    66,
+  { /* 2143 */
+    68,
     /* POPCNT16rm */
   },
-  { /* 2156 */
-    67,
+  { /* 2144 */
+    69,
     /* POPCNT16rr */
   },
-  { /* 2157 */
-    66,
+  { /* 2145 */
+    68,
     /* POPCNT32rm */
   },
-  { /* 2158 */
-    67,
+  { /* 2146 */
+    69,
     /* POPCNT32rr */
   },
-  { /* 2159 */
-    30,
+  { /* 2147 */
+    42,
     /* POPCNT64rm */
   },
-  { /* 2160 */
-    31,
+  { /* 2148 */
+    43,
     /* POPCNT64rr */
   },
-  { /* 2161 */
+  { /* 2149 */
     0,
     /* POPDS16 */
   },
-  { /* 2162 */
+  { /* 2150 */
     0,
     /* POPDS32 */
   },
-  { /* 2163 */
+  { /* 2151 */
     0,
     /* POPES16 */
   },
-  { /* 2164 */
+  { /* 2152 */
     0,
     /* POPES32 */
   },
-  { /* 2165 */
+  { /* 2153 */
     0,
     /* POPF16 */
   },
-  { /* 2166 */
+  { /* 2154 */
     0,
     /* POPF32 */
   },
-  { /* 2167 */
+  { /* 2155 */
     0,
     /* POPF64 */
   },
-  { /* 2168 */
+  { /* 2156 */
     0,
     /* POPFS16 */
   },
-  { /* 2169 */
+  { /* 2157 */
     0,
     /* POPFS32 */
   },
-  { /* 2170 */
+  { /* 2158 */
     0,
     /* POPFS64 */
   },
-  { /* 2171 */
+  { /* 2159 */
     0,
     /* POPGS16 */
   },
-  { /* 2172 */
+  { /* 2160 */
     0,
     /* POPGS32 */
   },
-  { /* 2173 */
+  { /* 2161 */
     0,
     /* POPGS64 */
   },
-  { /* 2174 */
+  { /* 2162 */
     0,
     /* POPSS16 */
   },
-  { /* 2175 */
+  { /* 2163 */
     0,
     /* POPSS32 */
   },
-  { /* 2176 */
-    32,
+  { /* 2164 */
+    30,
     /* PORrm */
   },
-  { /* 2177 */
-    33,
+  { /* 2165 */
+    31,
     /* PORrr */
   },
-  { /* 2178 */
-    80,
+  { /* 2166 */
+    82,
     /* PREFETCH */
   },
-  { /* 2179 */
-    80,
+  { /* 2167 */
+    82,
     /* PREFETCHNTA */
   },
-  { /* 2180 */
-    80,
+  { /* 2168 */
+    82,
     /* PREFETCHT0 */
   },
-  { /* 2181 */
-    80,
+  { /* 2169 */
+    82,
     /* PREFETCHT1 */
   },
-  { /* 2182 */
-    80,
+  { /* 2170 */
+    82,
     /* PREFETCHT2 */
   },
-  { /* 2183 */
-    80,
+  { /* 2171 */
+    82,
     /* PREFETCHW */
   },
-  { /* 2184 */
-    32,
+  { /* 2172 */
+    30,
     /* PSADBWrm */
   },
-  { /* 2185 */
-    33,
+  { /* 2173 */
+    31,
     /* PSADBWrr */
   },
-  { /* 2186 */
-    32,
+  { /* 2174 */
+    30,
     /* PSHUFBrm */
   },
-  { /* 2187 */
-    33,
+  { /* 2175 */
+    31,
     /* PSHUFBrr */
   },
-  { /* 2188 */
-    44,
+  { /* 2176 */
+    46,
     /* PSHUFDmi */
   },
-  { /* 2189 */
-    45,
+  { /* 2177 */
+    47,
     /* PSHUFDri */
   },
-  { /* 2190 */
-    44,
+  { /* 2178 */
+    46,
     /* PSHUFHWmi */
   },
-  { /* 2191 */
-    45,
+  { /* 2179 */
+    47,
     /* PSHUFHWri */
   },
-  { /* 2192 */
-    44,
+  { /* 2180 */
+    46,
     /* PSHUFLWmi */
   },
-  { /* 2193 */
-    45,
+  { /* 2181 */
+    47,
     /* PSHUFLWri */
   },
-  { /* 2194 */
-    32,
+  { /* 2182 */
+    30,
     /* PSIGNBrm */
   },
-  { /* 2195 */
-    33,
+  { /* 2183 */
+    31,
     /* PSIGNBrr */
   },
-  { /* 2196 */
-    32,
+  { /* 2184 */
+    30,
     /* PSIGNDrm */
   },
-  { /* 2197 */
-    33,
+  { /* 2185 */
+    31,
     /* PSIGNDrr */
   },
-  { /* 2198 */
-    32,
+  { /* 2186 */
+    30,
     /* PSIGNWrm */
   },
-  { /* 2199 */
-    33,
+  { /* 2187 */
+    31,
     /* PSIGNWrr */
   },
-  { /* 2200 */
-    259,
+  { /* 2188 */
+    279,
     /* PSLLDQri */
   },
-  { /* 2201 */
-    260,
+  { /* 2189 */
+    280,
     /* PSLLDri */
   },
-  { /* 2202 */
-    32,
+  { /* 2190 */
+    30,
     /* PSLLDrm */
   },
-  { /* 2203 */
-    33,
+  { /* 2191 */
+    31,
     /* PSLLDrr */
   },
-  { /* 2204 */
-    260,
+  { /* 2192 */
+    280,
     /* PSLLQri */
   },
-  { /* 2205 */
-    32,
+  { /* 2193 */
+    30,
     /* PSLLQrm */
   },
-  { /* 2206 */
-    33,
+  { /* 2194 */
+    31,
     /* PSLLQrr */
   },
-  { /* 2207 */
-    260,
+  { /* 2195 */
+    280,
     /* PSLLWri */
   },
-  { /* 2208 */
-    32,
+  { /* 2196 */
+    30,
     /* PSLLWrm */
   },
-  { /* 2209 */
-    33,
+  { /* 2197 */
+    31,
     /* PSLLWrr */
   },
-  { /* 2210 */
-    260,
+  { /* 2198 */
+    280,
     /* PSRADri */
   },
-  { /* 2211 */
-    32,
+  { /* 2199 */
+    30,
     /* PSRADrm */
   },
-  { /* 2212 */
-    33,
+  { /* 2200 */
+    31,
     /* PSRADrr */
   },
-  { /* 2213 */
-    260,
+  { /* 2201 */
+    280,
     /* PSRAWri */
   },
-  { /* 2214 */
-    32,
+  { /* 2202 */
+    30,
     /* PSRAWrm */
   },
-  { /* 2215 */
-    33,
+  { /* 2203 */
+    31,
     /* PSRAWrr */
   },
-  { /* 2216 */
-    259,
+  { /* 2204 */
+    279,
     /* PSRLDQri */
   },
-  { /* 2217 */
-    260,
+  { /* 2205 */
+    280,
     /* PSRLDri */
   },
-  { /* 2218 */
-    32,
+  { /* 2206 */
+    30,
     /* PSRLDrm */
   },
-  { /* 2219 */
-    33,
+  { /* 2207 */
+    31,
     /* PSRLDrr */
   },
-  { /* 2220 */
-    260,
+  { /* 2208 */
+    280,
     /* PSRLQri */
   },
-  { /* 2221 */
-    32,
+  { /* 2209 */
+    30,
     /* PSRLQrm */
   },
-  { /* 2222 */
-    33,
+  { /* 2210 */
+    31,
     /* PSRLQrr */
   },
-  { /* 2223 */
-    260,
+  { /* 2211 */
+    280,
     /* PSRLWri */
   },
-  { /* 2224 */
-    32,
+  { /* 2212 */
+    30,
     /* PSRLWrm */
   },
-  { /* 2225 */
-    33,
+  { /* 2213 */
+    31,
     /* PSRLWrr */
   },
-  { /* 2226 */
-    32,
+  { /* 2214 */
+    30,
     /* PSUBBrm */
   },
-  { /* 2227 */
-    33,
+  { /* 2215 */
+    31,
     /* PSUBBrr */
   },
-  { /* 2228 */
-    32,
+  { /* 2216 */
+    30,
     /* PSUBDrm */
   },
-  { /* 2229 */
-    33,
+  { /* 2217 */
+    31,
     /* PSUBDrr */
   },
-  { /* 2230 */
-    32,
+  { /* 2218 */
+    30,
     /* PSUBQrm */
   },
-  { /* 2231 */
-    33,
+  { /* 2219 */
+    31,
     /* PSUBQrr */
   },
-  { /* 2232 */
-    32,
+  { /* 2220 */
+    30,
     /* PSUBSBrm */
   },
-  { /* 2233 */
-    33,
+  { /* 2221 */
+    31,
     /* PSUBSBrr */
   },
-  { /* 2234 */
-    32,
+  { /* 2222 */
+    30,
     /* PSUBSWrm */
   },
-  { /* 2235 */
-    33,
+  { /* 2223 */
+    31,
     /* PSUBSWrr */
   },
-  { /* 2236 */
-    32,
+  { /* 2224 */
+    30,
     /* PSUBUSBrm */
   },
-  { /* 2237 */
-    33,
+  { /* 2225 */
+    31,
     /* PSUBUSBrr */
   },
-  { /* 2238 */
-    32,
+  { /* 2226 */
+    30,
     /* PSUBUSWrm */
   },
-  { /* 2239 */
-    33,
+  { /* 2227 */
+    31,
     /* PSUBUSWrr */
   },
-  { /* 2240 */
-    32,
+  { /* 2228 */
+    30,
     /* PSUBWrm */
   },
-  { /* 2241 */
-    33,
+  { /* 2229 */
+    31,
     /* PSUBWrr */
   },
-  { /* 2242 */
-    187,
+  { /* 2230 */
+    206,
     /* PSWAPDrm */
   },
-  { /* 2243 */
-    183,
+  { /* 2231 */
+    202,
     /* PSWAPDrr */
   },
-  { /* 2244 */
-    42,
+  { /* 2232 */
+    44,
     /* PTESTrm */
   },
-  { /* 2245 */
-    43,
+  { /* 2233 */
+    45,
     /* PTESTrr */
   },
-  { /* 2246 */
-    32,
+  { /* 2234 */
+    30,
     /* PUNPCKHBWrm */
   },
-  { /* 2247 */
-    33,
+  { /* 2235 */
+    31,
     /* PUNPCKHBWrr */
   },
-  { /* 2248 */
-    32,
+  { /* 2236 */
+    30,
     /* PUNPCKHDQrm */
   },
-  { /* 2249 */
-    33,
+  { /* 2237 */
+    31,
     /* PUNPCKHDQrr */
   },
-  { /* 2250 */
-    32,
+  { /* 2238 */
+    30,
     /* PUNPCKHQDQrm */
   },
-  { /* 2251 */
-    33,
+  { /* 2239 */
+    31,
     /* PUNPCKHQDQrr */
   },
-  { /* 2252 */
-    32,
+  { /* 2240 */
+    30,
     /* PUNPCKHWDrm */
   },
-  { /* 2253 */
-    33,
+  { /* 2241 */
+    31,
     /* PUNPCKHWDrr */
   },
-  { /* 2254 */
-    32,
+  { /* 2242 */
+    30,
     /* PUNPCKLBWrm */
   },
-  { /* 2255 */
-    33,
+  { /* 2243 */
+    31,
     /* PUNPCKLBWrr */
   },
-  { /* 2256 */
-    32,
+  { /* 2244 */
+    30,
     /* PUNPCKLDQrm */
   },
-  { /* 2257 */
-    33,
+  { /* 2245 */
+    31,
     /* PUNPCKLDQrr */
   },
-  { /* 2258 */
-    32,
+  { /* 2246 */
+    30,
     /* PUNPCKLQDQrm */
   },
-  { /* 2259 */
-    33,
+  { /* 2247 */
+    31,
     /* PUNPCKLQDQrr */
   },
-  { /* 2260 */
-    32,
+  { /* 2248 */
+    30,
     /* PUNPCKLWDrm */
   },
-  { /* 2261 */
-    33,
+  { /* 2249 */
+    31,
     /* PUNPCKLWDrr */
   },
-  { /* 2262 */
-    261,
+  { /* 2250 */
+    281,
     /* PUSH16i8 */
   },
-  { /* 2263 */
-    257,
+  { /* 2251 */
+    277,
     /* PUSH16r */
   },
-  { /* 2264 */
-    40,
+  { /* 2252 */
+    38,
     /* PUSH16rmm */
   },
-  { /* 2265 */
-    75,
+  { /* 2253 */
+    77,
     /* PUSH16rmr */
   },
-  { /* 2266 */
-    262,
+  { /* 2254 */
+    282,
     /* PUSH32i8 */
   },
-  { /* 2267 */
-    257,
+  { /* 2255 */
+    277,
     /* PUSH32r */
   },
-  { /* 2268 */
-    40,
+  { /* 2256 */
+    38,
     /* PUSH32rmm */
   },
-  { /* 2269 */
-    75,
+  { /* 2257 */
+    77,
     /* PUSH32rmr */
   },
-  { /* 2270 */
+  { /* 2258 */
     2,
     /* PUSH64i16 */
   },
-  { /* 2271 */
+  { /* 2259 */
     13,
     /* PUSH64i32 */
   },
-  { /* 2272 */
-    263,
+  { /* 2260 */
+    283,
     /* PUSH64i8 */
   },
-  { /* 2273 */
-    258,
+  { /* 2261 */
+    278,
     /* PUSH64r */
   },
-  { /* 2274 */
-    40,
+  { /* 2262 */
+    38,
     /* PUSH64rmm */
   },
-  { /* 2275 */
-    77,
+  { /* 2263 */
+    79,
     /* PUSH64rmr */
   },
-  { /* 2276 */
+  { /* 2264 */
     0,
     /* PUSHA16 */
   },
-  { /* 2277 */
+  { /* 2265 */
     0,
     /* PUSHA32 */
   },
-  { /* 2278 */
+  { /* 2266 */
     0,
     /* PUSHCS16 */
   },
-  { /* 2279 */
+  { /* 2267 */
     0,
     /* PUSHCS32 */
   },
-  { /* 2280 */
+  { /* 2268 */
     0,
     /* PUSHDS16 */
   },
-  { /* 2281 */
+  { /* 2269 */
     0,
     /* PUSHDS32 */
   },
-  { /* 2282 */
+  { /* 2270 */
     0,
     /* PUSHES16 */
   },
-  { /* 2283 */
+  { /* 2271 */
     0,
     /* PUSHES32 */
   },
-  { /* 2284 */
+  { /* 2272 */
     0,
     /* PUSHF16 */
   },
-  { /* 2285 */
+  { /* 2273 */
     0,
     /* PUSHF32 */
   },
-  { /* 2286 */
+  { /* 2274 */
     0,
     /* PUSHF64 */
   },
-  { /* 2287 */
+  { /* 2275 */
     0,
     /* PUSHFS16 */
   },
-  { /* 2288 */
+  { /* 2276 */
     0,
     /* PUSHFS32 */
   },
-  { /* 2289 */
+  { /* 2277 */
     0,
     /* PUSHFS64 */
   },
-  { /* 2290 */
+  { /* 2278 */
     0,
     /* PUSHGS16 */
   },
-  { /* 2291 */
+  { /* 2279 */
     0,
     /* PUSHGS32 */
   },
-  { /* 2292 */
+  { /* 2280 */
     0,
     /* PUSHGS64 */
   },
-  { /* 2293 */
+  { /* 2281 */
     0,
     /* PUSHSS16 */
   },
-  { /* 2294 */
+  { /* 2282 */
     0,
     /* PUSHSS32 */
   },
-  { /* 2295 */
+  { /* 2283 */
     2,
     /* PUSHi16 */
   },
-  { /* 2296 */
+  { /* 2284 */
     2,
     /* PUSHi32 */
   },
-  { /* 2297 */
-    32,
+  { /* 2285 */
+    30,
     /* PXORrm */
   },
-  { /* 2298 */
-    33,
+  { /* 2286 */
+    31,
     /* PXORrr */
   },
-  { /* 2299 */
-    40,
+  { /* 2287 */
+    38,
     /* RCL16m1 */
   },
-  { /* 2300 */
-    40,
+  { /* 2288 */
+    38,
     /* RCL16mCL */
   },
-  { /* 2301 */
-    264,
+  { /* 2289 */
+    284,
     /* RCL16mi */
   },
-  { /* 2302 */
-    126,
+  { /* 2290 */
+    127,
     /* RCL16r1 */
   },
-  { /* 2303 */
-    126,
+  { /* 2291 */
+    127,
     /* RCL16rCL */
   },
-  { /* 2304 */
-    265,
+  { /* 2292 */
+    285,
     /* RCL16ri */
   },
-  { /* 2305 */
-    40,
+  { /* 2293 */
+    38,
     /* RCL32m1 */
   },
-  { /* 2306 */
-    40,
+  { /* 2294 */
+    38,
     /* RCL32mCL */
   },
-  { /* 2307 */
-    264,
+  { /* 2295 */
+    284,
     /* RCL32mi */
   },
-  { /* 2308 */
-    126,
+  { /* 2296 */
+    127,
     /* RCL32r1 */
   },
-  { /* 2309 */
-    126,
+  { /* 2297 */
+    127,
     /* RCL32rCL */
   },
-  { /* 2310 */
-    265,
+  { /* 2298 */
+    285,
     /* RCL32ri */
   },
-  { /* 2311 */
-    40,
+  { /* 2299 */
+    38,
     /* RCL64m1 */
   },
-  { /* 2312 */
-    40,
+  { /* 2300 */
+    38,
     /* RCL64mCL */
   },
-  { /* 2313 */
-    264,
+  { /* 2301 */
+    284,
     /* RCL64mi */
   },
-  { /* 2314 */
-    127,
+  { /* 2302 */
+    128,
     /* RCL64r1 */
   },
-  { /* 2315 */
-    127,
+  { /* 2303 */
+    128,
     /* RCL64rCL */
   },
-  { /* 2316 */
-    266,
+  { /* 2304 */
+    286,
     /* RCL64ri */
   },
-  { /* 2317 */
-    80,
+  { /* 2305 */
+    82,
     /* RCL8m1 */
   },
-  { /* 2318 */
-    80,
+  { /* 2306 */
+    82,
     /* RCL8mCL */
   },
-  { /* 2319 */
+  { /* 2307 */
     22,
     /* RCL8mi */
   },
-  { /* 2320 */
-    128,
+  { /* 2308 */
+    129,
     /* RCL8r1 */
   },
-  { /* 2321 */
-    128,
+  { /* 2309 */
+    129,
     /* RCL8rCL */
   },
-  { /* 2322 */
+  { /* 2310 */
     24,
     /* RCL8ri */
   },
-  { /* 2323 */
-    42,
+  { /* 2311 */
+    44,
     /* RCPPSm */
   },
-  { /* 2324 */
+  { /* 2312 */
     0,
     /*  */
   },
-  { /* 2325 */
-    43,
+  { /* 2313 */
+    45,
     /* RCPPSr */
   },
-  { /* 2326 */
+  { /* 2314 */
     0,
     /*  */
   },
-  { /* 2327 */
-    238,
+  { /* 2315 */
+    258,
     /* RCPSSm */
   },
-  { /* 2328 */
+  { /* 2316 */
     0,
     /*  */
   },
-  { /* 2329 */
-    267,
+  { /* 2317 */
+    287,
     /* RCPSSr */
   },
-  { /* 2330 */
+  { /* 2318 */
     0,
     /*  */
   },
-  { /* 2331 */
-    40,
+  { /* 2319 */
+    38,
     /* RCR16m1 */
   },
-  { /* 2332 */
-    40,
+  { /* 2320 */
+    38,
     /* RCR16mCL */
   },
-  { /* 2333 */
-    264,
+  { /* 2321 */
+    284,
     /* RCR16mi */
   },
-  { /* 2334 */
-    126,
+  { /* 2322 */
+    127,
     /* RCR16r1 */
   },
-  { /* 2335 */
-    126,
+  { /* 2323 */
+    127,
     /* RCR16rCL */
   },
-  { /* 2336 */
-    265,
+  { /* 2324 */
+    285,
     /* RCR16ri */
   },
-  { /* 2337 */
-    40,
+  { /* 2325 */
+    38,
     /* RCR32m1 */
   },
-  { /* 2338 */
-    40,
+  { /* 2326 */
+    38,
     /* RCR32mCL */
   },
-  { /* 2339 */
-    264,
+  { /* 2327 */
+    284,
     /* RCR32mi */
   },
-  { /* 2340 */
-    126,
+  { /* 2328 */
+    127,
     /* RCR32r1 */
   },
-  { /* 2341 */
-    126,
+  { /* 2329 */
+    127,
     /* RCR32rCL */
   },
-  { /* 2342 */
-    265,
+  { /* 2330 */
+    285,
     /* RCR32ri */
   },
-  { /* 2343 */
-    40,
+  { /* 2331 */
+    38,
     /* RCR64m1 */
   },
-  { /* 2344 */
-    40,
+  { /* 2332 */
+    38,
     /* RCR64mCL */
   },
-  { /* 2345 */
-    264,
+  { /* 2333 */
+    284,
     /* RCR64mi */
   },
-  { /* 2346 */
-    127,
+  { /* 2334 */
+    128,
     /* RCR64r1 */
   },
-  { /* 2347 */
-    127,
+  { /* 2335 */
+    128,
     /* RCR64rCL */
   },
-  { /* 2348 */
-    266,
+  { /* 2336 */
+    286,
     /* RCR64ri */
   },
-  { /* 2349 */
-    80,
+  { /* 2337 */
+    82,
     /* RCR8m1 */
   },
-  { /* 2350 */
-    80,
+  { /* 2338 */
+    82,
     /* RCR8mCL */
   },
-  { /* 2351 */
+  { /* 2339 */
     22,
     /* RCR8mi */
   },
-  { /* 2352 */
-    128,
+  { /* 2340 */
+    129,
     /* RCR8r1 */
   },
-  { /* 2353 */
-    128,
+  { /* 2341 */
+    129,
     /* RCR8rCL */
   },
-  { /* 2354 */
+  { /* 2342 */
     24,
     /* RCR8ri */
   },
-  { /* 2355 */
-    268,
+  { /* 2343 */
+    288,
     /* RDFSBASE */
   },
-  { /* 2356 */
-    77,
+  { /* 2344 */
+    79,
     /* RDFSBASE64 */
   },
-  { /* 2357 */
-    268,
+  { /* 2345 */
+    288,
     /* RDGSBASE */
   },
-  { /* 2358 */
-    77,
+  { /* 2346 */
+    79,
     /* RDGSBASE64 */
   },
-  { /* 2359 */
+  { /* 2347 */
     0,
     /* RDMSR */
   },
-  { /* 2360 */
+  { /* 2348 */
     0,
     /* RDPMC */
   },
-  { /* 2361 */
-    75,
+  { /* 2349 */
+    77,
     /* RDRAND16r */
   },
-  { /* 2362 */
-    75,
+  { /* 2350 */
+    77,
     /* RDRAND32r */
   },
-  { /* 2363 */
-    77,
+  { /* 2351 */
+    79,
     /* RDRAND64r */
   },
-  { /* 2364 */
-    75,
+  { /* 2352 */
+    77,
     /* RDSEED16r */
   },
-  { /* 2365 */
-    75,
+  { /* 2353 */
+    77,
     /* RDSEED32r */
   },
-  { /* 2366 */
-    77,
+  { /* 2354 */
+    79,
     /* RDSEED64r */
   },
-  { /* 2367 */
+  { /* 2355 */
     0,
     /* RDTSC */
   },
-  { /* 2368 */
+  { /* 2356 */
     0,
     /* RDTSCP */
   },
-  { /* 2369 */
+  { /* 2357 */
     0,
     /*  */
   },
+  { /* 2358 */
+    0,
+    /*  */
+  },
+  { /* 2359 */
+    0,
+    /*  */
+  },
+  { /* 2360 */
+    0,
+    /*  */
+  },
+  { /* 2361 */
+    0,
+    /* REPNE_PREFIX */
+  },
+  { /* 2362 */
+    0,
+    /*  */
+  },
+  { /* 2363 */
+    0,
+    /*  */
+  },
+  { /* 2364 */
+    0,
+    /*  */
+  },
+  { /* 2365 */
+    0,
+    /*  */
+  },
+  { /* 2366 */
+    0,
+    /*  */
+  },
+  { /* 2367 */
+    0,
+    /*  */
+  },
+  { /* 2368 */
+    0,
+    /*  */
+  },
+  { /* 2369 */
+    0,
+    /* REP_PREFIX */
+  },
   { /* 2370 */
     0,
     /*  */
@@ -14362,7 +16785,7 @@
   },
   { /* 2373 */
     0,
-    /* REPNE_PREFIX */
+    /*  */
   },
   { /* 2374 */
     0,
@@ -14377,1404 +16800,1404 @@
     /*  */
   },
   { /* 2377 */
-    0,
-    /*  */
-  },
-  { /* 2378 */
-    0,
-    /*  */
-  },
-  { /* 2379 */
-    0,
-    /*  */
-  },
-  { /* 2380 */
-    0,
-    /*  */
-  },
-  { /* 2381 */
-    0,
-    /* REP_PREFIX */
-  },
-  { /* 2382 */
-    0,
-    /*  */
-  },
-  { /* 2383 */
-    0,
-    /*  */
-  },
-  { /* 2384 */
-    0,
-    /*  */
-  },
-  { /* 2385 */
-    0,
-    /*  */
-  },
-  { /* 2386 */
-    0,
-    /*  */
-  },
-  { /* 2387 */
-    0,
-    /*  */
-  },
-  { /* 2388 */
-    0,
-    /*  */
-  },
-  { /* 2389 */
-    176,
+    195,
     /* RETIL */
   },
-  { /* 2390 */
-    176,
+  { /* 2378 */
+    195,
     /* RETIQ */
   },
-  { /* 2391 */
+  { /* 2379 */
     2,
     /* RETIW */
   },
-  { /* 2392 */
+  { /* 2380 */
     0,
     /* RETL */
   },
-  { /* 2393 */
+  { /* 2381 */
     0,
     /* RETQ */
   },
-  { /* 2394 */
+  { /* 2382 */
     0,
     /* RETW */
   },
-  { /* 2395 */
+  { /* 2383 */
     0,
     /* REX64_PREFIX */
   },
-  { /* 2396 */
-    40,
+  { /* 2384 */
+    38,
     /* ROL16m1 */
   },
-  { /* 2397 */
-    40,
+  { /* 2385 */
+    38,
     /* ROL16mCL */
   },
-  { /* 2398 */
-    264,
+  { /* 2386 */
+    284,
     /* ROL16mi */
   },
-  { /* 2399 */
-    126,
+  { /* 2387 */
+    127,
     /* ROL16r1 */
   },
-  { /* 2400 */
-    126,
+  { /* 2388 */
+    127,
     /* ROL16rCL */
   },
-  { /* 2401 */
-    265,
+  { /* 2389 */
+    285,
     /* ROL16ri */
   },
-  { /* 2402 */
-    40,
+  { /* 2390 */
+    38,
     /* ROL32m1 */
   },
-  { /* 2403 */
-    40,
+  { /* 2391 */
+    38,
     /* ROL32mCL */
   },
-  { /* 2404 */
-    264,
+  { /* 2392 */
+    284,
     /* ROL32mi */
   },
-  { /* 2405 */
-    126,
+  { /* 2393 */
+    127,
     /* ROL32r1 */
   },
-  { /* 2406 */
-    126,
+  { /* 2394 */
+    127,
     /* ROL32rCL */
   },
-  { /* 2407 */
-    265,
+  { /* 2395 */
+    285,
     /* ROL32ri */
   },
-  { /* 2408 */
-    40,
+  { /* 2396 */
+    38,
     /* ROL64m1 */
   },
-  { /* 2409 */
-    40,
+  { /* 2397 */
+    38,
     /* ROL64mCL */
   },
-  { /* 2410 */
-    264,
+  { /* 2398 */
+    284,
     /* ROL64mi */
   },
-  { /* 2411 */
-    127,
+  { /* 2399 */
+    128,
     /* ROL64r1 */
   },
-  { /* 2412 */
-    127,
+  { /* 2400 */
+    128,
     /* ROL64rCL */
   },
-  { /* 2413 */
-    266,
+  { /* 2401 */
+    286,
     /* ROL64ri */
   },
-  { /* 2414 */
-    80,
+  { /* 2402 */
+    82,
     /* ROL8m1 */
   },
-  { /* 2415 */
-    80,
+  { /* 2403 */
+    82,
     /* ROL8mCL */
   },
-  { /* 2416 */
+  { /* 2404 */
     22,
     /* ROL8mi */
   },
-  { /* 2417 */
-    128,
+  { /* 2405 */
+    129,
     /* ROL8r1 */
   },
-  { /* 2418 */
-    128,
+  { /* 2406 */
+    129,
     /* ROL8rCL */
   },
-  { /* 2419 */
+  { /* 2407 */
     24,
     /* ROL8ri */
   },
-  { /* 2420 */
-    40,
+  { /* 2408 */
+    38,
     /* ROR16m1 */
   },
-  { /* 2421 */
-    40,
+  { /* 2409 */
+    38,
     /* ROR16mCL */
   },
-  { /* 2422 */
-    264,
+  { /* 2410 */
+    284,
     /* ROR16mi */
   },
-  { /* 2423 */
-    126,
+  { /* 2411 */
+    127,
     /* ROR16r1 */
   },
-  { /* 2424 */
-    126,
+  { /* 2412 */
+    127,
     /* ROR16rCL */
   },
-  { /* 2425 */
-    265,
+  { /* 2413 */
+    285,
     /* ROR16ri */
   },
-  { /* 2426 */
-    40,
+  { /* 2414 */
+    38,
     /* ROR32m1 */
   },
-  { /* 2427 */
-    40,
+  { /* 2415 */
+    38,
     /* ROR32mCL */
   },
-  { /* 2428 */
-    264,
+  { /* 2416 */
+    284,
     /* ROR32mi */
   },
-  { /* 2429 */
-    126,
+  { /* 2417 */
+    127,
     /* ROR32r1 */
   },
-  { /* 2430 */
-    126,
+  { /* 2418 */
+    127,
     /* ROR32rCL */
   },
-  { /* 2431 */
-    265,
+  { /* 2419 */
+    285,
     /* ROR32ri */
   },
-  { /* 2432 */
-    40,
+  { /* 2420 */
+    38,
     /* ROR64m1 */
   },
-  { /* 2433 */
-    40,
+  { /* 2421 */
+    38,
     /* ROR64mCL */
   },
-  { /* 2434 */
-    264,
+  { /* 2422 */
+    284,
     /* ROR64mi */
   },
-  { /* 2435 */
-    127,
+  { /* 2423 */
+    128,
     /* ROR64r1 */
   },
-  { /* 2436 */
-    127,
+  { /* 2424 */
+    128,
     /* ROR64rCL */
   },
-  { /* 2437 */
-    266,
+  { /* 2425 */
+    286,
     /* ROR64ri */
   },
-  { /* 2438 */
-    80,
+  { /* 2426 */
+    82,
     /* ROR8m1 */
   },
-  { /* 2439 */
-    80,
+  { /* 2427 */
+    82,
     /* ROR8mCL */
   },
-  { /* 2440 */
+  { /* 2428 */
     22,
     /* ROR8mi */
   },
-  { /* 2441 */
-    128,
+  { /* 2429 */
+    129,
     /* ROR8r1 */
   },
-  { /* 2442 */
-    128,
+  { /* 2430 */
+    129,
     /* ROR8rCL */
   },
-  { /* 2443 */
+  { /* 2431 */
     24,
     /* ROR8ri */
   },
-  { /* 2444 */
-    269,
+  { /* 2432 */
+    289,
     /* RORX32mi */
   },
-  { /* 2445 */
-    270,
+  { /* 2433 */
+    290,
     /* RORX32ri */
   },
-  { /* 2446 */
-    271,
+  { /* 2434 */
+    291,
     /* RORX64mi */
   },
-  { /* 2447 */
-    272,
+  { /* 2435 */
+    292,
     /* RORX64ri */
   },
-  { /* 2448 */
-    273,
+  { /* 2436 */
+    293,
     /* ROUNDPDm */
   },
-  { /* 2449 */
-    274,
+  { /* 2437 */
+    294,
     /* ROUNDPDr */
   },
-  { /* 2450 */
-    273,
+  { /* 2438 */
+    293,
     /* ROUNDPSm */
   },
-  { /* 2451 */
-    274,
+  { /* 2439 */
+    294,
     /* ROUNDPSr */
   },
-  { /* 2452 */
-    275,
+  { /* 2440 */
+    295,
     /* ROUNDSDm */
   },
-  { /* 2453 */
-    276,
+  { /* 2441 */
+    296,
     /* ROUNDSDr */
   },
+  { /* 2442 */
+    0,
+    /*  */
+  },
+  { /* 2443 */
+    150,
+    /* ROUNDSSm */
+  },
+  { /* 2444 */
+    297,
+    /* ROUNDSSr */
+  },
+  { /* 2445 */
+    0,
+    /*  */
+  },
+  { /* 2446 */
+    0,
+    /* RSM */
+  },
+  { /* 2447 */
+    44,
+    /* RSQRTPSm */
+  },
+  { /* 2448 */
+    0,
+    /*  */
+  },
+  { /* 2449 */
+    45,
+    /* RSQRTPSr */
+  },
+  { /* 2450 */
+    0,
+    /*  */
+  },
+  { /* 2451 */
+    258,
+    /* RSQRTSSm */
+  },
+  { /* 2452 */
+    0,
+    /*  */
+  },
+  { /* 2453 */
+    287,
+    /* RSQRTSSr */
+  },
   { /* 2454 */
     0,
     /*  */
   },
   { /* 2455 */
-    151,
-    /* ROUNDSSm */
-  },
-  { /* 2456 */
-    277,
-    /* ROUNDSSr */
-  },
-  { /* 2457 */
-    0,
-    /*  */
-  },
-  { /* 2458 */
-    0,
-    /* RSM */
-  },
-  { /* 2459 */
-    42,
-    /* RSQRTPSm */
-  },
-  { /* 2460 */
-    0,
-    /*  */
-  },
-  { /* 2461 */
-    43,
-    /* RSQRTPSr */
-  },
-  { /* 2462 */
-    0,
-    /*  */
-  },
-  { /* 2463 */
-    238,
-    /* RSQRTSSm */
-  },
-  { /* 2464 */
-    0,
-    /*  */
-  },
-  { /* 2465 */
-    267,
-    /* RSQRTSSr */
-  },
-  { /* 2466 */
-    0,
-    /*  */
-  },
-  { /* 2467 */
     0,
     /* SAHF */
   },
-  { /* 2468 */
-    40,
+  { /* 2456 */
+    38,
     /* SAL16m1 */
   },
-  { /* 2469 */
-    40,
+  { /* 2457 */
+    38,
     /* SAL16mCL */
   },
-  { /* 2470 */
-    264,
+  { /* 2458 */
+    284,
     /* SAL16mi */
   },
-  { /* 2471 */
-    126,
+  { /* 2459 */
+    127,
     /* SAL16r1 */
   },
-  { /* 2472 */
-    126,
+  { /* 2460 */
+    127,
     /* SAL16rCL */
   },
-  { /* 2473 */
-    265,
+  { /* 2461 */
+    285,
     /* SAL16ri */
   },
-  { /* 2474 */
-    40,
+  { /* 2462 */
+    38,
     /* SAL32m1 */
   },
-  { /* 2475 */
-    40,
+  { /* 2463 */
+    38,
     /* SAL32mCL */
   },
-  { /* 2476 */
-    264,
+  { /* 2464 */
+    284,
     /* SAL32mi */
   },
-  { /* 2477 */
-    126,
+  { /* 2465 */
+    127,
     /* SAL32r1 */
   },
-  { /* 2478 */
-    126,
+  { /* 2466 */
+    127,
     /* SAL32rCL */
   },
-  { /* 2479 */
-    265,
+  { /* 2467 */
+    285,
     /* SAL32ri */
   },
-  { /* 2480 */
-    40,
+  { /* 2468 */
+    38,
     /* SAL64m1 */
   },
-  { /* 2481 */
-    40,
+  { /* 2469 */
+    38,
     /* SAL64mCL */
   },
-  { /* 2482 */
-    264,
+  { /* 2470 */
+    284,
     /* SAL64mi */
   },
-  { /* 2483 */
-    127,
+  { /* 2471 */
+    128,
     /* SAL64r1 */
   },
-  { /* 2484 */
-    127,
+  { /* 2472 */
+    128,
     /* SAL64rCL */
   },
-  { /* 2485 */
-    266,
+  { /* 2473 */
+    286,
     /* SAL64ri */
   },
-  { /* 2486 */
-    80,
+  { /* 2474 */
+    82,
     /* SAL8m1 */
   },
-  { /* 2487 */
-    80,
+  { /* 2475 */
+    82,
     /* SAL8mCL */
   },
-  { /* 2488 */
+  { /* 2476 */
     22,
     /* SAL8mi */
   },
-  { /* 2489 */
-    128,
+  { /* 2477 */
+    129,
     /* SAL8r1 */
   },
-  { /* 2490 */
-    128,
+  { /* 2478 */
+    129,
     /* SAL8rCL */
   },
-  { /* 2491 */
+  { /* 2479 */
     24,
     /* SAL8ri */
   },
-  { /* 2492 */
+  { /* 2480 */
     0,
     /* SALC */
   },
-  { /* 2493 */
-    40,
+  { /* 2481 */
+    38,
     /* SAR16m1 */
   },
-  { /* 2494 */
-    40,
+  { /* 2482 */
+    38,
     /* SAR16mCL */
   },
-  { /* 2495 */
-    264,
+  { /* 2483 */
+    284,
     /* SAR16mi */
   },
-  { /* 2496 */
-    126,
+  { /* 2484 */
+    127,
     /* SAR16r1 */
   },
-  { /* 2497 */
-    126,
+  { /* 2485 */
+    127,
     /* SAR16rCL */
   },
-  { /* 2498 */
-    265,
+  { /* 2486 */
+    285,
     /* SAR16ri */
   },
-  { /* 2499 */
-    40,
+  { /* 2487 */
+    38,
     /* SAR32m1 */
   },
-  { /* 2500 */
-    40,
+  { /* 2488 */
+    38,
     /* SAR32mCL */
   },
-  { /* 2501 */
-    264,
+  { /* 2489 */
+    284,
     /* SAR32mi */
   },
-  { /* 2502 */
-    126,
+  { /* 2490 */
+    127,
     /* SAR32r1 */
   },
-  { /* 2503 */
-    126,
+  { /* 2491 */
+    127,
     /* SAR32rCL */
   },
-  { /* 2504 */
-    265,
+  { /* 2492 */
+    285,
     /* SAR32ri */
   },
-  { /* 2505 */
-    40,
+  { /* 2493 */
+    38,
     /* SAR64m1 */
   },
-  { /* 2506 */
-    40,
+  { /* 2494 */
+    38,
     /* SAR64mCL */
   },
-  { /* 2507 */
-    264,
+  { /* 2495 */
+    284,
     /* SAR64mi */
   },
-  { /* 2508 */
-    127,
+  { /* 2496 */
+    128,
     /* SAR64r1 */
   },
-  { /* 2509 */
-    127,
+  { /* 2497 */
+    128,
     /* SAR64rCL */
   },
-  { /* 2510 */
-    266,
+  { /* 2498 */
+    286,
     /* SAR64ri */
   },
-  { /* 2511 */
-    80,
+  { /* 2499 */
+    82,
     /* SAR8m1 */
   },
-  { /* 2512 */
-    80,
+  { /* 2500 */
+    82,
     /* SAR8mCL */
   },
-  { /* 2513 */
+  { /* 2501 */
     22,
     /* SAR8mi */
   },
-  { /* 2514 */
-    128,
+  { /* 2502 */
+    129,
     /* SAR8r1 */
   },
-  { /* 2515 */
-    128,
+  { /* 2503 */
+    129,
     /* SAR8rCL */
   },
-  { /* 2516 */
+  { /* 2504 */
     24,
     /* SAR8ri */
   },
-  { /* 2517 */
-    52,
+  { /* 2505 */
+    54,
     /* SARX32rm */
   },
-  { /* 2518 */
-    53,
+  { /* 2506 */
+    55,
     /* SARX32rr */
   },
-  { /* 2519 */
-    54,
+  { /* 2507 */
+    56,
     /* SARX64rm */
   },
-  { /* 2520 */
-    55,
+  { /* 2508 */
+    57,
     /* SARX64rr */
   },
-  { /* 2521 */
+  { /* 2509 */
     2,
     /* SBB16i16 */
   },
-  { /* 2522 */
+  { /* 2510 */
     3,
     /* SBB16mi */
   },
-  { /* 2523 */
+  { /* 2511 */
     4,
     /* SBB16mi8 */
   },
-  { /* 2524 */
+  { /* 2512 */
     5,
     /* SBB16mr */
   },
-  { /* 2525 */
+  { /* 2513 */
     6,
     /* SBB16ri */
   },
-  { /* 2526 */
+  { /* 2514 */
     7,
     /* SBB16ri8 */
   },
-  { /* 2527 */
+  { /* 2515 */
     8,
     /* SBB16rm */
   },
-  { /* 2528 */
+  { /* 2516 */
     9,
     /* SBB16rr */
   },
-  { /* 2529 */
+  { /* 2517 */
     10,
     /* SBB16rr_REV */
   },
-  { /* 2530 */
+  { /* 2518 */
     2,
     /* SBB32i32 */
   },
-  { /* 2531 */
+  { /* 2519 */
     3,
     /* SBB32mi */
   },
-  { /* 2532 */
+  { /* 2520 */
     11,
     /* SBB32mi8 */
   },
-  { /* 2533 */
+  { /* 2521 */
     5,
     /* SBB32mr */
   },
-  { /* 2534 */
+  { /* 2522 */
     6,
     /* SBB32ri */
   },
-  { /* 2535 */
+  { /* 2523 */
     12,
     /* SBB32ri8 */
   },
-  { /* 2536 */
+  { /* 2524 */
     8,
     /* SBB32rm */
   },
-  { /* 2537 */
+  { /* 2525 */
     9,
     /* SBB32rr */
   },
-  { /* 2538 */
+  { /* 2526 */
     10,
     /* SBB32rr_REV */
   },
-  { /* 2539 */
+  { /* 2527 */
     13,
     /* SBB64i32 */
   },
-  { /* 2540 */
+  { /* 2528 */
     14,
     /* SBB64mi32 */
   },
-  { /* 2541 */
+  { /* 2529 */
     15,
     /* SBB64mi8 */
   },
-  { /* 2542 */
+  { /* 2530 */
     16,
     /* SBB64mr */
   },
-  { /* 2543 */
+  { /* 2531 */
     17,
     /* SBB64ri32 */
   },
-  { /* 2544 */
+  { /* 2532 */
     18,
     /* SBB64ri8 */
   },
-  { /* 2545 */
+  { /* 2533 */
     19,
     /* SBB64rm */
   },
-  { /* 2546 */
+  { /* 2534 */
     20,
     /* SBB64rr */
   },
-  { /* 2547 */
+  { /* 2535 */
     21,
     /* SBB64rr_REV */
   },
-  { /* 2548 */
+  { /* 2536 */
     1,
     /* SBB8i8 */
   },
-  { /* 2549 */
+  { /* 2537 */
     22,
     /* SBB8mi */
   },
-  { /* 2550 */
+  { /* 2538 */
     23,
     /* SBB8mr */
   },
-  { /* 2551 */
+  { /* 2539 */
     24,
     /* SBB8ri */
   },
-  { /* 2552 */
+  { /* 2540 */
     25,
     /* SBB8rm */
   },
-  { /* 2553 */
+  { /* 2541 */
     26,
     /* SBB8rr */
   },
-  { /* 2554 */
+  { /* 2542 */
     27,
     /* SBB8rr_REV */
   },
+  { /* 2543 */
+    149,
+    /* SCASB */
+  },
+  { /* 2544 */
+    152,
+    /* SCASL */
+  },
+  { /* 2545 */
+    298,
+    /* SCASQ */
+  },
+  { /* 2546 */
+    153,
+    /* SCASW */
+  },
+  { /* 2547 */
+    0,
+    /*  */
+  },
+  { /* 2548 */
+    0,
+    /*  */
+  },
+  { /* 2549 */
+    0,
+    /*  */
+  },
+  { /* 2550 */
+    0,
+    /*  */
+  },
+  { /* 2551 */
+    0,
+    /*  */
+  },
+  { /* 2552 */
+    0,
+    /*  */
+  },
+  { /* 2553 */
+    0,
+    /*  */
+  },
+  { /* 2554 */
+    0,
+    /*  */
+  },
   { /* 2555 */
-    148,
-    /* SCAS16 */
+    0,
+    /*  */
   },
   { /* 2556 */
-    149,
-    /* SCAS32 */
+    0,
+    /*  */
   },
   { /* 2557 */
-    278,
-    /* SCAS64 */
-  },
-  { /* 2558 */
-    150,
-    /* SCAS8 */
-  },
-  { /* 2559 */
-    0,
-    /*  */
-  },
-  { /* 2560 */
-    0,
-    /*  */
-  },
-  { /* 2561 */
-    80,
+    82,
     /* SETAEm */
   },
-  { /* 2562 */
-    129,
+  { /* 2558 */
+    130,
     /* SETAEr */
   },
-  { /* 2563 */
-    80,
+  { /* 2559 */
+    82,
     /* SETAm */
   },
-  { /* 2564 */
-    129,
+  { /* 2560 */
+    130,
     /* SETAr */
   },
-  { /* 2565 */
-    80,
+  { /* 2561 */
+    82,
     /* SETBEm */
   },
-  { /* 2566 */
-    129,
+  { /* 2562 */
+    130,
     /* SETBEr */
   },
+  { /* 2563 */
+    0,
+    /*  */
+  },
+  { /* 2564 */
+    0,
+    /*  */
+  },
+  { /* 2565 */
+    0,
+    /*  */
+  },
+  { /* 2566 */
+    0,
+    /*  */
+  },
   { /* 2567 */
-    0,
-    /*  */
-  },
-  { /* 2568 */
-    0,
-    /*  */
-  },
-  { /* 2569 */
-    0,
-    /*  */
-  },
-  { /* 2570 */
-    0,
-    /*  */
-  },
-  { /* 2571 */
-    80,
+    82,
     /* SETBm */
   },
-  { /* 2572 */
-    129,
+  { /* 2568 */
+    130,
     /* SETBr */
   },
-  { /* 2573 */
-    80,
+  { /* 2569 */
+    82,
     /* SETEm */
   },
-  { /* 2574 */
-    129,
+  { /* 2570 */
+    130,
     /* SETEr */
   },
-  { /* 2575 */
-    80,
+  { /* 2571 */
+    82,
     /* SETGEm */
   },
-  { /* 2576 */
-    129,
+  { /* 2572 */
+    130,
     /* SETGEr */
   },
-  { /* 2577 */
-    80,
+  { /* 2573 */
+    82,
     /* SETGm */
   },
-  { /* 2578 */
-    129,
+  { /* 2574 */
+    130,
     /* SETGr */
   },
-  { /* 2579 */
-    80,
+  { /* 2575 */
+    82,
     /* SETLEm */
   },
-  { /* 2580 */
-    129,
+  { /* 2576 */
+    130,
     /* SETLEr */
   },
-  { /* 2581 */
-    80,
+  { /* 2577 */
+    82,
     /* SETLm */
   },
-  { /* 2582 */
-    129,
+  { /* 2578 */
+    130,
     /* SETLr */
   },
-  { /* 2583 */
-    80,
+  { /* 2579 */
+    82,
     /* SETNEm */
   },
-  { /* 2584 */
-    129,
+  { /* 2580 */
+    130,
     /* SETNEr */
   },
-  { /* 2585 */
-    80,
+  { /* 2581 */
+    82,
     /* SETNOm */
   },
-  { /* 2586 */
-    129,
+  { /* 2582 */
+    130,
     /* SETNOr */
   },
-  { /* 2587 */
-    80,
+  { /* 2583 */
+    82,
     /* SETNPm */
   },
-  { /* 2588 */
-    129,
+  { /* 2584 */
+    130,
     /* SETNPr */
   },
-  { /* 2589 */
-    80,
+  { /* 2585 */
+    82,
     /* SETNSm */
   },
-  { /* 2590 */
-    129,
+  { /* 2586 */
+    130,
     /* SETNSr */
   },
-  { /* 2591 */
-    80,
+  { /* 2587 */
+    82,
     /* SETOm */
   },
-  { /* 2592 */
-    129,
+  { /* 2588 */
+    130,
     /* SETOr */
   },
-  { /* 2593 */
-    80,
+  { /* 2589 */
+    82,
     /* SETPm */
   },
-  { /* 2594 */
-    129,
+  { /* 2590 */
+    130,
     /* SETPr */
   },
-  { /* 2595 */
-    80,
+  { /* 2591 */
+    82,
     /* SETSm */
   },
-  { /* 2596 */
-    129,
+  { /* 2592 */
+    130,
     /* SETSr */
   },
-  { /* 2597 */
+  { /* 2593 */
     0,
     /* SFENCE */
   },
-  { /* 2598 */
-    137,
+  { /* 2594 */
+    138,
     /* SGDT16m */
   },
-  { /* 2599 */
-    137,
+  { /* 2595 */
+    138,
     /* SGDT32m */
   },
-  { /* 2600 */
-    138,
+  { /* 2596 */
+    139,
     /* SGDT64m */
   },
-  { /* 2601 */
-    32,
+  { /* 2597 */
+    30,
     /* SHA1MSG1rm */
   },
-  { /* 2602 */
-    33,
+  { /* 2598 */
+    31,
     /* SHA1MSG1rr */
   },
-  { /* 2603 */
-    32,
+  { /* 2599 */
+    30,
     /* SHA1MSG2rm */
   },
-  { /* 2604 */
-    33,
+  { /* 2600 */
+    31,
     /* SHA1MSG2rr */
   },
-  { /* 2605 */
-    32,
+  { /* 2601 */
+    30,
     /* SHA1NEXTErm */
   },
-  { /* 2606 */
-    33,
+  { /* 2602 */
+    31,
     /* SHA1NEXTErr */
   },
-  { /* 2607 */
-    247,
+  { /* 2603 */
+    267,
     /* SHA1RNDS4rmi */
   },
-  { /* 2608 */
-    248,
+  { /* 2604 */
+    268,
     /* SHA1RNDS4rri */
   },
-  { /* 2609 */
-    32,
+  { /* 2605 */
+    30,
     /* SHA256MSG1rm */
   },
-  { /* 2610 */
-    33,
+  { /* 2606 */
+    31,
     /* SHA256MSG1rr */
   },
-  { /* 2611 */
-    32,
+  { /* 2607 */
+    30,
     /* SHA256MSG2rm */
   },
-  { /* 2612 */
-    33,
+  { /* 2608 */
+    31,
     /* SHA256MSG2rr */
   },
-  { /* 2613 */
-    32,
+  { /* 2609 */
+    30,
     /* SHA256RNDS2rm */
   },
-  { /* 2614 */
-    33,
+  { /* 2610 */
+    31,
     /* SHA256RNDS2rr */
   },
-  { /* 2615 */
-    40,
+  { /* 2611 */
+    38,
     /* SHL16m1 */
   },
-  { /* 2616 */
-    40,
+  { /* 2612 */
+    38,
     /* SHL16mCL */
   },
-  { /* 2617 */
-    264,
+  { /* 2613 */
+    284,
     /* SHL16mi */
   },
-  { /* 2618 */
-    126,
+  { /* 2614 */
+    127,
     /* SHL16r1 */
   },
-  { /* 2619 */
-    126,
+  { /* 2615 */
+    127,
     /* SHL16rCL */
   },
-  { /* 2620 */
-    265,
+  { /* 2616 */
+    285,
     /* SHL16ri */
   },
-  { /* 2621 */
-    40,
+  { /* 2617 */
+    38,
     /* SHL32m1 */
   },
-  { /* 2622 */
-    40,
+  { /* 2618 */
+    38,
     /* SHL32mCL */
   },
-  { /* 2623 */
-    264,
+  { /* 2619 */
+    284,
     /* SHL32mi */
   },
-  { /* 2624 */
-    126,
+  { /* 2620 */
+    127,
     /* SHL32r1 */
   },
-  { /* 2625 */
-    126,
+  { /* 2621 */
+    127,
     /* SHL32rCL */
   },
-  { /* 2626 */
-    265,
+  { /* 2622 */
+    285,
     /* SHL32ri */
   },
-  { /* 2627 */
-    40,
+  { /* 2623 */
+    38,
     /* SHL64m1 */
   },
-  { /* 2628 */
-    40,
+  { /* 2624 */
+    38,
     /* SHL64mCL */
   },
-  { /* 2629 */
-    264,
+  { /* 2625 */
+    284,
     /* SHL64mi */
   },
-  { /* 2630 */
-    127,
+  { /* 2626 */
+    128,
     /* SHL64r1 */
   },
-  { /* 2631 */
-    127,
+  { /* 2627 */
+    128,
     /* SHL64rCL */
   },
-  { /* 2632 */
-    266,
+  { /* 2628 */
+    286,
     /* SHL64ri */
   },
-  { /* 2633 */
-    80,
+  { /* 2629 */
+    82,
     /* SHL8m1 */
   },
-  { /* 2634 */
-    80,
+  { /* 2630 */
+    82,
     /* SHL8mCL */
   },
-  { /* 2635 */
+  { /* 2631 */
     22,
     /* SHL8mi */
   },
-  { /* 2636 */
-    128,
+  { /* 2632 */
+    129,
     /* SHL8r1 */
   },
-  { /* 2637 */
-    128,
+  { /* 2633 */
+    129,
     /* SHL8rCL */
   },
-  { /* 2638 */
+  { /* 2634 */
     24,
     /* SHL8ri */
   },
-  { /* 2639 */
+  { /* 2635 */
     5,
     /* SHLD16mrCL */
   },
-  { /* 2640 */
-    279,
+  { /* 2636 */
+    299,
     /* SHLD16mri8 */
   },
-  { /* 2641 */
+  { /* 2637 */
     9,
     /* SHLD16rrCL */
   },
-  { /* 2642 */
-    280,
+  { /* 2638 */
+    300,
     /* SHLD16rri8 */
   },
-  { /* 2643 */
+  { /* 2639 */
     5,
     /* SHLD32mrCL */
   },
-  { /* 2644 */
-    279,
+  { /* 2640 */
+    299,
     /* SHLD32mri8 */
   },
-  { /* 2645 */
+  { /* 2641 */
     9,
     /* SHLD32rrCL */
   },
-  { /* 2646 */
-    280,
+  { /* 2642 */
+    300,
     /* SHLD32rri8 */
   },
-  { /* 2647 */
+  { /* 2643 */
     16,
     /* SHLD64mrCL */
   },
-  { /* 2648 */
-    281,
+  { /* 2644 */
+    301,
     /* SHLD64mri8 */
   },
-  { /* 2649 */
+  { /* 2645 */
     20,
     /* SHLD64rrCL */
   },
-  { /* 2650 */
-    282,
+  { /* 2646 */
+    302,
     /* SHLD64rri8 */
   },
-  { /* 2651 */
-    52,
+  { /* 2647 */
+    54,
     /* SHLX32rm */
   },
-  { /* 2652 */
-    53,
+  { /* 2648 */
+    55,
     /* SHLX32rr */
   },
-  { /* 2653 */
-    54,
+  { /* 2649 */
+    56,
     /* SHLX64rm */
   },
-  { /* 2654 */
-    55,
+  { /* 2650 */
+    57,
     /* SHLX64rr */
   },
-  { /* 2655 */
-    40,
+  { /* 2651 */
+    38,
     /* SHR16m1 */
   },
-  { /* 2656 */
-    40,
+  { /* 2652 */
+    38,
     /* SHR16mCL */
   },
-  { /* 2657 */
-    264,
+  { /* 2653 */
+    284,
     /* SHR16mi */
   },
-  { /* 2658 */
-    126,
+  { /* 2654 */
+    127,
     /* SHR16r1 */
   },
-  { /* 2659 */
-    126,
+  { /* 2655 */
+    127,
     /* SHR16rCL */
   },
-  { /* 2660 */
-    265,
+  { /* 2656 */
+    285,
     /* SHR16ri */
   },
-  { /* 2661 */
-    40,
+  { /* 2657 */
+    38,
     /* SHR32m1 */
   },
-  { /* 2662 */
-    40,
+  { /* 2658 */
+    38,
     /* SHR32mCL */
   },
-  { /* 2663 */
-    264,
+  { /* 2659 */
+    284,
     /* SHR32mi */
   },
-  { /* 2664 */
-    126,
+  { /* 2660 */
+    127,
     /* SHR32r1 */
   },
-  { /* 2665 */
-    126,
+  { /* 2661 */
+    127,
     /* SHR32rCL */
   },
-  { /* 2666 */
-    265,
+  { /* 2662 */
+    285,
     /* SHR32ri */
   },
-  { /* 2667 */
-    40,
+  { /* 2663 */
+    38,
     /* SHR64m1 */
   },
-  { /* 2668 */
-    40,
+  { /* 2664 */
+    38,
     /* SHR64mCL */
   },
-  { /* 2669 */
-    264,
+  { /* 2665 */
+    284,
     /* SHR64mi */
   },
-  { /* 2670 */
-    127,
+  { /* 2666 */
+    128,
     /* SHR64r1 */
   },
-  { /* 2671 */
-    127,
+  { /* 2667 */
+    128,
     /* SHR64rCL */
   },
-  { /* 2672 */
-    266,
+  { /* 2668 */
+    286,
     /* SHR64ri */
   },
-  { /* 2673 */
-    80,
+  { /* 2669 */
+    82,
     /* SHR8m1 */
   },
-  { /* 2674 */
-    80,
+  { /* 2670 */
+    82,
     /* SHR8mCL */
   },
-  { /* 2675 */
+  { /* 2671 */
     22,
     /* SHR8mi */
   },
-  { /* 2676 */
-    128,
+  { /* 2672 */
+    129,
     /* SHR8r1 */
   },
-  { /* 2677 */
-    128,
+  { /* 2673 */
+    129,
     /* SHR8rCL */
   },
-  { /* 2678 */
+  { /* 2674 */
     24,
     /* SHR8ri */
   },
-  { /* 2679 */
+  { /* 2675 */
     5,
     /* SHRD16mrCL */
   },
-  { /* 2680 */
-    279,
+  { /* 2676 */
+    299,
     /* SHRD16mri8 */
   },
-  { /* 2681 */
+  { /* 2677 */
     9,
     /* SHRD16rrCL */
   },
-  { /* 2682 */
-    280,
+  { /* 2678 */
+    300,
     /* SHRD16rri8 */
   },
-  { /* 2683 */
+  { /* 2679 */
     5,
     /* SHRD32mrCL */
   },
-  { /* 2684 */
-    279,
+  { /* 2680 */
+    299,
     /* SHRD32mri8 */
   },
-  { /* 2685 */
+  { /* 2681 */
     9,
     /* SHRD32rrCL */
   },
-  { /* 2686 */
-    280,
+  { /* 2682 */
+    300,
     /* SHRD32rri8 */
   },
-  { /* 2687 */
+  { /* 2683 */
     16,
     /* SHRD64mrCL */
   },
-  { /* 2688 */
-    281,
+  { /* 2684 */
+    301,
     /* SHRD64mri8 */
   },
-  { /* 2689 */
+  { /* 2685 */
     20,
     /* SHRD64rrCL */
   },
-  { /* 2690 */
-    282,
+  { /* 2686 */
+    302,
     /* SHRD64rri8 */
   },
-  { /* 2691 */
-    52,
+  { /* 2687 */
+    54,
     /* SHRX32rm */
   },
-  { /* 2692 */
-    53,
+  { /* 2688 */
+    55,
     /* SHRX32rr */
   },
-  { /* 2693 */
-    54,
+  { /* 2689 */
+    56,
     /* SHRX64rm */
   },
-  { /* 2694 */
-    55,
+  { /* 2690 */
+    57,
     /* SHRX64rr */
   },
-  { /* 2695 */
-    247,
+  { /* 2691 */
+    267,
     /* SHUFPDrmi */
   },
-  { /* 2696 */
-    248,
+  { /* 2692 */
+    268,
     /* SHUFPDrri */
   },
-  { /* 2697 */
-    247,
+  { /* 2693 */
+    267,
     /* SHUFPSrmi */
   },
-  { /* 2698 */
-    248,
+  { /* 2694 */
+    268,
     /* SHUFPSrri */
   },
-  { /* 2699 */
-    137,
+  { /* 2695 */
+    138,
     /* SIDT16m */
   },
-  { /* 2700 */
-    137,
+  { /* 2696 */
+    138,
     /* SIDT32m */
   },
-  { /* 2701 */
-    138,
+  { /* 2697 */
+    139,
     /* SIDT64m */
   },
-  { /* 2702 */
+  { /* 2698 */
     0,
     /* SIN_F */
   },
-  { /* 2703 */
+  { /* 2699 */
     0,
     /*  */
   },
-  { /* 2704 */
+  { /* 2700 */
     0,
     /*  */
   },
-  { /* 2705 */
+  { /* 2701 */
     0,
     /*  */
   },
-  { /* 2706 */
+  { /* 2702 */
     0,
     /* SKINIT */
   },
-  { /* 2707 */
-    40,
+  { /* 2703 */
+    38,
     /* SLDT16m */
   },
-  { /* 2708 */
-    75,
+  { /* 2704 */
+    77,
     /* SLDT16r */
   },
-  { /* 2709 */
-    75,
+  { /* 2705 */
+    77,
     /* SLDT32r */
   },
-  { /* 2710 */
-    40,
+  { /* 2706 */
+    38,
     /* SLDT64m */
   },
-  { /* 2711 */
-    77,
+  { /* 2707 */
+    79,
     /* SLDT64r */
   },
-  { /* 2712 */
-    40,
+  { /* 2708 */
+    38,
     /* SMSW16m */
   },
-  { /* 2713 */
-    75,
+  { /* 2709 */
+    77,
     /* SMSW16r */
   },
-  { /* 2714 */
-    75,
+  { /* 2710 */
+    77,
     /* SMSW32r */
   },
-  { /* 2715 */
-    77,
+  { /* 2711 */
+    79,
     /* SMSW64r */
   },
-  { /* 2716 */
-    42,
+  { /* 2712 */
+    44,
     /* SQRTPDm */
   },
-  { /* 2717 */
-    43,
+  { /* 2713 */
+    45,
     /* SQRTPDr */
   },
-  { /* 2718 */
-    42,
+  { /* 2714 */
+    44,
     /* SQRTPSm */
   },
-  { /* 2719 */
-    43,
+  { /* 2715 */
+    45,
     /* SQRTPSr */
   },
-  { /* 2720 */
-    234,
+  { /* 2716 */
+    254,
     /* SQRTSDm */
   },
+  { /* 2717 */
+    0,
+    /*  */
+  },
+  { /* 2718 */
+    303,
+    /* SQRTSDr */
+  },
+  { /* 2719 */
+    0,
+    /*  */
+  },
+  { /* 2720 */
+    258,
+    /* SQRTSSm */
+  },
   { /* 2721 */
     0,
     /*  */
   },
   { /* 2722 */
-    283,
-    /* SQRTSDr */
+    287,
+    /* SQRTSSr */
   },
   { /* 2723 */
     0,
     /*  */
   },
   { /* 2724 */
-    238,
-    /* SQRTSSm */
+    0,
+    /* SQRT_F */
   },
   { /* 2725 */
     0,
     /*  */
   },
   { /* 2726 */
-    267,
-    /* SQRTSSr */
+    0,
+    /*  */
   },
   { /* 2727 */
     0,
@@ -15782,112 +18205,112 @@
   },
   { /* 2728 */
     0,
-    /* SQRT_F */
+    /* STAC */
   },
   { /* 2729 */
     0,
-    /*  */
+    /* STC */
   },
   { /* 2730 */
     0,
-    /*  */
+    /* STD */
   },
   { /* 2731 */
     0,
-    /*  */
+    /* STGI */
   },
   { /* 2732 */
     0,
-    /* SS_PREFIX */
-  },
-  { /* 2733 */
-    0,
-    /* STAC */
-  },
-  { /* 2734 */
-    0,
-    /* STC */
-  },
-  { /* 2735 */
-    0,
-    /* STD */
-  },
-  { /* 2736 */
-    0,
-    /* STGI */
-  },
-  { /* 2737 */
-    0,
     /* STI */
   },
-  { /* 2738 */
-    40,
+  { /* 2733 */
+    38,
     /* STMXCSR */
   },
-  { /* 2739 */
-    150,
+  { /* 2734 */
+    149,
     /* STOSB */
   },
-  { /* 2740 */
-    149,
+  { /* 2735 */
+    152,
     /* STOSL */
   },
-  { /* 2741 */
-    278,
+  { /* 2736 */
+    298,
     /* STOSQ */
   },
-  { /* 2742 */
-    148,
+  { /* 2737 */
+    153,
     /* STOSW */
   },
-  { /* 2743 */
-    75,
+  { /* 2738 */
+    77,
     /* STR16r */
   },
-  { /* 2744 */
-    75,
+  { /* 2739 */
+    77,
     /* STR32r */
   },
-  { /* 2745 */
-    77,
+  { /* 2740 */
+    79,
     /* STR64r */
   },
-  { /* 2746 */
-    40,
+  { /* 2741 */
+    38,
     /* STRm */
   },
-  { /* 2747 */
-    38,
+  { /* 2742 */
+    36,
     /* ST_F32m */
   },
-  { /* 2748 */
-    39,
+  { /* 2743 */
+    37,
     /* ST_F64m */
   },
-  { /* 2749 */
-    38,
+  { /* 2744 */
+    36,
     /* ST_FP32m */
   },
-  { /* 2750 */
-    39,
+  { /* 2745 */
+    37,
     /* ST_FP64m */
   },
-  { /* 2751 */
-    167,
+  { /* 2746 */
+    186,
     /* ST_FP80m */
   },
-  { /* 2752 */
+  { /* 2747 */
     0,
     /* ST_FPNCE */
   },
-  { /* 2753 */
+  { /* 2748 */
     0,
     /* ST_FPr0r7 */
   },
-  { /* 2754 */
-    41,
+  { /* 2749 */
+    39,
     /* ST_FPrr */
   },
+  { /* 2750 */
+    0,
+    /*  */
+  },
+  { /* 2751 */
+    0,
+    /*  */
+  },
+  { /* 2752 */
+    0,
+    /*  */
+  },
+  { /* 2753 */
+    0,
+    /*  */
+  },
+  { /* 2754 */
+    0,
+    /*  */
+  },
   { /* 2755 */
     0,
     /*  */
@@ -15913,209 +18336,209 @@
     /*  */
   },
   { /* 2761 */
-    0,
-    /*  */
-  },
-  { /* 2762 */
-    0,
-    /*  */
-  },
-  { /* 2763 */
-    0,
-    /*  */
-  },
-  { /* 2764 */
-    0,
-    /*  */
-  },
-  { /* 2765 */
-    0,
-    /*  */
-  },
-  { /* 2766 */
-    41,
+    39,
     /* ST_Frr */
   },
-  { /* 2767 */
+  { /* 2762 */
     2,
     /* SUB16i16 */
   },
-  { /* 2768 */
+  { /* 2763 */
     3,
     /* SUB16mi */
   },
-  { /* 2769 */
+  { /* 2764 */
     4,
     /* SUB16mi8 */
   },
-  { /* 2770 */
+  { /* 2765 */
     5,
     /* SUB16mr */
   },
-  { /* 2771 */
+  { /* 2766 */
     6,
     /* SUB16ri */
   },
-  { /* 2772 */
+  { /* 2767 */
     7,
     /* SUB16ri8 */
   },
-  { /* 2773 */
+  { /* 2768 */
     8,
     /* SUB16rm */
   },
-  { /* 2774 */
+  { /* 2769 */
     9,
     /* SUB16rr */
   },
-  { /* 2775 */
+  { /* 2770 */
     10,
     /* SUB16rr_REV */
   },
-  { /* 2776 */
+  { /* 2771 */
     2,
     /* SUB32i32 */
   },
-  { /* 2777 */
+  { /* 2772 */
     3,
     /* SUB32mi */
   },
-  { /* 2778 */
+  { /* 2773 */
     11,
     /* SUB32mi8 */
   },
-  { /* 2779 */
+  { /* 2774 */
     5,
     /* SUB32mr */
   },
-  { /* 2780 */
+  { /* 2775 */
     6,
     /* SUB32ri */
   },
-  { /* 2781 */
+  { /* 2776 */
     12,
     /* SUB32ri8 */
   },
-  { /* 2782 */
+  { /* 2777 */
     8,
     /* SUB32rm */
   },
-  { /* 2783 */
+  { /* 2778 */
     9,
     /* SUB32rr */
   },
-  { /* 2784 */
+  { /* 2779 */
     10,
     /* SUB32rr_REV */
   },
-  { /* 2785 */
+  { /* 2780 */
     13,
     /* SUB64i32 */
   },
-  { /* 2786 */
+  { /* 2781 */
     14,
     /* SUB64mi32 */
   },
-  { /* 2787 */
+  { /* 2782 */
     15,
     /* SUB64mi8 */
   },
-  { /* 2788 */
+  { /* 2783 */
     16,
     /* SUB64mr */
   },
-  { /* 2789 */
+  { /* 2784 */
     17,
     /* SUB64ri32 */
   },
-  { /* 2790 */
+  { /* 2785 */
     18,
     /* SUB64ri8 */
   },
-  { /* 2791 */
+  { /* 2786 */
     19,
     /* SUB64rm */
   },
-  { /* 2792 */
+  { /* 2787 */
     20,
     /* SUB64rr */
   },
-  { /* 2793 */
+  { /* 2788 */
     21,
     /* SUB64rr_REV */
   },
-  { /* 2794 */
+  { /* 2789 */
     1,
     /* SUB8i8 */
   },
-  { /* 2795 */
+  { /* 2790 */
     22,
     /* SUB8mi */
   },
-  { /* 2796 */
+  { /* 2791 */
     23,
     /* SUB8mr */
   },
-  { /* 2797 */
+  { /* 2792 */
     24,
     /* SUB8ri */
   },
-  { /* 2798 */
+  { /* 2793 */
     24,
     /* SUB8ri8 */
   },
-  { /* 2799 */
+  { /* 2794 */
     25,
     /* SUB8rm */
   },
-  { /* 2800 */
+  { /* 2795 */
     26,
     /* SUB8rr */
   },
-  { /* 2801 */
+  { /* 2796 */
     27,
     /* SUB8rr_REV */
   },
-  { /* 2802 */
-    32,
+  { /* 2797 */
+    30,
     /* SUBPDrm */
   },
-  { /* 2803 */
-    33,
+  { /* 2798 */
+    31,
     /* SUBPDrr */
   },
-  { /* 2804 */
-    32,
+  { /* 2799 */
+    30,
     /* SUBPSrm */
   },
-  { /* 2805 */
-    33,
+  { /* 2800 */
+    31,
     /* SUBPSrr */
   },
-  { /* 2806 */
-    38,
+  { /* 2801 */
+    36,
     /* SUBR_F32m */
   },
-  { /* 2807 */
-    39,
+  { /* 2802 */
+    37,
     /* SUBR_F64m */
   },
-  { /* 2808 */
-    40,
+  { /* 2803 */
+    38,
     /* SUBR_FI16m */
   },
-  { /* 2809 */
-    40,
+  { /* 2804 */
+    38,
     /* SUBR_FI32m */
   },
-  { /* 2810 */
-    41,
+  { /* 2805 */
+    39,
     /* SUBR_FPrST0 */
   },
-  { /* 2811 */
-    41,
+  { /* 2806 */
+    39,
     /* SUBR_FST0r */
   },
+  { /* 2807 */
+    0,
+    /*  */
+  },
+  { /* 2808 */
+    0,
+    /*  */
+  },
+  { /* 2809 */
+    0,
+    /*  */
+  },
+  { /* 2810 */
+    0,
+    /*  */
+  },
+  { /* 2811 */
+    0,
+    /*  */
+  },
   { /* 2812 */
     0,
     /*  */
@@ -16141,85 +18564,85 @@
     /*  */
   },
   { /* 2818 */
-    0,
-    /*  */
+    39,
+    /* SUBR_FrST0 */
   },
   { /* 2819 */
-    0,
-    /*  */
+    32,
+    /* SUBSDrm */
   },
   { /* 2820 */
     0,
     /*  */
   },
   { /* 2821 */
-    0,
-    /*  */
+    33,
+    /* SUBSDrr */
   },
   { /* 2822 */
     0,
     /*  */
   },
   { /* 2823 */
-    41,
-    /* SUBR_FrST0 */
-  },
-  { /* 2824 */
     34,
-    /* SUBSDrm */
-  },
-  { /* 2825 */
-    0,
-    /*  */
-  },
-  { /* 2826 */
-    35,
-    /* SUBSDrr */
-  },
-  { /* 2827 */
-    0,
-    /*  */
-  },
-  { /* 2828 */
-    36,
     /* SUBSSrm */
   },
-  { /* 2829 */
+  { /* 2824 */
     0,
     /*  */
   },
-  { /* 2830 */
-    37,
+  { /* 2825 */
+    35,
     /* SUBSSrr */
   },
-  { /* 2831 */
+  { /* 2826 */
     0,
     /*  */
   },
-  { /* 2832 */
-    38,
+  { /* 2827 */
+    36,
     /* SUB_F32m */
   },
-  { /* 2833 */
-    39,
+  { /* 2828 */
+    37,
     /* SUB_F64m */
   },
-  { /* 2834 */
-    40,
+  { /* 2829 */
+    38,
     /* SUB_FI16m */
   },
-  { /* 2835 */
-    40,
+  { /* 2830 */
+    38,
     /* SUB_FI32m */
   },
-  { /* 2836 */
-    41,
+  { /* 2831 */
+    39,
     /* SUB_FPrST0 */
   },
-  { /* 2837 */
-    41,
+  { /* 2832 */
+    39,
     /* SUB_FST0r */
   },
+  { /* 2833 */
+    0,
+    /*  */
+  },
+  { /* 2834 */
+    0,
+    /*  */
+  },
+  { /* 2835 */
+    0,
+    /*  */
+  },
+  { /* 2836 */
+    0,
+    /*  */
+  },
+  { /* 2837 */
+    0,
+    /*  */
+  },
   { /* 2838 */
     0,
     /*  */
@@ -16257,73 +18680,73 @@
     /*  */
   },
   { /* 2847 */
-    0,
-    /*  */
+    39,
+    /* SUB_FrST0 */
   },
   { /* 2848 */
     0,
-    /*  */
+    /* SWAPGS */
   },
   { /* 2849 */
     0,
-    /*  */
+    /* SYSCALL */
   },
   { /* 2850 */
     0,
-    /*  */
+    /* SYSENTER */
   },
   { /* 2851 */
     0,
-    /*  */
-  },
-  { /* 2852 */
-    41,
-    /* SUB_FrST0 */
-  },
-  { /* 2853 */
-    0,
-    /* SWAPGS */
-  },
-  { /* 2854 */
-    0,
-    /* SYSCALL */
-  },
-  { /* 2855 */
-    0,
-    /* SYSENTER */
-  },
-  { /* 2856 */
-    0,
     /* SYSEXIT */
   },
-  { /* 2857 */
+  { /* 2852 */
     0,
     /* SYSEXIT64 */
   },
-  { /* 2858 */
+  { /* 2853 */
     0,
     /* SYSRET */
   },
-  { /* 2859 */
+  { /* 2854 */
     0,
     /* SYSRET64 */
   },
-  { /* 2860 */
-    60,
+  { /* 2855 */
+    62,
     /* T1MSKC32rm */
   },
-  { /* 2861 */
-    61,
+  { /* 2856 */
+    63,
     /* T1MSKC32rr */
   },
-  { /* 2862 */
-    62,
+  { /* 2857 */
+    64,
     /* T1MSKC64rm */
   },
-  { /* 2863 */
-    63,
+  { /* 2858 */
+    65,
     /* T1MSKC64rr */
   },
+  { /* 2859 */
+    0,
+    /*  */
+  },
+  { /* 2860 */
+    0,
+    /*  */
+  },
+  { /* 2861 */
+    0,
+    /*  */
+  },
+  { /* 2862 */
+    0,
+    /*  */
+  },
+  { /* 2863 */
+    0,
+    /*  */
+  },
   { /* 2864 */
     0,
     /*  */
@@ -16353,140 +18776,140 @@
     /*  */
   },
   { /* 2871 */
-    0,
-    /*  */
-  },
-  { /* 2872 */
-    0,
-    /*  */
-  },
-  { /* 2873 */
-    0,
-    /*  */
-  },
-  { /* 2874 */
-    0,
-    /*  */
-  },
-  { /* 2875 */
-    0,
-    /*  */
-  },
-  { /* 2876 */
     2,
     /* TEST16i16 */
   },
-  { /* 2877 */
+  { /* 2872 */
     3,
     /* TEST16mi */
   },
-  { /* 2878 */
+  { /* 2873 */
     3,
     /* TEST16mi_alt */
   },
-  { /* 2879 */
-    81,
+  { /* 2874 */
+    83,
     /* TEST16ri */
   },
-  { /* 2880 */
-    81,
+  { /* 2875 */
+    83,
     /* TEST16ri_alt */
   },
-  { /* 2881 */
-    66,
+  { /* 2876 */
+    5,
     /* TEST16rm */
   },
-  { /* 2882 */
-    67,
+  { /* 2877 */
+    69,
     /* TEST16rr */
   },
-  { /* 2883 */
+  { /* 2878 */
     2,
     /* TEST32i32 */
   },
-  { /* 2884 */
+  { /* 2879 */
     3,
     /* TEST32mi */
   },
-  { /* 2885 */
+  { /* 2880 */
     3,
     /* TEST32mi_alt */
   },
-  { /* 2886 */
-    81,
+  { /* 2881 */
+    83,
     /* TEST32ri */
   },
-  { /* 2887 */
-    81,
+  { /* 2882 */
+    83,
     /* TEST32ri_alt */
   },
-  { /* 2888 */
-    66,
+  { /* 2883 */
+    5,
     /* TEST32rm */
   },
-  { /* 2889 */
-    67,
+  { /* 2884 */
+    69,
     /* TEST32rr */
   },
-  { /* 2890 */
+  { /* 2885 */
     13,
     /* TEST64i32 */
   },
-  { /* 2891 */
+  { /* 2886 */
     14,
     /* TEST64mi32 */
   },
-  { /* 2892 */
+  { /* 2887 */
     14,
     /* TEST64mi32_alt */
   },
-  { /* 2893 */
-    82,
+  { /* 2888 */
+    84,
     /* TEST64ri32 */
   },
-  { /* 2894 */
-    82,
+  { /* 2889 */
+    84,
     /* TEST64ri32_alt */
   },
-  { /* 2895 */
-    30,
+  { /* 2890 */
+    16,
     /* TEST64rm */
   },
-  { /* 2896 */
-    31,
+  { /* 2891 */
+    43,
     /* TEST64rr */
   },
-  { /* 2897 */
+  { /* 2892 */
     1,
     /* TEST8i8 */
   },
-  { /* 2898 */
+  { /* 2893 */
     22,
     /* TEST8mi */
   },
-  { /* 2899 */
+  { /* 2894 */
     22,
     /* TEST8mi_alt */
   },
-  { /* 2900 */
-    83,
+  { /* 2895 */
+    85,
     /* TEST8ri */
   },
+  { /* 2896 */
+    0,
+    /*  */
+  },
+  { /* 2897 */
+    85,
+    /* TEST8ri_alt */
+  },
+  { /* 2898 */
+    23,
+    /* TEST8rm */
+  },
+  { /* 2899 */
+    88,
+    /* TEST8rr */
+  },
+  { /* 2900 */
+    0,
+    /*  */
+  },
   { /* 2901 */
     0,
     /*  */
   },
   { /* 2902 */
-    83,
-    /* TEST8ri_alt */
+    0,
+    /*  */
   },
   { /* 2903 */
-    84,
-    /* TEST8rm */
+    0,
+    /*  */
   },
   { /* 2904 */
-    86,
-    /* TEST8rr */
+    0,
+    /*  */
   },
   { /* 2905 */
     0,
@@ -16494,11 +18917,11 @@
   },
   { /* 2906 */
     0,
-    /*  */
+    /* TRAP */
   },
   { /* 2907 */
     0,
-    /*  */
+    /* TST_F */
   },
   { /* 2908 */
     0,
@@ -16513,4684 +18936,4684 @@
     /*  */
   },
   { /* 2911 */
-    0,
-    /* TRAP */
-  },
-  { /* 2912 */
-    0,
-    /* TST_F */
-  },
-  { /* 2913 */
-    0,
-    /*  */
-  },
-  { /* 2914 */
-    0,
-    /*  */
-  },
-  { /* 2915 */
-    0,
-    /*  */
-  },
-  { /* 2916 */
-    66,
+    68,
     /* TZCNT16rm */
   },
-  { /* 2917 */
-    67,
+  { /* 2912 */
+    69,
     /* TZCNT16rr */
   },
-  { /* 2918 */
-    66,
+  { /* 2913 */
+    68,
     /* TZCNT32rm */
   },
-  { /* 2919 */
-    67,
+  { /* 2914 */
+    69,
     /* TZCNT32rr */
   },
-  { /* 2920 */
-    30,
+  { /* 2915 */
+    42,
     /* TZCNT64rm */
   },
-  { /* 2921 */
-    31,
+  { /* 2916 */
+    43,
     /* TZCNT64rr */
   },
-  { /* 2922 */
-    60,
+  { /* 2917 */
+    62,
     /* TZMSK32rm */
   },
-  { /* 2923 */
-    61,
+  { /* 2918 */
+    63,
     /* TZMSK32rr */
   },
-  { /* 2924 */
-    62,
+  { /* 2919 */
+    64,
     /* TZMSK64rm */
   },
-  { /* 2925 */
-    63,
+  { /* 2920 */
+    65,
     /* TZMSK64rr */
   },
-  { /* 2926 */
-    234,
+  { /* 2921 */
+    254,
     /* UCOMISDrm */
   },
-  { /* 2927 */
-    283,
+  { /* 2922 */
+    303,
     /* UCOMISDrr */
   },
-  { /* 2928 */
-    238,
+  { /* 2923 */
+    258,
     /* UCOMISSrm */
   },
-  { /* 2929 */
-    267,
+  { /* 2924 */
+    287,
     /* UCOMISSrr */
   },
-  { /* 2930 */
-    41,
+  { /* 2925 */
+    39,
     /* UCOM_FIPr */
   },
-  { /* 2931 */
-    41,
+  { /* 2926 */
+    39,
     /* UCOM_FIr */
   },
-  { /* 2932 */
+  { /* 2927 */
     0,
     /* UCOM_FPPr */
   },
-  { /* 2933 */
-    41,
+  { /* 2928 */
+    39,
     /* UCOM_FPr */
   },
+  { /* 2929 */
+    0,
+    /*  */
+  },
+  { /* 2930 */
+    0,
+    /*  */
+  },
+  { /* 2931 */
+    0,
+    /*  */
+  },
+  { /* 2932 */
+    0,
+    /*  */
+  },
+  { /* 2933 */
+    0,
+    /*  */
+  },
   { /* 2934 */
     0,
     /*  */
   },
   { /* 2935 */
-    0,
-    /*  */
+    39,
+    /* UCOM_Fr */
   },
   { /* 2936 */
     0,
-    /*  */
-  },
-  { /* 2937 */
-    0,
-    /*  */
-  },
-  { /* 2938 */
-    0,
-    /*  */
-  },
-  { /* 2939 */
-    0,
-    /*  */
-  },
-  { /* 2940 */
-    41,
-    /* UCOM_Fr */
-  },
-  { /* 2941 */
-    0,
     /* UD2B */
   },
-  { /* 2942 */
-    32,
+  { /* 2937 */
+    30,
     /* UNPCKHPDrm */
   },
-  { /* 2943 */
-    33,
+  { /* 2938 */
+    31,
     /* UNPCKHPDrr */
   },
-  { /* 2944 */
-    32,
+  { /* 2939 */
+    30,
     /* UNPCKHPSrm */
   },
-  { /* 2945 */
-    33,
+  { /* 2940 */
+    31,
     /* UNPCKHPSrr */
   },
-  { /* 2946 */
-    32,
+  { /* 2941 */
+    30,
     /* UNPCKLPDrm */
   },
-  { /* 2947 */
-    33,
+  { /* 2942 */
+    31,
     /* UNPCKLPDrr */
   },
-  { /* 2948 */
-    32,
+  { /* 2943 */
+    30,
     /* UNPCKLPSrm */
   },
-  { /* 2949 */
-    33,
+  { /* 2944 */
+    31,
     /* UNPCKLPSrr */
   },
-  { /* 2950 */
+  { /* 2945 */
     0,
     /*  */
   },
-  { /* 2951 */
-    284,
+  { /* 2946 */
+    304,
     /* VADDPDYrm */
   },
-  { /* 2952 */
-    285,
+  { /* 2947 */
+    305,
     /* VADDPDYrr */
   },
-  { /* 2953 */
-    286,
+  { /* 2948 */
+    306,
     /* VADDPDZrm */
   },
-  { /* 2954 */
-    287,
+  { /* 2949 */
+    307,
     /* VADDPDZrmb */
   },
-  { /* 2955 */
-    288,
+  { /* 2950 */
+    308,
+    /* VADDPDZrmbk */
+  },
+  { /* 2951 */
+    308,
+    /* VADDPDZrmbkz */
+  },
+  { /* 2952 */
+    309,
+    /* VADDPDZrmk */
+  },
+  { /* 2953 */
+    309,
+    /* VADDPDZrmkz */
+  },
+  { /* 2954 */
+    310,
     /* VADDPDZrr */
   },
+  { /* 2955 */
+    311,
+    /* VADDPDZrrk */
+  },
   { /* 2956 */
-    289,
-    /* VADDPDrm */
+    311,
+    /* VADDPDZrrkz */
   },
   { /* 2957 */
-    290,
-    /* VADDPDrr */
+    312,
+    /* VADDPDrm */
   },
   { /* 2958 */
-    284,
-    /* VADDPSYrm */
+    313,
+    /* VADDPDrr */
   },
   { /* 2959 */
-    285,
-    /* VADDPSYrr */
+    304,
+    /* VADDPSYrm */
   },
   { /* 2960 */
-    286,
-    /* VADDPSZrm */
+    305,
+    /* VADDPSYrr */
   },
   { /* 2961 */
-    291,
-    /* VADDPSZrmb */
+    306,
+    /* VADDPSZrm */
   },
   { /* 2962 */
-    288,
-    /* VADDPSZrr */
+    314,
+    /* VADDPSZrmb */
   },
   { /* 2963 */
-    289,
-    /* VADDPSrm */
+    315,
+    /* VADDPSZrmbk */
   },
   { /* 2964 */
-    290,
-    /* VADDPSrr */
+    315,
+    /* VADDPSZrmbkz */
   },
   { /* 2965 */
-    292,
-    /* VADDSDZrm */
+    316,
+    /* VADDPSZrmk */
   },
   { /* 2966 */
-    293,
-    /* VADDSDZrr */
+    316,
+    /* VADDPSZrmkz */
   },
   { /* 2967 */
-    292,
-    /* VADDSDrm */
+    310,
+    /* VADDPSZrr */
   },
   { /* 2968 */
-    0,
-    /*  */
+    317,
+    /* VADDPSZrrk */
   },
   { /* 2969 */
-    293,
-    /* VADDSDrr */
+    317,
+    /* VADDPSZrrkz */
   },
   { /* 2970 */
-    0,
-    /*  */
+    312,
+    /* VADDPSrm */
   },
   { /* 2971 */
-    294,
-    /* VADDSSZrm */
+    313,
+    /* VADDPSrr */
   },
   { /* 2972 */
-    295,
-    /* VADDSSZrr */
+    318,
+    /* VADDSDZrm */
   },
   { /* 2973 */
-    294,
-    /* VADDSSrm */
+    319,
+    /* VADDSDZrr */
   },
   { /* 2974 */
-    0,
-    /*  */
+    320,
+    /* VADDSDrm */
   },
   { /* 2975 */
-    295,
-    /* VADDSSrr */
+    0,
+    /*  */
   },
   { /* 2976 */
-    0,
-    /*  */
+    321,
+    /* VADDSDrr */
   },
   { /* 2977 */
-    284,
-    /* VADDSUBPDYrm */
-  },
-  { /* 2978 */
-    285,
-    /* VADDSUBPDYrr */
-  },
-  { /* 2979 */
-    289,
-    /* VADDSUBPDrm */
-  },
-  { /* 2980 */
-    290,
-    /* VADDSUBPDrr */
-  },
-  { /* 2981 */
-    284,
-    /* VADDSUBPSYrm */
-  },
-  { /* 2982 */
-    285,
-    /* VADDSUBPSYrr */
-  },
-  { /* 2983 */
-    289,
-    /* VADDSUBPSrm */
-  },
-  { /* 2984 */
-    290,
-    /* VADDSUBPSrr */
-  },
-  { /* 2985 */
-    289,
-    /* VAESDECLASTrm */
-  },
-  { /* 2986 */
-    290,
-    /* VAESDECLASTrr */
-  },
-  { /* 2987 */
-    289,
-    /* VAESDECrm */
-  },
-  { /* 2988 */
-    290,
-    /* VAESDECrr */
-  },
-  { /* 2989 */
-    289,
-    /* VAESENCLASTrm */
-  },
-  { /* 2990 */
-    290,
-    /* VAESENCLASTrr */
-  },
-  { /* 2991 */
-    289,
-    /* VAESENCrm */
-  },
-  { /* 2992 */
-    290,
-    /* VAESENCrr */
-  },
-  { /* 2993 */
-    42,
-    /* VAESIMCrm */
-  },
-  { /* 2994 */
-    43,
-    /* VAESIMCrr */
-  },
-  { /* 2995 */
-    44,
-    /* VAESKEYGENASSIST128rm */
-  },
-  { /* 2996 */
-    45,
-    /* VAESKEYGENASSIST128rr */
-  },
-  { /* 2997 */
-    296,
-    /* VALIGNDrmi */
-  },
-  { /* 2998 */
-    297,
-    /* VALIGNDrri */
-  },
-  { /* 2999 */
-    296,
-    /* VALIGNQrmi */
-  },
-  { /* 3000 */
-    297,
-    /* VALIGNQrri */
-  },
-  { /* 3001 */
-    284,
-    /* VANDNPDYrm */
-  },
-  { /* 3002 */
-    285,
-    /* VANDNPDYrr */
-  },
-  { /* 3003 */
-    289,
-    /* VANDNPDrm */
-  },
-  { /* 3004 */
-    290,
-    /* VANDNPDrr */
-  },
-  { /* 3005 */
-    284,
-    /* VANDNPSYrm */
-  },
-  { /* 3006 */
-    285,
-    /* VANDNPSYrr */
-  },
-  { /* 3007 */
-    289,
-    /* VANDNPSrm */
-  },
-  { /* 3008 */
-    290,
-    /* VANDNPSrr */
-  },
-  { /* 3009 */
-    284,
-    /* VANDPDYrm */
-  },
-  { /* 3010 */
-    285,
-    /* VANDPDYrr */
-  },
-  { /* 3011 */
-    289,
-    /* VANDPDrm */
-  },
-  { /* 3012 */
-    290,
-    /* VANDPDrr */
-  },
-  { /* 3013 */
-    284,
-    /* VANDPSYrm */
-  },
-  { /* 3014 */
-    285,
-    /* VANDPSYrr */
-  },
-  { /* 3015 */
-    289,
-    /* VANDPSrm */
-  },
-  { /* 3016 */
-    290,
-    /* VANDPSrr */
-  },
-  { /* 3017 */
     0,
     /*  */
   },
+  { /* 2978 */
+    322,
+    /* VADDSSZrm */
+  },
+  { /* 2979 */
+    323,
+    /* VADDSSZrr */
+  },
+  { /* 2980 */
+    324,
+    /* VADDSSrm */
+  },
+  { /* 2981 */
+    0,
+    /*  */
+  },
+  { /* 2982 */
+    325,
+    /* VADDSSrr */
+  },
+  { /* 2983 */
+    0,
+    /*  */
+  },
+  { /* 2984 */
+    304,
+    /* VADDSUBPDYrm */
+  },
+  { /* 2985 */
+    305,
+    /* VADDSUBPDYrr */
+  },
+  { /* 2986 */
+    312,
+    /* VADDSUBPDrm */
+  },
+  { /* 2987 */
+    313,
+    /* VADDSUBPDrr */
+  },
+  { /* 2988 */
+    304,
+    /* VADDSUBPSYrm */
+  },
+  { /* 2989 */
+    305,
+    /* VADDSUBPSYrr */
+  },
+  { /* 2990 */
+    312,
+    /* VADDSUBPSrm */
+  },
+  { /* 2991 */
+    313,
+    /* VADDSUBPSrr */
+  },
+  { /* 2992 */
+    312,
+    /* VAESDECLASTrm */
+  },
+  { /* 2993 */
+    313,
+    /* VAESDECLASTrr */
+  },
+  { /* 2994 */
+    312,
+    /* VAESDECrm */
+  },
+  { /* 2995 */
+    313,
+    /* VAESDECrr */
+  },
+  { /* 2996 */
+    312,
+    /* VAESENCLASTrm */
+  },
+  { /* 2997 */
+    313,
+    /* VAESENCLASTrr */
+  },
+  { /* 2998 */
+    312,
+    /* VAESENCrm */
+  },
+  { /* 2999 */
+    313,
+    /* VAESENCrr */
+  },
+  { /* 3000 */
+    44,
+    /* VAESIMCrm */
+  },
+  { /* 3001 */
+    45,
+    /* VAESIMCrr */
+  },
+  { /* 3002 */
+    46,
+    /* VAESKEYGENASSIST128rm */
+  },
+  { /* 3003 */
+    47,
+    /* VAESKEYGENASSIST128rr */
+  },
+  { /* 3004 */
+    326,
+    /* VALIGNDrmi */
+  },
+  { /* 3005 */
+    327,
+    /* VALIGNDrri */
+  },
+  { /* 3006 */
+    328,
+    /* VALIGNDrrik */
+  },
+  { /* 3007 */
+    329,
+    /* VALIGNDrrikz */
+  },
+  { /* 3008 */
+    326,
+    /* VALIGNQrmi */
+  },
+  { /* 3009 */
+    327,
+    /* VALIGNQrri */
+  },
+  { /* 3010 */
+    330,
+    /* VALIGNQrrik */
+  },
+  { /* 3011 */
+    331,
+    /* VALIGNQrrikz */
+  },
+  { /* 3012 */
+    304,
+    /* VANDNPDYrm */
+  },
+  { /* 3013 */
+    305,
+    /* VANDNPDYrr */
+  },
+  { /* 3014 */
+    312,
+    /* VANDNPDrm */
+  },
+  { /* 3015 */
+    313,
+    /* VANDNPDrr */
+  },
+  { /* 3016 */
+    304,
+    /* VANDNPSYrm */
+  },
+  { /* 3017 */
+    305,
+    /* VANDNPSYrr */
+  },
   { /* 3018 */
-    298,
-    /* VBLENDMPDZrm */
+    312,
+    /* VANDNPSrm */
   },
   { /* 3019 */
-    299,
-    /* VBLENDMPDZrr */
+    313,
+    /* VANDNPSrr */
   },
   { /* 3020 */
-    300,
-    /* VBLENDMPSZrm */
+    304,
+    /* VANDPDYrm */
   },
   { /* 3021 */
-    301,
-    /* VBLENDMPSZrr */
+    305,
+    /* VANDPDYrr */
   },
   { /* 3022 */
-    302,
-    /* VBLENDPDYrmi */
+    312,
+    /* VANDPDrm */
   },
   { /* 3023 */
-    303,
-    /* VBLENDPDYrri */
+    313,
+    /* VANDPDrr */
   },
   { /* 3024 */
     304,
-    /* VBLENDPDrmi */
+    /* VANDPSYrm */
   },
   { /* 3025 */
     305,
-    /* VBLENDPDrri */
+    /* VANDPSYrr */
   },
   { /* 3026 */
-    302,
-    /* VBLENDPSYrmi */
+    312,
+    /* VANDPSrm */
   },
   { /* 3027 */
-    303,
-    /* VBLENDPSYrri */
+    313,
+    /* VANDPSrr */
   },
   { /* 3028 */
-    304,
-    /* VBLENDPSrmi */
+    0,
+    /*  */
   },
   { /* 3029 */
-    305,
-    /* VBLENDPSrri */
+    309,
+    /* VBLENDMPDZrm */
   },
   { /* 3030 */
-    306,
-    /* VBLENDVPDYrm */
+    311,
+    /* VBLENDMPDZrr */
   },
   { /* 3031 */
-    307,
-    /* VBLENDVPDYrr */
+    316,
+    /* VBLENDMPSZrm */
   },
   { /* 3032 */
-    308,
-    /* VBLENDVPDrm */
+    317,
+    /* VBLENDMPSZrr */
   },
   { /* 3033 */
-    309,
-    /* VBLENDVPDrr */
+    332,
+    /* VBLENDPDYrmi */
   },
   { /* 3034 */
-    306,
-    /* VBLENDVPSYrm */
+    333,
+    /* VBLENDPDYrri */
   },
   { /* 3035 */
-    307,
-    /* VBLENDVPSYrr */
+    334,
+    /* VBLENDPDrmi */
   },
   { /* 3036 */
-    308,
-    /* VBLENDVPSrm */
+    335,
+    /* VBLENDPDrri */
   },
   { /* 3037 */
-    309,
-    /* VBLENDVPSrr */
+    332,
+    /* VBLENDPSYrmi */
   },
   { /* 3038 */
-    310,
-    /* VBROADCASTF128 */
+    333,
+    /* VBLENDPSYrri */
   },
   { /* 3039 */
-    310,
-    /* VBROADCASTI128 */
+    334,
+    /* VBLENDPSrmi */
   },
   { /* 3040 */
-    311,
-    /* VBROADCASTSDYrm */
+    335,
+    /* VBLENDPSrri */
   },
   { /* 3041 */
-    312,
-    /* VBROADCASTSDYrr */
+    336,
+    /* VBLENDVPDYrm */
   },
   { /* 3042 */
-    313,
-    /* VBROADCASTSDZrm */
+    337,
+    /* VBLENDVPDYrr */
   },
   { /* 3043 */
-    314,
-    /* VBROADCASTSDZrr */
+    338,
+    /* VBLENDVPDrm */
   },
   { /* 3044 */
-    315,
-    /* VBROADCASTSSYrm */
+    339,
+    /* VBLENDVPDrr */
   },
   { /* 3045 */
-    312,
-    /* VBROADCASTSSYrr */
+    336,
+    /* VBLENDVPSYrm */
   },
   { /* 3046 */
-    316,
-    /* VBROADCASTSSZrm */
+    337,
+    /* VBLENDVPSYrr */
   },
   { /* 3047 */
-    314,
-    /* VBROADCASTSSZrr */
+    338,
+    /* VBLENDVPSrm */
   },
   { /* 3048 */
-    317,
-    /* VBROADCASTSSrm */
+    339,
+    /* VBLENDVPSrr */
   },
   { /* 3049 */
-    43,
-    /* VBROADCASTSSrr */
+    340,
+    /* VBROADCASTF128 */
   },
   { /* 3050 */
-    318,
-    /* VCMPPDYrmi */
+    340,
+    /* VBROADCASTI128 */
   },
   { /* 3051 */
-    0,
-    /*  */
+    341,
+    /* VBROADCASTI32X4krm */
   },
   { /* 3052 */
-    319,
-    /* VCMPPDYrri */
+    342,
+    /* VBROADCASTI32X4rm */
   },
   { /* 3053 */
-    0,
-    /*  */
+    343,
+    /* VBROADCASTI64X4krm */
   },
   { /* 3054 */
-    320,
-    /* VCMPPDZrmi */
+    344,
+    /* VBROADCASTI64X4rm */
   },
   { /* 3055 */
-    0,
-    /*  */
+    345,
+    /* VBROADCASTSDYrm */
   },
   { /* 3056 */
-    321,
-    /* VCMPPDZrri */
+    346,
+    /* VBROADCASTSDYrr */
   },
   { /* 3057 */
-    0,
-    /*  */
+    347,
+    /* VBROADCASTSDZrm */
   },
   { /* 3058 */
-    321,
-    /* VCMPPDZrrib */
+    348,
+    /* VBROADCASTSDZrr */
   },
   { /* 3059 */
-    322,
-    /* VCMPPDrmi */
+    349,
+    /* VBROADCASTSSYrm */
   },
   { /* 3060 */
-    0,
-    /*  */
+    346,
+    /* VBROADCASTSSYrr */
   },
   { /* 3061 */
-    323,
-    /* VCMPPDrri */
+    350,
+    /* VBROADCASTSSZrm */
   },
   { /* 3062 */
-    0,
-    /*  */
+    351,
+    /* VBROADCASTSSZrr */
   },
   { /* 3063 */
-    318,
-    /* VCMPPSYrmi */
+    352,
+    /* VBROADCASTSSrm */
   },
   { /* 3064 */
-    0,
-    /*  */
+    45,
+    /* VBROADCASTSSrr */
   },
   { /* 3065 */
-    319,
-    /* VCMPPSYrri */
+    353,
+    /* VCMPPDYrmi */
   },
   { /* 3066 */
     0,
     /*  */
   },
   { /* 3067 */
-    324,
-    /* VCMPPSZrmi */
+    354,
+    /* VCMPPDYrri */
   },
   { /* 3068 */
     0,
     /*  */
   },
   { /* 3069 */
-    325,
-    /* VCMPPSZrri */
+    355,
+    /* VCMPPDZrmi */
   },
   { /* 3070 */
     0,
     /*  */
   },
   { /* 3071 */
-    325,
-    /* VCMPPSZrrib */
+    356,
+    /* VCMPPDZrri */
   },
   { /* 3072 */
-    322,
-    /* VCMPPSrmi */
-  },
-  { /* 3073 */
     0,
     /*  */
   },
+  { /* 3073 */
+    357,
+    /* VCMPPDZrrib */
+  },
   { /* 3074 */
-    323,
-    /* VCMPPSrri */
+    358,
+    /* VCMPPDrmi */
   },
   { /* 3075 */
     0,
     /*  */
   },
   { /* 3076 */
-    326,
-    /* VCMPSDZrm */
+    359,
+    /* VCMPPDrri */
   },
   { /* 3077 */
     0,
     /*  */
   },
   { /* 3078 */
-    327,
-    /* VCMPSDZrr */
+    353,
+    /* VCMPPSYrmi */
   },
   { /* 3079 */
     0,
     /*  */
   },
   { /* 3080 */
-    328,
-    /* VCMPSDrm */
+    354,
+    /* VCMPPSYrri */
   },
   { /* 3081 */
     0,
     /*  */
   },
   { /* 3082 */
-    329,
-    /* VCMPSDrr */
+    360,
+    /* VCMPPSZrmi */
   },
   { /* 3083 */
     0,
     /*  */
   },
   { /* 3084 */
-    330,
-    /* VCMPSSZrm */
+    361,
+    /* VCMPPSZrri */
   },
   { /* 3085 */
     0,
     /*  */
   },
   { /* 3086 */
-    331,
-    /* VCMPSSZrr */
+    362,
+    /* VCMPPSZrrib */
   },
   { /* 3087 */
-    0,
-    /*  */
+    358,
+    /* VCMPPSrmi */
   },
   { /* 3088 */
-    332,
-    /* VCMPSSrm */
+    0,
+    /*  */
   },
   { /* 3089 */
-    0,
-    /*  */
+    359,
+    /* VCMPPSrri */
   },
   { /* 3090 */
-    333,
-    /* VCMPSSrr */
-  },
-  { /* 3091 */
     0,
     /*  */
   },
+  { /* 3091 */
+    363,
+    /* VCMPSDZrm */
+  },
   { /* 3092 */
-    42,
-    /* VCOMISDZrm */
+    0,
+    /*  */
   },
   { /* 3093 */
-    43,
-    /* VCOMISDZrr */
+    364,
+    /* VCMPSDZrr */
   },
   { /* 3094 */
-    42,
-    /* VCOMISDrm */
+    0,
+    /*  */
   },
   { /* 3095 */
-    43,
-    /* VCOMISDrr */
+    365,
+    /* VCMPSDrm */
   },
   { /* 3096 */
-    42,
-    /* VCOMISSZrm */
+    0,
+    /*  */
   },
   { /* 3097 */
-    43,
-    /* VCOMISSZrr */
+    366,
+    /* VCMPSDrr */
   },
   { /* 3098 */
-    42,
-    /* VCOMISSrm */
+    0,
+    /*  */
   },
   { /* 3099 */
-    43,
-    /* VCOMISSrr */
+    367,
+    /* VCMPSSZrm */
   },
   { /* 3100 */
-    310,
-    /* VCVTDQ2PDYrm */
+    0,
+    /*  */
   },
   { /* 3101 */
-    312,
-    /* VCVTDQ2PDYrr */
+    368,
+    /* VCMPSSZrr */
   },
   { /* 3102 */
-    334,
-    /* VCVTDQ2PDZrm */
+    0,
+    /*  */
   },
   { /* 3103 */
-    335,
-    /* VCVTDQ2PDZrr */
+    369,
+    /* VCMPSSrm */
   },
   { /* 3104 */
-    104,
-    /* VCVTDQ2PDrm */
+    0,
+    /*  */
   },
   { /* 3105 */
-    43,
-    /* VCVTDQ2PDrr */
+    370,
+    /* VCMPSSrr */
   },
   { /* 3106 */
-    336,
-    /* VCVTDQ2PSYrm */
+    0,
+    /*  */
   },
   { /* 3107 */
-    337,
-    /* VCVTDQ2PSYrr */
+    371,
+    /* VCOMISDZrm */
   },
   { /* 3108 */
-    338,
-    /* VCVTDQ2PSZrm */
+    372,
+    /* VCOMISDZrr */
   },
   { /* 3109 */
-    339,
-    /* VCVTDQ2PSZrr */
+    44,
+    /* VCOMISDrm */
   },
   { /* 3110 */
-    340,
-    /* VCVTDQ2PSZrrb */
+    45,
+    /* VCOMISDrr */
   },
   { /* 3111 */
-    42,
-    /* VCVTDQ2PSrm */
+    373,
+    /* VCOMISSZrm */
   },
   { /* 3112 */
-    43,
-    /* VCVTDQ2PSrr */
+    374,
+    /* VCOMISSZrr */
   },
   { /* 3113 */
-    42,
-    /* VCVTPD2DQXrm */
+    44,
+    /* VCOMISSrm */
   },
   { /* 3114 */
-    341,
-    /* VCVTPD2DQYrm */
+    45,
+    /* VCOMISSrr */
   },
   { /* 3115 */
-    342,
-    /* VCVTPD2DQYrr */
+    340,
+    /* VCVTDQ2PDYrm */
   },
   { /* 3116 */
-    343,
-    /* VCVTPD2DQZrm */
+    346,
+    /* VCVTDQ2PDYrr */
   },
   { /* 3117 */
     344,
-    /* VCVTPD2DQZrr */
+    /* VCVTDQ2PDZrm */
   },
   { /* 3118 */
-    345,
-    /* VCVTPD2DQZrrb */
+    375,
+    /* VCVTDQ2PDZrr */
   },
   { /* 3119 */
-    43,
-    /* VCVTPD2DQrr */
+    105,
+    /* VCVTDQ2PDrm */
   },
   { /* 3120 */
-    42,
-    /* VCVTPD2PSXrm */
+    45,
+    /* VCVTDQ2PDrr */
   },
   { /* 3121 */
-    341,
-    /* VCVTPD2PSYrm */
+    376,
+    /* VCVTDQ2PSYrm */
   },
   { /* 3122 */
-    342,
-    /* VCVTPD2PSYrr */
+    377,
+    /* VCVTDQ2PSYrr */
   },
   { /* 3123 */
-    343,
-    /* VCVTPD2PSZrm */
+    378,
+    /* VCVTDQ2PSZrm */
   },
   { /* 3124 */
-    344,
-    /* VCVTPD2PSZrr */
+    379,
+    /* VCVTDQ2PSZrr */
   },
   { /* 3125 */
-    345,
-    /* VCVTPD2PSZrrb */
+    380,
+    /* VCVTDQ2PSZrrb */
   },
   { /* 3126 */
-    43,
-    /* VCVTPD2PSrr */
+    44,
+    /* VCVTDQ2PSrm */
   },
   { /* 3127 */
-    343,
-    /* VCVTPD2UDQZrm */
+    45,
+    /* VCVTDQ2PSrr */
   },
   { /* 3128 */
-    344,
-    /* VCVTPD2UDQZrr */
+    44,
+    /* VCVTPD2DQXrm */
   },
   { /* 3129 */
-    345,
-    /* VCVTPD2UDQZrrb */
+    381,
+    /* VCVTPD2DQYrm */
   },
   { /* 3130 */
-    310,
-    /* VCVTPH2PSYrm */
+    382,
+    /* VCVTPD2DQYrr */
   },
   { /* 3131 */
-    312,
-    /* VCVTPH2PSYrr */
+    383,
+    /* VCVTPD2DQZrm */
   },
   { /* 3132 */
-    334,
-    /* VCVTPH2PSZrm */
+    384,
+    /* VCVTPD2DQZrr */
   },
   { /* 3133 */
-    335,
-    /* VCVTPH2PSZrr */
+    385,
+    /* VCVTPD2DQZrrb */
   },
   { /* 3134 */
-    105,
-    /* VCVTPH2PSrm */
+    45,
+    /* VCVTPD2DQrr */
   },
   { /* 3135 */
-    43,
-    /* VCVTPH2PSrr */
+    44,
+    /* VCVTPD2PSXrm */
   },
   { /* 3136 */
-    336,
-    /* VCVTPS2DQYrm */
+    381,
+    /* VCVTPD2PSYrm */
   },
   { /* 3137 */
-    337,
-    /* VCVTPS2DQYrr */
+    382,
+    /* VCVTPD2PSYrr */
   },
   { /* 3138 */
-    338,
-    /* VCVTPS2DQZrm */
+    383,
+    /* VCVTPD2PSZrm */
   },
   { /* 3139 */
-    339,
-    /* VCVTPS2DQZrr */
+    384,
+    /* VCVTPD2PSZrr */
   },
   { /* 3140 */
-    340,
-    /* VCVTPS2DQZrrb */
+    385,
+    /* VCVTPD2PSZrrb */
   },
   { /* 3141 */
-    42,
-    /* VCVTPS2DQrm */
+    45,
+    /* VCVTPD2PSrr */
   },
   { /* 3142 */
-    43,
-    /* VCVTPS2DQrr */
+    383,
+    /* VCVTPD2UDQZrm */
   },
   { /* 3143 */
-    310,
-    /* VCVTPS2PDYrm */
+    384,
+    /* VCVTPD2UDQZrr */
   },
   { /* 3144 */
-    312,
-    /* VCVTPS2PDYrr */
+    385,
+    /* VCVTPD2UDQZrrb */
   },
   { /* 3145 */
-    334,
-    /* VCVTPS2PDZrm */
+    340,
+    /* VCVTPH2PSYrm */
   },
   { /* 3146 */
-    335,
-    /* VCVTPS2PDZrr */
+    346,
+    /* VCVTPH2PSYrr */
   },
   { /* 3147 */
-    105,
-    /* VCVTPS2PDrm */
+    344,
+    /* VCVTPH2PSZrm */
   },
   { /* 3148 */
-    43,
-    /* VCVTPS2PDrr */
+    375,
+    /* VCVTPH2PSZrr */
   },
   { /* 3149 */
-    346,
-    /* VCVTPS2PHYmr */
+    106,
+    /* VCVTPH2PSrm */
   },
   { /* 3150 */
-    347,
-    /* VCVTPS2PHYrr */
+    45,
+    /* VCVTPH2PSrr */
   },
   { /* 3151 */
-    348,
-    /* VCVTPS2PHZmr */
+    376,
+    /* VCVTPS2DQYrm */
   },
   { /* 3152 */
-    349,
-    /* VCVTPS2PHZrr */
+    377,
+    /* VCVTPS2DQYrr */
   },
   { /* 3153 */
-    350,
-    /* VCVTPS2PHmr */
+    378,
+    /* VCVTPS2DQZrm */
   },
   { /* 3154 */
-    351,
-    /* VCVTPS2PHrr */
+    379,
+    /* VCVTPS2DQZrr */
   },
   { /* 3155 */
-    338,
-    /* VCVTPS2UDQZrm */
+    380,
+    /* VCVTPS2DQZrrb */
   },
   { /* 3156 */
-    339,
-    /* VCVTPS2UDQZrr */
+    44,
+    /* VCVTPS2DQrm */
   },
   { /* 3157 */
-    340,
-    /* VCVTPS2UDQZrrb */
+    45,
+    /* VCVTPS2DQrr */
   },
   { /* 3158 */
-    106,
-    /* VCVTSD2SI64Zrm */
+    340,
+    /* VCVTPS2PDYrm */
   },
   { /* 3159 */
-    107,
-    /* VCVTSD2SI64Zrr */
+    346,
+    /* VCVTPS2PDYrr */
   },
   { /* 3160 */
-    106,
-    /* VCVTSD2SI64rm */
+    344,
+    /* VCVTPS2PDZrm */
   },
   { /* 3161 */
-    107,
-    /* VCVTSD2SI64rr */
+    375,
+    /* VCVTPS2PDZrr */
   },
   { /* 3162 */
-    108,
-    /* VCVTSD2SIZrm */
+    106,
+    /* VCVTPS2PDrm */
   },
   { /* 3163 */
-    109,
-    /* VCVTSD2SIZrr */
+    45,
+    /* VCVTPS2PDrr */
   },
   { /* 3164 */
-    108,
-    /* VCVTSD2SIrm */
+    386,
+    /* VCVTPS2PHYmr */
   },
   { /* 3165 */
-    109,
-    /* VCVTSD2SIrr */
+    387,
+    /* VCVTPS2PHYrr */
   },
   { /* 3166 */
-    352,
-    /* VCVTSD2SSZrm */
+    388,
+    /* VCVTPS2PHZmr */
   },
   { /* 3167 */
-    353,
-    /* VCVTSD2SSZrr */
+    389,
+    /* VCVTPS2PHZrr */
   },
   { /* 3168 */
-    352,
-    /* VCVTSD2SSrm */
+    390,
+    /* VCVTPS2PHmr */
   },
   { /* 3169 */
-    353,
-    /* VCVTSD2SSrr */
+    391,
+    /* VCVTPS2PHrr */
   },
   { /* 3170 */
-    106,
-    /* VCVTSD2USI64Zrm */
+    378,
+    /* VCVTPS2UDQZrm */
   },
   { /* 3171 */
-    107,
-    /* VCVTSD2USI64Zrr */
+    379,
+    /* VCVTPS2UDQZrr */
   },
   { /* 3172 */
-    108,
-    /* VCVTSD2USIZrm */
+    380,
+    /* VCVTPS2UDQZrrb */
   },
   { /* 3173 */
-    109,
-    /* VCVTSD2USIZrr */
+    392,
+    /* VCVTSD2SI64Zrm */
   },
   { /* 3174 */
-    354,
-    /* VCVTSI2SD64rm */
+    393,
+    /* VCVTSD2SI64Zrr */
   },
   { /* 3175 */
-    355,
-    /* VCVTSI2SD64rr */
+    107,
+    /* VCVTSD2SI64rm */
   },
   { /* 3176 */
-    354,
-    /* VCVTSI2SDZrm */
+    108,
+    /* VCVTSD2SI64rr */
   },
   { /* 3177 */
-    356,
-    /* VCVTSI2SDZrr */
+    394,
+    /* VCVTSD2SIZrm */
   },
   { /* 3178 */
-    354,
-    /* VCVTSI2SDrm */
+    395,
+    /* VCVTSD2SIZrr */
   },
   { /* 3179 */
-    356,
-    /* VCVTSI2SDrr */
+    109,
+    /* VCVTSD2SIrm */
   },
   { /* 3180 */
-    357,
-    /* VCVTSI2SS64rm */
+    110,
+    /* VCVTSD2SIrr */
   },
   { /* 3181 */
-    358,
-    /* VCVTSI2SS64rr */
+    396,
+    /* VCVTSD2SSZrm */
   },
   { /* 3182 */
-    357,
-    /* VCVTSI2SSZrm */
+    397,
+    /* VCVTSD2SSZrr */
   },
   { /* 3183 */
-    359,
-    /* VCVTSI2SSZrr */
+    398,
+    /* VCVTSD2SSrm */
   },
   { /* 3184 */
-    357,
-    /* VCVTSI2SSrm */
+    399,
+    /* VCVTSD2SSrr */
   },
   { /* 3185 */
-    359,
-    /* VCVTSI2SSrr */
+    392,
+    /* VCVTSD2USI64Zrm */
   },
   { /* 3186 */
-    354,
-    /* VCVTSI642SDZrm */
+    393,
+    /* VCVTSD2USI64Zrr */
   },
   { /* 3187 */
-    355,
-    /* VCVTSI642SDZrr */
+    394,
+    /* VCVTSD2USIZrm */
   },
   { /* 3188 */
-    357,
-    /* VCVTSI642SSZrm */
+    395,
+    /* VCVTSD2USIZrr */
   },
   { /* 3189 */
-    358,
-    /* VCVTSI642SSZrr */
+    400,
+    /* VCVTSI2SD64rm */
   },
   { /* 3190 */
-    360,
-    /* VCVTSS2SDZrm */
+    401,
+    /* VCVTSI2SD64rr */
   },
   { /* 3191 */
-    361,
-    /* VCVTSS2SDZrr */
+    402,
+    /* VCVTSI2SDZrm */
   },
   { /* 3192 */
-    360,
-    /* VCVTSS2SDrm */
+    403,
+    /* VCVTSI2SDZrr */
   },
   { /* 3193 */
-    361,
-    /* VCVTSS2SDrr */
+    400,
+    /* VCVTSI2SDrm */
   },
   { /* 3194 */
-    120,
-    /* VCVTSS2SI64Zrm */
+    404,
+    /* VCVTSI2SDrr */
   },
   { /* 3195 */
-    107,
-    /* VCVTSS2SI64Zrr */
+    405,
+    /* VCVTSI2SS64rm */
   },
   { /* 3196 */
-    120,
-    /* VCVTSS2SI64rm */
+    406,
+    /* VCVTSI2SS64rr */
   },
   { /* 3197 */
-    107,
-    /* VCVTSS2SI64rr */
+    407,
+    /* VCVTSI2SSZrm */
   },
   { /* 3198 */
-    121,
-    /* VCVTSS2SIZrm */
+    408,
+    /* VCVTSI2SSZrr */
   },
   { /* 3199 */
-    109,
-    /* VCVTSS2SIZrr */
+    405,
+    /* VCVTSI2SSrm */
   },
   { /* 3200 */
-    121,
-    /* VCVTSS2SIrm */
+    409,
+    /* VCVTSI2SSrr */
   },
   { /* 3201 */
-    109,
-    /* VCVTSS2SIrr */
+    410,
+    /* VCVTSI642SDZrm */
   },
   { /* 3202 */
-    120,
-    /* VCVTSS2USI64Zrm */
+    411,
+    /* VCVTSI642SDZrr */
   },
   { /* 3203 */
-    107,
-    /* VCVTSS2USI64Zrr */
+    412,
+    /* VCVTSI642SSZrm */
   },
   { /* 3204 */
-    121,
-    /* VCVTSS2USIZrm */
+    413,
+    /* VCVTSI642SSZrr */
   },
   { /* 3205 */
-    109,
-    /* VCVTSS2USIZrr */
+    414,
+    /* VCVTSS2SDZrm */
   },
   { /* 3206 */
-    42,
-    /* VCVTTPD2DQXrm */
+    415,
+    /* VCVTSS2SDZrr */
   },
   { /* 3207 */
-    341,
-    /* VCVTTPD2DQYrm */
+    416,
+    /* VCVTSS2SDrm */
   },
   { /* 3208 */
-    342,
-    /* VCVTTPD2DQYrr */
+    417,
+    /* VCVTSS2SDrr */
   },
   { /* 3209 */
-    343,
-    /* VCVTTPD2DQZrm */
+    418,
+    /* VCVTSS2SI64Zrm */
   },
   { /* 3210 */
-    344,
-    /* VCVTTPD2DQZrr */
+    419,
+    /* VCVTSS2SI64Zrr */
   },
   { /* 3211 */
-    43,
-    /* VCVTTPD2DQrr */
+    121,
+    /* VCVTSS2SI64rm */
   },
   { /* 3212 */
-    343,
-    /* VCVTTPD2UDQZrm */
+    108,
+    /* VCVTSS2SI64rr */
   },
   { /* 3213 */
-    344,
-    /* VCVTTPD2UDQZrr */
+    420,
+    /* VCVTSS2SIZrm */
   },
   { /* 3214 */
-    336,
-    /* VCVTTPS2DQYrm */
+    421,
+    /* VCVTSS2SIZrr */
   },
   { /* 3215 */
-    337,
-    /* VCVTTPS2DQYrr */
+    122,
+    /* VCVTSS2SIrm */
   },
   { /* 3216 */
-    338,
-    /* VCVTTPS2DQZrm */
+    110,
+    /* VCVTSS2SIrr */
   },
   { /* 3217 */
-    339,
-    /* VCVTTPS2DQZrr */
+    418,
+    /* VCVTSS2USI64Zrm */
   },
   { /* 3218 */
-    42,
-    /* VCVTTPS2DQrm */
+    419,
+    /* VCVTSS2USI64Zrr */
   },
   { /* 3219 */
-    43,
-    /* VCVTTPS2DQrr */
+    420,
+    /* VCVTSS2USIZrm */
   },
   { /* 3220 */
-    338,
-    /* VCVTTPS2UDQZrm */
+    421,
+    /* VCVTSS2USIZrr */
   },
   { /* 3221 */
-    339,
-    /* VCVTTPS2UDQZrr */
+    44,
+    /* VCVTTPD2DQXrm */
   },
   { /* 3222 */
-    106,
-    /* VCVTTSD2SI64Zrm */
+    381,
+    /* VCVTTPD2DQYrm */
   },
   { /* 3223 */
-    122,
-    /* VCVTTSD2SI64Zrr */
+    382,
+    /* VCVTTPD2DQYrr */
   },
   { /* 3224 */
-    106,
-    /* VCVTTSD2SI64rm */
+    383,
+    /* VCVTTPD2DQZrm */
   },
   { /* 3225 */
-    122,
-    /* VCVTTSD2SI64rr */
+    384,
+    /* VCVTTPD2DQZrr */
   },
   { /* 3226 */
-    108,
-    /* VCVTTSD2SIZrm */
+    45,
+    /* VCVTTPD2DQrr */
   },
   { /* 3227 */
-    123,
-    /* VCVTTSD2SIZrr */
+    383,
+    /* VCVTTPD2UDQZrm */
   },
   { /* 3228 */
-    108,
-    /* VCVTTSD2SIrm */
+    384,
+    /* VCVTTPD2UDQZrr */
   },
   { /* 3229 */
-    123,
-    /* VCVTTSD2SIrr */
+    376,
+    /* VCVTTPS2DQYrm */
   },
   { /* 3230 */
-    106,
-    /* VCVTTSD2USI64Zrm */
+    377,
+    /* VCVTTPS2DQYrr */
   },
   { /* 3231 */
-    122,
-    /* VCVTTSD2USI64Zrr */
+    378,
+    /* VCVTTPS2DQZrm */
   },
   { /* 3232 */
-    108,
-    /* VCVTTSD2USIZrm */
+    379,
+    /* VCVTTPS2DQZrr */
   },
   { /* 3233 */
-    123,
-    /* VCVTTSD2USIZrr */
+    44,
+    /* VCVTTPS2DQrm */
   },
   { /* 3234 */
-    120,
-    /* VCVTTSS2SI64Zrm */
+    45,
+    /* VCVTTPS2DQrr */
   },
   { /* 3235 */
-    124,
-    /* VCVTTSS2SI64Zrr */
+    378,
+    /* VCVTTPS2UDQZrm */
   },
   { /* 3236 */
-    120,
-    /* VCVTTSS2SI64rm */
+    379,
+    /* VCVTTPS2UDQZrr */
   },
   { /* 3237 */
-    124,
-    /* VCVTTSS2SI64rr */
+    392,
+    /* VCVTTSD2SI64Zrm */
   },
   { /* 3238 */
-    121,
-    /* VCVTTSS2SIZrm */
+    422,
+    /* VCVTTSD2SI64Zrr */
   },
   { /* 3239 */
-    125,
-    /* VCVTTSS2SIZrr */
+    107,
+    /* VCVTTSD2SI64rm */
   },
   { /* 3240 */
-    121,
-    /* VCVTTSS2SIrm */
+    123,
+    /* VCVTTSD2SI64rr */
   },
   { /* 3241 */
-    125,
-    /* VCVTTSS2SIrr */
+    394,
+    /* VCVTTSD2SIZrm */
   },
   { /* 3242 */
-    120,
-    /* VCVTTSS2USI64Zrm */
+    423,
+    /* VCVTTSD2SIZrr */
   },
   { /* 3243 */
-    124,
-    /* VCVTTSS2USI64Zrr */
+    109,
+    /* VCVTTSD2SIrm */
   },
   { /* 3244 */
-    121,
-    /* VCVTTSS2USIZrm */
+    124,
+    /* VCVTTSD2SIrr */
   },
   { /* 3245 */
-    125,
-    /* VCVTTSS2USIZrr */
+    392,
+    /* VCVTTSD2USI64Zrm */
   },
   { /* 3246 */
-    334,
-    /* VCVTUDQ2PDZrm */
+    422,
+    /* VCVTTSD2USI64Zrr */
   },
   { /* 3247 */
-    335,
-    /* VCVTUDQ2PDZrr */
+    394,
+    /* VCVTTSD2USIZrm */
   },
   { /* 3248 */
-    338,
-    /* VCVTUDQ2PSZrm */
+    423,
+    /* VCVTTSD2USIZrr */
   },
   { /* 3249 */
-    339,
-    /* VCVTUDQ2PSZrr */
+    418,
+    /* VCVTTSS2SI64Zrm */
   },
   { /* 3250 */
-    340,
-    /* VCVTUDQ2PSZrrb */
+    424,
+    /* VCVTTSS2SI64Zrr */
   },
   { /* 3251 */
-    354,
-    /* VCVTUSI2SDZrm */
+    121,
+    /* VCVTTSS2SI64rm */
   },
   { /* 3252 */
-    356,
-    /* VCVTUSI2SDZrr */
+    125,
+    /* VCVTTSS2SI64rr */
   },
   { /* 3253 */
-    357,
-    /* VCVTUSI2SSZrm */
+    420,
+    /* VCVTTSS2SIZrm */
   },
   { /* 3254 */
-    359,
-    /* VCVTUSI2SSZrr */
+    425,
+    /* VCVTTSS2SIZrr */
   },
   { /* 3255 */
-    354,
-    /* VCVTUSI642SDZrm */
+    122,
+    /* VCVTTSS2SIrm */
   },
   { /* 3256 */
-    355,
-    /* VCVTUSI642SDZrr */
+    126,
+    /* VCVTTSS2SIrr */
   },
   { /* 3257 */
-    357,
-    /* VCVTUSI642SSZrm */
+    418,
+    /* VCVTTSS2USI64Zrm */
   },
   { /* 3258 */
-    358,
-    /* VCVTUSI642SSZrr */
+    424,
+    /* VCVTTSS2USI64Zrr */
   },
   { /* 3259 */
-    284,
-    /* VDIVPDYrm */
+    420,
+    /* VCVTTSS2USIZrm */
   },
   { /* 3260 */
-    285,
-    /* VDIVPDYrr */
+    425,
+    /* VCVTTSS2USIZrr */
   },
   { /* 3261 */
-    286,
-    /* VDIVPDZrm */
+    344,
+    /* VCVTUDQ2PDZrm */
   },
   { /* 3262 */
-    287,
-    /* VDIVPDZrmb */
+    375,
+    /* VCVTUDQ2PDZrr */
   },
   { /* 3263 */
-    288,
-    /* VDIVPDZrr */
+    378,
+    /* VCVTUDQ2PSZrm */
   },
   { /* 3264 */
-    289,
-    /* VDIVPDrm */
+    379,
+    /* VCVTUDQ2PSZrr */
   },
   { /* 3265 */
-    290,
-    /* VDIVPDrr */
+    380,
+    /* VCVTUDQ2PSZrrb */
   },
   { /* 3266 */
-    284,
-    /* VDIVPSYrm */
+    402,
+    /* VCVTUSI2SDZrm */
   },
   { /* 3267 */
-    285,
-    /* VDIVPSYrr */
+    403,
+    /* VCVTUSI2SDZrr */
   },
   { /* 3268 */
-    286,
-    /* VDIVPSZrm */
+    407,
+    /* VCVTUSI2SSZrm */
   },
   { /* 3269 */
-    291,
-    /* VDIVPSZrmb */
+    408,
+    /* VCVTUSI2SSZrr */
   },
   { /* 3270 */
-    288,
-    /* VDIVPSZrr */
+    410,
+    /* VCVTUSI642SDZrm */
   },
   { /* 3271 */
-    289,
-    /* VDIVPSrm */
+    411,
+    /* VCVTUSI642SDZrr */
   },
   { /* 3272 */
-    290,
-    /* VDIVPSrr */
+    412,
+    /* VCVTUSI642SSZrm */
   },
   { /* 3273 */
-    292,
-    /* VDIVSDZrm */
+    413,
+    /* VCVTUSI642SSZrr */
   },
   { /* 3274 */
-    293,
-    /* VDIVSDZrr */
+    304,
+    /* VDIVPDYrm */
   },
   { /* 3275 */
-    292,
-    /* VDIVSDrm */
+    305,
+    /* VDIVPDYrr */
   },
   { /* 3276 */
-    0,
-    /*  */
+    306,
+    /* VDIVPDZrm */
   },
   { /* 3277 */
-    293,
-    /* VDIVSDrr */
+    307,
+    /* VDIVPDZrmb */
   },
   { /* 3278 */
-    0,
-    /*  */
+    308,
+    /* VDIVPDZrmbk */
   },
   { /* 3279 */
-    294,
-    /* VDIVSSZrm */
+    308,
+    /* VDIVPDZrmbkz */
   },
   { /* 3280 */
-    295,
-    /* VDIVSSZrr */
+    309,
+    /* VDIVPDZrmk */
   },
   { /* 3281 */
-    294,
-    /* VDIVSSrm */
+    309,
+    /* VDIVPDZrmkz */
   },
   { /* 3282 */
-    0,
-    /*  */
+    310,
+    /* VDIVPDZrr */
   },
   { /* 3283 */
-    295,
-    /* VDIVSSrr */
+    311,
+    /* VDIVPDZrrk */
   },
   { /* 3284 */
-    0,
-    /*  */
+    311,
+    /* VDIVPDZrrkz */
   },
   { /* 3285 */
-    304,
-    /* VDPPDrmi */
+    312,
+    /* VDIVPDrm */
   },
   { /* 3286 */
-    305,
-    /* VDPPDrri */
+    313,
+    /* VDIVPDrr */
   },
   { /* 3287 */
-    302,
-    /* VDPPSYrmi */
+    304,
+    /* VDIVPSYrm */
   },
   { /* 3288 */
-    303,
-    /* VDPPSYrri */
+    305,
+    /* VDIVPSYrr */
   },
   { /* 3289 */
-    304,
-    /* VDPPSrmi */
+    306,
+    /* VDIVPSZrm */
   },
   { /* 3290 */
-    305,
-    /* VDPPSrri */
+    314,
+    /* VDIVPSZrmb */
   },
   { /* 3291 */
-    40,
-    /* VERRm */
+    315,
+    /* VDIVPSZrmbk */
   },
   { /* 3292 */
-    171,
-    /* VERRr */
+    315,
+    /* VDIVPSZrmbkz */
   },
   { /* 3293 */
-    40,
-    /* VERWm */
+    316,
+    /* VDIVPSZrmk */
   },
   { /* 3294 */
-    171,
-    /* VERWr */
+    316,
+    /* VDIVPSZrmkz */
   },
   { /* 3295 */
-    362,
-    /* VEXTRACTF128mr */
+    310,
+    /* VDIVPSZrr */
   },
   { /* 3296 */
-    363,
-    /* VEXTRACTF128rr */
+    317,
+    /* VDIVPSZrrk */
   },
   { /* 3297 */
-    364,
-    /* VEXTRACTF32x4mr */
+    317,
+    /* VDIVPSZrrkz */
   },
   { /* 3298 */
-    365,
-    /* VEXTRACTF32x4rr */
+    312,
+    /* VDIVPSrm */
   },
   { /* 3299 */
-    366,
-    /* VEXTRACTF64x4mr */
+    313,
+    /* VDIVPSrr */
   },
   { /* 3300 */
-    367,
-    /* VEXTRACTF64x4rr */
+    318,
+    /* VDIVSDZrm */
   },
   { /* 3301 */
-    362,
-    /* VEXTRACTI128mr */
+    319,
+    /* VDIVSDZrr */
   },
   { /* 3302 */
-    363,
-    /* VEXTRACTI128rr */
+    320,
+    /* VDIVSDrm */
   },
   { /* 3303 */
-    364,
-    /* VEXTRACTI32x4mr */
+    0,
+    /*  */
   },
   { /* 3304 */
-    365,
-    /* VEXTRACTI32x4rr */
+    321,
+    /* VDIVSDrr */
   },
   { /* 3305 */
-    366,
-    /* VEXTRACTI64x4mr */
+    0,
+    /*  */
   },
   { /* 3306 */
-    367,
-    /* VEXTRACTI64x4rr */
+    322,
+    /* VDIVSSZrm */
   },
   { /* 3307 */
-    131,
-    /* VEXTRACTPSmr */
+    323,
+    /* VDIVSSZrr */
   },
   { /* 3308 */
-    132,
-    /* VEXTRACTPSrr */
+    324,
+    /* VDIVSSrm */
   },
   { /* 3309 */
-    131,
-    /* VEXTRACTPSzmr */
+    0,
+    /*  */
   },
   { /* 3310 */
-    132,
-    /* VEXTRACTPSzrr */
+    325,
+    /* VDIVSSrr */
   },
   { /* 3311 */
-    368,
-    /* VFMADD132PDZm */
+    0,
+    /*  */
   },
   { /* 3312 */
-    369,
-    /* VFMADD132PDZmb */
+    334,
+    /* VDPPDrmi */
   },
   { /* 3313 */
-    368,
-    /* VFMADD132PSZm */
+    335,
+    /* VDPPDrri */
   },
   { /* 3314 */
-    370,
-    /* VFMADD132PSZmb */
+    332,
+    /* VDPPSYrmi */
   },
   { /* 3315 */
-    368,
-    /* VFMADD213PDZm */
+    333,
+    /* VDPPSYrri */
   },
   { /* 3316 */
-    369,
-    /* VFMADD213PDZmb */
+    334,
+    /* VDPPSrmi */
   },
   { /* 3317 */
-    371,
-    /* VFMADD213PDZr */
+    335,
+    /* VDPPSrri */
   },
   { /* 3318 */
-    368,
-    /* VFMADD213PSZm */
+    38,
+    /* VERRm */
   },
   { /* 3319 */
-    370,
-    /* VFMADD213PSZmb */
+    190,
+    /* VERRr */
   },
   { /* 3320 */
-    371,
-    /* VFMADD213PSZr */
+    38,
+    /* VERWm */
   },
   { /* 3321 */
-    308,
-    /* VFMADDPD4mr */
+    190,
+    /* VERWr */
   },
   { /* 3322 */
-    306,
-    /* VFMADDPD4mrY */
+    426,
+    /* VEXTRACTF128mr */
   },
   { /* 3323 */
-    372,
-    /* VFMADDPD4rm */
+    427,
+    /* VEXTRACTF128rr */
   },
   { /* 3324 */
-    373,
-    /* VFMADDPD4rmY */
+    428,
+    /* VEXTRACTF32x4mr */
   },
   { /* 3325 */
-    374,
-    /* VFMADDPD4rr */
+    429,
+    /* VEXTRACTF32x4rr */
   },
   { /* 3326 */
-    375,
-    /* VFMADDPD4rrY */
+    430,
+    /* VEXTRACTF64x4mr */
   },
   { /* 3327 */
-    307,
-    /* VFMADDPD4rrY_REV */
+    431,
+    /* VEXTRACTF64x4rr */
   },
   { /* 3328 */
-    309,
-    /* VFMADDPD4rr_REV */
+    426,
+    /* VEXTRACTI128mr */
   },
   { /* 3329 */
-    376,
-    /* VFMADDPDr132m */
+    427,
+    /* VEXTRACTI128rr */
   },
   { /* 3330 */
-    377,
-    /* VFMADDPDr132mY */
+    428,
+    /* VEXTRACTI32x4mr */
   },
   { /* 3331 */
-    378,
-    /* VFMADDPDr132r */
+    429,
+    /* VEXTRACTI32x4rr */
   },
   { /* 3332 */
-    379,
-    /* VFMADDPDr132rY */
+    430,
+    /* VEXTRACTI64x4mr */
   },
   { /* 3333 */
-    376,
-    /* VFMADDPDr213m */
+    431,
+    /* VEXTRACTI64x4rr */
   },
   { /* 3334 */
-    377,
-    /* VFMADDPDr213mY */
+    132,
+    /* VEXTRACTPSmr */
   },
   { /* 3335 */
-    378,
-    /* VFMADDPDr213r */
+    133,
+    /* VEXTRACTPSrr */
   },
   { /* 3336 */
-    379,
-    /* VFMADDPDr213rY */
+    432,
+    /* VEXTRACTPSzmr */
   },
   { /* 3337 */
-    376,
-    /* VFMADDPDr231m */
+    433,
+    /* VEXTRACTPSzrr */
   },
   { /* 3338 */
-    377,
-    /* VFMADDPDr231mY */
+    434,
+    /* VFMADD132PDZm */
   },
   { /* 3339 */
-    378,
-    /* VFMADDPDr231r */
+    435,
+    /* VFMADD132PDZmb */
   },
   { /* 3340 */
-    379,
-    /* VFMADDPDr231rY */
+    434,
+    /* VFMADD132PSZm */
   },
   { /* 3341 */
-    308,
-    /* VFMADDPS4mr */
+    436,
+    /* VFMADD132PSZmb */
   },
   { /* 3342 */
-    306,
-    /* VFMADDPS4mrY */
+    434,
+    /* VFMADD213PDZm */
   },
   { /* 3343 */
-    372,
-    /* VFMADDPS4rm */
+    435,
+    /* VFMADD213PDZmb */
   },
   { /* 3344 */
-    373,
-    /* VFMADDPS4rmY */
+    437,
+    /* VFMADD213PDZr */
   },
   { /* 3345 */
-    374,
-    /* VFMADDPS4rr */
+    438,
+    /* VFMADD213PDZrk */
   },
   { /* 3346 */
-    375,
-    /* VFMADDPS4rrY */
+    438,
+    /* VFMADD213PDZrkz */
   },
   { /* 3347 */
-    307,
-    /* VFMADDPS4rrY_REV */
+    434,
+    /* VFMADD213PSZm */
   },
   { /* 3348 */
-    309,
-    /* VFMADDPS4rr_REV */
+    436,
+    /* VFMADD213PSZmb */
   },
   { /* 3349 */
-    376,
-    /* VFMADDPSr132m */
+    437,
+    /* VFMADD213PSZr */
   },
   { /* 3350 */
-    377,
-    /* VFMADDPSr132mY */
+    439,
+    /* VFMADD213PSZrk */
   },
   { /* 3351 */
-    378,
-    /* VFMADDPSr132r */
+    439,
+    /* VFMADD213PSZrkz */
   },
   { /* 3352 */
-    379,
-    /* VFMADDPSr132rY */
+    338,
+    /* VFMADDPD4mr */
   },
   { /* 3353 */
-    376,
-    /* VFMADDPSr213m */
+    336,
+    /* VFMADDPD4mrY */
   },
   { /* 3354 */
-    377,
-    /* VFMADDPSr213mY */
+    440,
+    /* VFMADDPD4rm */
   },
   { /* 3355 */
-    378,
-    /* VFMADDPSr213r */
+    441,
+    /* VFMADDPD4rmY */
   },
   { /* 3356 */
-    379,
-    /* VFMADDPSr213rY */
+    442,
+    /* VFMADDPD4rr */
   },
   { /* 3357 */
-    376,
-    /* VFMADDPSr231m */
+    443,
+    /* VFMADDPD4rrY */
   },
   { /* 3358 */
-    377,
-    /* VFMADDPSr231mY */
+    337,
+    /* VFMADDPD4rrY_REV */
   },
   { /* 3359 */
-    378,
-    /* VFMADDPSr231r */
+    339,
+    /* VFMADDPD4rr_REV */
   },
   { /* 3360 */
-    379,
-    /* VFMADDPSr231rY */
+    444,
+    /* VFMADDPDr132m */
   },
   { /* 3361 */
-    380,
-    /* VFMADDSD4mr */
+    445,
+    /* VFMADDPDr132mY */
   },
   { /* 3362 */
-    0,
-    /*  */
+    446,
+    /* VFMADDPDr132r */
   },
   { /* 3363 */
-    381,
-    /* VFMADDSD4rm */
+    447,
+    /* VFMADDPDr132rY */
   },
   { /* 3364 */
-    0,
-    /*  */
+    444,
+    /* VFMADDPDr213m */
   },
   { /* 3365 */
-    382,
-    /* VFMADDSD4rr */
+    445,
+    /* VFMADDPDr213mY */
   },
   { /* 3366 */
-    0,
-    /*  */
+    446,
+    /* VFMADDPDr213r */
   },
   { /* 3367 */
-    383,
-    /* VFMADDSD4rr_REV */
+    447,
+    /* VFMADDPDr213rY */
   },
   { /* 3368 */
-    384,
-    /* VFMADDSDZm */
+    444,
+    /* VFMADDPDr231m */
   },
   { /* 3369 */
-    385,
-    /* VFMADDSDZr */
+    445,
+    /* VFMADDPDr231mY */
   },
   { /* 3370 */
-    386,
-    /* VFMADDSDr132m */
+    446,
+    /* VFMADDPDr231r */
   },
   { /* 3371 */
-    385,
-    /* VFMADDSDr132r */
+    447,
+    /* VFMADDPDr231rY */
   },
   { /* 3372 */
-    386,
-    /* VFMADDSDr213m */
+    338,
+    /* VFMADDPS4mr */
   },
   { /* 3373 */
-    385,
-    /* VFMADDSDr213r */
+    336,
+    /* VFMADDPS4mrY */
   },
   { /* 3374 */
-    386,
-    /* VFMADDSDr231m */
+    440,
+    /* VFMADDPS4rm */
   },
   { /* 3375 */
-    385,
-    /* VFMADDSDr231r */
+    441,
+    /* VFMADDPS4rmY */
   },
   { /* 3376 */
-    387,
-    /* VFMADDSS4mr */
+    442,
+    /* VFMADDPS4rr */
   },
   { /* 3377 */
-    0,
-    /*  */
+    443,
+    /* VFMADDPS4rrY */
   },
   { /* 3378 */
-    388,
-    /* VFMADDSS4rm */
+    337,
+    /* VFMADDPS4rrY_REV */
   },
   { /* 3379 */
-    0,
-    /*  */
+    339,
+    /* VFMADDPS4rr_REV */
   },
   { /* 3380 */
-    389,
-    /* VFMADDSS4rr */
+    444,
+    /* VFMADDPSr132m */
   },
   { /* 3381 */
-    0,
-    /*  */
+    445,
+    /* VFMADDPSr132mY */
   },
   { /* 3382 */
-    390,
-    /* VFMADDSS4rr_REV */
+    446,
+    /* VFMADDPSr132r */
   },
   { /* 3383 */
-    391,
-    /* VFMADDSSZm */
+    447,
+    /* VFMADDPSr132rY */
   },
   { /* 3384 */
-    392,
-    /* VFMADDSSZr */
+    444,
+    /* VFMADDPSr213m */
   },
   { /* 3385 */
-    393,
-    /* VFMADDSSr132m */
+    445,
+    /* VFMADDPSr213mY */
   },
   { /* 3386 */
-    392,
-    /* VFMADDSSr132r */
+    446,
+    /* VFMADDPSr213r */
   },
   { /* 3387 */
-    393,
-    /* VFMADDSSr213m */
+    447,
+    /* VFMADDPSr213rY */
   },
   { /* 3388 */
-    392,
-    /* VFMADDSSr213r */
+    444,
+    /* VFMADDPSr231m */
   },
   { /* 3389 */
-    393,
-    /* VFMADDSSr231m */
+    445,
+    /* VFMADDPSr231mY */
   },
   { /* 3390 */
-    392,
-    /* VFMADDSSr231r */
+    446,
+    /* VFMADDPSr231r */
   },
   { /* 3391 */
-    368,
-    /* VFMADDSUB132PDZm */
+    447,
+    /* VFMADDPSr231rY */
   },
   { /* 3392 */
-    369,
-    /* VFMADDSUB132PDZmb */
+    448,
+    /* VFMADDSD4mr */
   },
   { /* 3393 */
-    368,
-    /* VFMADDSUB132PSZm */
+    0,
+    /*  */
   },
   { /* 3394 */
-    370,
-    /* VFMADDSUB132PSZmb */
+    449,
+    /* VFMADDSD4rm */
   },
   { /* 3395 */
-    368,
-    /* VFMADDSUB213PDZm */
+    0,
+    /*  */
   },
   { /* 3396 */
-    369,
-    /* VFMADDSUB213PDZmb */
+    450,
+    /* VFMADDSD4rr */
   },
   { /* 3397 */
-    371,
-    /* VFMADDSUB213PDZr */
+    0,
+    /*  */
   },
   { /* 3398 */
-    368,
-    /* VFMADDSUB213PSZm */
+    451,
+    /* VFMADDSD4rr_REV */
   },
   { /* 3399 */
-    370,
-    /* VFMADDSUB213PSZmb */
+    452,
+    /* VFMADDSDZm */
   },
   { /* 3400 */
-    371,
-    /* VFMADDSUB213PSZr */
+    453,
+    /* VFMADDSDZr */
   },
   { /* 3401 */
-    308,
-    /* VFMADDSUBPD4mr */
+    454,
+    /* VFMADDSDr132m */
   },
   { /* 3402 */
-    306,
-    /* VFMADDSUBPD4mrY */
+    455,
+    /* VFMADDSDr132r */
   },
   { /* 3403 */
-    372,
-    /* VFMADDSUBPD4rm */
+    454,
+    /* VFMADDSDr213m */
   },
   { /* 3404 */
-    373,
-    /* VFMADDSUBPD4rmY */
+    455,
+    /* VFMADDSDr213r */
   },
   { /* 3405 */
-    374,
-    /* VFMADDSUBPD4rr */
+    454,
+    /* VFMADDSDr231m */
   },
   { /* 3406 */
-    375,
-    /* VFMADDSUBPD4rrY */
+    455,
+    /* VFMADDSDr231r */
   },
   { /* 3407 */
-    307,
-    /* VFMADDSUBPD4rrY_REV */
+    456,
+    /* VFMADDSS4mr */
   },
   { /* 3408 */
-    309,
-    /* VFMADDSUBPD4rr_REV */
+    0,
+    /*  */
   },
   { /* 3409 */
-    376,
-    /* VFMADDSUBPDr132m */
+    457,
+    /* VFMADDSS4rm */
   },
   { /* 3410 */
-    377,
-    /* VFMADDSUBPDr132mY */
+    0,
+    /*  */
   },
   { /* 3411 */
-    378,
-    /* VFMADDSUBPDr132r */
+    458,
+    /* VFMADDSS4rr */
   },
   { /* 3412 */
-    379,
-    /* VFMADDSUBPDr132rY */
+    0,
+    /*  */
   },
   { /* 3413 */
-    376,
-    /* VFMADDSUBPDr213m */
+    459,
+    /* VFMADDSS4rr_REV */
   },
   { /* 3414 */
-    377,
-    /* VFMADDSUBPDr213mY */
+    460,
+    /* VFMADDSSZm */
   },
   { /* 3415 */
-    378,
-    /* VFMADDSUBPDr213r */
+    461,
+    /* VFMADDSSZr */
   },
   { /* 3416 */
-    379,
-    /* VFMADDSUBPDr213rY */
+    462,
+    /* VFMADDSSr132m */
   },
   { /* 3417 */
-    376,
-    /* VFMADDSUBPDr231m */
+    463,
+    /* VFMADDSSr132r */
   },
   { /* 3418 */
-    377,
-    /* VFMADDSUBPDr231mY */
+    462,
+    /* VFMADDSSr213m */
   },
   { /* 3419 */
-    378,
-    /* VFMADDSUBPDr231r */
+    463,
+    /* VFMADDSSr213r */
   },
   { /* 3420 */
-    379,
-    /* VFMADDSUBPDr231rY */
+    462,
+    /* VFMADDSSr231m */
   },
   { /* 3421 */
-    308,
-    /* VFMADDSUBPS4mr */
+    463,
+    /* VFMADDSSr231r */
   },
   { /* 3422 */
-    306,
-    /* VFMADDSUBPS4mrY */
+    434,
+    /* VFMADDSUB132PDZm */
   },
   { /* 3423 */
-    372,
-    /* VFMADDSUBPS4rm */
+    435,
+    /* VFMADDSUB132PDZmb */
   },
   { /* 3424 */
-    373,
-    /* VFMADDSUBPS4rmY */
+    434,
+    /* VFMADDSUB132PSZm */
   },
   { /* 3425 */
-    374,
-    /* VFMADDSUBPS4rr */
+    436,
+    /* VFMADDSUB132PSZmb */
   },
   { /* 3426 */
-    375,
-    /* VFMADDSUBPS4rrY */
+    434,
+    /* VFMADDSUB213PDZm */
   },
   { /* 3427 */
-    307,
-    /* VFMADDSUBPS4rrY_REV */
+    435,
+    /* VFMADDSUB213PDZmb */
   },
   { /* 3428 */
-    309,
-    /* VFMADDSUBPS4rr_REV */
+    437,
+    /* VFMADDSUB213PDZr */
   },
   { /* 3429 */
-    376,
-    /* VFMADDSUBPSr132m */
+    438,
+    /* VFMADDSUB213PDZrk */
   },
   { /* 3430 */
-    377,
-    /* VFMADDSUBPSr132mY */
+    438,
+    /* VFMADDSUB213PDZrkz */
   },
   { /* 3431 */
-    378,
-    /* VFMADDSUBPSr132r */
+    434,
+    /* VFMADDSUB213PSZm */
   },
   { /* 3432 */
-    379,
-    /* VFMADDSUBPSr132rY */
+    436,
+    /* VFMADDSUB213PSZmb */
   },
   { /* 3433 */
-    376,
-    /* VFMADDSUBPSr213m */
+    437,
+    /* VFMADDSUB213PSZr */
   },
   { /* 3434 */
-    377,
-    /* VFMADDSUBPSr213mY */
+    439,
+    /* VFMADDSUB213PSZrk */
   },
   { /* 3435 */
-    378,
-    /* VFMADDSUBPSr213r */
+    439,
+    /* VFMADDSUB213PSZrkz */
   },
   { /* 3436 */
-    379,
-    /* VFMADDSUBPSr213rY */
+    338,
+    /* VFMADDSUBPD4mr */
   },
   { /* 3437 */
-    376,
-    /* VFMADDSUBPSr231m */
+    336,
+    /* VFMADDSUBPD4mrY */
   },
   { /* 3438 */
-    377,
-    /* VFMADDSUBPSr231mY */
+    440,
+    /* VFMADDSUBPD4rm */
   },
   { /* 3439 */
-    378,
-    /* VFMADDSUBPSr231r */
+    441,
+    /* VFMADDSUBPD4rmY */
   },
   { /* 3440 */
-    379,
-    /* VFMADDSUBPSr231rY */
+    442,
+    /* VFMADDSUBPD4rr */
   },
   { /* 3441 */
-    368,
-    /* VFMSUB132PDZm */
+    443,
+    /* VFMADDSUBPD4rrY */
   },
   { /* 3442 */
-    369,
-    /* VFMSUB132PDZmb */
+    337,
+    /* VFMADDSUBPD4rrY_REV */
   },
   { /* 3443 */
-    368,
-    /* VFMSUB132PSZm */
+    339,
+    /* VFMADDSUBPD4rr_REV */
   },
   { /* 3444 */
-    370,
-    /* VFMSUB132PSZmb */
+    444,
+    /* VFMADDSUBPDr132m */
   },
   { /* 3445 */
-    368,
-    /* VFMSUB213PDZm */
+    445,
+    /* VFMADDSUBPDr132mY */
   },
   { /* 3446 */
-    369,
-    /* VFMSUB213PDZmb */
+    446,
+    /* VFMADDSUBPDr132r */
   },
   { /* 3447 */
-    371,
-    /* VFMSUB213PDZr */
+    447,
+    /* VFMADDSUBPDr132rY */
   },
   { /* 3448 */
-    368,
-    /* VFMSUB213PSZm */
+    444,
+    /* VFMADDSUBPDr213m */
   },
   { /* 3449 */
-    370,
-    /* VFMSUB213PSZmb */
+    445,
+    /* VFMADDSUBPDr213mY */
   },
   { /* 3450 */
-    371,
-    /* VFMSUB213PSZr */
+    446,
+    /* VFMADDSUBPDr213r */
   },
   { /* 3451 */
-    368,
-    /* VFMSUBADD132PDZm */
+    447,
+    /* VFMADDSUBPDr213rY */
   },
   { /* 3452 */
-    369,
-    /* VFMSUBADD132PDZmb */
+    444,
+    /* VFMADDSUBPDr231m */
   },
   { /* 3453 */
-    368,
-    /* VFMSUBADD132PSZm */
+    445,
+    /* VFMADDSUBPDr231mY */
   },
   { /* 3454 */
-    370,
-    /* VFMSUBADD132PSZmb */
+    446,
+    /* VFMADDSUBPDr231r */
   },
   { /* 3455 */
-    368,
-    /* VFMSUBADD213PDZm */
+    447,
+    /* VFMADDSUBPDr231rY */
   },
   { /* 3456 */
-    369,
-    /* VFMSUBADD213PDZmb */
+    338,
+    /* VFMADDSUBPS4mr */
   },
   { /* 3457 */
-    371,
-    /* VFMSUBADD213PDZr */
+    336,
+    /* VFMADDSUBPS4mrY */
   },
   { /* 3458 */
-    368,
-    /* VFMSUBADD213PSZm */
+    440,
+    /* VFMADDSUBPS4rm */
   },
   { /* 3459 */
-    370,
-    /* VFMSUBADD213PSZmb */
+    441,
+    /* VFMADDSUBPS4rmY */
   },
   { /* 3460 */
-    371,
-    /* VFMSUBADD213PSZr */
+    442,
+    /* VFMADDSUBPS4rr */
   },
   { /* 3461 */
-    308,
-    /* VFMSUBADDPD4mr */
+    443,
+    /* VFMADDSUBPS4rrY */
   },
   { /* 3462 */
-    306,
-    /* VFMSUBADDPD4mrY */
+    337,
+    /* VFMADDSUBPS4rrY_REV */
   },
   { /* 3463 */
-    372,
-    /* VFMSUBADDPD4rm */
+    339,
+    /* VFMADDSUBPS4rr_REV */
   },
   { /* 3464 */
-    373,
-    /* VFMSUBADDPD4rmY */
+    444,
+    /* VFMADDSUBPSr132m */
   },
   { /* 3465 */
-    374,
-    /* VFMSUBADDPD4rr */
+    445,
+    /* VFMADDSUBPSr132mY */
   },
   { /* 3466 */
-    375,
-    /* VFMSUBADDPD4rrY */
+    446,
+    /* VFMADDSUBPSr132r */
   },
   { /* 3467 */
-    307,
-    /* VFMSUBADDPD4rrY_REV */
+    447,
+    /* VFMADDSUBPSr132rY */
   },
   { /* 3468 */
-    309,
-    /* VFMSUBADDPD4rr_REV */
+    444,
+    /* VFMADDSUBPSr213m */
   },
   { /* 3469 */
-    376,
-    /* VFMSUBADDPDr132m */
+    445,
+    /* VFMADDSUBPSr213mY */
   },
   { /* 3470 */
-    377,
-    /* VFMSUBADDPDr132mY */
+    446,
+    /* VFMADDSUBPSr213r */
   },
   { /* 3471 */
-    378,
-    /* VFMSUBADDPDr132r */
+    447,
+    /* VFMADDSUBPSr213rY */
   },
   { /* 3472 */
-    379,
-    /* VFMSUBADDPDr132rY */
+    444,
+    /* VFMADDSUBPSr231m */
   },
   { /* 3473 */
-    376,
-    /* VFMSUBADDPDr213m */
+    445,
+    /* VFMADDSUBPSr231mY */
   },
   { /* 3474 */
-    377,
-    /* VFMSUBADDPDr213mY */
+    446,
+    /* VFMADDSUBPSr231r */
   },
   { /* 3475 */
-    378,
-    /* VFMSUBADDPDr213r */
+    447,
+    /* VFMADDSUBPSr231rY */
   },
   { /* 3476 */
-    379,
-    /* VFMSUBADDPDr213rY */
+    434,
+    /* VFMSUB132PDZm */
   },
   { /* 3477 */
-    376,
-    /* VFMSUBADDPDr231m */
+    435,
+    /* VFMSUB132PDZmb */
   },
   { /* 3478 */
-    377,
-    /* VFMSUBADDPDr231mY */
+    434,
+    /* VFMSUB132PSZm */
   },
   { /* 3479 */
-    378,
-    /* VFMSUBADDPDr231r */
+    436,
+    /* VFMSUB132PSZmb */
   },
   { /* 3480 */
-    379,
-    /* VFMSUBADDPDr231rY */
+    434,
+    /* VFMSUB213PDZm */
   },
   { /* 3481 */
-    308,
-    /* VFMSUBADDPS4mr */
+    435,
+    /* VFMSUB213PDZmb */
   },
   { /* 3482 */
-    306,
-    /* VFMSUBADDPS4mrY */
+    437,
+    /* VFMSUB213PDZr */
   },
   { /* 3483 */
-    372,
-    /* VFMSUBADDPS4rm */
+    438,
+    /* VFMSUB213PDZrk */
   },
   { /* 3484 */
-    373,
-    /* VFMSUBADDPS4rmY */
+    438,
+    /* VFMSUB213PDZrkz */
   },
   { /* 3485 */
-    374,
-    /* VFMSUBADDPS4rr */
+    434,
+    /* VFMSUB213PSZm */
   },
   { /* 3486 */
-    375,
-    /* VFMSUBADDPS4rrY */
+    436,
+    /* VFMSUB213PSZmb */
   },
   { /* 3487 */
-    307,
-    /* VFMSUBADDPS4rrY_REV */
+    437,
+    /* VFMSUB213PSZr */
   },
   { /* 3488 */
-    309,
-    /* VFMSUBADDPS4rr_REV */
+    439,
+    /* VFMSUB213PSZrk */
   },
   { /* 3489 */
-    376,
-    /* VFMSUBADDPSr132m */
+    439,
+    /* VFMSUB213PSZrkz */
   },
   { /* 3490 */
-    377,
-    /* VFMSUBADDPSr132mY */
+    434,
+    /* VFMSUBADD132PDZm */
   },
   { /* 3491 */
-    378,
-    /* VFMSUBADDPSr132r */
+    435,
+    /* VFMSUBADD132PDZmb */
   },
   { /* 3492 */
-    379,
-    /* VFMSUBADDPSr132rY */
+    434,
+    /* VFMSUBADD132PSZm */
   },
   { /* 3493 */
-    376,
-    /* VFMSUBADDPSr213m */
+    436,
+    /* VFMSUBADD132PSZmb */
   },
   { /* 3494 */
-    377,
-    /* VFMSUBADDPSr213mY */
+    434,
+    /* VFMSUBADD213PDZm */
   },
   { /* 3495 */
-    378,
-    /* VFMSUBADDPSr213r */
+    435,
+    /* VFMSUBADD213PDZmb */
   },
   { /* 3496 */
-    379,
-    /* VFMSUBADDPSr213rY */
+    437,
+    /* VFMSUBADD213PDZr */
   },
   { /* 3497 */
-    376,
-    /* VFMSUBADDPSr231m */
+    438,
+    /* VFMSUBADD213PDZrk */
   },
   { /* 3498 */
-    377,
-    /* VFMSUBADDPSr231mY */
+    438,
+    /* VFMSUBADD213PDZrkz */
   },
   { /* 3499 */
-    378,
-    /* VFMSUBADDPSr231r */
+    434,
+    /* VFMSUBADD213PSZm */
   },
   { /* 3500 */
-    379,
-    /* VFMSUBADDPSr231rY */
+    436,
+    /* VFMSUBADD213PSZmb */
   },
   { /* 3501 */
-    308,
-    /* VFMSUBPD4mr */
+    437,
+    /* VFMSUBADD213PSZr */
   },
   { /* 3502 */
-    306,
-    /* VFMSUBPD4mrY */
+    439,
+    /* VFMSUBADD213PSZrk */
   },
   { /* 3503 */
-    372,
-    /* VFMSUBPD4rm */
+    439,
+    /* VFMSUBADD213PSZrkz */
   },
   { /* 3504 */
-    373,
-    /* VFMSUBPD4rmY */
+    338,
+    /* VFMSUBADDPD4mr */
   },
   { /* 3505 */
-    374,
-    /* VFMSUBPD4rr */
+    336,
+    /* VFMSUBADDPD4mrY */
   },
   { /* 3506 */
-    375,
-    /* VFMSUBPD4rrY */
+    440,
+    /* VFMSUBADDPD4rm */
   },
   { /* 3507 */
-    307,
-    /* VFMSUBPD4rrY_REV */
+    441,
+    /* VFMSUBADDPD4rmY */
   },
   { /* 3508 */
-    309,
-    /* VFMSUBPD4rr_REV */
+    442,
+    /* VFMSUBADDPD4rr */
   },
   { /* 3509 */
-    376,
-    /* VFMSUBPDr132m */
+    443,
+    /* VFMSUBADDPD4rrY */
   },
   { /* 3510 */
-    377,
-    /* VFMSUBPDr132mY */
+    337,
+    /* VFMSUBADDPD4rrY_REV */
   },
   { /* 3511 */
-    378,
-    /* VFMSUBPDr132r */
+    339,
+    /* VFMSUBADDPD4rr_REV */
   },
   { /* 3512 */
-    379,
-    /* VFMSUBPDr132rY */
+    444,
+    /* VFMSUBADDPDr132m */
   },
   { /* 3513 */
-    376,
-    /* VFMSUBPDr213m */
+    445,
+    /* VFMSUBADDPDr132mY */
   },
   { /* 3514 */
-    377,
-    /* VFMSUBPDr213mY */
+    446,
+    /* VFMSUBADDPDr132r */
   },
   { /* 3515 */
-    378,
-    /* VFMSUBPDr213r */
+    447,
+    /* VFMSUBADDPDr132rY */
   },
   { /* 3516 */
-    379,
-    /* VFMSUBPDr213rY */
+    444,
+    /* VFMSUBADDPDr213m */
   },
   { /* 3517 */
-    376,
-    /* VFMSUBPDr231m */
+    445,
+    /* VFMSUBADDPDr213mY */
   },
   { /* 3518 */
-    377,
-    /* VFMSUBPDr231mY */
+    446,
+    /* VFMSUBADDPDr213r */
   },
   { /* 3519 */
-    378,
-    /* VFMSUBPDr231r */
+    447,
+    /* VFMSUBADDPDr213rY */
   },
   { /* 3520 */
-    379,
-    /* VFMSUBPDr231rY */
+    444,
+    /* VFMSUBADDPDr231m */
   },
   { /* 3521 */
-    308,
-    /* VFMSUBPS4mr */
+    445,
+    /* VFMSUBADDPDr231mY */
   },
   { /* 3522 */
-    306,
-    /* VFMSUBPS4mrY */
+    446,
+    /* VFMSUBADDPDr231r */
   },
   { /* 3523 */
-    372,
-    /* VFMSUBPS4rm */
+    447,
+    /* VFMSUBADDPDr231rY */
   },
   { /* 3524 */
-    373,
-    /* VFMSUBPS4rmY */
+    338,
+    /* VFMSUBADDPS4mr */
   },
   { /* 3525 */
-    374,
-    /* VFMSUBPS4rr */
+    336,
+    /* VFMSUBADDPS4mrY */
   },
   { /* 3526 */
-    375,
-    /* VFMSUBPS4rrY */
+    440,
+    /* VFMSUBADDPS4rm */
   },
   { /* 3527 */
-    307,
-    /* VFMSUBPS4rrY_REV */
+    441,
+    /* VFMSUBADDPS4rmY */
   },
   { /* 3528 */
-    309,
-    /* VFMSUBPS4rr_REV */
+    442,
+    /* VFMSUBADDPS4rr */
   },
   { /* 3529 */
-    376,
-    /* VFMSUBPSr132m */
+    443,
+    /* VFMSUBADDPS4rrY */
   },
   { /* 3530 */
-    377,
-    /* VFMSUBPSr132mY */
+    337,
+    /* VFMSUBADDPS4rrY_REV */
   },
   { /* 3531 */
-    378,
-    /* VFMSUBPSr132r */
+    339,
+    /* VFMSUBADDPS4rr_REV */
   },
   { /* 3532 */
-    379,
-    /* VFMSUBPSr132rY */
+    444,
+    /* VFMSUBADDPSr132m */
   },
   { /* 3533 */
-    376,
-    /* VFMSUBPSr213m */
+    445,
+    /* VFMSUBADDPSr132mY */
   },
   { /* 3534 */
-    377,
-    /* VFMSUBPSr213mY */
+    446,
+    /* VFMSUBADDPSr132r */
   },
   { /* 3535 */
-    378,
-    /* VFMSUBPSr213r */
+    447,
+    /* VFMSUBADDPSr132rY */
   },
   { /* 3536 */
-    379,
-    /* VFMSUBPSr213rY */
+    444,
+    /* VFMSUBADDPSr213m */
   },
   { /* 3537 */
-    376,
-    /* VFMSUBPSr231m */
+    445,
+    /* VFMSUBADDPSr213mY */
   },
   { /* 3538 */
-    377,
-    /* VFMSUBPSr231mY */
+    446,
+    /* VFMSUBADDPSr213r */
   },
   { /* 3539 */
-    378,
-    /* VFMSUBPSr231r */
+    447,
+    /* VFMSUBADDPSr213rY */
   },
   { /* 3540 */
-    379,
-    /* VFMSUBPSr231rY */
+    444,
+    /* VFMSUBADDPSr231m */
   },
   { /* 3541 */
-    380,
-    /* VFMSUBSD4mr */
+    445,
+    /* VFMSUBADDPSr231mY */
   },
   { /* 3542 */
-    0,
-    /*  */
+    446,
+    /* VFMSUBADDPSr231r */
   },
   { /* 3543 */
-    381,
-    /* VFMSUBSD4rm */
+    447,
+    /* VFMSUBADDPSr231rY */
   },
   { /* 3544 */
-    0,
-    /*  */
+    338,
+    /* VFMSUBPD4mr */
   },
   { /* 3545 */
-    382,
-    /* VFMSUBSD4rr */
+    336,
+    /* VFMSUBPD4mrY */
   },
   { /* 3546 */
-    0,
-    /*  */
+    440,
+    /* VFMSUBPD4rm */
   },
   { /* 3547 */
-    383,
-    /* VFMSUBSD4rr_REV */
+    441,
+    /* VFMSUBPD4rmY */
   },
   { /* 3548 */
-    384,
-    /* VFMSUBSDZm */
+    442,
+    /* VFMSUBPD4rr */
   },
   { /* 3549 */
-    385,
-    /* VFMSUBSDZr */
+    443,
+    /* VFMSUBPD4rrY */
   },
   { /* 3550 */
-    386,
-    /* VFMSUBSDr132m */
+    337,
+    /* VFMSUBPD4rrY_REV */
   },
   { /* 3551 */
-    385,
-    /* VFMSUBSDr132r */
+    339,
+    /* VFMSUBPD4rr_REV */
   },
   { /* 3552 */
-    386,
-    /* VFMSUBSDr213m */
+    444,
+    /* VFMSUBPDr132m */
   },
   { /* 3553 */
-    385,
-    /* VFMSUBSDr213r */
+    445,
+    /* VFMSUBPDr132mY */
   },
   { /* 3554 */
-    386,
-    /* VFMSUBSDr231m */
+    446,
+    /* VFMSUBPDr132r */
   },
   { /* 3555 */
-    385,
-    /* VFMSUBSDr231r */
+    447,
+    /* VFMSUBPDr132rY */
   },
   { /* 3556 */
-    387,
-    /* VFMSUBSS4mr */
+    444,
+    /* VFMSUBPDr213m */
   },
   { /* 3557 */
-    0,
-    /*  */
+    445,
+    /* VFMSUBPDr213mY */
   },
   { /* 3558 */
-    388,
-    /* VFMSUBSS4rm */
+    446,
+    /* VFMSUBPDr213r */
   },
   { /* 3559 */
-    0,
-    /*  */
+    447,
+    /* VFMSUBPDr213rY */
   },
   { /* 3560 */
-    389,
-    /* VFMSUBSS4rr */
+    444,
+    /* VFMSUBPDr231m */
   },
   { /* 3561 */
-    0,
-    /*  */
+    445,
+    /* VFMSUBPDr231mY */
   },
   { /* 3562 */
-    390,
-    /* VFMSUBSS4rr_REV */
+    446,
+    /* VFMSUBPDr231r */
   },
   { /* 3563 */
-    391,
-    /* VFMSUBSSZm */
+    447,
+    /* VFMSUBPDr231rY */
   },
   { /* 3564 */
-    392,
-    /* VFMSUBSSZr */
+    338,
+    /* VFMSUBPS4mr */
   },
   { /* 3565 */
-    393,
-    /* VFMSUBSSr132m */
+    336,
+    /* VFMSUBPS4mrY */
   },
   { /* 3566 */
-    392,
-    /* VFMSUBSSr132r */
+    440,
+    /* VFMSUBPS4rm */
   },
   { /* 3567 */
-    393,
-    /* VFMSUBSSr213m */
+    441,
+    /* VFMSUBPS4rmY */
   },
   { /* 3568 */
-    392,
-    /* VFMSUBSSr213r */
+    442,
+    /* VFMSUBPS4rr */
   },
   { /* 3569 */
-    393,
-    /* VFMSUBSSr231m */
+    443,
+    /* VFMSUBPS4rrY */
   },
   { /* 3570 */
-    392,
-    /* VFMSUBSSr231r */
+    337,
+    /* VFMSUBPS4rrY_REV */
   },
   { /* 3571 */
-    368,
-    /* VFNMADD132PDZm */
+    339,
+    /* VFMSUBPS4rr_REV */
   },
   { /* 3572 */
-    369,
-    /* VFNMADD132PDZmb */
+    444,
+    /* VFMSUBPSr132m */
   },
   { /* 3573 */
-    368,
-    /* VFNMADD132PSZm */
+    445,
+    /* VFMSUBPSr132mY */
   },
   { /* 3574 */
-    370,
-    /* VFNMADD132PSZmb */
+    446,
+    /* VFMSUBPSr132r */
   },
   { /* 3575 */
-    368,
-    /* VFNMADD213PDZm */
+    447,
+    /* VFMSUBPSr132rY */
   },
   { /* 3576 */
-    369,
-    /* VFNMADD213PDZmb */
+    444,
+    /* VFMSUBPSr213m */
   },
   { /* 3577 */
-    371,
-    /* VFNMADD213PDZr */
+    445,
+    /* VFMSUBPSr213mY */
   },
   { /* 3578 */
-    368,
-    /* VFNMADD213PSZm */
+    446,
+    /* VFMSUBPSr213r */
   },
   { /* 3579 */
-    370,
-    /* VFNMADD213PSZmb */
+    447,
+    /* VFMSUBPSr213rY */
   },
   { /* 3580 */
-    371,
-    /* VFNMADD213PSZr */
+    444,
+    /* VFMSUBPSr231m */
   },
   { /* 3581 */
-    308,
-    /* VFNMADDPD4mr */
+    445,
+    /* VFMSUBPSr231mY */
   },
   { /* 3582 */
-    306,
-    /* VFNMADDPD4mrY */
+    446,
+    /* VFMSUBPSr231r */
   },
   { /* 3583 */
-    372,
-    /* VFNMADDPD4rm */
+    447,
+    /* VFMSUBPSr231rY */
   },
   { /* 3584 */
-    373,
-    /* VFNMADDPD4rmY */
+    448,
+    /* VFMSUBSD4mr */
   },
   { /* 3585 */
-    374,
-    /* VFNMADDPD4rr */
+    0,
+    /*  */
   },
   { /* 3586 */
-    375,
-    /* VFNMADDPD4rrY */
+    449,
+    /* VFMSUBSD4rm */
   },
   { /* 3587 */
-    307,
-    /* VFNMADDPD4rrY_REV */
+    0,
+    /*  */
   },
   { /* 3588 */
-    309,
-    /* VFNMADDPD4rr_REV */
+    450,
+    /* VFMSUBSD4rr */
   },
   { /* 3589 */
-    376,
-    /* VFNMADDPDr132m */
+    0,
+    /*  */
   },
   { /* 3590 */
-    377,
-    /* VFNMADDPDr132mY */
+    451,
+    /* VFMSUBSD4rr_REV */
   },
   { /* 3591 */
-    378,
-    /* VFNMADDPDr132r */
+    452,
+    /* VFMSUBSDZm */
   },
   { /* 3592 */
-    379,
-    /* VFNMADDPDr132rY */
+    453,
+    /* VFMSUBSDZr */
   },
   { /* 3593 */
-    376,
-    /* VFNMADDPDr213m */
+    454,
+    /* VFMSUBSDr132m */
   },
   { /* 3594 */
-    377,
-    /* VFNMADDPDr213mY */
+    455,
+    /* VFMSUBSDr132r */
   },
   { /* 3595 */
-    378,
-    /* VFNMADDPDr213r */
+    454,
+    /* VFMSUBSDr213m */
   },
   { /* 3596 */
-    379,
-    /* VFNMADDPDr213rY */
+    455,
+    /* VFMSUBSDr213r */
   },
   { /* 3597 */
-    376,
-    /* VFNMADDPDr231m */
+    454,
+    /* VFMSUBSDr231m */
   },
   { /* 3598 */
-    377,
-    /* VFNMADDPDr231mY */
+    455,
+    /* VFMSUBSDr231r */
   },
   { /* 3599 */
-    378,
-    /* VFNMADDPDr231r */
+    456,
+    /* VFMSUBSS4mr */
   },
   { /* 3600 */
-    379,
-    /* VFNMADDPDr231rY */
+    0,
+    /*  */
   },
   { /* 3601 */
-    308,
-    /* VFNMADDPS4mr */
+    457,
+    /* VFMSUBSS4rm */
   },
   { /* 3602 */
-    306,
-    /* VFNMADDPS4mrY */
+    0,
+    /*  */
   },
   { /* 3603 */
-    372,
-    /* VFNMADDPS4rm */
+    458,
+    /* VFMSUBSS4rr */
   },
   { /* 3604 */
-    373,
-    /* VFNMADDPS4rmY */
+    0,
+    /*  */
   },
   { /* 3605 */
-    374,
-    /* VFNMADDPS4rr */
+    459,
+    /* VFMSUBSS4rr_REV */
   },
   { /* 3606 */
-    375,
-    /* VFNMADDPS4rrY */
+    460,
+    /* VFMSUBSSZm */
   },
   { /* 3607 */
-    307,
-    /* VFNMADDPS4rrY_REV */
+    461,
+    /* VFMSUBSSZr */
   },
   { /* 3608 */
-    309,
-    /* VFNMADDPS4rr_REV */
+    462,
+    /* VFMSUBSSr132m */
   },
   { /* 3609 */
-    376,
-    /* VFNMADDPSr132m */
+    463,
+    /* VFMSUBSSr132r */
   },
   { /* 3610 */
-    377,
-    /* VFNMADDPSr132mY */
+    462,
+    /* VFMSUBSSr213m */
   },
   { /* 3611 */
-    378,
-    /* VFNMADDPSr132r */
+    463,
+    /* VFMSUBSSr213r */
   },
   { /* 3612 */
-    379,
-    /* VFNMADDPSr132rY */
+    462,
+    /* VFMSUBSSr231m */
   },
   { /* 3613 */
-    376,
-    /* VFNMADDPSr213m */
+    463,
+    /* VFMSUBSSr231r */
   },
   { /* 3614 */
-    377,
-    /* VFNMADDPSr213mY */
+    434,
+    /* VFNMADD132PDZm */
   },
   { /* 3615 */
-    378,
-    /* VFNMADDPSr213r */
+    435,
+    /* VFNMADD132PDZmb */
   },
   { /* 3616 */
-    379,
-    /* VFNMADDPSr213rY */
+    434,
+    /* VFNMADD132PSZm */
   },
   { /* 3617 */
-    376,
-    /* VFNMADDPSr231m */
+    436,
+    /* VFNMADD132PSZmb */
   },
   { /* 3618 */
-    377,
-    /* VFNMADDPSr231mY */
+    434,
+    /* VFNMADD213PDZm */
   },
   { /* 3619 */
-    378,
-    /* VFNMADDPSr231r */
+    435,
+    /* VFNMADD213PDZmb */
   },
   { /* 3620 */
-    379,
-    /* VFNMADDPSr231rY */
+    437,
+    /* VFNMADD213PDZr */
   },
   { /* 3621 */
-    380,
-    /* VFNMADDSD4mr */
+    438,
+    /* VFNMADD213PDZrk */
   },
   { /* 3622 */
-    0,
-    /*  */
+    438,
+    /* VFNMADD213PDZrkz */
   },
   { /* 3623 */
-    381,
-    /* VFNMADDSD4rm */
+    434,
+    /* VFNMADD213PSZm */
   },
   { /* 3624 */
-    0,
-    /*  */
+    436,
+    /* VFNMADD213PSZmb */
   },
   { /* 3625 */
-    382,
-    /* VFNMADDSD4rr */
+    437,
+    /* VFNMADD213PSZr */
   },
   { /* 3626 */
-    0,
-    /*  */
+    439,
+    /* VFNMADD213PSZrk */
   },
   { /* 3627 */
-    383,
-    /* VFNMADDSD4rr_REV */
+    439,
+    /* VFNMADD213PSZrkz */
   },
   { /* 3628 */
-    384,
-    /* VFNMADDSDZm */
+    338,
+    /* VFNMADDPD4mr */
   },
   { /* 3629 */
-    385,
-    /* VFNMADDSDZr */
+    336,
+    /* VFNMADDPD4mrY */
   },
   { /* 3630 */
-    386,
-    /* VFNMADDSDr132m */
+    440,
+    /* VFNMADDPD4rm */
   },
   { /* 3631 */
-    385,
-    /* VFNMADDSDr132r */
+    441,
+    /* VFNMADDPD4rmY */
   },
   { /* 3632 */
-    386,
-    /* VFNMADDSDr213m */
+    442,
+    /* VFNMADDPD4rr */
   },
   { /* 3633 */
-    385,
-    /* VFNMADDSDr213r */
+    443,
+    /* VFNMADDPD4rrY */
   },
   { /* 3634 */
-    386,
-    /* VFNMADDSDr231m */
+    337,
+    /* VFNMADDPD4rrY_REV */
   },
   { /* 3635 */
-    385,
-    /* VFNMADDSDr231r */
+    339,
+    /* VFNMADDPD4rr_REV */
   },
   { /* 3636 */
-    387,
-    /* VFNMADDSS4mr */
+    444,
+    /* VFNMADDPDr132m */
   },
   { /* 3637 */
-    0,
-    /*  */
+    445,
+    /* VFNMADDPDr132mY */
   },
   { /* 3638 */
-    388,
-    /* VFNMADDSS4rm */
+    446,
+    /* VFNMADDPDr132r */
   },
   { /* 3639 */
-    0,
-    /*  */
+    447,
+    /* VFNMADDPDr132rY */
   },
   { /* 3640 */
-    389,
-    /* VFNMADDSS4rr */
+    444,
+    /* VFNMADDPDr213m */
   },
   { /* 3641 */
-    0,
-    /*  */
+    445,
+    /* VFNMADDPDr213mY */
   },
   { /* 3642 */
-    390,
-    /* VFNMADDSS4rr_REV */
+    446,
+    /* VFNMADDPDr213r */
   },
   { /* 3643 */
-    391,
-    /* VFNMADDSSZm */
+    447,
+    /* VFNMADDPDr213rY */
   },
   { /* 3644 */
-    392,
-    /* VFNMADDSSZr */
+    444,
+    /* VFNMADDPDr231m */
   },
   { /* 3645 */
-    393,
-    /* VFNMADDSSr132m */
+    445,
+    /* VFNMADDPDr231mY */
   },
   { /* 3646 */
-    392,
-    /* VFNMADDSSr132r */
+    446,
+    /* VFNMADDPDr231r */
   },
   { /* 3647 */
-    393,
-    /* VFNMADDSSr213m */
+    447,
+    /* VFNMADDPDr231rY */
   },
   { /* 3648 */
-    392,
-    /* VFNMADDSSr213r */
+    338,
+    /* VFNMADDPS4mr */
   },
   { /* 3649 */
-    393,
-    /* VFNMADDSSr231m */
+    336,
+    /* VFNMADDPS4mrY */
   },
   { /* 3650 */
-    392,
-    /* VFNMADDSSr231r */
+    440,
+    /* VFNMADDPS4rm */
   },
   { /* 3651 */
-    368,
-    /* VFNMSUB132PDZm */
+    441,
+    /* VFNMADDPS4rmY */
   },
   { /* 3652 */
-    369,
-    /* VFNMSUB132PDZmb */
+    442,
+    /* VFNMADDPS4rr */
   },
   { /* 3653 */
-    368,
-    /* VFNMSUB132PSZm */
+    443,
+    /* VFNMADDPS4rrY */
   },
   { /* 3654 */
-    370,
-    /* VFNMSUB132PSZmb */
+    337,
+    /* VFNMADDPS4rrY_REV */
   },
   { /* 3655 */
-    368,
-    /* VFNMSUB213PDZm */
+    339,
+    /* VFNMADDPS4rr_REV */
   },
   { /* 3656 */
-    369,
-    /* VFNMSUB213PDZmb */
+    444,
+    /* VFNMADDPSr132m */
   },
   { /* 3657 */
-    371,
-    /* VFNMSUB213PDZr */
+    445,
+    /* VFNMADDPSr132mY */
   },
   { /* 3658 */
-    368,
-    /* VFNMSUB213PSZm */
+    446,
+    /* VFNMADDPSr132r */
   },
   { /* 3659 */
-    370,
-    /* VFNMSUB213PSZmb */
+    447,
+    /* VFNMADDPSr132rY */
   },
   { /* 3660 */
-    371,
-    /* VFNMSUB213PSZr */
+    444,
+    /* VFNMADDPSr213m */
   },
   { /* 3661 */
-    308,
-    /* VFNMSUBPD4mr */
+    445,
+    /* VFNMADDPSr213mY */
   },
   { /* 3662 */
-    306,
-    /* VFNMSUBPD4mrY */
+    446,
+    /* VFNMADDPSr213r */
   },
   { /* 3663 */
-    372,
-    /* VFNMSUBPD4rm */
+    447,
+    /* VFNMADDPSr213rY */
   },
   { /* 3664 */
-    373,
-    /* VFNMSUBPD4rmY */
+    444,
+    /* VFNMADDPSr231m */
   },
   { /* 3665 */
-    374,
-    /* VFNMSUBPD4rr */
+    445,
+    /* VFNMADDPSr231mY */
   },
   { /* 3666 */
-    375,
-    /* VFNMSUBPD4rrY */
+    446,
+    /* VFNMADDPSr231r */
   },
   { /* 3667 */
-    307,
-    /* VFNMSUBPD4rrY_REV */
+    447,
+    /* VFNMADDPSr231rY */
   },
   { /* 3668 */
-    309,
-    /* VFNMSUBPD4rr_REV */
+    448,
+    /* VFNMADDSD4mr */
   },
   { /* 3669 */
-    376,
-    /* VFNMSUBPDr132m */
+    0,
+    /*  */
   },
   { /* 3670 */
-    377,
-    /* VFNMSUBPDr132mY */
+    449,
+    /* VFNMADDSD4rm */
   },
   { /* 3671 */
-    378,
-    /* VFNMSUBPDr132r */
+    0,
+    /*  */
   },
   { /* 3672 */
-    379,
-    /* VFNMSUBPDr132rY */
+    450,
+    /* VFNMADDSD4rr */
   },
   { /* 3673 */
-    376,
-    /* VFNMSUBPDr213m */
+    0,
+    /*  */
   },
   { /* 3674 */
-    377,
-    /* VFNMSUBPDr213mY */
+    451,
+    /* VFNMADDSD4rr_REV */
   },
   { /* 3675 */
-    378,
-    /* VFNMSUBPDr213r */
+    452,
+    /* VFNMADDSDZm */
   },
   { /* 3676 */
-    379,
-    /* VFNMSUBPDr213rY */
+    453,
+    /* VFNMADDSDZr */
   },
   { /* 3677 */
-    376,
-    /* VFNMSUBPDr231m */
+    454,
+    /* VFNMADDSDr132m */
   },
   { /* 3678 */
-    377,
-    /* VFNMSUBPDr231mY */
+    455,
+    /* VFNMADDSDr132r */
   },
   { /* 3679 */
-    378,
-    /* VFNMSUBPDr231r */
+    454,
+    /* VFNMADDSDr213m */
   },
   { /* 3680 */
-    379,
-    /* VFNMSUBPDr231rY */
+    455,
+    /* VFNMADDSDr213r */
   },
   { /* 3681 */
-    308,
-    /* VFNMSUBPS4mr */
+    454,
+    /* VFNMADDSDr231m */
   },
   { /* 3682 */
-    306,
-    /* VFNMSUBPS4mrY */
+    455,
+    /* VFNMADDSDr231r */
   },
   { /* 3683 */
-    372,
-    /* VFNMSUBPS4rm */
+    456,
+    /* VFNMADDSS4mr */
   },
   { /* 3684 */
-    373,
-    /* VFNMSUBPS4rmY */
+    0,
+    /*  */
   },
   { /* 3685 */
-    374,
-    /* VFNMSUBPS4rr */
+    457,
+    /* VFNMADDSS4rm */
   },
   { /* 3686 */
-    375,
-    /* VFNMSUBPS4rrY */
+    0,
+    /*  */
   },
   { /* 3687 */
-    307,
-    /* VFNMSUBPS4rrY_REV */
+    458,
+    /* VFNMADDSS4rr */
   },
   { /* 3688 */
-    309,
-    /* VFNMSUBPS4rr_REV */
+    0,
+    /*  */
   },
   { /* 3689 */
-    376,
-    /* VFNMSUBPSr132m */
+    459,
+    /* VFNMADDSS4rr_REV */
   },
   { /* 3690 */
-    377,
-    /* VFNMSUBPSr132mY */
+    460,
+    /* VFNMADDSSZm */
   },
   { /* 3691 */
-    378,
-    /* VFNMSUBPSr132r */
+    461,
+    /* VFNMADDSSZr */
   },
   { /* 3692 */
-    379,
-    /* VFNMSUBPSr132rY */
+    462,
+    /* VFNMADDSSr132m */
   },
   { /* 3693 */
-    376,
-    /* VFNMSUBPSr213m */
+    463,
+    /* VFNMADDSSr132r */
   },
   { /* 3694 */
-    377,
-    /* VFNMSUBPSr213mY */
+    462,
+    /* VFNMADDSSr213m */
   },
   { /* 3695 */
-    378,
-    /* VFNMSUBPSr213r */
+    463,
+    /* VFNMADDSSr213r */
   },
   { /* 3696 */
-    379,
-    /* VFNMSUBPSr213rY */
+    462,
+    /* VFNMADDSSr231m */
   },
   { /* 3697 */
-    376,
-    /* VFNMSUBPSr231m */
+    463,
+    /* VFNMADDSSr231r */
   },
   { /* 3698 */
-    377,
-    /* VFNMSUBPSr231mY */
+    434,
+    /* VFNMSUB132PDZm */
   },
   { /* 3699 */
-    378,
-    /* VFNMSUBPSr231r */
+    435,
+    /* VFNMSUB132PDZmb */
   },
   { /* 3700 */
-    379,
-    /* VFNMSUBPSr231rY */
+    434,
+    /* VFNMSUB132PSZm */
   },
   { /* 3701 */
-    380,
-    /* VFNMSUBSD4mr */
+    436,
+    /* VFNMSUB132PSZmb */
   },
   { /* 3702 */
-    0,
-    /*  */
+    434,
+    /* VFNMSUB213PDZm */
   },
   { /* 3703 */
-    381,
-    /* VFNMSUBSD4rm */
+    435,
+    /* VFNMSUB213PDZmb */
   },
   { /* 3704 */
-    0,
-    /*  */
+    437,
+    /* VFNMSUB213PDZr */
   },
   { /* 3705 */
-    382,
-    /* VFNMSUBSD4rr */
+    438,
+    /* VFNMSUB213PDZrk */
   },
   { /* 3706 */
-    0,
-    /*  */
+    438,
+    /* VFNMSUB213PDZrkz */
   },
   { /* 3707 */
-    383,
-    /* VFNMSUBSD4rr_REV */
+    434,
+    /* VFNMSUB213PSZm */
   },
   { /* 3708 */
-    384,
-    /* VFNMSUBSDZm */
+    436,
+    /* VFNMSUB213PSZmb */
   },
   { /* 3709 */
-    385,
-    /* VFNMSUBSDZr */
+    437,
+    /* VFNMSUB213PSZr */
   },
   { /* 3710 */
-    386,
-    /* VFNMSUBSDr132m */
+    439,
+    /* VFNMSUB213PSZrk */
   },
   { /* 3711 */
-    385,
-    /* VFNMSUBSDr132r */
+    439,
+    /* VFNMSUB213PSZrkz */
   },
   { /* 3712 */
-    386,
-    /* VFNMSUBSDr213m */
+    338,
+    /* VFNMSUBPD4mr */
   },
   { /* 3713 */
-    385,
-    /* VFNMSUBSDr213r */
+    336,
+    /* VFNMSUBPD4mrY */
   },
   { /* 3714 */
-    386,
-    /* VFNMSUBSDr231m */
+    440,
+    /* VFNMSUBPD4rm */
   },
   { /* 3715 */
-    385,
-    /* VFNMSUBSDr231r */
+    441,
+    /* VFNMSUBPD4rmY */
   },
   { /* 3716 */
-    387,
-    /* VFNMSUBSS4mr */
+    442,
+    /* VFNMSUBPD4rr */
   },
   { /* 3717 */
-    0,
-    /*  */
+    443,
+    /* VFNMSUBPD4rrY */
   },
   { /* 3718 */
-    388,
-    /* VFNMSUBSS4rm */
+    337,
+    /* VFNMSUBPD4rrY_REV */
   },
   { /* 3719 */
-    0,
-    /*  */
+    339,
+    /* VFNMSUBPD4rr_REV */
   },
   { /* 3720 */
-    389,
-    /* VFNMSUBSS4rr */
+    444,
+    /* VFNMSUBPDr132m */
   },
   { /* 3721 */
-    0,
-    /*  */
+    445,
+    /* VFNMSUBPDr132mY */
   },
   { /* 3722 */
-    390,
-    /* VFNMSUBSS4rr_REV */
+    446,
+    /* VFNMSUBPDr132r */
   },
   { /* 3723 */
-    391,
-    /* VFNMSUBSSZm */
+    447,
+    /* VFNMSUBPDr132rY */
   },
   { /* 3724 */
-    392,
-    /* VFNMSUBSSZr */
+    444,
+    /* VFNMSUBPDr213m */
   },
   { /* 3725 */
-    393,
-    /* VFNMSUBSSr132m */
+    445,
+    /* VFNMSUBPDr213mY */
   },
   { /* 3726 */
-    392,
-    /* VFNMSUBSSr132r */
+    446,
+    /* VFNMSUBPDr213r */
   },
   { /* 3727 */
-    393,
-    /* VFNMSUBSSr213m */
+    447,
+    /* VFNMSUBPDr213rY */
   },
   { /* 3728 */
-    392,
-    /* VFNMSUBSSr213r */
+    444,
+    /* VFNMSUBPDr231m */
   },
   { /* 3729 */
-    393,
-    /* VFNMSUBSSr231m */
+    445,
+    /* VFNMSUBPDr231mY */
   },
   { /* 3730 */
-    392,
-    /* VFNMSUBSSr231r */
+    446,
+    /* VFNMSUBPDr231r */
   },
   { /* 3731 */
-    42,
-    /* VFRCZPDrm */
+    447,
+    /* VFNMSUBPDr231rY */
   },
   { /* 3732 */
-    336,
-    /* VFRCZPDrmY */
+    338,
+    /* VFNMSUBPS4mr */
   },
   { /* 3733 */
-    43,
-    /* VFRCZPDrr */
+    336,
+    /* VFNMSUBPS4mrY */
   },
   { /* 3734 */
-    337,
-    /* VFRCZPDrrY */
+    440,
+    /* VFNMSUBPS4rm */
   },
   { /* 3735 */
-    42,
-    /* VFRCZPSrm */
+    441,
+    /* VFNMSUBPS4rmY */
   },
   { /* 3736 */
-    336,
-    /* VFRCZPSrmY */
+    442,
+    /* VFNMSUBPS4rr */
   },
   { /* 3737 */
-    43,
-    /* VFRCZPSrr */
+    443,
+    /* VFNMSUBPS4rrY */
   },
   { /* 3738 */
     337,
-    /* VFRCZPSrrY */
+    /* VFNMSUBPS4rrY_REV */
   },
   { /* 3739 */
-    105,
-    /* VFRCZSDrm */
+    339,
+    /* VFNMSUBPS4rr_REV */
   },
   { /* 3740 */
-    43,
-    /* VFRCZSDrr */
+    444,
+    /* VFNMSUBPSr132m */
   },
   { /* 3741 */
-    317,
-    /* VFRCZSSrm */
+    445,
+    /* VFNMSUBPSr132mY */
   },
   { /* 3742 */
-    43,
-    /* VFRCZSSrr */
+    446,
+    /* VFNMSUBPSr132r */
   },
   { /* 3743 */
-    0,
-    /*  */
+    447,
+    /* VFNMSUBPSr132rY */
   },
   { /* 3744 */
-    0,
-    /*  */
+    444,
+    /* VFNMSUBPSr213m */
   },
   { /* 3745 */
-    0,
-    /*  */
+    445,
+    /* VFNMSUBPSr213mY */
   },
   { /* 3746 */
-    0,
-    /*  */
+    446,
+    /* VFNMSUBPSr213r */
   },
   { /* 3747 */
-    0,
-    /*  */
+    447,
+    /* VFNMSUBPSr213rY */
   },
   { /* 3748 */
-    0,
-    /*  */
+    444,
+    /* VFNMSUBPSr231m */
   },
   { /* 3749 */
-    0,
-    /*  */
+    445,
+    /* VFNMSUBPSr231mY */
   },
   { /* 3750 */
-    0,
-    /*  */
+    446,
+    /* VFNMSUBPSr231r */
   },
   { /* 3751 */
-    0,
-    /*  */
+    447,
+    /* VFNMSUBPSr231rY */
   },
   { /* 3752 */
-    0,
-    /*  */
+    448,
+    /* VFNMSUBSD4mr */
   },
   { /* 3753 */
     0,
     /*  */
   },
   { /* 3754 */
-    0,
-    /*  */
+    449,
+    /* VFNMSUBSD4rm */
   },
   { /* 3755 */
     0,
     /*  */
   },
   { /* 3756 */
-    0,
-    /*  */
+    450,
+    /* VFNMSUBSD4rr */
   },
   { /* 3757 */
     0,
     /*  */
   },
   { /* 3758 */
+    451,
+    /* VFNMSUBSD4rr_REV */
+  },
+  { /* 3759 */
+    452,
+    /* VFNMSUBSDZm */
+  },
+  { /* 3760 */
+    453,
+    /* VFNMSUBSDZr */
+  },
+  { /* 3761 */
+    454,
+    /* VFNMSUBSDr132m */
+  },
+  { /* 3762 */
+    455,
+    /* VFNMSUBSDr132r */
+  },
+  { /* 3763 */
+    454,
+    /* VFNMSUBSDr213m */
+  },
+  { /* 3764 */
+    455,
+    /* VFNMSUBSDr213r */
+  },
+  { /* 3765 */
+    454,
+    /* VFNMSUBSDr231m */
+  },
+  { /* 3766 */
+    455,
+    /* VFNMSUBSDr231r */
+  },
+  { /* 3767 */
+    456,
+    /* VFNMSUBSS4mr */
+  },
+  { /* 3768 */
     0,
     /*  */
   },
-  { /* 3759 */
-    394,
-    /* VGATHERDPDYrm */
-  },
-  { /* 3760 */
-    395,
-    /* VGATHERDPDZrm */
-  },
-  { /* 3761 */
-    396,
-    /* VGATHERDPDrm */
-  },
-  { /* 3762 */
-    397,
-    /* VGATHERDPSYrm */
-  },
-  { /* 3763 */
-    398,
-    /* VGATHERDPSZrm */
-  },
-  { /* 3764 */
-    399,
-    /* VGATHERDPSrm */
-  },
-  { /* 3765 */
-    394,
-    /* VGATHERQPDYrm */
-  },
-  { /* 3766 */
-    395,
-    /* VGATHERQPDZrm */
-  },
-  { /* 3767 */
-    396,
-    /* VGATHERQPDrm */
-  },
-  { /* 3768 */
-    399,
-    /* VGATHERQPSYrm */
-  },
   { /* 3769 */
-    400,
-    /* VGATHERQPSZrm */
+    457,
+    /* VFNMSUBSS4rm */
   },
   { /* 3770 */
-    399,
-    /* VGATHERQPSrm */
+    0,
+    /*  */
   },
   { /* 3771 */
-    284,
-    /* VHADDPDYrm */
+    458,
+    /* VFNMSUBSS4rr */
   },
   { /* 3772 */
-    285,
-    /* VHADDPDYrr */
+    0,
+    /*  */
   },
   { /* 3773 */
-    289,
-    /* VHADDPDrm */
+    459,
+    /* VFNMSUBSS4rr_REV */
   },
   { /* 3774 */
-    290,
-    /* VHADDPDrr */
+    460,
+    /* VFNMSUBSSZm */
   },
   { /* 3775 */
-    284,
-    /* VHADDPSYrm */
+    461,
+    /* VFNMSUBSSZr */
   },
   { /* 3776 */
-    285,
-    /* VHADDPSYrr */
+    462,
+    /* VFNMSUBSSr132m */
   },
   { /* 3777 */
-    289,
-    /* VHADDPSrm */
+    463,
+    /* VFNMSUBSSr132r */
   },
   { /* 3778 */
-    290,
-    /* VHADDPSrr */
+    462,
+    /* VFNMSUBSSr213m */
   },
   { /* 3779 */
-    284,
-    /* VHSUBPDYrm */
+    463,
+    /* VFNMSUBSSr213r */
   },
   { /* 3780 */
-    285,
-    /* VHSUBPDYrr */
+    462,
+    /* VFNMSUBSSr231m */
   },
   { /* 3781 */
-    289,
-    /* VHSUBPDrm */
+    463,
+    /* VFNMSUBSSr231r */
   },
   { /* 3782 */
-    290,
-    /* VHSUBPDrr */
+    44,
+    /* VFRCZPDrm */
   },
   { /* 3783 */
-    284,
-    /* VHSUBPSYrm */
+    376,
+    /* VFRCZPDrmY */
   },
   { /* 3784 */
-    285,
-    /* VHSUBPSYrr */
+    45,
+    /* VFRCZPDrr */
   },
   { /* 3785 */
-    289,
-    /* VHSUBPSrm */
+    377,
+    /* VFRCZPDrrY */
   },
   { /* 3786 */
-    290,
-    /* VHSUBPSrr */
+    44,
+    /* VFRCZPSrm */
   },
   { /* 3787 */
-    401,
-    /* VINSERTF128rm */
+    376,
+    /* VFRCZPSrmY */
   },
   { /* 3788 */
-    402,
-    /* VINSERTF128rr */
+    45,
+    /* VFRCZPSrr */
   },
   { /* 3789 */
-    403,
-    /* VINSERTF32x4rm */
+    377,
+    /* VFRCZPSrrY */
   },
   { /* 3790 */
-    404,
-    /* VINSERTF32x4rr */
+    106,
+    /* VFRCZSDrm */
   },
   { /* 3791 */
-    405,
-    /* VINSERTF64x4rm */
+    45,
+    /* VFRCZSDrr */
   },
   { /* 3792 */
-    406,
-    /* VINSERTF64x4rr */
+    352,
+    /* VFRCZSSrm */
   },
   { /* 3793 */
-    401,
-    /* VINSERTI128rm */
+    45,
+    /* VFRCZSSrr */
   },
   { /* 3794 */
-    402,
-    /* VINSERTI128rr */
+    0,
+    /*  */
   },
   { /* 3795 */
-    403,
-    /* VINSERTI32x4rm */
+    0,
+    /*  */
   },
   { /* 3796 */
-    404,
-    /* VINSERTI32x4rr */
+    0,
+    /*  */
   },
   { /* 3797 */
-    405,
-    /* VINSERTI64x4rm */
+    0,
+    /*  */
   },
   { /* 3798 */
-    406,
-    /* VINSERTI64x4rr */
+    0,
+    /*  */
   },
   { /* 3799 */
-    407,
-    /* VINSERTPSrm */
+    0,
+    /*  */
   },
   { /* 3800 */
-    305,
-    /* VINSERTPSrr */
+    0,
+    /*  */
   },
   { /* 3801 */
-    407,
-    /* VINSERTPSzrm */
+    0,
+    /*  */
   },
   { /* 3802 */
-    305,
-    /* VINSERTPSzrr */
+    0,
+    /*  */
   },
   { /* 3803 */
-    336,
-    /* VLDDQUYrm */
+    0,
+    /*  */
   },
   { /* 3804 */
-    42,
-    /* VLDDQUrm */
+    0,
+    /*  */
   },
   { /* 3805 */
-    40,
-    /* VLDMXCSR */
+    0,
+    /*  */
   },
   { /* 3806 */
-    43,
-    /* VMASKMOVDQU */
+    0,
+    /*  */
   },
   { /* 3807 */
     0,
     /*  */
   },
   { /* 3808 */
-    408,
-    /* VMASKMOVPDYmr */
+    0,
+    /*  */
   },
   { /* 3809 */
-    284,
-    /* VMASKMOVPDYrm */
+    0,
+    /*  */
   },
   { /* 3810 */
-    409,
-    /* VMASKMOVPDmr */
+    464,
+    /* VGATHERDPDYrm */
   },
   { /* 3811 */
-    289,
-    /* VMASKMOVPDrm */
+    465,
+    /* VGATHERDPDZrm */
   },
   { /* 3812 */
-    408,
-    /* VMASKMOVPSYmr */
+    466,
+    /* VGATHERDPDrm */
   },
   { /* 3813 */
-    284,
-    /* VMASKMOVPSYrm */
+    467,
+    /* VGATHERDPSYrm */
   },
   { /* 3814 */
-    409,
-    /* VMASKMOVPSmr */
+    468,
+    /* VGATHERDPSZrm */
   },
   { /* 3815 */
-    289,
-    /* VMASKMOVPSrm */
+    469,
+    /* VGATHERDPSrm */
   },
   { /* 3816 */
-    0,
-    /*  */
+    470,
+    /* VGATHERPF0DPDm */
   },
   { /* 3817 */
-    0,
-    /*  */
+    471,
+    /* VGATHERPF0DPSm */
   },
   { /* 3818 */
-    0,
-    /*  */
+    472,
+    /* VGATHERPF0QPDm */
   },
   { /* 3819 */
-    0,
-    /*  */
+    472,
+    /* VGATHERPF0QPSm */
   },
   { /* 3820 */
-    0,
-    /*  */
+    470,
+    /* VGATHERPF1DPDm */
   },
   { /* 3821 */
-    0,
-    /*  */
+    471,
+    /* VGATHERPF1DPSm */
   },
   { /* 3822 */
-    0,
-    /*  */
+    472,
+    /* VGATHERPF1QPDm */
   },
   { /* 3823 */
-    0,
-    /*  */
+    472,
+    /* VGATHERPF1QPSm */
   },
   { /* 3824 */
-    0,
-    /*  */
+    464,
+    /* VGATHERQPDYrm */
   },
   { /* 3825 */
-    0,
-    /*  */
+    465,
+    /* VGATHERQPDZrm */
   },
   { /* 3826 */
-    0,
-    /*  */
+    466,
+    /* VGATHERQPDrm */
   },
   { /* 3827 */
-    0,
-    /*  */
+    469,
+    /* VGATHERQPSYrm */
   },
   { /* 3828 */
-    284,
-    /* VMAXPDYrm */
+    473,
+    /* VGATHERQPSZrm */
   },
   { /* 3829 */
-    285,
-    /* VMAXPDYrr */
+    469,
+    /* VGATHERQPSrm */
   },
   { /* 3830 */
-    286,
-    /* VMAXPDZrm */
+    304,
+    /* VHADDPDYrm */
   },
   { /* 3831 */
-    287,
-    /* VMAXPDZrmb */
+    305,
+    /* VHADDPDYrr */
   },
   { /* 3832 */
-    288,
-    /* VMAXPDZrr */
+    312,
+    /* VHADDPDrm */
   },
   { /* 3833 */
-    289,
-    /* VMAXPDrm */
+    313,
+    /* VHADDPDrr */
   },
   { /* 3834 */
-    290,
-    /* VMAXPDrr */
+    304,
+    /* VHADDPSYrm */
   },
   { /* 3835 */
-    284,
-    /* VMAXPSYrm */
+    305,
+    /* VHADDPSYrr */
   },
   { /* 3836 */
-    285,
-    /* VMAXPSYrr */
+    312,
+    /* VHADDPSrm */
   },
   { /* 3837 */
-    286,
-    /* VMAXPSZrm */
+    313,
+    /* VHADDPSrr */
   },
   { /* 3838 */
-    291,
-    /* VMAXPSZrmb */
+    304,
+    /* VHSUBPDYrm */
   },
   { /* 3839 */
-    288,
-    /* VMAXPSZrr */
+    305,
+    /* VHSUBPDYrr */
   },
   { /* 3840 */
-    289,
-    /* VMAXPSrm */
+    312,
+    /* VHSUBPDrm */
   },
   { /* 3841 */
-    290,
-    /* VMAXPSrr */
+    313,
+    /* VHSUBPDrr */
   },
   { /* 3842 */
-    292,
-    /* VMAXSDZrm */
+    304,
+    /* VHSUBPSYrm */
   },
   { /* 3843 */
-    293,
-    /* VMAXSDZrr */
+    305,
+    /* VHSUBPSYrr */
   },
   { /* 3844 */
-    292,
-    /* VMAXSDrm */
+    312,
+    /* VHSUBPSrm */
   },
   { /* 3845 */
-    0,
-    /*  */
+    313,
+    /* VHSUBPSrr */
   },
   { /* 3846 */
-    293,
-    /* VMAXSDrr */
+    474,
+    /* VINSERTF128rm */
   },
   { /* 3847 */
-    0,
-    /*  */
+    475,
+    /* VINSERTF128rr */
   },
   { /* 3848 */
-    294,
-    /* VMAXSSZrm */
+    476,
+    /* VINSERTF32x4rm */
   },
   { /* 3849 */
-    295,
-    /* VMAXSSZrr */
+    477,
+    /* VINSERTF32x4rr */
   },
   { /* 3850 */
-    294,
-    /* VMAXSSrm */
+    478,
+    /* VINSERTF64x4rm */
   },
   { /* 3851 */
-    0,
-    /*  */
+    479,
+    /* VINSERTF64x4rr */
   },
   { /* 3852 */
-    295,
-    /* VMAXSSrr */
+    474,
+    /* VINSERTI128rm */
   },
   { /* 3853 */
-    0,
-    /*  */
+    475,
+    /* VINSERTI128rr */
   },
   { /* 3854 */
-    0,
-    /* VMCALL */
+    476,
+    /* VINSERTI32x4rm */
   },
   { /* 3855 */
-    40,
-    /* VMCLEARm */
+    477,
+    /* VINSERTI32x4rr */
   },
   { /* 3856 */
-    0,
-    /* VMFUNC */
+    478,
+    /* VINSERTI64x4rm */
   },
   { /* 3857 */
-    0,
-    /*  */
+    479,
+    /* VINSERTI64x4rr */
   },
   { /* 3858 */
-    0,
-    /*  */
+    480,
+    /* VINSERTPSrm */
   },
   { /* 3859 */
-    0,
-    /*  */
+    335,
+    /* VINSERTPSrr */
   },
   { /* 3860 */
-    0,
-    /*  */
+    481,
+    /* VINSERTPSzrm */
   },
   { /* 3861 */
-    0,
-    /*  */
+    482,
+    /* VINSERTPSzrr */
   },
   { /* 3862 */
-    0,
-    /*  */
+    376,
+    /* VLDDQUYrm */
   },
   { /* 3863 */
-    0,
-    /*  */
+    44,
+    /* VLDDQUrm */
   },
   { /* 3864 */
-    0,
-    /*  */
+    38,
+    /* VLDMXCSR */
   },
   { /* 3865 */
-    0,
-    /*  */
+    45,
+    /* VMASKMOVDQU */
   },
   { /* 3866 */
     0,
     /*  */
   },
   { /* 3867 */
-    0,
-    /*  */
+    483,
+    /* VMASKMOVPDYmr */
   },
   { /* 3868 */
+    304,
+    /* VMASKMOVPDYrm */
+  },
+  { /* 3869 */
+    484,
+    /* VMASKMOVPDmr */
+  },
+  { /* 3870 */
+    312,
+    /* VMASKMOVPDrm */
+  },
+  { /* 3871 */
+    483,
+    /* VMASKMOVPSYmr */
+  },
+  { /* 3872 */
+    304,
+    /* VMASKMOVPSYrm */
+  },
+  { /* 3873 */
+    484,
+    /* VMASKMOVPSmr */
+  },
+  { /* 3874 */
+    312,
+    /* VMASKMOVPSrm */
+  },
+  { /* 3875 */
     0,
     /*  */
   },
-  { /* 3869 */
-    284,
-    /* VMINPDYrm */
-  },
-  { /* 3870 */
-    285,
-    /* VMINPDYrr */
-  },
-  { /* 3871 */
-    286,
-    /* VMINPDZrm */
-  },
-  { /* 3872 */
-    287,
-    /* VMINPDZrmb */
-  },
-  { /* 3873 */
-    288,
-    /* VMINPDZrr */
-  },
-  { /* 3874 */
-    289,
-    /* VMINPDrm */
-  },
-  { /* 3875 */
-    290,
-    /* VMINPDrr */
-  },
   { /* 3876 */
-    284,
-    /* VMINPSYrm */
+    0,
+    /*  */
   },
   { /* 3877 */
-    285,
-    /* VMINPSYrr */
+    0,
+    /*  */
   },
   { /* 3878 */
-    286,
-    /* VMINPSZrm */
+    0,
+    /*  */
   },
   { /* 3879 */
-    291,
-    /* VMINPSZrmb */
+    0,
+    /*  */
   },
   { /* 3880 */
-    288,
-    /* VMINPSZrr */
+    0,
+    /*  */
   },
   { /* 3881 */
-    289,
-    /* VMINPSrm */
+    0,
+    /*  */
   },
   { /* 3882 */
-    290,
-    /* VMINPSrr */
+    0,
+    /*  */
   },
   { /* 3883 */
-    292,
-    /* VMINSDZrm */
+    0,
+    /*  */
   },
   { /* 3884 */
-    293,
-    /* VMINSDZrr */
+    0,
+    /*  */
   },
   { /* 3885 */
-    292,
-    /* VMINSDrm */
+    0,
+    /*  */
   },
   { /* 3886 */
     0,
     /*  */
   },
   { /* 3887 */
-    293,
-    /* VMINSDrr */
+    304,
+    /* VMAXPDYrm */
   },
   { /* 3888 */
-    0,
-    /*  */
+    305,
+    /* VMAXPDYrr */
   },
   { /* 3889 */
-    294,
-    /* VMINSSZrm */
+    306,
+    /* VMAXPDZrm */
   },
   { /* 3890 */
-    295,
-    /* VMINSSZrr */
+    307,
+    /* VMAXPDZrmb */
   },
   { /* 3891 */
-    294,
-    /* VMINSSrm */
+    308,
+    /* VMAXPDZrmbk */
   },
   { /* 3892 */
-    0,
-    /*  */
+    308,
+    /* VMAXPDZrmbkz */
   },
   { /* 3893 */
-    295,
-    /* VMINSSrr */
+    309,
+    /* VMAXPDZrmk */
   },
   { /* 3894 */
+    309,
+    /* VMAXPDZrmkz */
+  },
+  { /* 3895 */
+    310,
+    /* VMAXPDZrr */
+  },
+  { /* 3896 */
+    311,
+    /* VMAXPDZrrk */
+  },
+  { /* 3897 */
+    311,
+    /* VMAXPDZrrkz */
+  },
+  { /* 3898 */
+    312,
+    /* VMAXPDrm */
+  },
+  { /* 3899 */
+    313,
+    /* VMAXPDrr */
+  },
+  { /* 3900 */
+    304,
+    /* VMAXPSYrm */
+  },
+  { /* 3901 */
+    305,
+    /* VMAXPSYrr */
+  },
+  { /* 3902 */
+    306,
+    /* VMAXPSZrm */
+  },
+  { /* 3903 */
+    314,
+    /* VMAXPSZrmb */
+  },
+  { /* 3904 */
+    315,
+    /* VMAXPSZrmbk */
+  },
+  { /* 3905 */
+    315,
+    /* VMAXPSZrmbkz */
+  },
+  { /* 3906 */
+    316,
+    /* VMAXPSZrmk */
+  },
+  { /* 3907 */
+    316,
+    /* VMAXPSZrmkz */
+  },
+  { /* 3908 */
+    310,
+    /* VMAXPSZrr */
+  },
+  { /* 3909 */
+    317,
+    /* VMAXPSZrrk */
+  },
+  { /* 3910 */
+    317,
+    /* VMAXPSZrrkz */
+  },
+  { /* 3911 */
+    312,
+    /* VMAXPSrm */
+  },
+  { /* 3912 */
+    313,
+    /* VMAXPSrr */
+  },
+  { /* 3913 */
+    318,
+    /* VMAXSDZrm */
+  },
+  { /* 3914 */
+    319,
+    /* VMAXSDZrr */
+  },
+  { /* 3915 */
+    320,
+    /* VMAXSDrm */
+  },
+  { /* 3916 */
     0,
     /*  */
   },
-  { /* 3895 */
+  { /* 3917 */
+    321,
+    /* VMAXSDrr */
+  },
+  { /* 3918 */
+    0,
+    /*  */
+  },
+  { /* 3919 */
+    322,
+    /* VMAXSSZrm */
+  },
+  { /* 3920 */
+    323,
+    /* VMAXSSZrr */
+  },
+  { /* 3921 */
+    324,
+    /* VMAXSSrm */
+  },
+  { /* 3922 */
+    0,
+    /*  */
+  },
+  { /* 3923 */
+    325,
+    /* VMAXSSrr */
+  },
+  { /* 3924 */
+    0,
+    /*  */
+  },
+  { /* 3925 */
+    0,
+    /* VMCALL */
+  },
+  { /* 3926 */
+    38,
+    /* VMCLEARm */
+  },
+  { /* 3927 */
+    0,
+    /* VMFUNC */
+  },
+  { /* 3928 */
+    0,
+    /*  */
+  },
+  { /* 3929 */
+    0,
+    /*  */
+  },
+  { /* 3930 */
+    0,
+    /*  */
+  },
+  { /* 3931 */
+    0,
+    /*  */
+  },
+  { /* 3932 */
+    0,
+    /*  */
+  },
+  { /* 3933 */
+    0,
+    /*  */
+  },
+  { /* 3934 */
+    0,
+    /*  */
+  },
+  { /* 3935 */
+    0,
+    /*  */
+  },
+  { /* 3936 */
+    0,
+    /*  */
+  },
+  { /* 3937 */
+    0,
+    /*  */
+  },
+  { /* 3938 */
+    0,
+    /*  */
+  },
+  { /* 3939 */
+    0,
+    /*  */
+  },
+  { /* 3940 */
+    304,
+    /* VMINPDYrm */
+  },
+  { /* 3941 */
+    305,
+    /* VMINPDYrr */
+  },
+  { /* 3942 */
+    306,
+    /* VMINPDZrm */
+  },
+  { /* 3943 */
+    307,
+    /* VMINPDZrmb */
+  },
+  { /* 3944 */
+    308,
+    /* VMINPDZrmbk */
+  },
+  { /* 3945 */
+    308,
+    /* VMINPDZrmbkz */
+  },
+  { /* 3946 */
+    309,
+    /* VMINPDZrmk */
+  },
+  { /* 3947 */
+    309,
+    /* VMINPDZrmkz */
+  },
+  { /* 3948 */
+    310,
+    /* VMINPDZrr */
+  },
+  { /* 3949 */
+    311,
+    /* VMINPDZrrk */
+  },
+  { /* 3950 */
+    311,
+    /* VMINPDZrrkz */
+  },
+  { /* 3951 */
+    312,
+    /* VMINPDrm */
+  },
+  { /* 3952 */
+    313,
+    /* VMINPDrr */
+  },
+  { /* 3953 */
+    304,
+    /* VMINPSYrm */
+  },
+  { /* 3954 */
+    305,
+    /* VMINPSYrr */
+  },
+  { /* 3955 */
+    306,
+    /* VMINPSZrm */
+  },
+  { /* 3956 */
+    314,
+    /* VMINPSZrmb */
+  },
+  { /* 3957 */
+    315,
+    /* VMINPSZrmbk */
+  },
+  { /* 3958 */
+    315,
+    /* VMINPSZrmbkz */
+  },
+  { /* 3959 */
+    316,
+    /* VMINPSZrmk */
+  },
+  { /* 3960 */
+    316,
+    /* VMINPSZrmkz */
+  },
+  { /* 3961 */
+    310,
+    /* VMINPSZrr */
+  },
+  { /* 3962 */
+    317,
+    /* VMINPSZrrk */
+  },
+  { /* 3963 */
+    317,
+    /* VMINPSZrrkz */
+  },
+  { /* 3964 */
+    312,
+    /* VMINPSrm */
+  },
+  { /* 3965 */
+    313,
+    /* VMINPSrr */
+  },
+  { /* 3966 */
+    318,
+    /* VMINSDZrm */
+  },
+  { /* 3967 */
+    319,
+    /* VMINSDZrr */
+  },
+  { /* 3968 */
+    320,
+    /* VMINSDrm */
+  },
+  { /* 3969 */
+    0,
+    /*  */
+  },
+  { /* 3970 */
+    321,
+    /* VMINSDrr */
+  },
+  { /* 3971 */
+    0,
+    /*  */
+  },
+  { /* 3972 */
+    322,
+    /* VMINSSZrm */
+  },
+  { /* 3973 */
+    323,
+    /* VMINSSZrr */
+  },
+  { /* 3974 */
+    324,
+    /* VMINSSrm */
+  },
+  { /* 3975 */
+    0,
+    /*  */
+  },
+  { /* 3976 */
+    325,
+    /* VMINSSrr */
+  },
+  { /* 3977 */
+    0,
+    /*  */
+  },
+  { /* 3978 */
     0,
     /* VMLAUNCH */
   },
-  { /* 3896 */
+  { /* 3979 */
     0,
     /* VMLOAD32 */
   },
-  { /* 3897 */
+  { /* 3980 */
     0,
     /* VMLOAD64 */
   },
-  { /* 3898 */
+  { /* 3981 */
     0,
     /* VMMCALL */
   },
-  { /* 3899 */
-    221,
+  { /* 3982 */
+    485,
     /* VMOV64toPQIZrr */
   },
-  { /* 3900 */
-    221,
+  { /* 3983 */
+    241,
     /* VMOV64toPQIrr */
   },
-  { /* 3901 */
-    0,
-    /*  */
-  },
-  { /* 3902 */
-    0,
-    /*  */
-  },
-  { /* 3903 */
-    0,
-    /*  */
-  },
-  { /* 3904 */
-    410,
-    /* VMOVAPDYmr */
-  },
-  { /* 3905 */
-    336,
-    /* VMOVAPDYrm */
-  },
-  { /* 3906 */
-    337,
-    /* VMOVAPDYrr */
-  },
-  { /* 3907 */
-    411,
-    /* VMOVAPDYrr_REV */
-  },
-  { /* 3908 */
-    412,
-    /* VMOVAPDZmr */
-  },
-  { /* 3909 */
-    338,
-    /* VMOVAPDZrm */
-  },
-  { /* 3910 */
-    413,
-    /* VMOVAPDZrmk */
-  },
-  { /* 3911 */
-    339,
-    /* VMOVAPDZrr */
-  },
-  { /* 3912 */
-    414,
-    /* VMOVAPDZrrk */
-  },
-  { /* 3913 */
-    223,
-    /* VMOVAPDmr */
-  },
-  { /* 3914 */
-    42,
-    /* VMOVAPDrm */
-  },
-  { /* 3915 */
-    43,
-    /* VMOVAPDrr */
-  },
-  { /* 3916 */
-    224,
-    /* VMOVAPDrr_REV */
-  },
-  { /* 3917 */
-    410,
-    /* VMOVAPSYmr */
-  },
-  { /* 3918 */
-    336,
-    /* VMOVAPSYrm */
-  },
-  { /* 3919 */
-    337,
-    /* VMOVAPSYrr */
-  },
-  { /* 3920 */
-    411,
-    /* VMOVAPSYrr_REV */
-  },
-  { /* 3921 */
-    412,
-    /* VMOVAPSZmr */
-  },
-  { /* 3922 */
-    338,
-    /* VMOVAPSZrm */
-  },
-  { /* 3923 */
-    415,
-    /* VMOVAPSZrmk */
-  },
-  { /* 3924 */
-    339,
-    /* VMOVAPSZrr */
-  },
-  { /* 3925 */
-    416,
-    /* VMOVAPSZrrk */
-  },
-  { /* 3926 */
-    223,
-    /* VMOVAPSmr */
-  },
-  { /* 3927 */
-    42,
-    /* VMOVAPSrm */
-  },
-  { /* 3928 */
-    43,
-    /* VMOVAPSrr */
-  },
-  { /* 3929 */
-    224,
-    /* VMOVAPSrr_REV */
-  },
-  { /* 3930 */
-    336,
-    /* VMOVDDUPYrm */
-  },
-  { /* 3931 */
-    337,
-    /* VMOVDDUPYrr */
-  },
-  { /* 3932 */
-    338,
-    /* VMOVDDUPZrm */
-  },
-  { /* 3933 */
-    339,
-    /* VMOVDDUPZrr */
-  },
-  { /* 3934 */
-    105,
-    /* VMOVDDUPrm */
-  },
-  { /* 3935 */
-    43,
-    /* VMOVDDUPrr */
-  },
-  { /* 3936 */
-    104,
-    /* VMOVDI2PDIZrm */
-  },
-  { /* 3937 */
-    225,
-    /* VMOVDI2PDIZrr */
-  },
-  { /* 3938 */
-    104,
-    /* VMOVDI2PDIrm */
-  },
-  { /* 3939 */
-    225,
-    /* VMOVDI2PDIrr */
-  },
-  { /* 3940 */
-    0,
-    /*  */
-  },
-  { /* 3941 */
-    0,
-    /*  */
-  },
-  { /* 3942 */
-    0,
-    /*  */
-  },
-  { /* 3943 */
-    0,
-    /*  */
-  },
-  { /* 3944 */
-    412,
-    /* VMOVDQA32mr */
-  },
-  { /* 3945 */
-    338,
-    /* VMOVDQA32rm */
-  },
-  { /* 3946 */
-    339,
-    /* VMOVDQA32rr */
-  },
-  { /* 3947 */
-    412,
-    /* VMOVDQA64mr */
-  },
-  { /* 3948 */
-    338,
-    /* VMOVDQA64rm */
-  },
-  { /* 3949 */
-    339,
-    /* VMOVDQA64rr */
-  },
-  { /* 3950 */
-    410,
-    /* VMOVDQAYmr */
-  },
-  { /* 3951 */
-    336,
-    /* VMOVDQAYrm */
-  },
-  { /* 3952 */
-    337,
-    /* VMOVDQAYrr */
-  },
-  { /* 3953 */
-    411,
-    /* VMOVDQAYrr_REV */
-  },
-  { /* 3954 */
-    223,
-    /* VMOVDQAmr */
-  },
-  { /* 3955 */
-    42,
-    /* VMOVDQArm */
-  },
-  { /* 3956 */
-    43,
-    /* VMOVDQArr */
-  },
-  { /* 3957 */
-    224,
-    /* VMOVDQArr_REV */
-  },
-  { /* 3958 */
-    412,
-    /* VMOVDQU32mr */
-  },
-  { /* 3959 */
-    338,
-    /* VMOVDQU32rm */
-  },
-  { /* 3960 */
-    415,
-    /* VMOVDQU32rmk */
-  },
-  { /* 3961 */
-    339,
-    /* VMOVDQU32rr */
-  },
-  { /* 3962 */
-    416,
-    /* VMOVDQU32rrk */
-  },
-  { /* 3963 */
-    417,
-    /* VMOVDQU32rrkz */
-  },
-  { /* 3964 */
-    412,
-    /* VMOVDQU64mr */
-  },
-  { /* 3965 */
-    338,
-    /* VMOVDQU64rm */
-  },
-  { /* 3966 */
-    413,
-    /* VMOVDQU64rmk */
-  },
-  { /* 3967 */
-    339,
-    /* VMOVDQU64rr */
-  },
-  { /* 3968 */
-    414,
-    /* VMOVDQU64rrk */
-  },
-  { /* 3969 */
-    418,
-    /* VMOVDQU64rrkz */
-  },
-  { /* 3970 */
-    410,
-    /* VMOVDQUYmr */
-  },
-  { /* 3971 */
-    336,
-    /* VMOVDQUYrm */
-  },
-  { /* 3972 */
-    337,
-    /* VMOVDQUYrr */
-  },
-  { /* 3973 */
-    411,
-    /* VMOVDQUYrr_REV */
-  },
-  { /* 3974 */
-    223,
-    /* VMOVDQUmr */
-  },
-  { /* 3975 */
-    42,
-    /* VMOVDQUrm */
-  },
-  { /* 3976 */
-    43,
-    /* VMOVDQUrr */
-  },
-  { /* 3977 */
-    224,
-    /* VMOVDQUrr_REV */
-  },
-  { /* 3978 */
-    290,
-    /* VMOVHLPSZrr */
-  },
-  { /* 3979 */
-    290,
-    /* VMOVHLPSrr */
-  },
-  { /* 3980 */
-    226,
-    /* VMOVHPDmr */
-  },
-  { /* 3981 */
-    419,
-    /* VMOVHPDrm */
-  },
-  { /* 3982 */
-    226,
-    /* VMOVHPSmr */
-  },
-  { /* 3983 */
-    419,
-    /* VMOVHPSrm */
-  },
   { /* 3984 */
-    290,
-    /* VMOVLHPSZrr */
+    0,
+    /*  */
   },
   { /* 3985 */
-    290,
-    /* VMOVLHPSrr */
+    0,
+    /*  */
   },
   { /* 3986 */
-    226,
-    /* VMOVLPDmr */
+    0,
+    /*  */
   },
   { /* 3987 */
-    419,
-    /* VMOVLPDrm */
+    486,
+    /* VMOVAPDYmr */
   },
   { /* 3988 */
-    226,
-    /* VMOVLPSmr */
+    376,
+    /* VMOVAPDYrm */
   },
   { /* 3989 */
-    419,
-    /* VMOVLPSrm */
+    377,
+    /* VMOVAPDYrr */
   },
   { /* 3990 */
-    420,
-    /* VMOVMSKPDYrr */
+    487,
+    /* VMOVAPDYrr_REV */
   },
   { /* 3991 */
-    109,
-    /* VMOVMSKPDrr */
+    488,
+    /* VMOVAPDZ128mr */
   },
   { /* 3992 */
-    420,
-    /* VMOVMSKPSYrr */
+    489,
+    /* VMOVAPDZ128mrk */
   },
   { /* 3993 */
-    109,
-    /* VMOVMSKPSrr */
+    490,
+    /* VMOVAPDZ128rm */
   },
   { /* 3994 */
-    336,
-    /* VMOVNTDQAYrm */
+    491,
+    /* VMOVAPDZ128rmk */
   },
   { /* 3995 */
-    42,
-    /* VMOVNTDQArm */
+    492,
+    /* VMOVAPDZ128rmkz */
   },
   { /* 3996 */
-    410,
-    /* VMOVNTDQYmr */
+    493,
+    /* VMOVAPDZ128rr */
   },
   { /* 3997 */
-    223,
-    /* VMOVNTDQmr */
+    0,
+    /*  */
   },
   { /* 3998 */
-    410,
-    /* VMOVNTPDYmr */
+    494,
+    /* VMOVAPDZ128rrk */
   },
   { /* 3999 */
-    223,
-    /* VMOVNTPDmr */
+    0,
+    /*  */
   },
   { /* 4000 */
-    410,
-    /* VMOVNTPSYmr */
+    495,
+    /* VMOVAPDZ128rrkz */
   },
   { /* 4001 */
-    223,
-    /* VMOVNTPSmr */
+    0,
+    /*  */
   },
   { /* 4002 */
-    230,
-    /* VMOVPDI2DIZmr */
+    496,
+    /* VMOVAPDZ256mr */
   },
   { /* 4003 */
-    231,
-    /* VMOVPDI2DIZrr */
+    497,
+    /* VMOVAPDZ256mrk */
   },
   { /* 4004 */
-    230,
-    /* VMOVPDI2DImr */
+    498,
+    /* VMOVAPDZ256rm */
   },
   { /* 4005 */
-    231,
-    /* VMOVPDI2DIrr */
+    499,
+    /* VMOVAPDZ256rmk */
   },
   { /* 4006 */
-    230,
-    /* VMOVPQI2QImr */
+    500,
+    /* VMOVAPDZ256rmkz */
   },
   { /* 4007 */
-    224,
-    /* VMOVPQI2QIrr */
+    501,
+    /* VMOVAPDZ256rr */
   },
   { /* 4008 */
-    230,
-    /* VMOVPQIto64Zmr */
+    0,
+    /*  */
   },
   { /* 4009 */
-    232,
-    /* VMOVPQIto64Zrr */
+    502,
+    /* VMOVAPDZ256rrk */
   },
   { /* 4010 */
-    232,
-    /* VMOVPQIto64rr */
+    0,
+    /*  */
   },
   { /* 4011 */
-    104,
-    /* VMOVQI2PQIZrm */
+    503,
+    /* VMOVAPDZ256rrkz */
   },
   { /* 4012 */
-    104,
-    /* VMOVQI2PQIrm */
+    0,
+    /*  */
   },
   { /* 4013 */
-    233,
-    /* VMOVSDZmr */
+    504,
+    /* VMOVAPDZmr */
   },
   { /* 4014 */
-    234,
-    /* VMOVSDZrm */
+    505,
+    /* VMOVAPDZmrk */
   },
   { /* 4015 */
-    421,
-    /* VMOVSDZrr */
+    378,
+    /* VMOVAPDZrm */
   },
   { /* 4016 */
-    422,
-    /* VMOVSDZrr_REV */
+    506,
+    /* VMOVAPDZrmk */
   },
   { /* 4017 */
-    423,
-    /* VMOVSDZrrk */
+    507,
+    /* VMOVAPDZrmkz */
   },
   { /* 4018 */
-    233,
-    /* VMOVSDmr */
+    379,
+    /* VMOVAPDZrr */
   },
   { /* 4019 */
-    234,
-    /* VMOVSDrm */
+    0,
+    /*  */
   },
   { /* 4020 */
-    421,
-    /* VMOVSDrr */
+    508,
+    /* VMOVAPDZrrk */
   },
   { /* 4021 */
-    422,
-    /* VMOVSDrr_REV */
+    0,
+    /*  */
   },
   { /* 4022 */
-    424,
-    /* VMOVSDto64Zmr */
+    509,
+    /* VMOVAPDZrrkz */
   },
   { /* 4023 */
     0,
     /*  */
   },
   { /* 4024 */
-    0,
-    /*  */
+    243,
+    /* VMOVAPDmr */
   },
   { /* 4025 */
-    0,
-    /*  */
+    44,
+    /* VMOVAPDrm */
   },
   { /* 4026 */
-    336,
-    /* VMOVSHDUPYrm */
+    45,
+    /* VMOVAPDrr */
   },
   { /* 4027 */
-    337,
-    /* VMOVSHDUPYrr */
+    244,
+    /* VMOVAPDrr_REV */
   },
   { /* 4028 */
-    338,
-    /* VMOVSHDUPZrm */
+    486,
+    /* VMOVAPSYmr */
   },
   { /* 4029 */
-    339,
-    /* VMOVSHDUPZrr */
+    376,
+    /* VMOVAPSYrm */
   },
   { /* 4030 */
-    42,
-    /* VMOVSHDUPrm */
+    377,
+    /* VMOVAPSYrr */
   },
   { /* 4031 */
-    43,
-    /* VMOVSHDUPrr */
+    487,
+    /* VMOVAPSYrr_REV */
   },
   { /* 4032 */
-    336,
-    /* VMOVSLDUPYrm */
+    488,
+    /* VMOVAPSZ128mr */
   },
   { /* 4033 */
-    337,
-    /* VMOVSLDUPYrr */
+    510,
+    /* VMOVAPSZ128mrk */
   },
   { /* 4034 */
-    338,
-    /* VMOVSLDUPZrm */
+    490,
+    /* VMOVAPSZ128rm */
   },
   { /* 4035 */
-    339,
-    /* VMOVSLDUPZrr */
+    511,
+    /* VMOVAPSZ128rmk */
   },
   { /* 4036 */
-    42,
-    /* VMOVSLDUPrm */
+    512,
+    /* VMOVAPSZ128rmkz */
   },
   { /* 4037 */
-    43,
-    /* VMOVSLDUPrr */
+    493,
+    /* VMOVAPSZ128rr */
   },
   { /* 4038 */
     0,
     /*  */
   },
   { /* 4039 */
-    0,
-    /*  */
+    513,
+    /* VMOVAPSZ128rrk */
   },
   { /* 4040 */
     0,
     /*  */
   },
   { /* 4041 */
+    514,
+    /* VMOVAPSZ128rrkz */
+  },
+  { /* 4042 */
     0,
     /*  */
   },
-  { /* 4042 */
-    237,
-    /* VMOVSSZmr */
-  },
   { /* 4043 */
-    238,
-    /* VMOVSSZrm */
+    496,
+    /* VMOVAPSZ256mr */
   },
   { /* 4044 */
-    425,
-    /* VMOVSSZrr */
+    515,
+    /* VMOVAPSZ256mrk */
   },
   { /* 4045 */
-    426,
-    /* VMOVSSZrr_REV */
+    498,
+    /* VMOVAPSZ256rm */
   },
   { /* 4046 */
-    427,
-    /* VMOVSSZrrk */
+    516,
+    /* VMOVAPSZ256rmk */
   },
   { /* 4047 */
-    237,
-    /* VMOVSSmr */
+    517,
+    /* VMOVAPSZ256rmkz */
   },
   { /* 4048 */
-    238,
-    /* VMOVSSrm */
+    501,
+    /* VMOVAPSZ256rr */
   },
   { /* 4049 */
-    425,
-    /* VMOVSSrr */
+    0,
+    /*  */
   },
   { /* 4050 */
-    426,
-    /* VMOVSSrr_REV */
+    518,
+    /* VMOVAPSZ256rrk */
   },
   { /* 4051 */
-    410,
-    /* VMOVUPDYmr */
+    0,
+    /*  */
   },
   { /* 4052 */
-    336,
-    /* VMOVUPDYrm */
+    519,
+    /* VMOVAPSZ256rrkz */
   },
   { /* 4053 */
-    337,
-    /* VMOVUPDYrr */
+    0,
+    /*  */
   },
   { /* 4054 */
-    411,
-    /* VMOVUPDYrr_REV */
+    504,
+    /* VMOVAPSZmr */
   },
   { /* 4055 */
-    412,
-    /* VMOVUPDZmr */
+    520,
+    /* VMOVAPSZmrk */
   },
   { /* 4056 */
-    338,
-    /* VMOVUPDZrm */
+    378,
+    /* VMOVAPSZrm */
   },
   { /* 4057 */
-    413,
-    /* VMOVUPDZrmk */
+    521,
+    /* VMOVAPSZrmk */
   },
   { /* 4058 */
-    339,
-    /* VMOVUPDZrr */
+    522,
+    /* VMOVAPSZrmkz */
   },
   { /* 4059 */
-    414,
-    /* VMOVUPDZrrk */
+    379,
+    /* VMOVAPSZrr */
   },
   { /* 4060 */
-    223,
-    /* VMOVUPDmr */
+    0,
+    /*  */
   },
   { /* 4061 */
-    42,
-    /* VMOVUPDrm */
+    523,
+    /* VMOVAPSZrrk */
   },
   { /* 4062 */
-    43,
-    /* VMOVUPDrr */
+    0,
+    /*  */
   },
   { /* 4063 */
-    224,
-    /* VMOVUPDrr_REV */
+    524,
+    /* VMOVAPSZrrkz */
   },
   { /* 4064 */
-    410,
-    /* VMOVUPSYmr */
+    0,
+    /*  */
   },
   { /* 4065 */
-    336,
-    /* VMOVUPSYrm */
+    243,
+    /* VMOVAPSmr */
   },
   { /* 4066 */
-    337,
-    /* VMOVUPSYrr */
+    44,
+    /* VMOVAPSrm */
   },
   { /* 4067 */
-    411,
-    /* VMOVUPSYrr_REV */
+    45,
+    /* VMOVAPSrr */
   },
   { /* 4068 */
-    412,
-    /* VMOVUPSZmr */
+    244,
+    /* VMOVAPSrr_REV */
   },
   { /* 4069 */
-    338,
-    /* VMOVUPSZrm */
+    376,
+    /* VMOVDDUPYrm */
   },
   { /* 4070 */
-    415,
-    /* VMOVUPSZrmk */
+    377,
+    /* VMOVDDUPYrr */
   },
   { /* 4071 */
-    339,
-    /* VMOVUPSZrr */
+    378,
+    /* VMOVDDUPZrm */
   },
   { /* 4072 */
-    416,
-    /* VMOVUPSZrrk */
+    379,
+    /* VMOVDDUPZrr */
   },
   { /* 4073 */
-    223,
-    /* VMOVUPSmr */
+    106,
+    /* VMOVDDUPrm */
   },
   { /* 4074 */
-    42,
-    /* VMOVUPSrm */
+    45,
+    /* VMOVDDUPrr */
   },
   { /* 4075 */
-    43,
-    /* VMOVUPSrr */
+    525,
+    /* VMOVDI2PDIZrm */
   },
   { /* 4076 */
-    224,
-    /* VMOVUPSrr_REV */
+    526,
+    /* VMOVDI2PDIZrr */
   },
   { /* 4077 */
-    42,
-    /* VMOVZPQILo2PQIZrm */
+    105,
+    /* VMOVDI2PDIrm */
   },
   { /* 4078 */
-    43,
-    /* VMOVZPQILo2PQIZrr */
+    245,
+    /* VMOVDI2PDIrr */
   },
   { /* 4079 */
     0,
     /*  */
   },
   { /* 4080 */
-    43,
-    /* VMOVZPQILo2PQIrr */
+    0,
+    /*  */
   },
   { /* 4081 */
     0,
@@ -21201,5470 +23624,8706 @@
     /*  */
   },
   { /* 4083 */
-    302,
-    /* VMPSADBWYrmi */
+    488,
+    /* VMOVDQA32Z128mr */
   },
   { /* 4084 */
-    303,
-    /* VMPSADBWYrri */
+    510,
+    /* VMOVDQA32Z128mrk */
   },
   { /* 4085 */
-    304,
-    /* VMPSADBWrmi */
+    490,
+    /* VMOVDQA32Z128rm */
   },
   { /* 4086 */
-    305,
-    /* VMPSADBWrri */
+    511,
+    /* VMOVDQA32Z128rmk */
   },
   { /* 4087 */
-    40,
-    /* VMPTRLDm */
+    512,
+    /* VMOVDQA32Z128rmkz */
   },
   { /* 4088 */
-    40,
-    /* VMPTRSTm */
+    493,
+    /* VMOVDQA32Z128rr */
   },
   { /* 4089 */
-    228,
-    /* VMREAD32rm */
+    0,
+    /*  */
   },
   { /* 4090 */
-    428,
-    /* VMREAD32rr */
+    513,
+    /* VMOVDQA32Z128rrk */
   },
   { /* 4091 */
-    16,
-    /* VMREAD64rm */
+    0,
+    /*  */
   },
   { /* 4092 */
-    74,
-    /* VMREAD64rr */
+    514,
+    /* VMOVDQA32Z128rrkz */
   },
   { /* 4093 */
     0,
-    /* VMRESUME */
+    /*  */
   },
   { /* 4094 */
-    0,
-    /* VMRUN32 */
+    496,
+    /* VMOVDQA32Z256mr */
   },
   { /* 4095 */
-    0,
-    /* VMRUN64 */
+    515,
+    /* VMOVDQA32Z256mrk */
   },
   { /* 4096 */
-    0,
-    /* VMSAVE32 */
+    498,
+    /* VMOVDQA32Z256rm */
   },
   { /* 4097 */
-    0,
-    /* VMSAVE64 */
+    516,
+    /* VMOVDQA32Z256rmk */
   },
   { /* 4098 */
-    284,
-    /* VMULPDYrm */
+    517,
+    /* VMOVDQA32Z256rmkz */
   },
   { /* 4099 */
-    285,
-    /* VMULPDYrr */
+    501,
+    /* VMOVDQA32Z256rr */
   },
   { /* 4100 */
-    286,
-    /* VMULPDZrm */
+    0,
+    /*  */
   },
   { /* 4101 */
-    287,
-    /* VMULPDZrmb */
+    518,
+    /* VMOVDQA32Z256rrk */
   },
   { /* 4102 */
-    288,
-    /* VMULPDZrr */
+    0,
+    /*  */
   },
   { /* 4103 */
-    289,
-    /* VMULPDrm */
+    519,
+    /* VMOVDQA32Z256rrkz */
   },
   { /* 4104 */
-    290,
-    /* VMULPDrr */
+    0,
+    /*  */
   },
   { /* 4105 */
-    284,
-    /* VMULPSYrm */
+    504,
+    /* VMOVDQA32Zmr */
   },
   { /* 4106 */
-    285,
-    /* VMULPSYrr */
+    520,
+    /* VMOVDQA32Zmrk */
   },
   { /* 4107 */
-    286,
-    /* VMULPSZrm */
+    378,
+    /* VMOVDQA32Zrm */
   },
   { /* 4108 */
-    291,
-    /* VMULPSZrmb */
+    521,
+    /* VMOVDQA32Zrmk */
   },
   { /* 4109 */
-    288,
-    /* VMULPSZrr */
+    522,
+    /* VMOVDQA32Zrmkz */
   },
   { /* 4110 */
-    289,
-    /* VMULPSrm */
+    379,
+    /* VMOVDQA32Zrr */
   },
   { /* 4111 */
-    290,
-    /* VMULPSrr */
+    0,
+    /*  */
   },
   { /* 4112 */
-    292,
-    /* VMULSDZrm */
+    523,
+    /* VMOVDQA32Zrrk */
   },
   { /* 4113 */
-    293,
-    /* VMULSDZrr */
+    0,
+    /*  */
   },
   { /* 4114 */
-    292,
-    /* VMULSDrm */
+    524,
+    /* VMOVDQA32Zrrkz */
   },
   { /* 4115 */
     0,
     /*  */
   },
   { /* 4116 */
-    293,
-    /* VMULSDrr */
+    488,
+    /* VMOVDQA64Z128mr */
   },
   { /* 4117 */
-    0,
-    /*  */
+    489,
+    /* VMOVDQA64Z128mrk */
   },
   { /* 4118 */
-    294,
-    /* VMULSSZrm */
+    490,
+    /* VMOVDQA64Z128rm */
   },
   { /* 4119 */
-    295,
-    /* VMULSSZrr */
+    491,
+    /* VMOVDQA64Z128rmk */
   },
   { /* 4120 */
-    294,
-    /* VMULSSrm */
+    492,
+    /* VMOVDQA64Z128rmkz */
   },
   { /* 4121 */
-    0,
-    /*  */
+    493,
+    /* VMOVDQA64Z128rr */
   },
   { /* 4122 */
-    295,
-    /* VMULSSrr */
+    0,
+    /*  */
   },
   { /* 4123 */
-    0,
-    /*  */
+    494,
+    /* VMOVDQA64Z128rrk */
   },
   { /* 4124 */
-    28,
-    /* VMWRITE32rm */
+    0,
+    /*  */
   },
   { /* 4125 */
-    29,
-    /* VMWRITE32rr */
+    495,
+    /* VMOVDQA64Z128rrkz */
   },
   { /* 4126 */
-    30,
-    /* VMWRITE64rm */
+    0,
+    /*  */
   },
   { /* 4127 */
-    31,
-    /* VMWRITE64rr */
+    496,
+    /* VMOVDQA64Z256mr */
   },
   { /* 4128 */
-    0,
-    /* VMXOFF */
+    497,
+    /* VMOVDQA64Z256mrk */
   },
   { /* 4129 */
-    40,
-    /* VMXON */
+    498,
+    /* VMOVDQA64Z256rm */
   },
   { /* 4130 */
-    284,
-    /* VORPDYrm */
+    499,
+    /* VMOVDQA64Z256rmk */
   },
   { /* 4131 */
-    285,
-    /* VORPDYrr */
+    500,
+    /* VMOVDQA64Z256rmkz */
   },
   { /* 4132 */
-    289,
-    /* VORPDrm */
+    501,
+    /* VMOVDQA64Z256rr */
   },
   { /* 4133 */
-    290,
-    /* VORPDrr */
+    0,
+    /*  */
   },
   { /* 4134 */
-    284,
-    /* VORPSYrm */
+    502,
+    /* VMOVDQA64Z256rrk */
   },
   { /* 4135 */
-    285,
-    /* VORPSYrr */
+    0,
+    /*  */
   },
   { /* 4136 */
-    289,
-    /* VORPSrm */
+    503,
+    /* VMOVDQA64Z256rrkz */
   },
   { /* 4137 */
-    290,
-    /* VORPSrr */
+    0,
+    /*  */
   },
   { /* 4138 */
-    42,
-    /* VPABSBrm128 */
+    504,
+    /* VMOVDQA64Zmr */
   },
   { /* 4139 */
-    336,
-    /* VPABSBrm256 */
+    505,
+    /* VMOVDQA64Zmrk */
   },
   { /* 4140 */
-    43,
-    /* VPABSBrr128 */
+    378,
+    /* VMOVDQA64Zrm */
   },
   { /* 4141 */
-    337,
-    /* VPABSBrr256 */
+    506,
+    /* VMOVDQA64Zrmk */
   },
   { /* 4142 */
-    338,
-    /* VPABSDrm */
+    507,
+    /* VMOVDQA64Zrmkz */
   },
   { /* 4143 */
-    42,
-    /* VPABSDrm128 */
+    379,
+    /* VMOVDQA64Zrr */
   },
   { /* 4144 */
-    336,
-    /* VPABSDrm256 */
+    0,
+    /*  */
   },
   { /* 4145 */
-    339,
-    /* VPABSDrr */
+    508,
+    /* VMOVDQA64Zrrk */
   },
   { /* 4146 */
-    43,
-    /* VPABSDrr128 */
+    0,
+    /*  */
   },
   { /* 4147 */
-    337,
-    /* VPABSDrr256 */
+    509,
+    /* VMOVDQA64Zrrkz */
   },
   { /* 4148 */
-    338,
-    /* VPABSQrm */
+    0,
+    /*  */
   },
   { /* 4149 */
-    339,
-    /* VPABSQrr */
+    486,
+    /* VMOVDQAYmr */
   },
   { /* 4150 */
-    42,
-    /* VPABSWrm128 */
+    376,
+    /* VMOVDQAYrm */
   },
   { /* 4151 */
-    336,
-    /* VPABSWrm256 */
+    377,
+    /* VMOVDQAYrr */
   },
   { /* 4152 */
-    43,
-    /* VPABSWrr128 */
+    487,
+    /* VMOVDQAYrr_REV */
   },
   { /* 4153 */
-    337,
-    /* VPABSWrr256 */
+    243,
+    /* VMOVDQAmr */
   },
   { /* 4154 */
-    284,
-    /* VPACKSSDWYrm */
+    44,
+    /* VMOVDQArm */
   },
   { /* 4155 */
-    285,
-    /* VPACKSSDWYrr */
+    45,
+    /* VMOVDQArr */
   },
   { /* 4156 */
-    289,
-    /* VPACKSSDWrm */
+    244,
+    /* VMOVDQArr_REV */
   },
   { /* 4157 */
-    290,
-    /* VPACKSSDWrr */
+    488,
+    /* VMOVDQU16Z128mr */
   },
   { /* 4158 */
-    284,
-    /* VPACKSSWBYrm */
+    527,
+    /* VMOVDQU16Z128mrk */
   },
   { /* 4159 */
-    285,
-    /* VPACKSSWBYrr */
+    490,
+    /* VMOVDQU16Z128rm */
   },
   { /* 4160 */
-    289,
-    /* VPACKSSWBrm */
+    528,
+    /* VMOVDQU16Z128rmk */
   },
   { /* 4161 */
-    290,
-    /* VPACKSSWBrr */
+    529,
+    /* VMOVDQU16Z128rmkz */
   },
   { /* 4162 */
-    284,
-    /* VPACKUSDWYrm */
+    493,
+    /* VMOVDQU16Z128rr */
   },
   { /* 4163 */
-    285,
-    /* VPACKUSDWYrr */
+    0,
+    /*  */
   },
   { /* 4164 */
-    289,
-    /* VPACKUSDWrm */
+    530,
+    /* VMOVDQU16Z128rrk */
   },
   { /* 4165 */
-    290,
-    /* VPACKUSDWrr */
+    0,
+    /*  */
   },
   { /* 4166 */
-    284,
-    /* VPACKUSWBYrm */
+    531,
+    /* VMOVDQU16Z128rrkz */
   },
   { /* 4167 */
-    285,
-    /* VPACKUSWBYrr */
+    0,
+    /*  */
   },
   { /* 4168 */
-    289,
-    /* VPACKUSWBrm */
+    496,
+    /* VMOVDQU16Z256mr */
   },
   { /* 4169 */
-    290,
-    /* VPACKUSWBrr */
+    532,
+    /* VMOVDQU16Z256mrk */
   },
   { /* 4170 */
-    284,
-    /* VPADDBYrm */
+    498,
+    /* VMOVDQU16Z256rm */
   },
   { /* 4171 */
-    285,
-    /* VPADDBYrr */
+    533,
+    /* VMOVDQU16Z256rmk */
   },
   { /* 4172 */
-    289,
-    /* VPADDBrm */
+    534,
+    /* VMOVDQU16Z256rmkz */
   },
   { /* 4173 */
-    290,
-    /* VPADDBrr */
+    501,
+    /* VMOVDQU16Z256rr */
   },
   { /* 4174 */
-    284,
-    /* VPADDDYrm */
+    0,
+    /*  */
   },
   { /* 4175 */
-    285,
-    /* VPADDDYrr */
+    535,
+    /* VMOVDQU16Z256rrk */
   },
   { /* 4176 */
-    286,
-    /* VPADDDZrm */
+    0,
+    /*  */
   },
   { /* 4177 */
-    429,
-    /* VPADDDZrmb */
+    536,
+    /* VMOVDQU16Z256rrkz */
   },
   { /* 4178 */
-    288,
-    /* VPADDDZrr */
+    0,
+    /*  */
   },
   { /* 4179 */
-    289,
-    /* VPADDDrm */
+    504,
+    /* VMOVDQU16Zmr */
   },
   { /* 4180 */
-    290,
-    /* VPADDDrr */
+    537,
+    /* VMOVDQU16Zmrk */
   },
   { /* 4181 */
-    284,
-    /* VPADDQYrm */
+    378,
+    /* VMOVDQU16Zrm */
   },
   { /* 4182 */
-    285,
-    /* VPADDQYrr */
+    538,
+    /* VMOVDQU16Zrmk */
   },
   { /* 4183 */
-    286,
-    /* VPADDQZrm */
+    539,
+    /* VMOVDQU16Zrmkz */
   },
   { /* 4184 */
-    429,
-    /* VPADDQZrmb */
+    379,
+    /* VMOVDQU16Zrr */
   },
   { /* 4185 */
-    288,
-    /* VPADDQZrr */
+    0,
+    /*  */
   },
   { /* 4186 */
-    289,
-    /* VPADDQrm */
+    540,
+    /* VMOVDQU16Zrrk */
   },
   { /* 4187 */
-    290,
-    /* VPADDQrr */
+    0,
+    /*  */
   },
   { /* 4188 */
-    284,
-    /* VPADDSBYrm */
+    541,
+    /* VMOVDQU16Zrrkz */
   },
   { /* 4189 */
-    285,
-    /* VPADDSBYrr */
+    0,
+    /*  */
   },
   { /* 4190 */
-    289,
-    /* VPADDSBrm */
+    488,
+    /* VMOVDQU32Z128mr */
   },
   { /* 4191 */
-    290,
-    /* VPADDSBrr */
+    510,
+    /* VMOVDQU32Z128mrk */
   },
   { /* 4192 */
-    284,
-    /* VPADDSWYrm */
+    490,
+    /* VMOVDQU32Z128rm */
   },
   { /* 4193 */
-    285,
-    /* VPADDSWYrr */
+    511,
+    /* VMOVDQU32Z128rmk */
   },
   { /* 4194 */
-    289,
-    /* VPADDSWrm */
+    512,
+    /* VMOVDQU32Z128rmkz */
   },
   { /* 4195 */
-    290,
-    /* VPADDSWrr */
+    493,
+    /* VMOVDQU32Z128rr */
   },
   { /* 4196 */
-    284,
-    /* VPADDUSBYrm */
+    0,
+    /*  */
   },
   { /* 4197 */
-    285,
-    /* VPADDUSBYrr */
+    513,
+    /* VMOVDQU32Z128rrk */
   },
   { /* 4198 */
-    289,
-    /* VPADDUSBrm */
+    0,
+    /*  */
   },
   { /* 4199 */
-    290,
-    /* VPADDUSBrr */
+    514,
+    /* VMOVDQU32Z128rrkz */
   },
   { /* 4200 */
-    284,
-    /* VPADDUSWYrm */
+    0,
+    /*  */
   },
   { /* 4201 */
-    285,
-    /* VPADDUSWYrr */
+    496,
+    /* VMOVDQU32Z256mr */
   },
   { /* 4202 */
-    289,
-    /* VPADDUSWrm */
+    515,
+    /* VMOVDQU32Z256mrk */
   },
   { /* 4203 */
-    290,
-    /* VPADDUSWrr */
+    498,
+    /* VMOVDQU32Z256rm */
   },
   { /* 4204 */
-    284,
-    /* VPADDWYrm */
+    516,
+    /* VMOVDQU32Z256rmk */
   },
   { /* 4205 */
-    285,
-    /* VPADDWYrr */
+    517,
+    /* VMOVDQU32Z256rmkz */
   },
   { /* 4206 */
-    289,
-    /* VPADDWrm */
+    501,
+    /* VMOVDQU32Z256rr */
   },
   { /* 4207 */
-    290,
-    /* VPADDWrr */
+    0,
+    /*  */
   },
   { /* 4208 */
-    430,
-    /* VPALIGNR128rm */
+    518,
+    /* VMOVDQU32Z256rrk */
   },
   { /* 4209 */
-    431,
-    /* VPALIGNR128rr */
+    0,
+    /*  */
   },
   { /* 4210 */
-    432,
-    /* VPALIGNR256rm */
+    519,
+    /* VMOVDQU32Z256rrkz */
   },
   { /* 4211 */
-    433,
-    /* VPALIGNR256rr */
+    0,
+    /*  */
   },
   { /* 4212 */
-    286,
-    /* VPANDDZrm */
+    504,
+    /* VMOVDQU32Zmr */
   },
   { /* 4213 */
-    429,
-    /* VPANDDZrmb */
+    520,
+    /* VMOVDQU32Zmrk */
   },
   { /* 4214 */
-    288,
-    /* VPANDDZrr */
+    378,
+    /* VMOVDQU32Zrm */
   },
   { /* 4215 */
-    286,
-    /* VPANDNDZrm */
+    521,
+    /* VMOVDQU32Zrmk */
   },
   { /* 4216 */
-    429,
-    /* VPANDNDZrmb */
+    522,
+    /* VMOVDQU32Zrmkz */
   },
   { /* 4217 */
-    288,
-    /* VPANDNDZrr */
+    379,
+    /* VMOVDQU32Zrr */
   },
   { /* 4218 */
-    286,
-    /* VPANDNQZrm */
+    0,
+    /*  */
   },
   { /* 4219 */
-    429,
-    /* VPANDNQZrmb */
+    523,
+    /* VMOVDQU32Zrrk */
   },
   { /* 4220 */
-    288,
-    /* VPANDNQZrr */
+    0,
+    /*  */
   },
   { /* 4221 */
-    284,
-    /* VPANDNYrm */
+    524,
+    /* VMOVDQU32Zrrkz */
   },
   { /* 4222 */
-    285,
-    /* VPANDNYrr */
+    0,
+    /*  */
   },
   { /* 4223 */
-    289,
-    /* VPANDNrm */
+    488,
+    /* VMOVDQU64Z128mr */
   },
   { /* 4224 */
-    290,
-    /* VPANDNrr */
+    489,
+    /* VMOVDQU64Z128mrk */
   },
   { /* 4225 */
-    286,
-    /* VPANDQZrm */
+    490,
+    /* VMOVDQU64Z128rm */
   },
   { /* 4226 */
-    429,
-    /* VPANDQZrmb */
+    491,
+    /* VMOVDQU64Z128rmk */
   },
   { /* 4227 */
-    288,
-    /* VPANDQZrr */
+    492,
+    /* VMOVDQU64Z128rmkz */
   },
   { /* 4228 */
-    284,
-    /* VPANDYrm */
+    493,
+    /* VMOVDQU64Z128rr */
   },
   { /* 4229 */
-    285,
-    /* VPANDYrr */
+    0,
+    /*  */
   },
   { /* 4230 */
-    289,
-    /* VPANDrm */
+    494,
+    /* VMOVDQU64Z128rrk */
   },
   { /* 4231 */
-    290,
-    /* VPANDrr */
+    0,
+    /*  */
   },
   { /* 4232 */
-    284,
-    /* VPAVGBYrm */
+    495,
+    /* VMOVDQU64Z128rrkz */
   },
   { /* 4233 */
-    285,
-    /* VPAVGBYrr */
+    0,
+    /*  */
   },
   { /* 4234 */
-    289,
-    /* VPAVGBrm */
+    496,
+    /* VMOVDQU64Z256mr */
   },
   { /* 4235 */
-    290,
-    /* VPAVGBrr */
+    497,
+    /* VMOVDQU64Z256mrk */
   },
   { /* 4236 */
-    284,
-    /* VPAVGWYrm */
+    498,
+    /* VMOVDQU64Z256rm */
   },
   { /* 4237 */
-    285,
-    /* VPAVGWYrr */
+    499,
+    /* VMOVDQU64Z256rmk */
   },
   { /* 4238 */
-    289,
-    /* VPAVGWrm */
+    500,
+    /* VMOVDQU64Z256rmkz */
   },
   { /* 4239 */
-    290,
-    /* VPAVGWrr */
+    501,
+    /* VMOVDQU64Z256rr */
   },
   { /* 4240 */
-    302,
-    /* VPBLENDDYrmi */
+    0,
+    /*  */
   },
   { /* 4241 */
-    303,
-    /* VPBLENDDYrri */
+    502,
+    /* VMOVDQU64Z256rrk */
   },
   { /* 4242 */
-    304,
-    /* VPBLENDDrmi */
+    0,
+    /*  */
   },
   { /* 4243 */
-    305,
-    /* VPBLENDDrri */
+    503,
+    /* VMOVDQU64Z256rrkz */
   },
   { /* 4244 */
-    300,
-    /* VPBLENDMDZrm */
+    0,
+    /*  */
   },
   { /* 4245 */
-    301,
-    /* VPBLENDMDZrr */
+    504,
+    /* VMOVDQU64Zmr */
   },
   { /* 4246 */
-    298,
-    /* VPBLENDMQZrm */
+    505,
+    /* VMOVDQU64Zmrk */
   },
   { /* 4247 */
-    299,
-    /* VPBLENDMQZrr */
+    378,
+    /* VMOVDQU64Zrm */
   },
   { /* 4248 */
-    306,
-    /* VPBLENDVBYrm */
+    506,
+    /* VMOVDQU64Zrmk */
   },
   { /* 4249 */
-    307,
-    /* VPBLENDVBYrr */
+    507,
+    /* VMOVDQU64Zrmkz */
   },
   { /* 4250 */
-    308,
-    /* VPBLENDVBrm */
+    379,
+    /* VMOVDQU64Zrr */
   },
   { /* 4251 */
-    309,
-    /* VPBLENDVBrr */
+    0,
+    /*  */
   },
   { /* 4252 */
-    302,
-    /* VPBLENDWYrmi */
+    508,
+    /* VMOVDQU64Zrrk */
   },
   { /* 4253 */
-    303,
-    /* VPBLENDWYrri */
+    0,
+    /*  */
   },
   { /* 4254 */
-    304,
-    /* VPBLENDWrmi */
+    509,
+    /* VMOVDQU64Zrrkz */
   },
   { /* 4255 */
-    305,
-    /* VPBLENDWrri */
+    0,
+    /*  */
   },
   { /* 4256 */
-    434,
-    /* VPBROADCASTBYrm */
+    488,
+    /* VMOVDQU8Z128mr */
   },
   { /* 4257 */
-    312,
-    /* VPBROADCASTBYrr */
+    542,
+    /* VMOVDQU8Z128mrk */
   },
   { /* 4258 */
-    435,
-    /* VPBROADCASTBrm */
+    490,
+    /* VMOVDQU8Z128rm */
   },
   { /* 4259 */
-    43,
-    /* VPBROADCASTBrr */
+    543,
+    /* VMOVDQU8Z128rmk */
   },
   { /* 4260 */
-    436,
-    /* VPBROADCASTDYrm */
+    544,
+    /* VMOVDQU8Z128rmkz */
   },
   { /* 4261 */
-    312,
-    /* VPBROADCASTDYrr */
+    493,
+    /* VMOVDQU8Z128rr */
   },
   { /* 4262 */
-    437,
-    /* VPBROADCASTDZkrm */
+    0,
+    /*  */
   },
   { /* 4263 */
-    438,
-    /* VPBROADCASTDZkrr */
+    545,
+    /* VMOVDQU8Z128rrk */
   },
   { /* 4264 */
-    439,
-    /* VPBROADCASTDZrm */
+    0,
+    /*  */
   },
   { /* 4265 */
-    314,
-    /* VPBROADCASTDZrr */
+    546,
+    /* VMOVDQU8Z128rrkz */
   },
   { /* 4266 */
-    440,
-    /* VPBROADCASTDrZkrr */
+    0,
+    /*  */
   },
   { /* 4267 */
-    441,
-    /* VPBROADCASTDrZrr */
+    496,
+    /* VMOVDQU8Z256mr */
   },
   { /* 4268 */
-    104,
-    /* VPBROADCASTDrm */
+    547,
+    /* VMOVDQU8Z256mrk */
   },
   { /* 4269 */
-    43,
-    /* VPBROADCASTDrr */
+    498,
+    /* VMOVDQU8Z256rm */
   },
   { /* 4270 */
-    442,
-    /* VPBROADCASTMB2Qrr */
+    548,
+    /* VMOVDQU8Z256rmk */
   },
   { /* 4271 */
-    443,
-    /* VPBROADCASTMW2Drr */
+    549,
+    /* VMOVDQU8Z256rmkz */
   },
   { /* 4272 */
-    436,
-    /* VPBROADCASTQYrm */
+    501,
+    /* VMOVDQU8Z256rr */
   },
   { /* 4273 */
-    312,
-    /* VPBROADCASTQYrr */
+    0,
+    /*  */
   },
   { /* 4274 */
-    444,
-    /* VPBROADCASTQZkrm */
+    550,
+    /* VMOVDQU8Z256rrk */
   },
   { /* 4275 */
-    445,
-    /* VPBROADCASTQZkrr */
+    0,
+    /*  */
   },
   { /* 4276 */
-    439,
-    /* VPBROADCASTQZrm */
+    551,
+    /* VMOVDQU8Z256rrkz */
   },
   { /* 4277 */
-    314,
-    /* VPBROADCASTQZrr */
+    0,
+    /*  */
   },
   { /* 4278 */
-    446,
-    /* VPBROADCASTQrZkrr */
+    504,
+    /* VMOVDQU8Zmr */
   },
   { /* 4279 */
-    447,
-    /* VPBROADCASTQrZrr */
+    552,
+    /* VMOVDQU8Zmrk */
   },
   { /* 4280 */
-    104,
-    /* VPBROADCASTQrm */
+    378,
+    /* VMOVDQU8Zrm */
   },
   { /* 4281 */
-    43,
-    /* VPBROADCASTQrr */
+    553,
+    /* VMOVDQU8Zrmk */
   },
   { /* 4282 */
-    436,
-    /* VPBROADCASTWYrm */
+    554,
+    /* VMOVDQU8Zrmkz */
   },
   { /* 4283 */
-    312,
-    /* VPBROADCASTWYrr */
+    379,
+    /* VMOVDQU8Zrr */
   },
   { /* 4284 */
-    104,
-    /* VPBROADCASTWrm */
+    0,
+    /*  */
   },
   { /* 4285 */
-    43,
-    /* VPBROADCASTWrr */
+    555,
+    /* VMOVDQU8Zrrk */
   },
   { /* 4286 */
-    430,
-    /* VPCLMULQDQrm */
+    0,
+    /*  */
   },
   { /* 4287 */
-    431,
-    /* VPCLMULQDQrr */
+    556,
+    /* VMOVDQU8Zrrkz */
   },
   { /* 4288 */
-    308,
-    /* VPCMOVmr */
+    0,
+    /*  */
   },
   { /* 4289 */
-    306,
-    /* VPCMOVmrY */
+    486,
+    /* VMOVDQUYmr */
   },
   { /* 4290 */
-    372,
-    /* VPCMOVrm */
+    376,
+    /* VMOVDQUYrm */
   },
   { /* 4291 */
-    373,
-    /* VPCMOVrmY */
+    377,
+    /* VMOVDQUYrr */
   },
   { /* 4292 */
-    309,
-    /* VPCMOVrr */
+    487,
+    /* VMOVDQUYrr_REV */
   },
   { /* 4293 */
-    307,
-    /* VPCMOVrrY */
+    243,
+    /* VMOVDQUmr */
   },
   { /* 4294 */
-    324,
-    /* VPCMPDZrmi */
+    44,
+    /* VMOVDQUrm */
   },
   { /* 4295 */
-    0,
-    /*  */
+    45,
+    /* VMOVDQUrr */
   },
   { /* 4296 */
-    325,
-    /* VPCMPDZrri */
+    244,
+    /* VMOVDQUrr_REV */
   },
   { /* 4297 */
-    0,
-    /*  */
+    557,
+    /* VMOVHLPSZrr */
   },
   { /* 4298 */
-    284,
-    /* VPCMPEQBYrm */
+    313,
+    /* VMOVHLPSrr */
   },
   { /* 4299 */
-    285,
-    /* VPCMPEQBYrr */
+    246,
+    /* VMOVHPDmr */
   },
   { /* 4300 */
-    289,
-    /* VPCMPEQBrm */
+    558,
+    /* VMOVHPDrm */
   },
   { /* 4301 */
-    290,
-    /* VPCMPEQBrr */
+    246,
+    /* VMOVHPSmr */
   },
   { /* 4302 */
-    284,
-    /* VPCMPEQDYrm */
+    558,
+    /* VMOVHPSrm */
   },
   { /* 4303 */
-    285,
-    /* VPCMPEQDYrr */
+    557,
+    /* VMOVLHPSZrr */
   },
   { /* 4304 */
-    448,
-    /* VPCMPEQDZrm */
+    313,
+    /* VMOVLHPSrr */
   },
   { /* 4305 */
-    449,
-    /* VPCMPEQDZrr */
+    246,
+    /* VMOVLPDmr */
   },
   { /* 4306 */
-    289,
-    /* VPCMPEQDrm */
+    558,
+    /* VMOVLPDrm */
   },
   { /* 4307 */
-    290,
-    /* VPCMPEQDrr */
+    246,
+    /* VMOVLPSmr */
   },
   { /* 4308 */
-    284,
-    /* VPCMPEQQYrm */
+    558,
+    /* VMOVLPSrm */
   },
   { /* 4309 */
-    285,
-    /* VPCMPEQQYrr */
+    559,
+    /* VMOVMSKPDYrr */
   },
   { /* 4310 */
-    450,
-    /* VPCMPEQQZrm */
+    110,
+    /* VMOVMSKPDrr */
   },
   { /* 4311 */
-    451,
-    /* VPCMPEQQZrr */
+    559,
+    /* VMOVMSKPSYrr */
   },
   { /* 4312 */
-    289,
-    /* VPCMPEQQrm */
+    110,
+    /* VMOVMSKPSrr */
   },
   { /* 4313 */
-    290,
-    /* VPCMPEQQrr */
+    376,
+    /* VMOVNTDQAYrm */
   },
   { /* 4314 */
-    284,
-    /* VPCMPEQWYrm */
+    490,
+    /* VMOVNTDQAZ128rm */
   },
   { /* 4315 */
-    285,
-    /* VPCMPEQWYrr */
+    498,
+    /* VMOVNTDQAZ256rm */
   },
   { /* 4316 */
-    289,
-    /* VPCMPEQWrm */
+    378,
+    /* VMOVNTDQAZrm */
   },
   { /* 4317 */
-    290,
-    /* VPCMPEQWrr */
+    44,
+    /* VMOVNTDQArm */
   },
   { /* 4318 */
-    0,
-    /*  */
+    486,
+    /* VMOVNTDQYmr */
   },
   { /* 4319 */
-    0,
-    /*  */
+    488,
+    /* VMOVNTDQZ128mr */
   },
   { /* 4320 */
-    44,
-    /* VPCMPESTRIrm */
+    496,
+    /* VMOVNTDQZ256mr */
   },
   { /* 4321 */
-    45,
-    /* VPCMPESTRIrr */
+    504,
+    /* VMOVNTDQZmr */
   },
   { /* 4322 */
-    0,
-    /*  */
+    243,
+    /* VMOVNTDQmr */
   },
   { /* 4323 */
-    0,
-    /*  */
+    486,
+    /* VMOVNTPDYmr */
   },
   { /* 4324 */
-    44,
-    /* VPCMPESTRM128rm */
+    488,
+    /* VMOVNTPDZ128mr */
   },
   { /* 4325 */
-    45,
-    /* VPCMPESTRM128rr */
+    496,
+    /* VMOVNTPDZ256mr */
   },
   { /* 4326 */
-    284,
-    /* VPCMPGTBYrm */
+    504,
+    /* VMOVNTPDZmr */
   },
   { /* 4327 */
-    285,
-    /* VPCMPGTBYrr */
+    243,
+    /* VMOVNTPDmr */
   },
   { /* 4328 */
-    289,
-    /* VPCMPGTBrm */
+    486,
+    /* VMOVNTPSYmr */
   },
   { /* 4329 */
-    290,
-    /* VPCMPGTBrr */
+    488,
+    /* VMOVNTPSZ128mr */
   },
   { /* 4330 */
-    284,
-    /* VPCMPGTDYrm */
+    496,
+    /* VMOVNTPSZ256mr */
   },
   { /* 4331 */
-    285,
-    /* VPCMPGTDYrr */
+    504,
+    /* VMOVNTPSZmr */
   },
   { /* 4332 */
-    448,
-    /* VPCMPGTDZrm */
+    243,
+    /* VMOVNTPSmr */
   },
   { /* 4333 */
-    449,
-    /* VPCMPGTDZrr */
+    560,
+    /* VMOVPDI2DIZmr */
   },
   { /* 4334 */
-    289,
-    /* VPCMPGTDrm */
+    561,
+    /* VMOVPDI2DIZrr */
   },
   { /* 4335 */
-    290,
-    /* VPCMPGTDrr */
+    250,
+    /* VMOVPDI2DImr */
   },
   { /* 4336 */
-    284,
-    /* VPCMPGTQYrm */
+    251,
+    /* VMOVPDI2DIrr */
   },
   { /* 4337 */
-    285,
-    /* VPCMPGTQYrr */
+    250,
+    /* VMOVPQI2QImr */
   },
   { /* 4338 */
-    450,
-    /* VPCMPGTQZrm */
+    244,
+    /* VMOVPQI2QIrr */
   },
   { /* 4339 */
-    451,
-    /* VPCMPGTQZrr */
+    562,
+    /* VMOVPQIto64Zmr */
   },
   { /* 4340 */
-    289,
-    /* VPCMPGTQrm */
+    563,
+    /* VMOVPQIto64Zrr */
   },
   { /* 4341 */
-    290,
-    /* VPCMPGTQrr */
+    252,
+    /* VMOVPQIto64rr */
   },
   { /* 4342 */
-    284,
-    /* VPCMPGTWYrm */
+    564,
+    /* VMOVQI2PQIZrm */
   },
   { /* 4343 */
-    285,
-    /* VPCMPGTWYrr */
+    105,
+    /* VMOVQI2PQIrm */
   },
   { /* 4344 */
-    289,
-    /* VPCMPGTWrm */
+    565,
+    /* VMOVSDZmr */
   },
   { /* 4345 */
-    290,
-    /* VPCMPGTWrr */
+    566,
+    /* VMOVSDZrm */
   },
   { /* 4346 */
-    0,
-    /*  */
+    567,
+    /* VMOVSDZrr */
   },
   { /* 4347 */
-    0,
-    /*  */
+    568,
+    /* VMOVSDZrr_REV */
   },
   { /* 4348 */
-    44,
-    /* VPCMPISTRIrm */
+    569,
+    /* VMOVSDZrrk */
   },
   { /* 4349 */
-    45,
-    /* VPCMPISTRIrr */
+    253,
+    /* VMOVSDmr */
   },
   { /* 4350 */
-    0,
-    /*  */
+    254,
+    /* VMOVSDrm */
   },
   { /* 4351 */
-    0,
-    /*  */
+    570,
+    /* VMOVSDrr */
   },
   { /* 4352 */
-    44,
-    /* VPCMPISTRM128rm */
+    571,
+    /* VMOVSDrr_REV */
   },
   { /* 4353 */
-    45,
-    /* VPCMPISTRM128rr */
+    572,
+    /* VMOVSDto64Zmr */
   },
   { /* 4354 */
-    320,
-    /* VPCMPQZrmi */
+    0,
+    /*  */
   },
   { /* 4355 */
     0,
     /*  */
   },
   { /* 4356 */
-    321,
-    /* VPCMPQZrri */
+    0,
+    /*  */
   },
   { /* 4357 */
-    0,
-    /*  */
+    376,
+    /* VMOVSHDUPYrm */
   },
   { /* 4358 */
-    324,
-    /* VPCMPUDZrmi */
+    377,
+    /* VMOVSHDUPYrr */
   },
   { /* 4359 */
-    0,
-    /*  */
+    378,
+    /* VMOVSHDUPZrm */
   },
   { /* 4360 */
-    325,
-    /* VPCMPUDZrri */
+    379,
+    /* VMOVSHDUPZrr */
   },
   { /* 4361 */
-    0,
-    /*  */
+    44,
+    /* VMOVSHDUPrm */
   },
   { /* 4362 */
-    320,
-    /* VPCMPUQZrmi */
+    45,
+    /* VMOVSHDUPrr */
   },
   { /* 4363 */
-    0,
-    /*  */
+    376,
+    /* VMOVSLDUPYrm */
   },
   { /* 4364 */
-    321,
-    /* VPCMPUQZrri */
+    377,
+    /* VMOVSLDUPYrr */
   },
   { /* 4365 */
+    378,
+    /* VMOVSLDUPZrm */
+  },
+  { /* 4366 */
+    379,
+    /* VMOVSLDUPZrr */
+  },
+  { /* 4367 */
+    44,
+    /* VMOVSLDUPrm */
+  },
+  { /* 4368 */
+    45,
+    /* VMOVSLDUPrr */
+  },
+  { /* 4369 */
     0,
     /*  */
   },
-  { /* 4366 */
-    430,
-    /* VPCOMBmi */
-  },
-  { /* 4367 */
-    431,
-    /* VPCOMBri */
-  },
-  { /* 4368 */
-    430,
-    /* VPCOMDmi */
-  },
-  { /* 4369 */
-    431,
-    /* VPCOMDri */
-  },
   { /* 4370 */
-    430,
-    /* VPCOMQmi */
+    0,
+    /*  */
   },
   { /* 4371 */
-    431,
-    /* VPCOMQri */
+    0,
+    /*  */
   },
   { /* 4372 */
-    430,
-    /* VPCOMUBmi */
+    0,
+    /*  */
   },
   { /* 4373 */
-    431,
-    /* VPCOMUBri */
+    573,
+    /* VMOVSSZmr */
   },
   { /* 4374 */
-    430,
-    /* VPCOMUDmi */
+    574,
+    /* VMOVSSZrm */
   },
   { /* 4375 */
-    431,
-    /* VPCOMUDri */
+    575,
+    /* VMOVSSZrr */
   },
   { /* 4376 */
-    430,
-    /* VPCOMUQmi */
+    576,
+    /* VMOVSSZrr_REV */
   },
   { /* 4377 */
-    431,
-    /* VPCOMUQri */
+    577,
+    /* VMOVSSZrrk */
   },
   { /* 4378 */
-    430,
-    /* VPCOMUWmi */
+    257,
+    /* VMOVSSmr */
   },
   { /* 4379 */
-    431,
-    /* VPCOMUWri */
+    258,
+    /* VMOVSSrm */
   },
   { /* 4380 */
-    430,
-    /* VPCOMWmi */
+    578,
+    /* VMOVSSrr */
   },
   { /* 4381 */
-    431,
-    /* VPCOMWri */
+    579,
+    /* VMOVSSrr_REV */
   },
   { /* 4382 */
-    338,
-    /* VPCONFLICTDrm */
+    486,
+    /* VMOVUPDYmr */
   },
   { /* 4383 */
-    439,
-    /* VPCONFLICTDrmb */
+    376,
+    /* VMOVUPDYrm */
   },
   { /* 4384 */
-    452,
-    /* VPCONFLICTDrmbk */
+    377,
+    /* VMOVUPDYrr */
   },
   { /* 4385 */
-    437,
-    /* VPCONFLICTDrmbkz */
+    487,
+    /* VMOVUPDYrr_REV */
   },
   { /* 4386 */
-    415,
-    /* VPCONFLICTDrmk */
+    488,
+    /* VMOVUPDZ128mr */
   },
   { /* 4387 */
-    453,
-    /* VPCONFLICTDrmkz */
+    489,
+    /* VMOVUPDZ128mrk */
   },
   { /* 4388 */
-    339,
-    /* VPCONFLICTDrr */
+    490,
+    /* VMOVUPDZ128rm */
   },
   { /* 4389 */
-    416,
-    /* VPCONFLICTDrrk */
+    491,
+    /* VMOVUPDZ128rmk */
   },
   { /* 4390 */
-    417,
-    /* VPCONFLICTDrrkz */
+    492,
+    /* VMOVUPDZ128rmkz */
   },
   { /* 4391 */
-    338,
-    /* VPCONFLICTQrm */
+    493,
+    /* VMOVUPDZ128rr */
   },
   { /* 4392 */
-    439,
-    /* VPCONFLICTQrmb */
+    0,
+    /*  */
   },
   { /* 4393 */
-    454,
-    /* VPCONFLICTQrmbk */
+    494,
+    /* VMOVUPDZ128rrk */
   },
   { /* 4394 */
-    444,
-    /* VPCONFLICTQrmbkz */
+    0,
+    /*  */
   },
   { /* 4395 */
-    413,
-    /* VPCONFLICTQrmk */
+    495,
+    /* VMOVUPDZ128rrkz */
   },
   { /* 4396 */
-    455,
-    /* VPCONFLICTQrmkz */
+    0,
+    /*  */
   },
   { /* 4397 */
-    339,
-    /* VPCONFLICTQrr */
+    496,
+    /* VMOVUPDZ256mr */
   },
   { /* 4398 */
-    414,
-    /* VPCONFLICTQrrk */
+    497,
+    /* VMOVUPDZ256mrk */
   },
   { /* 4399 */
-    418,
-    /* VPCONFLICTQrrkz */
+    498,
+    /* VMOVUPDZ256rm */
   },
   { /* 4400 */
-    432,
-    /* VPERM2F128rm */
+    499,
+    /* VMOVUPDZ256rmk */
   },
   { /* 4401 */
-    433,
-    /* VPERM2F128rr */
+    500,
+    /* VMOVUPDZ256rmkz */
   },
   { /* 4402 */
-    432,
-    /* VPERM2I128rm */
+    501,
+    /* VMOVUPDZ256rr */
   },
   { /* 4403 */
-    433,
-    /* VPERM2I128rr */
+    0,
+    /*  */
   },
   { /* 4404 */
-    284,
-    /* VPERMDYrm */
+    502,
+    /* VMOVUPDZ256rrk */
   },
   { /* 4405 */
-    285,
-    /* VPERMDYrr */
+    0,
+    /*  */
   },
   { /* 4406 */
-    286,
-    /* VPERMDZrm */
+    503,
+    /* VMOVUPDZ256rrkz */
   },
   { /* 4407 */
-    288,
-    /* VPERMDZrr */
+    0,
+    /*  */
   },
   { /* 4408 */
-    368,
-    /* VPERMI2Drm */
+    504,
+    /* VMOVUPDZmr */
   },
   { /* 4409 */
-    371,
-    /* VPERMI2Drr */
+    505,
+    /* VMOVUPDZmrk */
   },
   { /* 4410 */
-    368,
-    /* VPERMI2PDrm */
+    378,
+    /* VMOVUPDZrm */
   },
   { /* 4411 */
-    371,
-    /* VPERMI2PDrr */
+    506,
+    /* VMOVUPDZrmk */
   },
   { /* 4412 */
-    368,
-    /* VPERMI2PSrm */
+    507,
+    /* VMOVUPDZrmkz */
   },
   { /* 4413 */
-    371,
-    /* VPERMI2PSrr */
+    379,
+    /* VMOVUPDZrr */
   },
   { /* 4414 */
-    368,
-    /* VPERMI2Qrm */
+    0,
+    /*  */
   },
   { /* 4415 */
-    371,
-    /* VPERMI2Qrr */
+    508,
+    /* VMOVUPDZrrk */
   },
   { /* 4416 */
-    456,
-    /* VPERMIL2PDmr */
+    0,
+    /*  */
   },
   { /* 4417 */
-    457,
-    /* VPERMIL2PDmrY */
+    509,
+    /* VMOVUPDZrrkz */
   },
   { /* 4418 */
-    458,
-    /* VPERMIL2PDrm */
+    0,
+    /*  */
   },
   { /* 4419 */
-    459,
-    /* VPERMIL2PDrmY */
+    243,
+    /* VMOVUPDmr */
   },
   { /* 4420 */
-    460,
-    /* VPERMIL2PDrr */
+    44,
+    /* VMOVUPDrm */
   },
   { /* 4421 */
-    461,
-    /* VPERMIL2PDrrY */
+    45,
+    /* VMOVUPDrr */
   },
   { /* 4422 */
-    456,
-    /* VPERMIL2PSmr */
+    244,
+    /* VMOVUPDrr_REV */
   },
   { /* 4423 */
-    457,
-    /* VPERMIL2PSmrY */
+    486,
+    /* VMOVUPSYmr */
   },
   { /* 4424 */
-    458,
-    /* VPERMIL2PSrm */
+    376,
+    /* VMOVUPSYrm */
   },
   { /* 4425 */
-    459,
-    /* VPERMIL2PSrmY */
+    377,
+    /* VMOVUPSYrr */
   },
   { /* 4426 */
-    460,
-    /* VPERMIL2PSrr */
+    487,
+    /* VMOVUPSYrr_REV */
   },
   { /* 4427 */
-    461,
-    /* VPERMIL2PSrrY */
+    488,
+    /* VMOVUPSZ128mr */
   },
   { /* 4428 */
-    462,
-    /* VPERMILPDYmi */
+    510,
+    /* VMOVUPSZ128mrk */
   },
   { /* 4429 */
-    463,
-    /* VPERMILPDYri */
+    490,
+    /* VMOVUPSZ128rm */
   },
   { /* 4430 */
-    284,
-    /* VPERMILPDYrm */
+    511,
+    /* VMOVUPSZ128rmk */
   },
   { /* 4431 */
-    285,
-    /* VPERMILPDYrr */
+    512,
+    /* VMOVUPSZ128rmkz */
   },
   { /* 4432 */
-    464,
-    /* VPERMILPDZmi */
+    493,
+    /* VMOVUPSZ128rr */
   },
   { /* 4433 */
-    465,
-    /* VPERMILPDZri */
+    0,
+    /*  */
   },
   { /* 4434 */
-    44,
-    /* VPERMILPDmi */
+    513,
+    /* VMOVUPSZ128rrk */
   },
   { /* 4435 */
-    45,
-    /* VPERMILPDri */
+    0,
+    /*  */
   },
   { /* 4436 */
-    289,
-    /* VPERMILPDrm */
+    514,
+    /* VMOVUPSZ128rrkz */
   },
   { /* 4437 */
-    290,
-    /* VPERMILPDrr */
+    0,
+    /*  */
   },
   { /* 4438 */
-    462,
-    /* VPERMILPSYmi */
+    496,
+    /* VMOVUPSZ256mr */
   },
   { /* 4439 */
-    463,
-    /* VPERMILPSYri */
+    515,
+    /* VMOVUPSZ256mrk */
   },
   { /* 4440 */
-    284,
-    /* VPERMILPSYrm */
+    498,
+    /* VMOVUPSZ256rm */
   },
   { /* 4441 */
-    285,
-    /* VPERMILPSYrr */
+    516,
+    /* VMOVUPSZ256rmk */
   },
   { /* 4442 */
-    464,
-    /* VPERMILPSZmi */
+    517,
+    /* VMOVUPSZ256rmkz */
   },
   { /* 4443 */
-    465,
-    /* VPERMILPSZri */
+    501,
+    /* VMOVUPSZ256rr */
   },
   { /* 4444 */
-    44,
-    /* VPERMILPSmi */
+    0,
+    /*  */
   },
   { /* 4445 */
-    45,
-    /* VPERMILPSri */
+    518,
+    /* VMOVUPSZ256rrk */
   },
   { /* 4446 */
-    289,
-    /* VPERMILPSrm */
+    0,
+    /*  */
   },
   { /* 4447 */
-    290,
-    /* VPERMILPSrr */
+    519,
+    /* VMOVUPSZ256rrkz */
   },
   { /* 4448 */
-    462,
-    /* VPERMPDYmi */
+    0,
+    /*  */
   },
   { /* 4449 */
-    463,
-    /* VPERMPDYri */
+    504,
+    /* VMOVUPSZmr */
   },
   { /* 4450 */
-    464,
-    /* VPERMPDZmi */
+    520,
+    /* VMOVUPSZmrk */
   },
   { /* 4451 */
-    465,
-    /* VPERMPDZri */
+    378,
+    /* VMOVUPSZrm */
   },
   { /* 4452 */
-    286,
-    /* VPERMPDZrm */
+    521,
+    /* VMOVUPSZrmk */
   },
   { /* 4453 */
-    288,
-    /* VPERMPDZrr */
+    522,
+    /* VMOVUPSZrmkz */
   },
   { /* 4454 */
-    284,
-    /* VPERMPSYrm */
+    379,
+    /* VMOVUPSZrr */
   },
   { /* 4455 */
-    285,
-    /* VPERMPSYrr */
+    0,
+    /*  */
   },
   { /* 4456 */
-    286,
-    /* VPERMPSZrm */
+    523,
+    /* VMOVUPSZrrk */
   },
   { /* 4457 */
-    288,
-    /* VPERMPSZrr */
+    0,
+    /*  */
   },
   { /* 4458 */
-    462,
-    /* VPERMQYmi */
+    524,
+    /* VMOVUPSZrrkz */
   },
   { /* 4459 */
-    463,
-    /* VPERMQYri */
+    0,
+    /*  */
   },
   { /* 4460 */
-    464,
-    /* VPERMQZmi */
+    243,
+    /* VMOVUPSmr */
   },
   { /* 4461 */
-    465,
-    /* VPERMQZri */
+    44,
+    /* VMOVUPSrm */
   },
   { /* 4462 */
-    286,
-    /* VPERMQZrm */
+    45,
+    /* VMOVUPSrr */
   },
   { /* 4463 */
-    288,
-    /* VPERMQZrr */
+    244,
+    /* VMOVUPSrr_REV */
   },
   { /* 4464 */
-    368,
-    /* VPERMT2Drm */
+    371,
+    /* VMOVZPQILo2PQIZrm */
   },
   { /* 4465 */
-    371,
-    /* VPERMT2Drr */
+    493,
+    /* VMOVZPQILo2PQIZrr */
   },
   { /* 4466 */
-    368,
-    /* VPERMT2PDrm */
+    0,
+    /*  */
   },
   { /* 4467 */
-    371,
-    /* VPERMT2PDrr */
+    45,
+    /* VMOVZPQILo2PQIrr */
   },
   { /* 4468 */
-    368,
-    /* VPERMT2PSrm */
+    0,
+    /*  */
   },
   { /* 4469 */
-    371,
-    /* VPERMT2PSrr */
+    0,
+    /*  */
   },
   { /* 4470 */
-    368,
-    /* VPERMT2Qrm */
+    332,
+    /* VMPSADBWYrmi */
   },
   { /* 4471 */
-    371,
-    /* VPERMT2Qrr */
+    333,
+    /* VMPSADBWYrri */
   },
   { /* 4472 */
-    249,
-    /* VPEXTRBmr */
+    334,
+    /* VMPSADBWrmi */
   },
   { /* 4473 */
-    132,
-    /* VPEXTRBrr */
+    335,
+    /* VMPSADBWrri */
   },
   { /* 4474 */
-    250,
-    /* VPEXTRDmr */
+    38,
+    /* VMPTRLDm */
   },
   { /* 4475 */
-    132,
-    /* VPEXTRDrr */
+    38,
+    /* VMPTRSTm */
   },
   { /* 4476 */
-    250,
-    /* VPEXTRQmr */
+    248,
+    /* VMREAD32rm */
   },
   { /* 4477 */
-    251,
-    /* VPEXTRQrr */
+    580,
+    /* VMREAD32rr */
   },
   { /* 4478 */
-    250,
-    /* VPEXTRWmr */
+    16,
+    /* VMREAD64rm */
   },
   { /* 4479 */
-    252,
-    /* VPEXTRWri */
+    76,
+    /* VMREAD64rr */
   },
   { /* 4480 */
-    132,
-    /* VPEXTRWrr_REV */
+    0,
+    /* VMRESUME */
   },
   { /* 4481 */
-    397,
-    /* VPGATHERDDYrm */
+    0,
+    /* VMRUN32 */
   },
   { /* 4482 */
-    398,
-    /* VPGATHERDDZrm */
+    0,
+    /* VMRUN64 */
   },
   { /* 4483 */
-    399,
-    /* VPGATHERDDrm */
+    0,
+    /* VMSAVE32 */
   },
   { /* 4484 */
-    394,
-    /* VPGATHERDQYrm */
+    0,
+    /* VMSAVE64 */
   },
   { /* 4485 */
-    395,
-    /* VPGATHERDQZrm */
+    304,
+    /* VMULPDYrm */
   },
   { /* 4486 */
-    396,
-    /* VPGATHERDQrm */
+    305,
+    /* VMULPDYrr */
   },
   { /* 4487 */
-    399,
-    /* VPGATHERQDYrm */
+    306,
+    /* VMULPDZrm */
   },
   { /* 4488 */
-    400,
-    /* VPGATHERQDZrm */
+    307,
+    /* VMULPDZrmb */
   },
   { /* 4489 */
-    399,
-    /* VPGATHERQDrm */
+    308,
+    /* VMULPDZrmbk */
   },
   { /* 4490 */
-    394,
-    /* VPGATHERQQYrm */
+    308,
+    /* VMULPDZrmbkz */
   },
   { /* 4491 */
-    395,
-    /* VPGATHERQQZrm */
+    309,
+    /* VMULPDZrmk */
   },
   { /* 4492 */
-    396,
-    /* VPGATHERQQrm */
+    309,
+    /* VMULPDZrmkz */
   },
   { /* 4493 */
-    42,
-    /* VPHADDBDrm */
+    310,
+    /* VMULPDZrr */
   },
   { /* 4494 */
-    43,
-    /* VPHADDBDrr */
+    311,
+    /* VMULPDZrrk */
   },
   { /* 4495 */
-    42,
-    /* VPHADDBQrm */
+    311,
+    /* VMULPDZrrkz */
   },
   { /* 4496 */
-    43,
-    /* VPHADDBQrr */
+    312,
+    /* VMULPDrm */
   },
   { /* 4497 */
-    42,
-    /* VPHADDBWrm */
+    313,
+    /* VMULPDrr */
   },
   { /* 4498 */
-    43,
-    /* VPHADDBWrr */
+    304,
+    /* VMULPSYrm */
   },
   { /* 4499 */
-    42,
-    /* VPHADDDQrm */
+    305,
+    /* VMULPSYrr */
   },
   { /* 4500 */
-    43,
-    /* VPHADDDQrr */
+    306,
+    /* VMULPSZrm */
   },
   { /* 4501 */
-    284,
-    /* VPHADDDYrm */
+    314,
+    /* VMULPSZrmb */
   },
   { /* 4502 */
-    285,
-    /* VPHADDDYrr */
+    315,
+    /* VMULPSZrmbk */
   },
   { /* 4503 */
-    289,
-    /* VPHADDDrm */
+    315,
+    /* VMULPSZrmbkz */
   },
   { /* 4504 */
-    290,
-    /* VPHADDDrr */
+    316,
+    /* VMULPSZrmk */
   },
   { /* 4505 */
-    289,
-    /* VPHADDSWrm128 */
+    316,
+    /* VMULPSZrmkz */
   },
   { /* 4506 */
-    284,
-    /* VPHADDSWrm256 */
+    310,
+    /* VMULPSZrr */
   },
   { /* 4507 */
-    290,
-    /* VPHADDSWrr128 */
+    317,
+    /* VMULPSZrrk */
   },
   { /* 4508 */
-    285,
-    /* VPHADDSWrr256 */
+    317,
+    /* VMULPSZrrkz */
   },
   { /* 4509 */
-    42,
-    /* VPHADDUBDrm */
+    312,
+    /* VMULPSrm */
   },
   { /* 4510 */
-    43,
-    /* VPHADDUBDrr */
+    313,
+    /* VMULPSrr */
   },
   { /* 4511 */
-    42,
-    /* VPHADDUBQrm */
+    318,
+    /* VMULSDZrm */
   },
   { /* 4512 */
-    43,
-    /* VPHADDUBQrr */
+    319,
+    /* VMULSDZrr */
   },
   { /* 4513 */
-    42,
-    /* VPHADDUBWrm */
+    320,
+    /* VMULSDrm */
   },
   { /* 4514 */
-    43,
-    /* VPHADDUBWrr */
+    0,
+    /*  */
   },
   { /* 4515 */
-    42,
-    /* VPHADDUDQrm */
+    321,
+    /* VMULSDrr */
   },
   { /* 4516 */
-    43,
-    /* VPHADDUDQrr */
+    0,
+    /*  */
   },
   { /* 4517 */
-    42,
-    /* VPHADDUWDrm */
+    322,
+    /* VMULSSZrm */
   },
   { /* 4518 */
-    43,
-    /* VPHADDUWDrr */
+    323,
+    /* VMULSSZrr */
   },
   { /* 4519 */
-    42,
-    /* VPHADDUWQrm */
+    324,
+    /* VMULSSrm */
   },
   { /* 4520 */
-    43,
-    /* VPHADDUWQrr */
+    0,
+    /*  */
   },
   { /* 4521 */
-    42,
-    /* VPHADDWDrm */
+    325,
+    /* VMULSSrr */
   },
   { /* 4522 */
-    43,
-    /* VPHADDWDrr */
+    0,
+    /*  */
   },
   { /* 4523 */
-    42,
-    /* VPHADDWQrm */
+    40,
+    /* VMWRITE32rm */
   },
   { /* 4524 */
-    43,
-    /* VPHADDWQrr */
+    41,
+    /* VMWRITE32rr */
   },
   { /* 4525 */
-    284,
-    /* VPHADDWYrm */
+    42,
+    /* VMWRITE64rm */
   },
   { /* 4526 */
-    285,
-    /* VPHADDWYrr */
+    43,
+    /* VMWRITE64rr */
   },
   { /* 4527 */
-    289,
-    /* VPHADDWrm */
+    0,
+    /* VMXOFF */
   },
   { /* 4528 */
-    290,
-    /* VPHADDWrr */
+    38,
+    /* VMXON */
   },
   { /* 4529 */
-    42,
-    /* VPHMINPOSUWrm128 */
+    304,
+    /* VORPDYrm */
   },
   { /* 4530 */
-    43,
-    /* VPHMINPOSUWrr128 */
+    305,
+    /* VORPDYrr */
   },
   { /* 4531 */
-    42,
-    /* VPHSUBBWrm */
+    312,
+    /* VORPDrm */
   },
   { /* 4532 */
-    43,
-    /* VPHSUBBWrr */
+    313,
+    /* VORPDrr */
   },
   { /* 4533 */
-    42,
-    /* VPHSUBDQrm */
+    304,
+    /* VORPSYrm */
   },
   { /* 4534 */
-    43,
-    /* VPHSUBDQrr */
+    305,
+    /* VORPSYrr */
   },
   { /* 4535 */
-    284,
-    /* VPHSUBDYrm */
+    312,
+    /* VORPSrm */
   },
   { /* 4536 */
-    285,
-    /* VPHSUBDYrr */
+    313,
+    /* VORPSrr */
   },
   { /* 4537 */
-    289,
-    /* VPHSUBDrm */
+    44,
+    /* VPABSBrm128 */
   },
   { /* 4538 */
-    290,
-    /* VPHSUBDrr */
+    376,
+    /* VPABSBrm256 */
   },
   { /* 4539 */
-    289,
-    /* VPHSUBSWrm128 */
+    45,
+    /* VPABSBrr128 */
   },
   { /* 4540 */
-    284,
-    /* VPHSUBSWrm256 */
+    377,
+    /* VPABSBrr256 */
   },
   { /* 4541 */
-    290,
-    /* VPHSUBSWrr128 */
+    378,
+    /* VPABSDZrm */
   },
   { /* 4542 */
-    285,
-    /* VPHSUBSWrr256 */
+    581,
+    /* VPABSDZrmb */
   },
   { /* 4543 */
-    42,
-    /* VPHSUBWDrm */
+    582,
+    /* VPABSDZrmbk */
   },
   { /* 4544 */
-    43,
-    /* VPHSUBWDrr */
+    582,
+    /* VPABSDZrmbkz */
   },
   { /* 4545 */
-    284,
-    /* VPHSUBWYrm */
+    522,
+    /* VPABSDZrmk */
   },
   { /* 4546 */
-    285,
-    /* VPHSUBWYrr */
+    522,
+    /* VPABSDZrmkz */
   },
   { /* 4547 */
-    289,
-    /* VPHSUBWrm */
+    379,
+    /* VPABSDZrr */
   },
   { /* 4548 */
-    290,
-    /* VPHSUBWrr */
+    524,
+    /* VPABSDZrrk */
   },
   { /* 4549 */
-    466,
-    /* VPINSRBrm */
+    524,
+    /* VPABSDZrrkz */
   },
   { /* 4550 */
-    467,
-    /* VPINSRBrr */
+    44,
+    /* VPABSDrm128 */
   },
   { /* 4551 */
-    468,
-    /* VPINSRDrm */
+    376,
+    /* VPABSDrm256 */
   },
   { /* 4552 */
-    467,
-    /* VPINSRDrr */
+    45,
+    /* VPABSDrr128 */
   },
   { /* 4553 */
-    468,
-    /* VPINSRQrm */
+    377,
+    /* VPABSDrr256 */
   },
   { /* 4554 */
-    469,
-    /* VPINSRQrr */
+    378,
+    /* VPABSQZrm */
   },
   { /* 4555 */
-    468,
-    /* VPINSRWrmi */
+    583,
+    /* VPABSQZrmb */
   },
   { /* 4556 */
-    467,
-    /* VPINSRWrri */
+    584,
+    /* VPABSQZrmbk */
   },
   { /* 4557 */
-    308,
-    /* VPMACSDDrm */
+    584,
+    /* VPABSQZrmbkz */
   },
   { /* 4558 */
-    309,
-    /* VPMACSDDrr */
+    507,
+    /* VPABSQZrmk */
   },
   { /* 4559 */
-    308,
-    /* VPMACSDQHrm */
+    507,
+    /* VPABSQZrmkz */
   },
   { /* 4560 */
-    309,
-    /* VPMACSDQHrr */
+    379,
+    /* VPABSQZrr */
   },
   { /* 4561 */
-    308,
-    /* VPMACSDQLrm */
+    509,
+    /* VPABSQZrrk */
   },
   { /* 4562 */
-    309,
-    /* VPMACSDQLrr */
+    509,
+    /* VPABSQZrrkz */
   },
   { /* 4563 */
-    308,
-    /* VPMACSSDDrm */
+    44,
+    /* VPABSWrm128 */
   },
   { /* 4564 */
-    309,
-    /* VPMACSSDDrr */
+    376,
+    /* VPABSWrm256 */
   },
   { /* 4565 */
-    308,
-    /* VPMACSSDQHrm */
+    45,
+    /* VPABSWrr128 */
   },
   { /* 4566 */
-    309,
-    /* VPMACSSDQHrr */
+    377,
+    /* VPABSWrr256 */
   },
   { /* 4567 */
-    308,
-    /* VPMACSSDQLrm */
+    304,
+    /* VPACKSSDWYrm */
   },
   { /* 4568 */
-    309,
-    /* VPMACSSDQLrr */
+    305,
+    /* VPACKSSDWYrr */
   },
   { /* 4569 */
-    308,
-    /* VPMACSSWDrm */
+    312,
+    /* VPACKSSDWrm */
   },
   { /* 4570 */
-    309,
-    /* VPMACSSWDrr */
+    313,
+    /* VPACKSSDWrr */
   },
   { /* 4571 */
-    308,
-    /* VPMACSSWWrm */
+    304,
+    /* VPACKSSWBYrm */
   },
   { /* 4572 */
-    309,
-    /* VPMACSSWWrr */
+    305,
+    /* VPACKSSWBYrr */
   },
   { /* 4573 */
-    308,
-    /* VPMACSWDrm */
+    312,
+    /* VPACKSSWBrm */
   },
   { /* 4574 */
-    309,
-    /* VPMACSWDrr */
+    313,
+    /* VPACKSSWBrr */
   },
   { /* 4575 */
-    308,
-    /* VPMACSWWrm */
+    304,
+    /* VPACKUSDWYrm */
   },
   { /* 4576 */
-    309,
-    /* VPMACSWWrr */
+    305,
+    /* VPACKUSDWYrr */
   },
   { /* 4577 */
-    308,
-    /* VPMADCSSWDrm */
+    312,
+    /* VPACKUSDWrm */
   },
   { /* 4578 */
-    309,
-    /* VPMADCSSWDrr */
+    313,
+    /* VPACKUSDWrr */
   },
   { /* 4579 */
-    308,
-    /* VPMADCSWDrm */
+    304,
+    /* VPACKUSWBYrm */
   },
   { /* 4580 */
-    309,
-    /* VPMADCSWDrr */
+    305,
+    /* VPACKUSWBYrr */
   },
   { /* 4581 */
-    289,
-    /* VPMADDUBSWrm128 */
+    312,
+    /* VPACKUSWBrm */
   },
   { /* 4582 */
-    284,
-    /* VPMADDUBSWrm256 */
+    313,
+    /* VPACKUSWBrr */
   },
   { /* 4583 */
-    290,
-    /* VPMADDUBSWrr128 */
+    304,
+    /* VPADDBYrm */
   },
   { /* 4584 */
-    285,
-    /* VPMADDUBSWrr256 */
+    305,
+    /* VPADDBYrr */
   },
   { /* 4585 */
-    284,
-    /* VPMADDWDYrm */
+    312,
+    /* VPADDBrm */
   },
   { /* 4586 */
-    285,
-    /* VPMADDWDYrr */
+    313,
+    /* VPADDBrr */
   },
   { /* 4587 */
-    289,
-    /* VPMADDWDrm */
+    304,
+    /* VPADDDYrm */
   },
   { /* 4588 */
-    290,
-    /* VPMADDWDrr */
+    305,
+    /* VPADDDYrr */
   },
   { /* 4589 */
-    408,
-    /* VPMASKMOVDYmr */
+    306,
+    /* VPADDDZrm */
   },
   { /* 4590 */
-    284,
-    /* VPMASKMOVDYrm */
+    585,
+    /* VPADDDZrmb */
   },
   { /* 4591 */
-    409,
-    /* VPMASKMOVDmr */
+    586,
+    /* VPADDDZrmbk */
   },
   { /* 4592 */
-    289,
-    /* VPMASKMOVDrm */
+    587,
+    /* VPADDDZrmbkz */
   },
   { /* 4593 */
-    408,
-    /* VPMASKMOVQYmr */
+    588,
+    /* VPADDDZrmk */
   },
   { /* 4594 */
-    284,
-    /* VPMASKMOVQYrm */
+    316,
+    /* VPADDDZrmkz */
   },
   { /* 4595 */
-    409,
-    /* VPMASKMOVQmr */
+    310,
+    /* VPADDDZrr */
   },
   { /* 4596 */
-    289,
-    /* VPMASKMOVQrm */
+    439,
+    /* VPADDDZrrk */
   },
   { /* 4597 */
-    284,
-    /* VPMAXSBYrm */
+    317,
+    /* VPADDDZrrkz */
   },
   { /* 4598 */
-    285,
-    /* VPMAXSBYrr */
+    312,
+    /* VPADDDrm */
   },
   { /* 4599 */
-    289,
-    /* VPMAXSBrm */
+    313,
+    /* VPADDDrr */
   },
   { /* 4600 */
-    290,
-    /* VPMAXSBrr */
+    304,
+    /* VPADDQYrm */
   },
   { /* 4601 */
-    284,
-    /* VPMAXSDYrm */
+    305,
+    /* VPADDQYrr */
   },
   { /* 4602 */
-    285,
-    /* VPMAXSDYrr */
+    306,
+    /* VPADDQZrm */
   },
   { /* 4603 */
-    286,
-    /* VPMAXSDZrm */
+    589,
+    /* VPADDQZrmb */
   },
   { /* 4604 */
-    429,
-    /* VPMAXSDZrmb */
+    590,
+    /* VPADDQZrmbk */
   },
   { /* 4605 */
-    288,
-    /* VPMAXSDZrr */
+    591,
+    /* VPADDQZrmbkz */
   },
   { /* 4606 */
-    289,
-    /* VPMAXSDrm */
+    592,
+    /* VPADDQZrmk */
   },
   { /* 4607 */
-    290,
-    /* VPMAXSDrr */
+    309,
+    /* VPADDQZrmkz */
   },
   { /* 4608 */
-    286,
-    /* VPMAXSQZrm */
+    310,
+    /* VPADDQZrr */
   },
   { /* 4609 */
-    429,
-    /* VPMAXSQZrmb */
+    438,
+    /* VPADDQZrrk */
   },
   { /* 4610 */
-    288,
-    /* VPMAXSQZrr */
+    311,
+    /* VPADDQZrrkz */
   },
   { /* 4611 */
-    284,
-    /* VPMAXSWYrm */
+    312,
+    /* VPADDQrm */
   },
   { /* 4612 */
-    285,
-    /* VPMAXSWYrr */
+    313,
+    /* VPADDQrr */
   },
   { /* 4613 */
-    289,
-    /* VPMAXSWrm */
+    304,
+    /* VPADDSBYrm */
   },
   { /* 4614 */
-    290,
-    /* VPMAXSWrr */
+    305,
+    /* VPADDSBYrr */
   },
   { /* 4615 */
-    284,
-    /* VPMAXUBYrm */
+    312,
+    /* VPADDSBrm */
   },
   { /* 4616 */
-    285,
-    /* VPMAXUBYrr */
+    313,
+    /* VPADDSBrr */
   },
   { /* 4617 */
-    289,
-    /* VPMAXUBrm */
+    304,
+    /* VPADDSWYrm */
   },
   { /* 4618 */
-    290,
-    /* VPMAXUBrr */
+    305,
+    /* VPADDSWYrr */
   },
   { /* 4619 */
-    284,
-    /* VPMAXUDYrm */
+    312,
+    /* VPADDSWrm */
   },
   { /* 4620 */
-    285,
-    /* VPMAXUDYrr */
+    313,
+    /* VPADDSWrr */
   },
   { /* 4621 */
-    286,
-    /* VPMAXUDZrm */
+    304,
+    /* VPADDUSBYrm */
   },
   { /* 4622 */
-    429,
-    /* VPMAXUDZrmb */
+    305,
+    /* VPADDUSBYrr */
   },
   { /* 4623 */
-    288,
-    /* VPMAXUDZrr */
+    312,
+    /* VPADDUSBrm */
   },
   { /* 4624 */
-    289,
-    /* VPMAXUDrm */
+    313,
+    /* VPADDUSBrr */
   },
   { /* 4625 */
-    290,
-    /* VPMAXUDrr */
+    304,
+    /* VPADDUSWYrm */
   },
   { /* 4626 */
-    286,
-    /* VPMAXUQZrm */
+    305,
+    /* VPADDUSWYrr */
   },
   { /* 4627 */
-    429,
-    /* VPMAXUQZrmb */
+    312,
+    /* VPADDUSWrm */
   },
   { /* 4628 */
-    288,
-    /* VPMAXUQZrr */
+    313,
+    /* VPADDUSWrr */
   },
   { /* 4629 */
-    284,
-    /* VPMAXUWYrm */
+    304,
+    /* VPADDWYrm */
   },
   { /* 4630 */
-    285,
-    /* VPMAXUWYrr */
+    305,
+    /* VPADDWYrr */
   },
   { /* 4631 */
-    289,
-    /* VPMAXUWrm */
+    312,
+    /* VPADDWrm */
   },
   { /* 4632 */
-    290,
-    /* VPMAXUWrr */
+    313,
+    /* VPADDWrr */
   },
   { /* 4633 */
-    284,
-    /* VPMINSBYrm */
+    593,
+    /* VPALIGNR128rm */
   },
   { /* 4634 */
-    285,
-    /* VPMINSBYrr */
+    594,
+    /* VPALIGNR128rr */
   },
   { /* 4635 */
-    289,
-    /* VPMINSBrm */
+    595,
+    /* VPALIGNR256rm */
   },
   { /* 4636 */
-    290,
-    /* VPMINSBrr */
+    596,
+    /* VPALIGNR256rr */
   },
   { /* 4637 */
-    284,
-    /* VPMINSDYrm */
+    306,
+    /* VPANDDZrm */
   },
   { /* 4638 */
-    285,
-    /* VPMINSDYrr */
+    585,
+    /* VPANDDZrmb */
   },
   { /* 4639 */
-    286,
-    /* VPMINSDZrm */
+    586,
+    /* VPANDDZrmbk */
   },
   { /* 4640 */
-    429,
-    /* VPMINSDZrmb */
+    587,
+    /* VPANDDZrmbkz */
   },
   { /* 4641 */
-    288,
-    /* VPMINSDZrr */
+    588,
+    /* VPANDDZrmk */
   },
   { /* 4642 */
-    289,
-    /* VPMINSDrm */
+    316,
+    /* VPANDDZrmkz */
   },
   { /* 4643 */
-    290,
-    /* VPMINSDrr */
+    310,
+    /* VPANDDZrr */
   },
   { /* 4644 */
-    286,
-    /* VPMINSQZrm */
+    439,
+    /* VPANDDZrrk */
   },
   { /* 4645 */
-    429,
-    /* VPMINSQZrmb */
+    317,
+    /* VPANDDZrrkz */
   },
   { /* 4646 */
-    288,
-    /* VPMINSQZrr */
+    306,
+    /* VPANDNDZrm */
   },
   { /* 4647 */
-    284,
-    /* VPMINSWYrm */
+    585,
+    /* VPANDNDZrmb */
   },
   { /* 4648 */
-    285,
-    /* VPMINSWYrr */
+    586,
+    /* VPANDNDZrmbk */
   },
   { /* 4649 */
-    289,
-    /* VPMINSWrm */
+    587,
+    /* VPANDNDZrmbkz */
   },
   { /* 4650 */
-    290,
-    /* VPMINSWrr */
+    588,
+    /* VPANDNDZrmk */
   },
   { /* 4651 */
-    284,
-    /* VPMINUBYrm */
+    316,
+    /* VPANDNDZrmkz */
   },
   { /* 4652 */
-    285,
-    /* VPMINUBYrr */
+    310,
+    /* VPANDNDZrr */
   },
   { /* 4653 */
-    289,
-    /* VPMINUBrm */
+    439,
+    /* VPANDNDZrrk */
   },
   { /* 4654 */
-    290,
-    /* VPMINUBrr */
+    317,
+    /* VPANDNDZrrkz */
   },
   { /* 4655 */
-    284,
-    /* VPMINUDYrm */
+    306,
+    /* VPANDNQZrm */
   },
   { /* 4656 */
-    285,
-    /* VPMINUDYrr */
+    589,
+    /* VPANDNQZrmb */
   },
   { /* 4657 */
-    286,
-    /* VPMINUDZrm */
+    590,
+    /* VPANDNQZrmbk */
   },
   { /* 4658 */
-    429,
-    /* VPMINUDZrmb */
+    591,
+    /* VPANDNQZrmbkz */
   },
   { /* 4659 */
-    288,
-    /* VPMINUDZrr */
+    592,
+    /* VPANDNQZrmk */
   },
   { /* 4660 */
-    289,
-    /* VPMINUDrm */
+    309,
+    /* VPANDNQZrmkz */
   },
   { /* 4661 */
-    290,
-    /* VPMINUDrr */
+    310,
+    /* VPANDNQZrr */
   },
   { /* 4662 */
-    286,
-    /* VPMINUQZrm */
+    438,
+    /* VPANDNQZrrk */
   },
   { /* 4663 */
-    429,
-    /* VPMINUQZrmb */
+    311,
+    /* VPANDNQZrrkz */
   },
   { /* 4664 */
-    288,
-    /* VPMINUQZrr */
+    304,
+    /* VPANDNYrm */
   },
   { /* 4665 */
-    284,
-    /* VPMINUWYrm */
+    305,
+    /* VPANDNYrr */
   },
   { /* 4666 */
-    285,
-    /* VPMINUWYrr */
+    312,
+    /* VPANDNrm */
   },
   { /* 4667 */
-    289,
-    /* VPMINUWrm */
+    313,
+    /* VPANDNrr */
   },
   { /* 4668 */
-    290,
-    /* VPMINUWrr */
+    306,
+    /* VPANDQZrm */
   },
   { /* 4669 */
-    470,
-    /* VPMOVDBkrr */
+    589,
+    /* VPANDQZrmb */
   },
   { /* 4670 */
-    471,
-    /* VPMOVDBmr */
+    590,
+    /* VPANDQZrmbk */
   },
   { /* 4671 */
-    472,
-    /* VPMOVDBrr */
+    591,
+    /* VPANDQZrmbkz */
   },
   { /* 4672 */
-    473,
-    /* VPMOVDWkrr */
+    592,
+    /* VPANDQZrmk */
   },
   { /* 4673 */
-    474,
-    /* VPMOVDWmr */
+    309,
+    /* VPANDQZrmkz */
   },
   { /* 4674 */
-    475,
-    /* VPMOVDWrr */
+    310,
+    /* VPANDQZrr */
   },
   { /* 4675 */
-    420,
-    /* VPMOVMSKBYrr */
+    438,
+    /* VPANDQZrrk */
   },
   { /* 4676 */
-    109,
-    /* VPMOVMSKBrr */
+    311,
+    /* VPANDQZrrkz */
   },
   { /* 4677 */
-    476,
-    /* VPMOVQBkrr */
+    304,
+    /* VPANDYrm */
   },
   { /* 4678 */
-    471,
-    /* VPMOVQBmr */
+    305,
+    /* VPANDYrr */
   },
   { /* 4679 */
-    472,
-    /* VPMOVQBrr */
+    312,
+    /* VPANDrm */
   },
   { /* 4680 */
-    477,
-    /* VPMOVQDkrr */
+    313,
+    /* VPANDrr */
   },
   { /* 4681 */
-    474,
-    /* VPMOVQDmr */
+    304,
+    /* VPAVGBYrm */
   },
   { /* 4682 */
-    475,
-    /* VPMOVQDrr */
+    305,
+    /* VPAVGBYrr */
   },
   { /* 4683 */
-    476,
-    /* VPMOVQWkrr */
+    312,
+    /* VPAVGBrm */
   },
   { /* 4684 */
-    471,
-    /* VPMOVQWmr */
+    313,
+    /* VPAVGBrr */
   },
   { /* 4685 */
-    472,
-    /* VPMOVQWrr */
+    304,
+    /* VPAVGWYrm */
   },
   { /* 4686 */
-    470,
-    /* VPMOVSDBkrr */
+    305,
+    /* VPAVGWYrr */
   },
   { /* 4687 */
-    471,
-    /* VPMOVSDBmr */
+    312,
+    /* VPAVGWrm */
   },
   { /* 4688 */
-    472,
-    /* VPMOVSDBrr */
+    313,
+    /* VPAVGWrr */
   },
   { /* 4689 */
-    473,
-    /* VPMOVSDWkrr */
+    332,
+    /* VPBLENDDYrmi */
   },
   { /* 4690 */
-    474,
-    /* VPMOVSDWmr */
+    333,
+    /* VPBLENDDYrri */
   },
   { /* 4691 */
-    475,
-    /* VPMOVSDWrr */
+    334,
+    /* VPBLENDDrmi */
   },
   { /* 4692 */
-    476,
-    /* VPMOVSQBkrr */
+    335,
+    /* VPBLENDDrri */
   },
   { /* 4693 */
-    471,
-    /* VPMOVSQBmr */
+    316,
+    /* VPBLENDMDZrm */
   },
   { /* 4694 */
-    472,
-    /* VPMOVSQBrr */
+    317,
+    /* VPBLENDMDZrr */
   },
   { /* 4695 */
-    477,
-    /* VPMOVSQDkrr */
+    309,
+    /* VPBLENDMQZrm */
   },
   { /* 4696 */
-    474,
-    /* VPMOVSQDmr */
+    311,
+    /* VPBLENDMQZrr */
   },
   { /* 4697 */
-    475,
-    /* VPMOVSQDrr */
+    336,
+    /* VPBLENDVBYrm */
   },
   { /* 4698 */
-    476,
-    /* VPMOVSQWkrr */
+    337,
+    /* VPBLENDVBYrr */
   },
   { /* 4699 */
-    471,
-    /* VPMOVSQWmr */
+    338,
+    /* VPBLENDVBrm */
   },
   { /* 4700 */
-    472,
-    /* VPMOVSQWrr */
+    339,
+    /* VPBLENDVBrr */
   },
   { /* 4701 */
-    436,
-    /* VPMOVSXBDYrm */
+    332,
+    /* VPBLENDWYrmi */
   },
   { /* 4702 */
-    312,
-    /* VPMOVSXBDYrr */
+    333,
+    /* VPBLENDWYrri */
   },
   { /* 4703 */
-    478,
-    /* VPMOVSXBDZrm */
+    334,
+    /* VPBLENDWrmi */
   },
   { /* 4704 */
-    314,
-    /* VPMOVSXBDZrr */
+    335,
+    /* VPBLENDWrri */
   },
   { /* 4705 */
-    104,
-    /* VPMOVSXBDrm */
+    597,
+    /* VPBROADCASTBYrm */
   },
   { /* 4706 */
-    43,
-    /* VPMOVSXBDrr */
+    346,
+    /* VPBROADCASTBYrr */
   },
   { /* 4707 */
-    436,
-    /* VPMOVSXBQYrm */
+    598,
+    /* VPBROADCASTBrm */
   },
   { /* 4708 */
-    312,
-    /* VPMOVSXBQYrr */
+    45,
+    /* VPBROADCASTBrr */
   },
   { /* 4709 */
-    478,
-    /* VPMOVSXBQZrm */
+    599,
+    /* VPBROADCASTDYrm */
   },
   { /* 4710 */
-    314,
-    /* VPMOVSXBQZrr */
+    346,
+    /* VPBROADCASTDYrr */
   },
   { /* 4711 */
-    104,
-    /* VPMOVSXBQrm */
+    582,
+    /* VPBROADCASTDZkrm */
   },
   { /* 4712 */
-    43,
-    /* VPMOVSXBQrr */
+    600,
+    /* VPBROADCASTDZkrr */
   },
   { /* 4713 */
-    310,
-    /* VPMOVSXBWYrm */
+    581,
+    /* VPBROADCASTDZrm */
   },
   { /* 4714 */
-    312,
-    /* VPMOVSXBWYrr */
+    351,
+    /* VPBROADCASTDZrr */
   },
   { /* 4715 */
-    104,
-    /* VPMOVSXBWrm */
+    601,
+    /* VPBROADCASTDrZkrr */
   },
   { /* 4716 */
-    43,
-    /* VPMOVSXBWrr */
+    602,
+    /* VPBROADCASTDrZrr */
   },
   { /* 4717 */
-    310,
-    /* VPMOVSXDQYrm */
+    105,
+    /* VPBROADCASTDrm */
   },
   { /* 4718 */
-    312,
-    /* VPMOVSXDQYrr */
+    45,
+    /* VPBROADCASTDrr */
   },
   { /* 4719 */
-    334,
-    /* VPMOVSXDQZrm */
+    603,
+    /* VPBROADCASTMB2Qrr */
   },
   { /* 4720 */
-    335,
-    /* VPMOVSXDQZrr */
+    604,
+    /* VPBROADCASTMW2Drr */
   },
   { /* 4721 */
-    104,
-    /* VPMOVSXDQrm */
+    599,
+    /* VPBROADCASTQYrm */
   },
   { /* 4722 */
-    43,
-    /* VPMOVSXDQrr */
+    346,
+    /* VPBROADCASTQYrr */
   },
   { /* 4723 */
-    310,
-    /* VPMOVSXWDYrm */
+    584,
+    /* VPBROADCASTQZkrm */
   },
   { /* 4724 */
-    312,
-    /* VPMOVSXWDYrr */
+    605,
+    /* VPBROADCASTQZkrr */
   },
   { /* 4725 */
-    334,
-    /* VPMOVSXWDZrm */
+    583,
+    /* VPBROADCASTQZrm */
   },
   { /* 4726 */
-    335,
-    /* VPMOVSXWDZrr */
+    348,
+    /* VPBROADCASTQZrr */
   },
   { /* 4727 */
-    104,
-    /* VPMOVSXWDrm */
+    606,
+    /* VPBROADCASTQrZkrr */
   },
   { /* 4728 */
-    43,
-    /* VPMOVSXWDrr */
+    607,
+    /* VPBROADCASTQrZrr */
   },
   { /* 4729 */
-    436,
-    /* VPMOVSXWQYrm */
+    105,
+    /* VPBROADCASTQrm */
   },
   { /* 4730 */
-    312,
-    /* VPMOVSXWQYrr */
+    45,
+    /* VPBROADCASTQrr */
   },
   { /* 4731 */
-    478,
-    /* VPMOVSXWQZrm */
+    599,
+    /* VPBROADCASTWYrm */
   },
   { /* 4732 */
-    314,
-    /* VPMOVSXWQZrr */
+    346,
+    /* VPBROADCASTWYrr */
   },
   { /* 4733 */
-    104,
-    /* VPMOVSXWQrm */
+    105,
+    /* VPBROADCASTWrm */
   },
   { /* 4734 */
-    43,
-    /* VPMOVSXWQrr */
+    45,
+    /* VPBROADCASTWrr */
   },
   { /* 4735 */
-    470,
-    /* VPMOVUSDBkrr */
+    593,
+    /* VPCLMULQDQrm */
   },
   { /* 4736 */
-    471,
-    /* VPMOVUSDBmr */
+    594,
+    /* VPCLMULQDQrr */
   },
   { /* 4737 */
-    472,
-    /* VPMOVUSDBrr */
+    338,
+    /* VPCMOVmr */
   },
   { /* 4738 */
-    473,
-    /* VPMOVUSDWkrr */
+    336,
+    /* VPCMOVmrY */
   },
   { /* 4739 */
-    474,
-    /* VPMOVUSDWmr */
+    440,
+    /* VPCMOVrm */
   },
   { /* 4740 */
-    475,
-    /* VPMOVUSDWrr */
+    441,
+    /* VPCMOVrmY */
   },
   { /* 4741 */
-    476,
-    /* VPMOVUSQBkrr */
+    339,
+    /* VPCMOVrr */
   },
   { /* 4742 */
-    471,
-    /* VPMOVUSQBmr */
+    337,
+    /* VPCMOVrrY */
   },
   { /* 4743 */
-    472,
-    /* VPMOVUSQBrr */
+    360,
+    /* VPCMPDZrmi */
   },
   { /* 4744 */
-    477,
-    /* VPMOVUSQDkrr */
+    0,
+    /*  */
   },
   { /* 4745 */
-    474,
-    /* VPMOVUSQDmr */
+    0,
+    /*  */
   },
   { /* 4746 */
-    475,
-    /* VPMOVUSQDrr */
+    361,
+    /* VPCMPDZrri */
   },
   { /* 4747 */
-    476,
-    /* VPMOVUSQWkrr */
+    0,
+    /*  */
   },
   { /* 4748 */
-    471,
-    /* VPMOVUSQWmr */
+    0,
+    /*  */
   },
   { /* 4749 */
-    472,
-    /* VPMOVUSQWrr */
+    304,
+    /* VPCMPEQBYrm */
   },
   { /* 4750 */
-    436,
-    /* VPMOVZXBDYrm */
+    305,
+    /* VPCMPEQBYrr */
   },
   { /* 4751 */
-    312,
-    /* VPMOVZXBDYrr */
+    608,
+    /* VPCMPEQBZ128rm */
   },
   { /* 4752 */
-    478,
-    /* VPMOVZXBDZrm */
+    609,
+    /* VPCMPEQBZ128rmk */
   },
   { /* 4753 */
-    314,
-    /* VPMOVZXBDZrr */
+    610,
+    /* VPCMPEQBZ128rr */
   },
   { /* 4754 */
-    104,
-    /* VPMOVZXBDrm */
+    611,
+    /* VPCMPEQBZ128rrk */
   },
   { /* 4755 */
-    43,
-    /* VPMOVZXBDrr */
+    612,
+    /* VPCMPEQBZ256rm */
   },
   { /* 4756 */
-    436,
-    /* VPMOVZXBQYrm */
+    613,
+    /* VPCMPEQBZ256rmk */
   },
   { /* 4757 */
-    312,
-    /* VPMOVZXBQYrr */
+    614,
+    /* VPCMPEQBZ256rr */
   },
   { /* 4758 */
-    478,
-    /* VPMOVZXBQZrm */
+    615,
+    /* VPCMPEQBZ256rrk */
   },
   { /* 4759 */
-    314,
-    /* VPMOVZXBQZrr */
+    616,
+    /* VPCMPEQBZrm */
   },
   { /* 4760 */
-    104,
-    /* VPMOVZXBQrm */
+    617,
+    /* VPCMPEQBZrmk */
   },
   { /* 4761 */
-    43,
-    /* VPMOVZXBQrr */
+    618,
+    /* VPCMPEQBZrr */
   },
   { /* 4762 */
-    310,
-    /* VPMOVZXBWYrm */
+    619,
+    /* VPCMPEQBZrrk */
   },
   { /* 4763 */
     312,
-    /* VPMOVZXBWYrr */
+    /* VPCMPEQBrm */
   },
   { /* 4764 */
-    104,
-    /* VPMOVZXBWrm */
+    313,
+    /* VPCMPEQBrr */
   },
   { /* 4765 */
-    43,
-    /* VPMOVZXBWrr */
+    304,
+    /* VPCMPEQDYrm */
   },
   { /* 4766 */
-    310,
-    /* VPMOVZXDQYrm */
+    305,
+    /* VPCMPEQDYrr */
   },
   { /* 4767 */
-    312,
-    /* VPMOVZXDQYrr */
+    620,
+    /* VPCMPEQDZ128rm */
   },
   { /* 4768 */
-    334,
-    /* VPMOVZXDQZrm */
+    621,
+    /* VPCMPEQDZ128rmb */
   },
   { /* 4769 */
-    335,
-    /* VPMOVZXDQZrr */
+    622,
+    /* VPCMPEQDZ128rmbk */
   },
   { /* 4770 */
-    104,
-    /* VPMOVZXDQrm */
+    623,
+    /* VPCMPEQDZ128rmk */
   },
   { /* 4771 */
-    43,
-    /* VPMOVZXDQrr */
+    624,
+    /* VPCMPEQDZ128rr */
   },
   { /* 4772 */
-    310,
-    /* VPMOVZXWDYrm */
+    625,
+    /* VPCMPEQDZ128rrk */
   },
   { /* 4773 */
-    312,
-    /* VPMOVZXWDYrr */
+    626,
+    /* VPCMPEQDZ256rm */
   },
   { /* 4774 */
-    334,
-    /* VPMOVZXWDZrm */
+    627,
+    /* VPCMPEQDZ256rmb */
   },
   { /* 4775 */
-    335,
-    /* VPMOVZXWDZrr */
+    628,
+    /* VPCMPEQDZ256rmbk */
   },
   { /* 4776 */
-    104,
-    /* VPMOVZXWDrm */
+    629,
+    /* VPCMPEQDZ256rmk */
   },
   { /* 4777 */
-    43,
-    /* VPMOVZXWDrr */
+    630,
+    /* VPCMPEQDZ256rr */
   },
   { /* 4778 */
-    436,
-    /* VPMOVZXWQYrm */
+    631,
+    /* VPCMPEQDZ256rrk */
   },
   { /* 4779 */
-    312,
-    /* VPMOVZXWQYrr */
+    632,
+    /* VPCMPEQDZrm */
   },
   { /* 4780 */
-    478,
-    /* VPMOVZXWQZrm */
+    633,
+    /* VPCMPEQDZrmb */
   },
   { /* 4781 */
-    314,
-    /* VPMOVZXWQZrr */
+    634,
+    /* VPCMPEQDZrmbk */
   },
   { /* 4782 */
-    104,
-    /* VPMOVZXWQrm */
+    635,
+    /* VPCMPEQDZrmk */
   },
   { /* 4783 */
-    43,
-    /* VPMOVZXWQrr */
+    636,
+    /* VPCMPEQDZrr */
   },
   { /* 4784 */
-    284,
-    /* VPMULDQYrm */
+    637,
+    /* VPCMPEQDZrrk */
   },
   { /* 4785 */
-    285,
-    /* VPMULDQYrr */
+    312,
+    /* VPCMPEQDrm */
   },
   { /* 4786 */
-    286,
-    /* VPMULDQZrm */
+    313,
+    /* VPCMPEQDrr */
   },
   { /* 4787 */
-    288,
-    /* VPMULDQZrr */
+    304,
+    /* VPCMPEQQYrm */
   },
   { /* 4788 */
-    289,
-    /* VPMULDQrm */
+    305,
+    /* VPCMPEQQYrr */
   },
   { /* 4789 */
-    290,
-    /* VPMULDQrr */
+    638,
+    /* VPCMPEQQZ128rm */
   },
   { /* 4790 */
-    289,
-    /* VPMULHRSWrm128 */
+    639,
+    /* VPCMPEQQZ128rmb */
   },
   { /* 4791 */
-    284,
-    /* VPMULHRSWrm256 */
+    640,
+    /* VPCMPEQQZ128rmbk */
   },
   { /* 4792 */
-    290,
-    /* VPMULHRSWrr128 */
+    641,
+    /* VPCMPEQQZ128rmk */
   },
   { /* 4793 */
-    285,
-    /* VPMULHRSWrr256 */
+    642,
+    /* VPCMPEQQZ128rr */
   },
   { /* 4794 */
-    284,
-    /* VPMULHUWYrm */
+    643,
+    /* VPCMPEQQZ128rrk */
   },
   { /* 4795 */
-    285,
-    /* VPMULHUWYrr */
+    644,
+    /* VPCMPEQQZ256rm */
   },
   { /* 4796 */
-    289,
-    /* VPMULHUWrm */
+    645,
+    /* VPCMPEQQZ256rmb */
   },
   { /* 4797 */
-    290,
-    /* VPMULHUWrr */
+    646,
+    /* VPCMPEQQZ256rmbk */
   },
   { /* 4798 */
-    284,
-    /* VPMULHWYrm */
+    647,
+    /* VPCMPEQQZ256rmk */
   },
   { /* 4799 */
-    285,
-    /* VPMULHWYrr */
+    648,
+    /* VPCMPEQQZ256rr */
   },
   { /* 4800 */
-    289,
-    /* VPMULHWrm */
+    649,
+    /* VPCMPEQQZ256rrk */
   },
   { /* 4801 */
-    290,
-    /* VPMULHWrr */
+    650,
+    /* VPCMPEQQZrm */
   },
   { /* 4802 */
-    284,
-    /* VPMULLDYrm */
+    651,
+    /* VPCMPEQQZrmb */
   },
   { /* 4803 */
-    285,
-    /* VPMULLDYrr */
+    652,
+    /* VPCMPEQQZrmbk */
   },
   { /* 4804 */
-    286,
-    /* VPMULLDZrm */
+    653,
+    /* VPCMPEQQZrmk */
   },
   { /* 4805 */
-    429,
-    /* VPMULLDZrmb */
+    654,
+    /* VPCMPEQQZrr */
   },
   { /* 4806 */
-    288,
-    /* VPMULLDZrr */
+    655,
+    /* VPCMPEQQZrrk */
   },
   { /* 4807 */
-    289,
-    /* VPMULLDrm */
+    312,
+    /* VPCMPEQQrm */
   },
   { /* 4808 */
-    290,
-    /* VPMULLDrr */
+    313,
+    /* VPCMPEQQrr */
   },
   { /* 4809 */
-    284,
-    /* VPMULLWYrm */
+    304,
+    /* VPCMPEQWYrm */
   },
   { /* 4810 */
-    285,
-    /* VPMULLWYrr */
+    305,
+    /* VPCMPEQWYrr */
   },
   { /* 4811 */
-    289,
-    /* VPMULLWrm */
+    656,
+    /* VPCMPEQWZ128rm */
   },
   { /* 4812 */
-    290,
-    /* VPMULLWrr */
+    657,
+    /* VPCMPEQWZ128rmk */
   },
   { /* 4813 */
-    284,
-    /* VPMULUDQYrm */
+    658,
+    /* VPCMPEQWZ128rr */
   },
   { /* 4814 */
-    285,
-    /* VPMULUDQYrr */
+    659,
+    /* VPCMPEQWZ128rrk */
   },
   { /* 4815 */
-    286,
-    /* VPMULUDQZrm */
+    660,
+    /* VPCMPEQWZ256rm */
   },
   { /* 4816 */
-    288,
-    /* VPMULUDQZrr */
+    661,
+    /* VPCMPEQWZ256rmk */
   },
   { /* 4817 */
-    289,
-    /* VPMULUDQrm */
+    662,
+    /* VPCMPEQWZ256rr */
   },
   { /* 4818 */
-    290,
-    /* VPMULUDQrr */
+    663,
+    /* VPCMPEQWZ256rrk */
   },
   { /* 4819 */
-    286,
-    /* VPORDZrm */
+    664,
+    /* VPCMPEQWZrm */
   },
   { /* 4820 */
-    429,
-    /* VPORDZrmb */
+    665,
+    /* VPCMPEQWZrmk */
   },
   { /* 4821 */
-    288,
-    /* VPORDZrr */
+    666,
+    /* VPCMPEQWZrr */
   },
   { /* 4822 */
-    286,
-    /* VPORQZrm */
+    667,
+    /* VPCMPEQWZrrk */
   },
   { /* 4823 */
-    429,
-    /* VPORQZrmb */
+    312,
+    /* VPCMPEQWrm */
   },
   { /* 4824 */
-    288,
-    /* VPORQZrr */
+    313,
+    /* VPCMPEQWrr */
   },
   { /* 4825 */
-    284,
-    /* VPORYrm */
+    0,
+    /*  */
   },
   { /* 4826 */
-    285,
-    /* VPORYrr */
+    0,
+    /*  */
   },
   { /* 4827 */
-    289,
-    /* VPORrm */
+    46,
+    /* VPCMPESTRIrm */
   },
   { /* 4828 */
-    290,
-    /* VPORrr */
+    47,
+    /* VPCMPESTRIrr */
   },
   { /* 4829 */
-    308,
-    /* VPPERMmr */
+    0,
+    /*  */
   },
   { /* 4830 */
-    372,
-    /* VPPERMrm */
+    0,
+    /*  */
   },
   { /* 4831 */
-    309,
-    /* VPPERMrr */
+    46,
+    /* VPCMPESTRM128rm */
   },
   { /* 4832 */
-    44,
-    /* VPROTBmi */
+    47,
+    /* VPCMPESTRM128rr */
   },
   { /* 4833 */
-    479,
-    /* VPROTBmr */
+    304,
+    /* VPCMPGTBYrm */
   },
   { /* 4834 */
-    45,
-    /* VPROTBri */
+    305,
+    /* VPCMPGTBYrr */
   },
   { /* 4835 */
-    289,
-    /* VPROTBrm */
+    608,
+    /* VPCMPGTBZ128rm */
   },
   { /* 4836 */
-    480,
-    /* VPROTBrr */
+    609,
+    /* VPCMPGTBZ128rmk */
   },
   { /* 4837 */
-    44,
-    /* VPROTDmi */
+    610,
+    /* VPCMPGTBZ128rr */
   },
   { /* 4838 */
-    479,
-    /* VPROTDmr */
+    611,
+    /* VPCMPGTBZ128rrk */
   },
   { /* 4839 */
-    45,
-    /* VPROTDri */
+    612,
+    /* VPCMPGTBZ256rm */
   },
   { /* 4840 */
-    289,
-    /* VPROTDrm */
+    613,
+    /* VPCMPGTBZ256rmk */
   },
   { /* 4841 */
-    480,
-    /* VPROTDrr */
+    614,
+    /* VPCMPGTBZ256rr */
   },
   { /* 4842 */
-    44,
-    /* VPROTQmi */
+    615,
+    /* VPCMPGTBZ256rrk */
   },
   { /* 4843 */
-    479,
-    /* VPROTQmr */
+    616,
+    /* VPCMPGTBZrm */
   },
   { /* 4844 */
-    45,
-    /* VPROTQri */
+    617,
+    /* VPCMPGTBZrmk */
   },
   { /* 4845 */
-    289,
-    /* VPROTQrm */
+    618,
+    /* VPCMPGTBZrr */
   },
   { /* 4846 */
-    480,
-    /* VPROTQrr */
+    619,
+    /* VPCMPGTBZrrk */
   },
   { /* 4847 */
-    44,
-    /* VPROTWmi */
+    312,
+    /* VPCMPGTBrm */
   },
   { /* 4848 */
-    479,
-    /* VPROTWmr */
+    313,
+    /* VPCMPGTBrr */
   },
   { /* 4849 */
-    45,
-    /* VPROTWri */
+    304,
+    /* VPCMPGTDYrm */
   },
   { /* 4850 */
-    289,
-    /* VPROTWrm */
+    305,
+    /* VPCMPGTDYrr */
   },
   { /* 4851 */
-    480,
-    /* VPROTWrr */
+    620,
+    /* VPCMPGTDZ128rm */
   },
   { /* 4852 */
-    284,
-    /* VPSADBWYrm */
+    621,
+    /* VPCMPGTDZ128rmb */
   },
   { /* 4853 */
-    285,
-    /* VPSADBWYrr */
+    622,
+    /* VPCMPGTDZ128rmbk */
   },
   { /* 4854 */
-    289,
-    /* VPSADBWrm */
+    623,
+    /* VPCMPGTDZ128rmk */
   },
   { /* 4855 */
-    290,
-    /* VPSADBWrr */
+    624,
+    /* VPCMPGTDZ128rr */
   },
   { /* 4856 */
-    481,
-    /* VPSCATTERDDZmr */
+    625,
+    /* VPCMPGTDZ128rrk */
   },
   { /* 4857 */
-    482,
-    /* VPSCATTERDQZmr */
+    626,
+    /* VPCMPGTDZ256rm */
   },
   { /* 4858 */
-    483,
-    /* VPSCATTERQDZmr */
+    627,
+    /* VPCMPGTDZ256rmb */
   },
   { /* 4859 */
-    482,
-    /* VPSCATTERQQZmr */
+    628,
+    /* VPCMPGTDZ256rmbk */
   },
   { /* 4860 */
-    479,
-    /* VPSHABmr */
+    629,
+    /* VPCMPGTDZ256rmk */
   },
   { /* 4861 */
-    289,
-    /* VPSHABrm */
+    630,
+    /* VPCMPGTDZ256rr */
   },
   { /* 4862 */
-    480,
-    /* VPSHABrr */
+    631,
+    /* VPCMPGTDZ256rrk */
   },
   { /* 4863 */
-    479,
-    /* VPSHADmr */
+    632,
+    /* VPCMPGTDZrm */
   },
   { /* 4864 */
-    289,
-    /* VPSHADrm */
+    633,
+    /* VPCMPGTDZrmb */
   },
   { /* 4865 */
-    480,
-    /* VPSHADrr */
+    634,
+    /* VPCMPGTDZrmbk */
   },
   { /* 4866 */
-    479,
-    /* VPSHAQmr */
+    635,
+    /* VPCMPGTDZrmk */
   },
   { /* 4867 */
-    289,
-    /* VPSHAQrm */
+    636,
+    /* VPCMPGTDZrr */
   },
   { /* 4868 */
-    480,
-    /* VPSHAQrr */
+    637,
+    /* VPCMPGTDZrrk */
   },
   { /* 4869 */
-    479,
-    /* VPSHAWmr */
+    312,
+    /* VPCMPGTDrm */
   },
   { /* 4870 */
-    289,
-    /* VPSHAWrm */
+    313,
+    /* VPCMPGTDrr */
   },
   { /* 4871 */
-    480,
-    /* VPSHAWrr */
+    304,
+    /* VPCMPGTQYrm */
   },
   { /* 4872 */
-    479,
-    /* VPSHLBmr */
+    305,
+    /* VPCMPGTQYrr */
   },
   { /* 4873 */
-    289,
-    /* VPSHLBrm */
+    638,
+    /* VPCMPGTQZ128rm */
   },
   { /* 4874 */
-    480,
-    /* VPSHLBrr */
+    639,
+    /* VPCMPGTQZ128rmb */
   },
   { /* 4875 */
-    479,
-    /* VPSHLDmr */
+    640,
+    /* VPCMPGTQZ128rmbk */
   },
   { /* 4876 */
-    289,
-    /* VPSHLDrm */
+    641,
+    /* VPCMPGTQZ128rmk */
   },
   { /* 4877 */
-    480,
-    /* VPSHLDrr */
+    642,
+    /* VPCMPGTQZ128rr */
   },
   { /* 4878 */
-    479,
-    /* VPSHLQmr */
+    643,
+    /* VPCMPGTQZ128rrk */
   },
   { /* 4879 */
-    289,
-    /* VPSHLQrm */
+    644,
+    /* VPCMPGTQZ256rm */
   },
   { /* 4880 */
-    480,
-    /* VPSHLQrr */
+    645,
+    /* VPCMPGTQZ256rmb */
   },
   { /* 4881 */
-    479,
-    /* VPSHLWmr */
+    646,
+    /* VPCMPGTQZ256rmbk */
   },
   { /* 4882 */
-    289,
-    /* VPSHLWrm */
+    647,
+    /* VPCMPGTQZ256rmk */
   },
   { /* 4883 */
-    480,
-    /* VPSHLWrr */
+    648,
+    /* VPCMPGTQZ256rr */
   },
   { /* 4884 */
-    284,
-    /* VPSHUFBYrm */
+    649,
+    /* VPCMPGTQZ256rrk */
   },
   { /* 4885 */
-    285,
-    /* VPSHUFBYrr */
+    650,
+    /* VPCMPGTQZrm */
   },
   { /* 4886 */
-    289,
-    /* VPSHUFBrm */
+    651,
+    /* VPCMPGTQZrmb */
   },
   { /* 4887 */
-    290,
-    /* VPSHUFBrr */
+    652,
+    /* VPCMPGTQZrmbk */
   },
   { /* 4888 */
-    462,
-    /* VPSHUFDYmi */
+    653,
+    /* VPCMPGTQZrmk */
   },
   { /* 4889 */
-    463,
-    /* VPSHUFDYri */
+    654,
+    /* VPCMPGTQZrr */
   },
   { /* 4890 */
-    464,
-    /* VPSHUFDZmi */
+    655,
+    /* VPCMPGTQZrrk */
   },
   { /* 4891 */
-    465,
-    /* VPSHUFDZri */
+    312,
+    /* VPCMPGTQrm */
   },
   { /* 4892 */
-    44,
-    /* VPSHUFDmi */
+    313,
+    /* VPCMPGTQrr */
   },
   { /* 4893 */
-    45,
-    /* VPSHUFDri */
+    304,
+    /* VPCMPGTWYrm */
   },
   { /* 4894 */
-    462,
-    /* VPSHUFHWYmi */
+    305,
+    /* VPCMPGTWYrr */
   },
   { /* 4895 */
-    463,
-    /* VPSHUFHWYri */
+    656,
+    /* VPCMPGTWZ128rm */
   },
   { /* 4896 */
-    44,
-    /* VPSHUFHWmi */
+    657,
+    /* VPCMPGTWZ128rmk */
   },
   { /* 4897 */
-    45,
-    /* VPSHUFHWri */
+    658,
+    /* VPCMPGTWZ128rr */
   },
   { /* 4898 */
-    462,
-    /* VPSHUFLWYmi */
+    659,
+    /* VPCMPGTWZ128rrk */
   },
   { /* 4899 */
-    463,
-    /* VPSHUFLWYri */
+    660,
+    /* VPCMPGTWZ256rm */
   },
   { /* 4900 */
-    44,
-    /* VPSHUFLWmi */
+    661,
+    /* VPCMPGTWZ256rmk */
   },
   { /* 4901 */
-    45,
-    /* VPSHUFLWri */
+    662,
+    /* VPCMPGTWZ256rr */
   },
   { /* 4902 */
-    284,
-    /* VPSIGNBYrm */
+    663,
+    /* VPCMPGTWZ256rrk */
   },
   { /* 4903 */
-    285,
-    /* VPSIGNBYrr */
+    664,
+    /* VPCMPGTWZrm */
   },
   { /* 4904 */
-    289,
-    /* VPSIGNBrm */
+    665,
+    /* VPCMPGTWZrmk */
   },
   { /* 4905 */
-    290,
-    /* VPSIGNBrr */
+    666,
+    /* VPCMPGTWZrr */
   },
   { /* 4906 */
-    284,
-    /* VPSIGNDYrm */
+    667,
+    /* VPCMPGTWZrrk */
   },
   { /* 4907 */
-    285,
-    /* VPSIGNDYrr */
+    312,
+    /* VPCMPGTWrm */
   },
   { /* 4908 */
-    289,
-    /* VPSIGNDrm */
+    313,
+    /* VPCMPGTWrr */
   },
   { /* 4909 */
-    290,
-    /* VPSIGNDrr */
+    0,
+    /*  */
   },
   { /* 4910 */
-    284,
-    /* VPSIGNWYrm */
+    0,
+    /*  */
   },
   { /* 4911 */
-    285,
-    /* VPSIGNWYrr */
+    46,
+    /* VPCMPISTRIrm */
   },
   { /* 4912 */
-    289,
-    /* VPSIGNWrm */
+    47,
+    /* VPCMPISTRIrr */
   },
   { /* 4913 */
-    290,
-    /* VPSIGNWrr */
+    0,
+    /*  */
   },
   { /* 4914 */
-    484,
-    /* VPSLLDQYri */
+    0,
+    /*  */
   },
   { /* 4915 */
-    485,
-    /* VPSLLDQri */
+    46,
+    /* VPCMPISTRM128rm */
   },
   { /* 4916 */
-    486,
-    /* VPSLLDYri */
+    47,
+    /* VPCMPISTRM128rr */
   },
   { /* 4917 */
-    487,
-    /* VPSLLDYrm */
+    355,
+    /* VPCMPQZrmi */
   },
   { /* 4918 */
-    488,
-    /* VPSLLDYrr */
+    0,
+    /*  */
   },
   { /* 4919 */
-    489,
-    /* VPSLLDZmi */
+    0,
+    /*  */
   },
   { /* 4920 */
-    490,
-    /* VPSLLDZmik */
+    356,
+    /* VPCMPQZrri */
   },
   { /* 4921 */
-    491,
-    /* VPSLLDZri */
+    0,
+    /*  */
   },
   { /* 4922 */
-    492,
-    /* VPSLLDZrik */
+    0,
+    /*  */
   },
   { /* 4923 */
-    493,
-    /* VPSLLDZrm */
+    360,
+    /* VPCMPUDZrmi */
   },
   { /* 4924 */
-    494,
-    /* VPSLLDZrmk */
+    0,
+    /*  */
   },
   { /* 4925 */
-    495,
-    /* VPSLLDZrr */
+    0,
+    /*  */
   },
   { /* 4926 */
-    496,
-    /* VPSLLDZrrk */
+    361,
+    /* VPCMPUDZrri */
   },
   { /* 4927 */
-    497,
-    /* VPSLLDri */
+    0,
+    /*  */
   },
   { /* 4928 */
-    289,
-    /* VPSLLDrm */
+    0,
+    /*  */
   },
   { /* 4929 */
-    290,
-    /* VPSLLDrr */
+    355,
+    /* VPCMPUQZrmi */
   },
   { /* 4930 */
-    486,
-    /* VPSLLQYri */
+    0,
+    /*  */
   },
   { /* 4931 */
-    487,
-    /* VPSLLQYrm */
+    0,
+    /*  */
   },
   { /* 4932 */
-    488,
-    /* VPSLLQYrr */
+    356,
+    /* VPCMPUQZrri */
   },
   { /* 4933 */
-    489,
-    /* VPSLLQZmi */
+    0,
+    /*  */
   },
   { /* 4934 */
-    498,
-    /* VPSLLQZmik */
+    0,
+    /*  */
   },
   { /* 4935 */
-    491,
-    /* VPSLLQZri */
+    593,
+    /* VPCOMBmi */
   },
   { /* 4936 */
-    499,
-    /* VPSLLQZrik */
+    594,
+    /* VPCOMBri */
   },
   { /* 4937 */
-    493,
-    /* VPSLLQZrm */
+    593,
+    /* VPCOMDmi */
   },
   { /* 4938 */
-    500,
-    /* VPSLLQZrmk */
+    594,
+    /* VPCOMDri */
   },
   { /* 4939 */
-    495,
-    /* VPSLLQZrr */
+    593,
+    /* VPCOMQmi */
   },
   { /* 4940 */
-    501,
-    /* VPSLLQZrrk */
+    594,
+    /* VPCOMQri */
   },
   { /* 4941 */
-    497,
-    /* VPSLLQri */
+    593,
+    /* VPCOMUBmi */
   },
   { /* 4942 */
-    289,
-    /* VPSLLQrm */
+    594,
+    /* VPCOMUBri */
   },
   { /* 4943 */
-    290,
-    /* VPSLLQrr */
+    593,
+    /* VPCOMUDmi */
   },
   { /* 4944 */
-    284,
-    /* VPSLLVDYrm */
+    594,
+    /* VPCOMUDri */
   },
   { /* 4945 */
-    285,
-    /* VPSLLVDYrr */
+    593,
+    /* VPCOMUQmi */
   },
   { /* 4946 */
-    286,
-    /* VPSLLVDZrm */
+    594,
+    /* VPCOMUQri */
   },
   { /* 4947 */
-    288,
-    /* VPSLLVDZrr */
+    593,
+    /* VPCOMUWmi */
   },
   { /* 4948 */
-    289,
-    /* VPSLLVDrm */
+    594,
+    /* VPCOMUWri */
   },
   { /* 4949 */
-    290,
-    /* VPSLLVDrr */
+    593,
+    /* VPCOMWmi */
   },
   { /* 4950 */
-    284,
-    /* VPSLLVQYrm */
+    594,
+    /* VPCOMWri */
   },
   { /* 4951 */
-    285,
-    /* VPSLLVQYrr */
+    378,
+    /* VPCONFLICTDrm */
   },
   { /* 4952 */
-    286,
-    /* VPSLLVQZrm */
+    581,
+    /* VPCONFLICTDrmb */
   },
   { /* 4953 */
-    288,
-    /* VPSLLVQZrr */
+    668,
+    /* VPCONFLICTDrmbk */
   },
   { /* 4954 */
-    289,
-    /* VPSLLVQrm */
+    582,
+    /* VPCONFLICTDrmbkz */
   },
   { /* 4955 */
-    290,
-    /* VPSLLVQrr */
+    521,
+    /* VPCONFLICTDrmk */
   },
   { /* 4956 */
-    486,
-    /* VPSLLWYri */
+    522,
+    /* VPCONFLICTDrmkz */
   },
   { /* 4957 */
-    487,
-    /* VPSLLWYrm */
+    379,
+    /* VPCONFLICTDrr */
   },
   { /* 4958 */
-    488,
-    /* VPSLLWYrr */
+    523,
+    /* VPCONFLICTDrrk */
   },
   { /* 4959 */
-    497,
-    /* VPSLLWri */
+    524,
+    /* VPCONFLICTDrrkz */
   },
   { /* 4960 */
-    289,
-    /* VPSLLWrm */
+    378,
+    /* VPCONFLICTQrm */
   },
   { /* 4961 */
-    290,
-    /* VPSLLWrr */
+    583,
+    /* VPCONFLICTQrmb */
   },
   { /* 4962 */
-    486,
-    /* VPSRADYri */
+    669,
+    /* VPCONFLICTQrmbk */
   },
   { /* 4963 */
-    487,
-    /* VPSRADYrm */
+    584,
+    /* VPCONFLICTQrmbkz */
   },
   { /* 4964 */
-    488,
-    /* VPSRADYrr */
+    506,
+    /* VPCONFLICTQrmk */
   },
   { /* 4965 */
-    489,
-    /* VPSRADZmi */
+    507,
+    /* VPCONFLICTQrmkz */
   },
   { /* 4966 */
-    490,
-    /* VPSRADZmik */
+    379,
+    /* VPCONFLICTQrr */
   },
   { /* 4967 */
-    491,
-    /* VPSRADZri */
+    508,
+    /* VPCONFLICTQrrk */
   },
   { /* 4968 */
-    492,
-    /* VPSRADZrik */
+    509,
+    /* VPCONFLICTQrrkz */
   },
   { /* 4969 */
-    493,
-    /* VPSRADZrm */
+    595,
+    /* VPERM2F128rm */
   },
   { /* 4970 */
-    494,
-    /* VPSRADZrmk */
+    596,
+    /* VPERM2F128rr */
   },
   { /* 4971 */
-    495,
-    /* VPSRADZrr */
+    595,
+    /* VPERM2I128rm */
   },
   { /* 4972 */
-    496,
-    /* VPSRADZrrk */
+    596,
+    /* VPERM2I128rr */
   },
   { /* 4973 */
-    497,
-    /* VPSRADri */
+    304,
+    /* VPERMDYrm */
   },
   { /* 4974 */
-    289,
-    /* VPSRADrm */
+    305,
+    /* VPERMDYrr */
   },
   { /* 4975 */
-    290,
-    /* VPSRADrr */
+    306,
+    /* VPERMDZrm */
   },
   { /* 4976 */
-    489,
-    /* VPSRAQZmi */
+    310,
+    /* VPERMDZrr */
   },
   { /* 4977 */
-    498,
-    /* VPSRAQZmik */
+    434,
+    /* VPERMI2Drm */
   },
   { /* 4978 */
-    491,
-    /* VPSRAQZri */
+    588,
+    /* VPERMI2Drmk */
   },
   { /* 4979 */
-    499,
-    /* VPSRAQZrik */
+    588,
+    /* VPERMI2Drmkz */
   },
   { /* 4980 */
-    493,
-    /* VPSRAQZrm */
+    437,
+    /* VPERMI2Drr */
   },
   { /* 4981 */
-    500,
-    /* VPSRAQZrmk */
+    439,
+    /* VPERMI2Drrk */
   },
   { /* 4982 */
-    495,
-    /* VPSRAQZrr */
+    439,
+    /* VPERMI2Drrkz */
   },
   { /* 4983 */
-    501,
-    /* VPSRAQZrrk */
+    434,
+    /* VPERMI2PDrm */
   },
   { /* 4984 */
-    284,
-    /* VPSRAVDYrm */
+    592,
+    /* VPERMI2PDrmk */
   },
   { /* 4985 */
-    285,
-    /* VPSRAVDYrr */
+    592,
+    /* VPERMI2PDrmkz */
   },
   { /* 4986 */
-    286,
-    /* VPSRAVDZrm */
+    437,
+    /* VPERMI2PDrr */
   },
   { /* 4987 */
-    288,
-    /* VPSRAVDZrr */
+    438,
+    /* VPERMI2PDrrk */
   },
   { /* 4988 */
-    289,
-    /* VPSRAVDrm */
+    438,
+    /* VPERMI2PDrrkz */
   },
   { /* 4989 */
-    290,
-    /* VPSRAVDrr */
+    434,
+    /* VPERMI2PSrm */
   },
   { /* 4990 */
-    286,
-    /* VPSRAVQZrm */
+    588,
+    /* VPERMI2PSrmk */
   },
   { /* 4991 */
-    288,
-    /* VPSRAVQZrr */
+    588,
+    /* VPERMI2PSrmkz */
   },
   { /* 4992 */
-    486,
-    /* VPSRAWYri */
+    437,
+    /* VPERMI2PSrr */
   },
   { /* 4993 */
-    487,
-    /* VPSRAWYrm */
+    439,
+    /* VPERMI2PSrrk */
   },
   { /* 4994 */
-    488,
-    /* VPSRAWYrr */
+    439,
+    /* VPERMI2PSrrkz */
   },
   { /* 4995 */
-    497,
-    /* VPSRAWri */
+    434,
+    /* VPERMI2Qrm */
   },
   { /* 4996 */
-    289,
-    /* VPSRAWrm */
+    592,
+    /* VPERMI2Qrmk */
   },
   { /* 4997 */
-    290,
-    /* VPSRAWrr */
+    592,
+    /* VPERMI2Qrmkz */
   },
   { /* 4998 */
-    484,
-    /* VPSRLDQYri */
+    437,
+    /* VPERMI2Qrr */
   },
   { /* 4999 */
-    485,
-    /* VPSRLDQri */
+    438,
+    /* VPERMI2Qrrk */
   },
   { /* 5000 */
-    486,
-    /* VPSRLDYri */
+    438,
+    /* VPERMI2Qrrkz */
   },
   { /* 5001 */
-    487,
-    /* VPSRLDYrm */
+    670,
+    /* VPERMIL2PDmr */
   },
   { /* 5002 */
-    488,
-    /* VPSRLDYrr */
+    671,
+    /* VPERMIL2PDmrY */
   },
   { /* 5003 */
-    489,
-    /* VPSRLDZmi */
+    672,
+    /* VPERMIL2PDrm */
   },
   { /* 5004 */
-    490,
-    /* VPSRLDZmik */
+    673,
+    /* VPERMIL2PDrmY */
   },
   { /* 5005 */
-    491,
-    /* VPSRLDZri */
+    674,
+    /* VPERMIL2PDrr */
   },
   { /* 5006 */
-    492,
-    /* VPSRLDZrik */
+    675,
+    /* VPERMIL2PDrrY */
   },
   { /* 5007 */
-    493,
-    /* VPSRLDZrm */
+    670,
+    /* VPERMIL2PSmr */
   },
   { /* 5008 */
-    494,
-    /* VPSRLDZrmk */
+    671,
+    /* VPERMIL2PSmrY */
   },
   { /* 5009 */
-    495,
-    /* VPSRLDZrr */
+    672,
+    /* VPERMIL2PSrm */
   },
   { /* 5010 */
-    496,
-    /* VPSRLDZrrk */
+    673,
+    /* VPERMIL2PSrmY */
   },
   { /* 5011 */
-    497,
-    /* VPSRLDri */
+    674,
+    /* VPERMIL2PSrr */
   },
   { /* 5012 */
-    289,
-    /* VPSRLDrm */
+    675,
+    /* VPERMIL2PSrrY */
   },
   { /* 5013 */
-    290,
-    /* VPSRLDrr */
+    676,
+    /* VPERMILPDYmi */
   },
   { /* 5014 */
-    486,
-    /* VPSRLQYri */
+    677,
+    /* VPERMILPDYri */
   },
   { /* 5015 */
-    487,
-    /* VPSRLQYrm */
+    304,
+    /* VPERMILPDYrm */
   },
   { /* 5016 */
-    488,
-    /* VPSRLQYrr */
+    305,
+    /* VPERMILPDYrr */
   },
   { /* 5017 */
-    489,
-    /* VPSRLQZmi */
+    678,
+    /* VPERMILPDZmi */
   },
   { /* 5018 */
-    498,
-    /* VPSRLQZmik */
+    679,
+    /* VPERMILPDZri */
   },
   { /* 5019 */
-    491,
-    /* VPSRLQZri */
+    46,
+    /* VPERMILPDmi */
   },
   { /* 5020 */
-    499,
-    /* VPSRLQZrik */
+    47,
+    /* VPERMILPDri */
   },
   { /* 5021 */
-    493,
-    /* VPSRLQZrm */
+    312,
+    /* VPERMILPDrm */
   },
   { /* 5022 */
-    500,
-    /* VPSRLQZrmk */
+    313,
+    /* VPERMILPDrr */
   },
   { /* 5023 */
-    495,
-    /* VPSRLQZrr */
+    676,
+    /* VPERMILPSYmi */
   },
   { /* 5024 */
-    501,
-    /* VPSRLQZrrk */
+    677,
+    /* VPERMILPSYri */
   },
   { /* 5025 */
-    497,
-    /* VPSRLQri */
+    304,
+    /* VPERMILPSYrm */
   },
   { /* 5026 */
-    289,
-    /* VPSRLQrm */
+    305,
+    /* VPERMILPSYrr */
   },
   { /* 5027 */
-    290,
-    /* VPSRLQrr */
+    678,
+    /* VPERMILPSZmi */
   },
   { /* 5028 */
-    284,
-    /* VPSRLVDYrm */
+    679,
+    /* VPERMILPSZri */
   },
   { /* 5029 */
-    285,
-    /* VPSRLVDYrr */
+    46,
+    /* VPERMILPSmi */
   },
   { /* 5030 */
-    286,
-    /* VPSRLVDZrm */
+    47,
+    /* VPERMILPSri */
   },
   { /* 5031 */
-    288,
-    /* VPSRLVDZrr */
+    312,
+    /* VPERMILPSrm */
   },
   { /* 5032 */
-    289,
-    /* VPSRLVDrm */
+    313,
+    /* VPERMILPSrr */
   },
   { /* 5033 */
-    290,
-    /* VPSRLVDrr */
+    676,
+    /* VPERMPDYmi */
   },
   { /* 5034 */
-    284,
-    /* VPSRLVQYrm */
+    677,
+    /* VPERMPDYri */
   },
   { /* 5035 */
-    285,
-    /* VPSRLVQYrr */
+    678,
+    /* VPERMPDZmi */
   },
   { /* 5036 */
-    286,
-    /* VPSRLVQZrm */
+    679,
+    /* VPERMPDZri */
   },
   { /* 5037 */
-    288,
-    /* VPSRLVQZrr */
+    306,
+    /* VPERMPDZrm */
   },
   { /* 5038 */
-    289,
-    /* VPSRLVQrm */
+    310,
+    /* VPERMPDZrr */
   },
   { /* 5039 */
-    290,
-    /* VPSRLVQrr */
+    304,
+    /* VPERMPSYrm */
   },
   { /* 5040 */
-    486,
-    /* VPSRLWYri */
+    305,
+    /* VPERMPSYrr */
   },
   { /* 5041 */
-    487,
-    /* VPSRLWYrm */
+    306,
+    /* VPERMPSZrm */
   },
   { /* 5042 */
-    488,
-    /* VPSRLWYrr */
+    310,
+    /* VPERMPSZrr */
   },
   { /* 5043 */
-    497,
-    /* VPSRLWri */
+    676,
+    /* VPERMQYmi */
   },
   { /* 5044 */
-    289,
-    /* VPSRLWrm */
+    677,
+    /* VPERMQYri */
   },
   { /* 5045 */
-    290,
-    /* VPSRLWrr */
+    678,
+    /* VPERMQZmi */
   },
   { /* 5046 */
-    284,
-    /* VPSUBBYrm */
+    679,
+    /* VPERMQZri */
   },
   { /* 5047 */
-    285,
-    /* VPSUBBYrr */
+    306,
+    /* VPERMQZrm */
   },
   { /* 5048 */
-    289,
-    /* VPSUBBrm */
+    310,
+    /* VPERMQZrr */
   },
   { /* 5049 */
-    290,
-    /* VPSUBBrr */
+    434,
+    /* VPERMT2Drm */
   },
   { /* 5050 */
-    284,
-    /* VPSUBDYrm */
+    588,
+    /* VPERMT2Drmk */
   },
   { /* 5051 */
-    285,
-    /* VPSUBDYrr */
+    588,
+    /* VPERMT2Drmkz */
   },
   { /* 5052 */
-    286,
-    /* VPSUBDZrm */
+    437,
+    /* VPERMT2Drr */
   },
   { /* 5053 */
-    429,
-    /* VPSUBDZrmb */
+    439,
+    /* VPERMT2Drrk */
   },
   { /* 5054 */
-    288,
-    /* VPSUBDZrr */
+    439,
+    /* VPERMT2Drrkz */
   },
   { /* 5055 */
-    289,
-    /* VPSUBDrm */
+    434,
+    /* VPERMT2PDrm */
   },
   { /* 5056 */
-    290,
-    /* VPSUBDrr */
+    592,
+    /* VPERMT2PDrmk */
   },
   { /* 5057 */
-    284,
-    /* VPSUBQYrm */
+    592,
+    /* VPERMT2PDrmkz */
   },
   { /* 5058 */
-    285,
-    /* VPSUBQYrr */
+    437,
+    /* VPERMT2PDrr */
   },
   { /* 5059 */
-    286,
-    /* VPSUBQZrm */
+    438,
+    /* VPERMT2PDrrk */
   },
   { /* 5060 */
-    429,
-    /* VPSUBQZrmb */
+    438,
+    /* VPERMT2PDrrkz */
   },
   { /* 5061 */
-    288,
-    /* VPSUBQZrr */
+    434,
+    /* VPERMT2PSrm */
   },
   { /* 5062 */
-    289,
-    /* VPSUBQrm */
+    588,
+    /* VPERMT2PSrmk */
   },
   { /* 5063 */
-    290,
-    /* VPSUBQrr */
+    588,
+    /* VPERMT2PSrmkz */
   },
   { /* 5064 */
-    284,
-    /* VPSUBSBYrm */
+    437,
+    /* VPERMT2PSrr */
   },
   { /* 5065 */
-    285,
-    /* VPSUBSBYrr */
+    439,
+    /* VPERMT2PSrrk */
   },
   { /* 5066 */
-    289,
-    /* VPSUBSBrm */
+    439,
+    /* VPERMT2PSrrkz */
   },
   { /* 5067 */
-    290,
-    /* VPSUBSBrr */
+    434,
+    /* VPERMT2Qrm */
   },
   { /* 5068 */
-    284,
-    /* VPSUBSWYrm */
+    592,
+    /* VPERMT2Qrmk */
   },
   { /* 5069 */
-    285,
-    /* VPSUBSWYrr */
+    592,
+    /* VPERMT2Qrmkz */
   },
   { /* 5070 */
-    289,
-    /* VPSUBSWrm */
+    437,
+    /* VPERMT2Qrr */
   },
   { /* 5071 */
-    290,
-    /* VPSUBSWrr */
+    438,
+    /* VPERMT2Qrrk */
   },
   { /* 5072 */
-    284,
-    /* VPSUBUSBYrm */
+    438,
+    /* VPERMT2Qrrkz */
   },
   { /* 5073 */
-    285,
-    /* VPSUBUSBYrr */
+    269,
+    /* VPEXTRBmr */
   },
   { /* 5074 */
-    289,
-    /* VPSUBUSBrm */
+    133,
+    /* VPEXTRBrr */
   },
   { /* 5075 */
-    290,
-    /* VPSUBUSBrr */
+    270,
+    /* VPEXTRDmr */
   },
   { /* 5076 */
-    284,
-    /* VPSUBUSWYrm */
+    133,
+    /* VPEXTRDrr */
   },
   { /* 5077 */
-    285,
-    /* VPSUBUSWYrr */
+    270,
+    /* VPEXTRQmr */
   },
   { /* 5078 */
-    289,
-    /* VPSUBUSWrm */
+    271,
+    /* VPEXTRQrr */
   },
   { /* 5079 */
-    290,
-    /* VPSUBUSWrr */
+    270,
+    /* VPEXTRWmr */
   },
   { /* 5080 */
-    284,
-    /* VPSUBWYrm */
+    272,
+    /* VPEXTRWri */
   },
   { /* 5081 */
-    285,
-    /* VPSUBWYrr */
+    133,
+    /* VPEXTRWrr_REV */
   },
   { /* 5082 */
-    289,
-    /* VPSUBWrm */
+    467,
+    /* VPGATHERDDYrm */
   },
   { /* 5083 */
-    290,
-    /* VPSUBWrr */
+    468,
+    /* VPGATHERDDZrm */
   },
   { /* 5084 */
-    448,
-    /* VPTESTMDZrm */
+    469,
+    /* VPGATHERDDrm */
   },
   { /* 5085 */
-    449,
-    /* VPTESTMDZrr */
+    464,
+    /* VPGATHERDQYrm */
   },
   { /* 5086 */
-    450,
-    /* VPTESTMQZrm */
+    465,
+    /* VPGATHERDQZrm */
   },
   { /* 5087 */
-    451,
-    /* VPTESTMQZrr */
+    466,
+    /* VPGATHERDQrm */
   },
   { /* 5088 */
-    448,
-    /* VPTESTNMDZrm */
+    469,
+    /* VPGATHERQDYrm */
   },
   { /* 5089 */
-    449,
-    /* VPTESTNMDZrr */
+    473,
+    /* VPGATHERQDZrm */
   },
   { /* 5090 */
-    450,
-    /* VPTESTNMQZrm */
+    469,
+    /* VPGATHERQDrm */
   },
   { /* 5091 */
-    451,
-    /* VPTESTNMQZrr */
+    464,
+    /* VPGATHERQQYrm */
   },
   { /* 5092 */
-    336,
-    /* VPTESTYrm */
+    465,
+    /* VPGATHERQQZrm */
   },
   { /* 5093 */
-    337,
-    /* VPTESTYrr */
+    466,
+    /* VPGATHERQQrm */
   },
   { /* 5094 */
-    42,
-    /* VPTESTrm */
+    44,
+    /* VPHADDBDrm */
   },
   { /* 5095 */
-    43,
-    /* VPTESTrr */
+    45,
+    /* VPHADDBDrr */
   },
   { /* 5096 */
-    284,
-    /* VPUNPCKHBWYrm */
+    44,
+    /* VPHADDBQrm */
   },
   { /* 5097 */
-    285,
-    /* VPUNPCKHBWYrr */
+    45,
+    /* VPHADDBQrr */
   },
   { /* 5098 */
-    289,
-    /* VPUNPCKHBWrm */
+    44,
+    /* VPHADDBWrm */
   },
   { /* 5099 */
-    290,
-    /* VPUNPCKHBWrr */
+    45,
+    /* VPHADDBWrr */
   },
   { /* 5100 */
-    284,
-    /* VPUNPCKHDQYrm */
+    44,
+    /* VPHADDDQrm */
   },
   { /* 5101 */
-    285,
-    /* VPUNPCKHDQYrr */
+    45,
+    /* VPHADDDQrr */
   },
   { /* 5102 */
-    286,
-    /* VPUNPCKHDQZrm */
+    304,
+    /* VPHADDDYrm */
   },
   { /* 5103 */
-    288,
-    /* VPUNPCKHDQZrr */
+    305,
+    /* VPHADDDYrr */
   },
   { /* 5104 */
-    289,
-    /* VPUNPCKHDQrm */
+    312,
+    /* VPHADDDrm */
   },
   { /* 5105 */
-    290,
-    /* VPUNPCKHDQrr */
+    313,
+    /* VPHADDDrr */
   },
   { /* 5106 */
-    284,
-    /* VPUNPCKHQDQYrm */
+    312,
+    /* VPHADDSWrm128 */
   },
   { /* 5107 */
-    285,
-    /* VPUNPCKHQDQYrr */
+    304,
+    /* VPHADDSWrm256 */
   },
   { /* 5108 */
-    286,
-    /* VPUNPCKHQDQZrm */
+    313,
+    /* VPHADDSWrr128 */
   },
   { /* 5109 */
-    288,
-    /* VPUNPCKHQDQZrr */
+    305,
+    /* VPHADDSWrr256 */
   },
   { /* 5110 */
-    289,
-    /* VPUNPCKHQDQrm */
+    44,
+    /* VPHADDUBDrm */
   },
   { /* 5111 */
-    290,
-    /* VPUNPCKHQDQrr */
+    45,
+    /* VPHADDUBDrr */
   },
   { /* 5112 */
-    284,
-    /* VPUNPCKHWDYrm */
+    44,
+    /* VPHADDUBQrm */
   },
   { /* 5113 */
-    285,
-    /* VPUNPCKHWDYrr */
+    45,
+    /* VPHADDUBQrr */
   },
   { /* 5114 */
-    289,
-    /* VPUNPCKHWDrm */
+    44,
+    /* VPHADDUBWrm */
   },
   { /* 5115 */
-    290,
-    /* VPUNPCKHWDrr */
+    45,
+    /* VPHADDUBWrr */
   },
   { /* 5116 */
-    284,
-    /* VPUNPCKLBWYrm */
+    44,
+    /* VPHADDUDQrm */
   },
   { /* 5117 */
-    285,
-    /* VPUNPCKLBWYrr */
+    45,
+    /* VPHADDUDQrr */
   },
   { /* 5118 */
-    289,
-    /* VPUNPCKLBWrm */
+    44,
+    /* VPHADDUWDrm */
   },
   { /* 5119 */
-    290,
-    /* VPUNPCKLBWrr */
+    45,
+    /* VPHADDUWDrr */
   },
   { /* 5120 */
-    284,
-    /* VPUNPCKLDQYrm */
+    44,
+    /* VPHADDUWQrm */
   },
   { /* 5121 */
-    285,
-    /* VPUNPCKLDQYrr */
+    45,
+    /* VPHADDUWQrr */
   },
   { /* 5122 */
-    286,
-    /* VPUNPCKLDQZrm */
+    44,
+    /* VPHADDWDrm */
   },
   { /* 5123 */
-    288,
-    /* VPUNPCKLDQZrr */
+    45,
+    /* VPHADDWDrr */
   },
   { /* 5124 */
-    289,
-    /* VPUNPCKLDQrm */
+    44,
+    /* VPHADDWQrm */
   },
   { /* 5125 */
-    290,
-    /* VPUNPCKLDQrr */
+    45,
+    /* VPHADDWQrr */
   },
   { /* 5126 */
-    284,
-    /* VPUNPCKLQDQYrm */
+    304,
+    /* VPHADDWYrm */
   },
   { /* 5127 */
-    285,
-    /* VPUNPCKLQDQYrr */
+    305,
+    /* VPHADDWYrr */
   },
   { /* 5128 */
-    286,
-    /* VPUNPCKLQDQZrm */
+    312,
+    /* VPHADDWrm */
   },
   { /* 5129 */
-    288,
-    /* VPUNPCKLQDQZrr */
+    313,
+    /* VPHADDWrr */
   },
   { /* 5130 */
-    289,
-    /* VPUNPCKLQDQrm */
+    44,
+    /* VPHMINPOSUWrm128 */
   },
   { /* 5131 */
-    290,
-    /* VPUNPCKLQDQrr */
+    45,
+    /* VPHMINPOSUWrr128 */
   },
   { /* 5132 */
-    284,
-    /* VPUNPCKLWDYrm */
+    44,
+    /* VPHSUBBWrm */
   },
   { /* 5133 */
-    285,
-    /* VPUNPCKLWDYrr */
+    45,
+    /* VPHSUBBWrr */
   },
   { /* 5134 */
-    289,
-    /* VPUNPCKLWDrm */
+    44,
+    /* VPHSUBDQrm */
   },
   { /* 5135 */
-    290,
-    /* VPUNPCKLWDrr */
+    45,
+    /* VPHSUBDQrr */
   },
   { /* 5136 */
-    286,
-    /* VPXORDZrm */
+    304,
+    /* VPHSUBDYrm */
   },
   { /* 5137 */
-    429,
-    /* VPXORDZrmb */
+    305,
+    /* VPHSUBDYrr */
   },
   { /* 5138 */
-    288,
-    /* VPXORDZrr */
+    312,
+    /* VPHSUBDrm */
   },
   { /* 5139 */
-    286,
-    /* VPXORQZrm */
+    313,
+    /* VPHSUBDrr */
   },
   { /* 5140 */
-    429,
-    /* VPXORQZrmb */
+    312,
+    /* VPHSUBSWrm128 */
   },
   { /* 5141 */
-    288,
-    /* VPXORQZrr */
+    304,
+    /* VPHSUBSWrm256 */
   },
   { /* 5142 */
-    284,
-    /* VPXORYrm */
+    313,
+    /* VPHSUBSWrr128 */
   },
   { /* 5143 */
-    285,
-    /* VPXORYrr */
+    305,
+    /* VPHSUBSWrr256 */
   },
   { /* 5144 */
-    289,
-    /* VPXORrm */
+    44,
+    /* VPHSUBWDrm */
   },
   { /* 5145 */
-    290,
-    /* VPXORrr */
+    45,
+    /* VPHSUBWDrr */
   },
   { /* 5146 */
-    338,
-    /* VRCP14PDZm */
+    304,
+    /* VPHSUBWYrm */
   },
   { /* 5147 */
-    339,
-    /* VRCP14PDZr */
+    305,
+    /* VPHSUBWYrr */
   },
   { /* 5148 */
-    338,
-    /* VRCP14PSZm */
+    312,
+    /* VPHSUBWrm */
   },
   { /* 5149 */
-    339,
-    /* VRCP14PSZr */
+    313,
+    /* VPHSUBWrr */
   },
   { /* 5150 */
-    292,
-    /* VRCP14SDrm */
+    680,
+    /* VPINSRBrm */
   },
   { /* 5151 */
-    293,
-    /* VRCP14SDrr */
+    681,
+    /* VPINSRBrr */
   },
   { /* 5152 */
-    294,
-    /* VRCP14SSrm */
+    682,
+    /* VPINSRDrm */
   },
   { /* 5153 */
-    295,
-    /* VRCP14SSrr */
+    681,
+    /* VPINSRDrr */
   },
   { /* 5154 */
-    338,
-    /* VRCP28PDZm */
+    682,
+    /* VPINSRQrm */
   },
   { /* 5155 */
-    339,
-    /* VRCP28PDZr */
+    683,
+    /* VPINSRQrr */
   },
   { /* 5156 */
-    339,
-    /* VRCP28PDZrb */
+    682,
+    /* VPINSRWrmi */
   },
   { /* 5157 */
-    338,
-    /* VRCP28PSZm */
+    681,
+    /* VPINSRWrri */
   },
   { /* 5158 */
-    339,
-    /* VRCP28PSZr */
+    378,
+    /* VPLZCNTDrm */
   },
   { /* 5159 */
-    339,
-    /* VRCP28PSZrb */
+    581,
+    /* VPLZCNTDrmb */
   },
   { /* 5160 */
-    292,
-    /* VRCP28SDrm */
+    668,
+    /* VPLZCNTDrmbk */
   },
   { /* 5161 */
-    293,
-    /* VRCP28SDrr */
+    582,
+    /* VPLZCNTDrmbkz */
   },
   { /* 5162 */
-    293,
-    /* VRCP28SDrrb */
+    521,
+    /* VPLZCNTDrmk */
   },
   { /* 5163 */
-    294,
-    /* VRCP28SSrm */
+    522,
+    /* VPLZCNTDrmkz */
   },
   { /* 5164 */
-    295,
-    /* VRCP28SSrr */
+    379,
+    /* VPLZCNTDrr */
   },
   { /* 5165 */
-    295,
-    /* VRCP28SSrrb */
+    523,
+    /* VPLZCNTDrrk */
   },
   { /* 5166 */
-    336,
-    /* VRCPPSYm */
+    524,
+    /* VPLZCNTDrrkz */
   },
   { /* 5167 */
-    0,
-    /*  */
+    378,
+    /* VPLZCNTQrm */
   },
   { /* 5168 */
-    337,
-    /* VRCPPSYr */
+    583,
+    /* VPLZCNTQrmb */
   },
   { /* 5169 */
-    0,
-    /*  */
+    669,
+    /* VPLZCNTQrmbk */
   },
   { /* 5170 */
-    42,
-    /* VRCPPSm */
+    584,
+    /* VPLZCNTQrmbkz */
   },
   { /* 5171 */
-    0,
-    /*  */
+    506,
+    /* VPLZCNTQrmk */
   },
   { /* 5172 */
-    43,
-    /* VRCPPSr */
+    507,
+    /* VPLZCNTQrmkz */
   },
   { /* 5173 */
-    0,
-    /*  */
+    379,
+    /* VPLZCNTQrr */
   },
   { /* 5174 */
-    294,
-    /* VRCPSSm */
+    508,
+    /* VPLZCNTQrrk */
   },
   { /* 5175 */
-    0,
-    /*  */
+    509,
+    /* VPLZCNTQrrkz */
   },
   { /* 5176 */
-    295,
-    /* VRCPSSr */
+    338,
+    /* VPMACSDDrm */
   },
   { /* 5177 */
-    502,
-    /* VRNDSCALEPDZm */
+    339,
+    /* VPMACSDDrr */
   },
   { /* 5178 */
-    340,
-    /* VRNDSCALEPDZr */
+    338,
+    /* VPMACSDQHrm */
   },
   { /* 5179 */
-    502,
-    /* VRNDSCALEPSZm */
+    339,
+    /* VPMACSDQHrr */
   },
   { /* 5180 */
-    340,
-    /* VRNDSCALEPSZr */
+    338,
+    /* VPMACSDQLrm */
   },
   { /* 5181 */
-    503,
-    /* VRNDSCALESDm */
+    339,
+    /* VPMACSDQLrr */
   },
   { /* 5182 */
-    504,
-    /* VRNDSCALESDr */
+    338,
+    /* VPMACSSDDrm */
   },
   { /* 5183 */
-    505,
-    /* VRNDSCALESSm */
+    339,
+    /* VPMACSSDDrr */
   },
   { /* 5184 */
-    506,
-    /* VRNDSCALESSr */
+    338,
+    /* VPMACSSDQHrm */
   },
   { /* 5185 */
-    273,
-    /* VROUNDPDm */
+    339,
+    /* VPMACSSDQHrr */
   },
   { /* 5186 */
-    274,
-    /* VROUNDPDr */
+    338,
+    /* VPMACSSDQLrm */
   },
   { /* 5187 */
-    273,
-    /* VROUNDPSm */
+    339,
+    /* VPMACSSDQLrr */
   },
   { /* 5188 */
-    274,
-    /* VROUNDPSr */
+    338,
+    /* VPMACSSWDrm */
   },
   { /* 5189 */
-    507,
-    /* VROUNDSDm */
+    339,
+    /* VPMACSSWDrr */
   },
   { /* 5190 */
-    504,
-    /* VROUNDSDr */
+    338,
+    /* VPMACSSWWrm */
   },
   { /* 5191 */
-    0,
-    /*  */
+    339,
+    /* VPMACSSWWrr */
   },
   { /* 5192 */
-    407,
-    /* VROUNDSSm */
+    338,
+    /* VPMACSWDrm */
   },
   { /* 5193 */
-    506,
-    /* VROUNDSSr */
+    339,
+    /* VPMACSWDrr */
   },
   { /* 5194 */
-    0,
-    /*  */
+    338,
+    /* VPMACSWWrm */
   },
   { /* 5195 */
-    508,
-    /* VROUNDYPDm */
+    339,
+    /* VPMACSWWrr */
   },
   { /* 5196 */
-    509,
-    /* VROUNDYPDr */
+    338,
+    /* VPMADCSSWDrm */
   },
   { /* 5197 */
-    508,
-    /* VROUNDYPSm */
+    339,
+    /* VPMADCSSWDrr */
   },
   { /* 5198 */
-    509,
-    /* VROUNDYPSr */
+    338,
+    /* VPMADCSWDrm */
   },
   { /* 5199 */
-    338,
-    /* VRSQRT14PDZm */
+    339,
+    /* VPMADCSWDrr */
   },
   { /* 5200 */
-    339,
-    /* VRSQRT14PDZr */
+    312,
+    /* VPMADDUBSWrm128 */
   },
   { /* 5201 */
-    338,
-    /* VRSQRT14PSZm */
+    304,
+    /* VPMADDUBSWrm256 */
   },
   { /* 5202 */
-    339,
-    /* VRSQRT14PSZr */
+    313,
+    /* VPMADDUBSWrr128 */
   },
   { /* 5203 */
-    292,
-    /* VRSQRT14SDrm */
+    305,
+    /* VPMADDUBSWrr256 */
   },
   { /* 5204 */
-    293,
-    /* VRSQRT14SDrr */
+    304,
+    /* VPMADDWDYrm */
   },
   { /* 5205 */
-    294,
-    /* VRSQRT14SSrm */
+    305,
+    /* VPMADDWDYrr */
   },
   { /* 5206 */
-    295,
-    /* VRSQRT14SSrr */
+    312,
+    /* VPMADDWDrm */
   },
   { /* 5207 */
-    338,
-    /* VRSQRT28PDZm */
+    313,
+    /* VPMADDWDrr */
   },
   { /* 5208 */
-    339,
-    /* VRSQRT28PDZr */
+    483,
+    /* VPMASKMOVDYmr */
   },
   { /* 5209 */
-    339,
-    /* VRSQRT28PDZrb */
+    304,
+    /* VPMASKMOVDYrm */
   },
   { /* 5210 */
-    338,
-    /* VRSQRT28PSZm */
+    484,
+    /* VPMASKMOVDmr */
   },
   { /* 5211 */
-    339,
-    /* VRSQRT28PSZr */
+    312,
+    /* VPMASKMOVDrm */
   },
   { /* 5212 */
-    339,
-    /* VRSQRT28PSZrb */
+    483,
+    /* VPMASKMOVQYmr */
   },
   { /* 5213 */
-    292,
-    /* VRSQRT28SDrm */
+    304,
+    /* VPMASKMOVQYrm */
   },
   { /* 5214 */
-    293,
-    /* VRSQRT28SDrr */
+    484,
+    /* VPMASKMOVQmr */
   },
   { /* 5215 */
-    293,
-    /* VRSQRT28SDrrb */
+    312,
+    /* VPMASKMOVQrm */
   },
   { /* 5216 */
-    294,
-    /* VRSQRT28SSrm */
+    304,
+    /* VPMAXSBYrm */
   },
   { /* 5217 */
-    295,
-    /* VRSQRT28SSrr */
+    305,
+    /* VPMAXSBYrr */
   },
   { /* 5218 */
-    295,
-    /* VRSQRT28SSrrb */
+    312,
+    /* VPMAXSBrm */
   },
   { /* 5219 */
-    336,
-    /* VRSQRTPSYm */
+    313,
+    /* VPMAXSBrr */
   },
   { /* 5220 */
-    0,
-    /*  */
+    304,
+    /* VPMAXSDYrm */
   },
   { /* 5221 */
-    337,
-    /* VRSQRTPSYr */
+    305,
+    /* VPMAXSDYrr */
   },
   { /* 5222 */
-    0,
-    /*  */
+    306,
+    /* VPMAXSDZrm */
   },
   { /* 5223 */
-    42,
-    /* VRSQRTPSm */
+    585,
+    /* VPMAXSDZrmb */
   },
   { /* 5224 */
-    0,
-    /*  */
+    586,
+    /* VPMAXSDZrmbk */
   },
   { /* 5225 */
-    43,
-    /* VRSQRTPSr */
+    587,
+    /* VPMAXSDZrmbkz */
   },
   { /* 5226 */
-    0,
-    /*  */
+    588,
+    /* VPMAXSDZrmk */
   },
   { /* 5227 */
-    294,
-    /* VRSQRTSSm */
+    316,
+    /* VPMAXSDZrmkz */
   },
   { /* 5228 */
-    0,
-    /*  */
+    310,
+    /* VPMAXSDZrr */
   },
   { /* 5229 */
-    295,
-    /* VRSQRTSSr */
+    439,
+    /* VPMAXSDZrrk */
   },
   { /* 5230 */
-    482,
-    /* VSCATTERDPDZmr */
+    317,
+    /* VPMAXSDZrrkz */
   },
   { /* 5231 */
-    481,
-    /* VSCATTERDPSZmr */
+    312,
+    /* VPMAXSDrm */
   },
   { /* 5232 */
-    482,
-    /* VSCATTERQPDZmr */
+    313,
+    /* VPMAXSDrr */
   },
   { /* 5233 */
-    483,
-    /* VSCATTERQPSZmr */
+    306,
+    /* VPMAXSQZrm */
   },
   { /* 5234 */
-    432,
-    /* VSHUFPDYrmi */
+    589,
+    /* VPMAXSQZrmb */
   },
   { /* 5235 */
-    433,
-    /* VSHUFPDYrri */
+    590,
+    /* VPMAXSQZrmbk */
   },
   { /* 5236 */
-    296,
-    /* VSHUFPDZrmi */
+    591,
+    /* VPMAXSQZrmbkz */
   },
   { /* 5237 */
-    297,
-    /* VSHUFPDZrri */
+    592,
+    /* VPMAXSQZrmk */
   },
   { /* 5238 */
-    430,
-    /* VSHUFPDrmi */
+    309,
+    /* VPMAXSQZrmkz */
   },
   { /* 5239 */
-    431,
-    /* VSHUFPDrri */
+    310,
+    /* VPMAXSQZrr */
   },
   { /* 5240 */
-    432,
-    /* VSHUFPSYrmi */
+    438,
+    /* VPMAXSQZrrk */
   },
   { /* 5241 */
-    433,
-    /* VSHUFPSYrri */
+    311,
+    /* VPMAXSQZrrkz */
   },
   { /* 5242 */
-    296,
-    /* VSHUFPSZrmi */
+    304,
+    /* VPMAXSWYrm */
   },
   { /* 5243 */
-    297,
-    /* VSHUFPSZrri */
+    305,
+    /* VPMAXSWYrr */
   },
   { /* 5244 */
-    430,
-    /* VSHUFPSrmi */
+    312,
+    /* VPMAXSWrm */
   },
   { /* 5245 */
-    431,
-    /* VSHUFPSrri */
+    313,
+    /* VPMAXSWrr */
   },
   { /* 5246 */
-    336,
-    /* VSQRTPDYm */
+    304,
+    /* VPMAXUBYrm */
   },
   { /* 5247 */
-    337,
-    /* VSQRTPDYr */
+    305,
+    /* VPMAXUBYrr */
   },
   { /* 5248 */
-    0,
-    /*  */
+    312,
+    /* VPMAXUBrm */
   },
   { /* 5249 */
-    0,
-    /*  */
+    313,
+    /* VPMAXUBrr */
   },
   { /* 5250 */
-    338,
-    /* VSQRTPDZrm */
+    304,
+    /* VPMAXUDYrm */
   },
   { /* 5251 */
-    339,
-    /* VSQRTPDZrr */
+    305,
+    /* VPMAXUDYrr */
   },
   { /* 5252 */
-    42,
-    /* VSQRTPDm */
+    306,
+    /* VPMAXUDZrm */
   },
   { /* 5253 */
-    43,
-    /* VSQRTPDr */
+    585,
+    /* VPMAXUDZrmb */
   },
   { /* 5254 */
-    336,
-    /* VSQRTPSYm */
+    586,
+    /* VPMAXUDZrmbk */
   },
   { /* 5255 */
-    337,
-    /* VSQRTPSYr */
+    587,
+    /* VPMAXUDZrmbkz */
   },
   { /* 5256 */
-    0,
-    /*  */
+    588,
+    /* VPMAXUDZrmk */
   },
   { /* 5257 */
-    0,
-    /*  */
+    316,
+    /* VPMAXUDZrmkz */
   },
   { /* 5258 */
-    338,
-    /* VSQRTPSZrm */
+    310,
+    /* VPMAXUDZrr */
   },
   { /* 5259 */
-    339,
-    /* VSQRTPSZrr */
+    439,
+    /* VPMAXUDZrrk */
   },
   { /* 5260 */
-    42,
-    /* VSQRTPSm */
+    317,
+    /* VPMAXUDZrrkz */
   },
   { /* 5261 */
-    43,
-    /* VSQRTPSr */
+    312,
+    /* VPMAXUDrm */
   },
   { /* 5262 */
-    292,
-    /* VSQRTSDZm */
+    313,
+    /* VPMAXUDrr */
   },
   { /* 5263 */
-    0,
-    /*  */
+    306,
+    /* VPMAXUQZrm */
   },
   { /* 5264 */
-    293,
-    /* VSQRTSDZr */
+    589,
+    /* VPMAXUQZrmb */
   },
   { /* 5265 */
-    0,
-    /*  */
+    590,
+    /* VPMAXUQZrmbk */
   },
   { /* 5266 */
-    292,
-    /* VSQRTSDm */
+    591,
+    /* VPMAXUQZrmbkz */
   },
   { /* 5267 */
-    0,
-    /*  */
+    592,
+    /* VPMAXUQZrmk */
   },
   { /* 5268 */
-    293,
-    /* VSQRTSDr */
+    309,
+    /* VPMAXUQZrmkz */
   },
   { /* 5269 */
-    294,
-    /* VSQRTSSZm */
+    310,
+    /* VPMAXUQZrr */
   },
   { /* 5270 */
-    0,
-    /*  */
+    438,
+    /* VPMAXUQZrrk */
   },
   { /* 5271 */
-    295,
-    /* VSQRTSSZr */
+    311,
+    /* VPMAXUQZrrkz */
   },
   { /* 5272 */
-    0,
-    /*  */
+    304,
+    /* VPMAXUWYrm */
   },
   { /* 5273 */
-    294,
-    /* VSQRTSSm */
+    305,
+    /* VPMAXUWYrr */
   },
   { /* 5274 */
-    0,
-    /*  */
+    312,
+    /* VPMAXUWrm */
   },
   { /* 5275 */
-    295,
-    /* VSQRTSSr */
+    313,
+    /* VPMAXUWrr */
   },
   { /* 5276 */
-    40,
-    /* VSTMXCSR */
+    304,
+    /* VPMINSBYrm */
   },
   { /* 5277 */
-    284,
-    /* VSUBPDYrm */
+    305,
+    /* VPMINSBYrr */
   },
   { /* 5278 */
-    285,
-    /* VSUBPDYrr */
+    312,
+    /* VPMINSBrm */
   },
   { /* 5279 */
-    286,
-    /* VSUBPDZrm */
+    313,
+    /* VPMINSBrr */
   },
   { /* 5280 */
-    287,
-    /* VSUBPDZrmb */
+    304,
+    /* VPMINSDYrm */
   },
   { /* 5281 */
-    288,
-    /* VSUBPDZrr */
+    305,
+    /* VPMINSDYrr */
   },
   { /* 5282 */
-    289,
-    /* VSUBPDrm */
+    306,
+    /* VPMINSDZrm */
   },
   { /* 5283 */
-    290,
-    /* VSUBPDrr */
+    585,
+    /* VPMINSDZrmb */
   },
   { /* 5284 */
-    284,
-    /* VSUBPSYrm */
+    586,
+    /* VPMINSDZrmbk */
   },
   { /* 5285 */
-    285,
-    /* VSUBPSYrr */
+    587,
+    /* VPMINSDZrmbkz */
   },
   { /* 5286 */
-    286,
-    /* VSUBPSZrm */
+    588,
+    /* VPMINSDZrmk */
   },
   { /* 5287 */
-    291,
-    /* VSUBPSZrmb */
+    316,
+    /* VPMINSDZrmkz */
   },
   { /* 5288 */
-    288,
-    /* VSUBPSZrr */
+    310,
+    /* VPMINSDZrr */
   },
   { /* 5289 */
-    289,
-    /* VSUBPSrm */
+    439,
+    /* VPMINSDZrrk */
   },
   { /* 5290 */
-    290,
-    /* VSUBPSrr */
+    317,
+    /* VPMINSDZrrkz */
   },
   { /* 5291 */
-    292,
-    /* VSUBSDZrm */
+    312,
+    /* VPMINSDrm */
   },
   { /* 5292 */
-    293,
-    /* VSUBSDZrr */
+    313,
+    /* VPMINSDrr */
   },
   { /* 5293 */
-    292,
-    /* VSUBSDrm */
+    306,
+    /* VPMINSQZrm */
   },
   { /* 5294 */
-    0,
-    /*  */
+    589,
+    /* VPMINSQZrmb */
   },
   { /* 5295 */
-    293,
-    /* VSUBSDrr */
+    590,
+    /* VPMINSQZrmbk */
   },
   { /* 5296 */
-    0,
-    /*  */
+    591,
+    /* VPMINSQZrmbkz */
   },
   { /* 5297 */
-    294,
-    /* VSUBSSZrm */
+    592,
+    /* VPMINSQZrmk */
   },
   { /* 5298 */
-    295,
-    /* VSUBSSZrr */
+    309,
+    /* VPMINSQZrmkz */
   },
   { /* 5299 */
-    294,
-    /* VSUBSSrm */
+    310,
+    /* VPMINSQZrr */
   },
   { /* 5300 */
-    0,
-    /*  */
+    438,
+    /* VPMINSQZrrk */
   },
   { /* 5301 */
-    295,
-    /* VSUBSSrr */
+    311,
+    /* VPMINSQZrrkz */
   },
   { /* 5302 */
+    304,
+    /* VPMINSWYrm */
+  },
+  { /* 5303 */
+    305,
+    /* VPMINSWYrr */
+  },
+  { /* 5304 */
+    312,
+    /* VPMINSWrm */
+  },
+  { /* 5305 */
+    313,
+    /* VPMINSWrr */
+  },
+  { /* 5306 */
+    304,
+    /* VPMINUBYrm */
+  },
+  { /* 5307 */
+    305,
+    /* VPMINUBYrr */
+  },
+  { /* 5308 */
+    312,
+    /* VPMINUBrm */
+  },
+  { /* 5309 */
+    313,
+    /* VPMINUBrr */
+  },
+  { /* 5310 */
+    304,
+    /* VPMINUDYrm */
+  },
+  { /* 5311 */
+    305,
+    /* VPMINUDYrr */
+  },
+  { /* 5312 */
+    306,
+    /* VPMINUDZrm */
+  },
+  { /* 5313 */
+    585,
+    /* VPMINUDZrmb */
+  },
+  { /* 5314 */
+    586,
+    /* VPMINUDZrmbk */
+  },
+  { /* 5315 */
+    587,
+    /* VPMINUDZrmbkz */
+  },
+  { /* 5316 */
+    588,
+    /* VPMINUDZrmk */
+  },
+  { /* 5317 */
+    316,
+    /* VPMINUDZrmkz */
+  },
+  { /* 5318 */
+    310,
+    /* VPMINUDZrr */
+  },
+  { /* 5319 */
+    439,
+    /* VPMINUDZrrk */
+  },
+  { /* 5320 */
+    317,
+    /* VPMINUDZrrkz */
+  },
+  { /* 5321 */
+    312,
+    /* VPMINUDrm */
+  },
+  { /* 5322 */
+    313,
+    /* VPMINUDrr */
+  },
+  { /* 5323 */
+    306,
+    /* VPMINUQZrm */
+  },
+  { /* 5324 */
+    589,
+    /* VPMINUQZrmb */
+  },
+  { /* 5325 */
+    590,
+    /* VPMINUQZrmbk */
+  },
+  { /* 5326 */
+    591,
+    /* VPMINUQZrmbkz */
+  },
+  { /* 5327 */
+    592,
+    /* VPMINUQZrmk */
+  },
+  { /* 5328 */
+    309,
+    /* VPMINUQZrmkz */
+  },
+  { /* 5329 */
+    310,
+    /* VPMINUQZrr */
+  },
+  { /* 5330 */
+    438,
+    /* VPMINUQZrrk */
+  },
+  { /* 5331 */
+    311,
+    /* VPMINUQZrrkz */
+  },
+  { /* 5332 */
+    304,
+    /* VPMINUWYrm */
+  },
+  { /* 5333 */
+    305,
+    /* VPMINUWYrr */
+  },
+  { /* 5334 */
+    312,
+    /* VPMINUWrm */
+  },
+  { /* 5335 */
+    313,
+    /* VPMINUWrr */
+  },
+  { /* 5336 */
+    684,
+    /* VPMOVDBmr */
+  },
+  { /* 5337 */
+    685,
+    /* VPMOVDBmrk */
+  },
+  { /* 5338 */
+    686,
+    /* VPMOVDBrr */
+  },
+  { /* 5339 */
+    687,
+    /* VPMOVDBrrk */
+  },
+  { /* 5340 */
+    687,
+    /* VPMOVDBrrkz */
+  },
+  { /* 5341 */
+    688,
+    /* VPMOVDWmr */
+  },
+  { /* 5342 */
+    689,
+    /* VPMOVDWmrk */
+  },
+  { /* 5343 */
+    690,
+    /* VPMOVDWrr */
+  },
+  { /* 5344 */
+    691,
+    /* VPMOVDWrrk */
+  },
+  { /* 5345 */
+    691,
+    /* VPMOVDWrrkz */
+  },
+  { /* 5346 */
+    559,
+    /* VPMOVMSKBYrr */
+  },
+  { /* 5347 */
+    110,
+    /* VPMOVMSKBrr */
+  },
+  { /* 5348 */
+    692,
+    /* VPMOVQBmr */
+  },
+  { /* 5349 */
+    693,
+    /* VPMOVQBmrk */
+  },
+  { /* 5350 */
+    694,
+    /* VPMOVQBrr */
+  },
+  { /* 5351 */
+    695,
+    /* VPMOVQBrrk */
+  },
+  { /* 5352 */
+    695,
+    /* VPMOVQBrrkz */
+  },
+  { /* 5353 */
+    688,
+    /* VPMOVQDmr */
+  },
+  { /* 5354 */
+    696,
+    /* VPMOVQDmrk */
+  },
+  { /* 5355 */
+    690,
+    /* VPMOVQDrr */
+  },
+  { /* 5356 */
+    697,
+    /* VPMOVQDrrk */
+  },
+  { /* 5357 */
+    697,
+    /* VPMOVQDrrkz */
+  },
+  { /* 5358 */
+    684,
+    /* VPMOVQWmr */
+  },
+  { /* 5359 */
+    698,
+    /* VPMOVQWmrk */
+  },
+  { /* 5360 */
+    686,
+    /* VPMOVQWrr */
+  },
+  { /* 5361 */
+    699,
+    /* VPMOVQWrrk */
+  },
+  { /* 5362 */
+    699,
+    /* VPMOVQWrrkz */
+  },
+  { /* 5363 */
+    684,
+    /* VPMOVSDBmr */
+  },
+  { /* 5364 */
+    685,
+    /* VPMOVSDBmrk */
+  },
+  { /* 5365 */
+    686,
+    /* VPMOVSDBrr */
+  },
+  { /* 5366 */
+    687,
+    /* VPMOVSDBrrk */
+  },
+  { /* 5367 */
+    687,
+    /* VPMOVSDBrrkz */
+  },
+  { /* 5368 */
+    688,
+    /* VPMOVSDWmr */
+  },
+  { /* 5369 */
+    689,
+    /* VPMOVSDWmrk */
+  },
+  { /* 5370 */
+    690,
+    /* VPMOVSDWrr */
+  },
+  { /* 5371 */
+    691,
+    /* VPMOVSDWrrk */
+  },
+  { /* 5372 */
+    691,
+    /* VPMOVSDWrrkz */
+  },
+  { /* 5373 */
+    692,
+    /* VPMOVSQBmr */
+  },
+  { /* 5374 */
+    693,
+    /* VPMOVSQBmrk */
+  },
+  { /* 5375 */
+    694,
+    /* VPMOVSQBrr */
+  },
+  { /* 5376 */
+    695,
+    /* VPMOVSQBrrk */
+  },
+  { /* 5377 */
+    695,
+    /* VPMOVSQBrrkz */
+  },
+  { /* 5378 */
+    688,
+    /* VPMOVSQDmr */
+  },
+  { /* 5379 */
+    696,
+    /* VPMOVSQDmrk */
+  },
+  { /* 5380 */
+    690,
+    /* VPMOVSQDrr */
+  },
+  { /* 5381 */
+    697,
+    /* VPMOVSQDrrk */
+  },
+  { /* 5382 */
+    697,
+    /* VPMOVSQDrrkz */
+  },
+  { /* 5383 */
+    684,
+    /* VPMOVSQWmr */
+  },
+  { /* 5384 */
+    698,
+    /* VPMOVSQWmrk */
+  },
+  { /* 5385 */
+    686,
+    /* VPMOVSQWrr */
+  },
+  { /* 5386 */
+    699,
+    /* VPMOVSQWrrk */
+  },
+  { /* 5387 */
+    699,
+    /* VPMOVSQWrrkz */
+  },
+  { /* 5388 */
+    599,
+    /* VPMOVSXBDYrm */
+  },
+  { /* 5389 */
+    346,
+    /* VPMOVSXBDYrr */
+  },
+  { /* 5390 */
+    342,
+    /* VPMOVSXBDZrm */
+  },
+  { /* 5391 */
+    341,
+    /* VPMOVSXBDZrmk */
+  },
+  { /* 5392 */
+    341,
+    /* VPMOVSXBDZrmkz */
+  },
+  { /* 5393 */
+    700,
+    /* VPMOVSXBDZrr */
+  },
+  { /* 5394 */
+    701,
+    /* VPMOVSXBDZrrk */
+  },
+  { /* 5395 */
+    701,
+    /* VPMOVSXBDZrrkz */
+  },
+  { /* 5396 */
+    105,
+    /* VPMOVSXBDrm */
+  },
+  { /* 5397 */
+    45,
+    /* VPMOVSXBDrr */
+  },
+  { /* 5398 */
+    599,
+    /* VPMOVSXBQYrm */
+  },
+  { /* 5399 */
+    346,
+    /* VPMOVSXBQYrr */
+  },
+  { /* 5400 */
+    702,
+    /* VPMOVSXBQZrm */
+  },
+  { /* 5401 */
+    703,
+    /* VPMOVSXBQZrmk */
+  },
+  { /* 5402 */
+    703,
+    /* VPMOVSXBQZrmkz */
+  },
+  { /* 5403 */
+    348,
+    /* VPMOVSXBQZrr */
+  },
+  { /* 5404 */
+    605,
+    /* VPMOVSXBQZrrk */
+  },
+  { /* 5405 */
+    605,
+    /* VPMOVSXBQZrrkz */
+  },
+  { /* 5406 */
+    105,
+    /* VPMOVSXBQrm */
+  },
+  { /* 5407 */
+    45,
+    /* VPMOVSXBQrr */
+  },
+  { /* 5408 */
+    340,
+    /* VPMOVSXBWYrm */
+  },
+  { /* 5409 */
+    346,
+    /* VPMOVSXBWYrr */
+  },
+  { /* 5410 */
+    105,
+    /* VPMOVSXBWrm */
+  },
+  { /* 5411 */
+    45,
+    /* VPMOVSXBWrr */
+  },
+  { /* 5412 */
+    340,
+    /* VPMOVSXDQYrm */
+  },
+  { /* 5413 */
+    346,
+    /* VPMOVSXDQYrr */
+  },
+  { /* 5414 */
+    344,
+    /* VPMOVSXDQZrm */
+  },
+  { /* 5415 */
+    704,
+    /* VPMOVSXDQZrmk */
+  },
+  { /* 5416 */
+    704,
+    /* VPMOVSXDQZrmkz */
+  },
+  { /* 5417 */
+    375,
+    /* VPMOVSXDQZrr */
+  },
+  { /* 5418 */
+    705,
+    /* VPMOVSXDQZrrk */
+  },
+  { /* 5419 */
+    705,
+    /* VPMOVSXDQZrrkz */
+  },
+  { /* 5420 */
+    105,
+    /* VPMOVSXDQrm */
+  },
+  { /* 5421 */
+    45,
+    /* VPMOVSXDQrr */
+  },
+  { /* 5422 */
+    340,
+    /* VPMOVSXWDYrm */
+  },
+  { /* 5423 */
+    346,
+    /* VPMOVSXWDYrr */
+  },
+  { /* 5424 */
+    344,
+    /* VPMOVSXWDZrm */
+  },
+  { /* 5425 */
+    343,
+    /* VPMOVSXWDZrmk */
+  },
+  { /* 5426 */
+    343,
+    /* VPMOVSXWDZrmkz */
+  },
+  { /* 5427 */
+    375,
+    /* VPMOVSXWDZrr */
+  },
+  { /* 5428 */
+    706,
+    /* VPMOVSXWDZrrk */
+  },
+  { /* 5429 */
+    706,
+    /* VPMOVSXWDZrrkz */
+  },
+  { /* 5430 */
+    105,
+    /* VPMOVSXWDrm */
+  },
+  { /* 5431 */
+    45,
+    /* VPMOVSXWDrr */
+  },
+  { /* 5432 */
+    599,
+    /* VPMOVSXWQYrm */
+  },
+  { /* 5433 */
+    346,
+    /* VPMOVSXWQYrr */
+  },
+  { /* 5434 */
+    342,
+    /* VPMOVSXWQZrm */
+  },
+  { /* 5435 */
+    707,
+    /* VPMOVSXWQZrmk */
+  },
+  { /* 5436 */
+    707,
+    /* VPMOVSXWQZrmkz */
+  },
+  { /* 5437 */
+    700,
+    /* VPMOVSXWQZrr */
+  },
+  { /* 5438 */
+    708,
+    /* VPMOVSXWQZrrk */
+  },
+  { /* 5439 */
+    708,
+    /* VPMOVSXWQZrrkz */
+  },
+  { /* 5440 */
+    105,
+    /* VPMOVSXWQrm */
+  },
+  { /* 5441 */
+    45,
+    /* VPMOVSXWQrr */
+  },
+  { /* 5442 */
+    684,
+    /* VPMOVUSDBmr */
+  },
+  { /* 5443 */
+    685,
+    /* VPMOVUSDBmrk */
+  },
+  { /* 5444 */
+    686,
+    /* VPMOVUSDBrr */
+  },
+  { /* 5445 */
+    687,
+    /* VPMOVUSDBrrk */
+  },
+  { /* 5446 */
+    687,
+    /* VPMOVUSDBrrkz */
+  },
+  { /* 5447 */
+    688,
+    /* VPMOVUSDWmr */
+  },
+  { /* 5448 */
+    689,
+    /* VPMOVUSDWmrk */
+  },
+  { /* 5449 */
+    690,
+    /* VPMOVUSDWrr */
+  },
+  { /* 5450 */
+    691,
+    /* VPMOVUSDWrrk */
+  },
+  { /* 5451 */
+    691,
+    /* VPMOVUSDWrrkz */
+  },
+  { /* 5452 */
+    692,
+    /* VPMOVUSQBmr */
+  },
+  { /* 5453 */
+    693,
+    /* VPMOVUSQBmrk */
+  },
+  { /* 5454 */
+    694,
+    /* VPMOVUSQBrr */
+  },
+  { /* 5455 */
+    695,
+    /* VPMOVUSQBrrk */
+  },
+  { /* 5456 */
+    695,
+    /* VPMOVUSQBrrkz */
+  },
+  { /* 5457 */
+    688,
+    /* VPMOVUSQDmr */
+  },
+  { /* 5458 */
+    696,
+    /* VPMOVUSQDmrk */
+  },
+  { /* 5459 */
+    690,
+    /* VPMOVUSQDrr */
+  },
+  { /* 5460 */
+    697,
+    /* VPMOVUSQDrrk */
+  },
+  { /* 5461 */
+    697,
+    /* VPMOVUSQDrrkz */
+  },
+  { /* 5462 */
+    684,
+    /* VPMOVUSQWmr */
+  },
+  { /* 5463 */
+    698,
+    /* VPMOVUSQWmrk */
+  },
+  { /* 5464 */
+    686,
+    /* VPMOVUSQWrr */
+  },
+  { /* 5465 */
+    699,
+    /* VPMOVUSQWrrk */
+  },
+  { /* 5466 */
+    699,
+    /* VPMOVUSQWrrkz */
+  },
+  { /* 5467 */
+    599,
+    /* VPMOVZXBDYrm */
+  },
+  { /* 5468 */
+    346,
+    /* VPMOVZXBDYrr */
+  },
+  { /* 5469 */
+    342,
+    /* VPMOVZXBDZrm */
+  },
+  { /* 5470 */
+    341,
+    /* VPMOVZXBDZrmk */
+  },
+  { /* 5471 */
+    341,
+    /* VPMOVZXBDZrmkz */
+  },
+  { /* 5472 */
+    700,
+    /* VPMOVZXBDZrr */
+  },
+  { /* 5473 */
+    701,
+    /* VPMOVZXBDZrrk */
+  },
+  { /* 5474 */
+    701,
+    /* VPMOVZXBDZrrkz */
+  },
+  { /* 5475 */
+    105,
+    /* VPMOVZXBDrm */
+  },
+  { /* 5476 */
+    45,
+    /* VPMOVZXBDrr */
+  },
+  { /* 5477 */
+    599,
+    /* VPMOVZXBQYrm */
+  },
+  { /* 5478 */
+    346,
+    /* VPMOVZXBQYrr */
+  },
+  { /* 5479 */
+    702,
+    /* VPMOVZXBQZrm */
+  },
+  { /* 5480 */
+    703,
+    /* VPMOVZXBQZrmk */
+  },
+  { /* 5481 */
+    703,
+    /* VPMOVZXBQZrmkz */
+  },
+  { /* 5482 */
+    348,
+    /* VPMOVZXBQZrr */
+  },
+  { /* 5483 */
+    605,
+    /* VPMOVZXBQZrrk */
+  },
+  { /* 5484 */
+    605,
+    /* VPMOVZXBQZrrkz */
+  },
+  { /* 5485 */
+    105,
+    /* VPMOVZXBQrm */
+  },
+  { /* 5486 */
+    45,
+    /* VPMOVZXBQrr */
+  },
+  { /* 5487 */
+    340,
+    /* VPMOVZXBWYrm */
+  },
+  { /* 5488 */
+    346,
+    /* VPMOVZXBWYrr */
+  },
+  { /* 5489 */
+    105,
+    /* VPMOVZXBWrm */
+  },
+  { /* 5490 */
+    45,
+    /* VPMOVZXBWrr */
+  },
+  { /* 5491 */
+    340,
+    /* VPMOVZXDQYrm */
+  },
+  { /* 5492 */
+    346,
+    /* VPMOVZXDQYrr */
+  },
+  { /* 5493 */
+    344,
+    /* VPMOVZXDQZrm */
+  },
+  { /* 5494 */
+    704,
+    /* VPMOVZXDQZrmk */
+  },
+  { /* 5495 */
+    704,
+    /* VPMOVZXDQZrmkz */
+  },
+  { /* 5496 */
+    375,
+    /* VPMOVZXDQZrr */
+  },
+  { /* 5497 */
+    705,
+    /* VPMOVZXDQZrrk */
+  },
+  { /* 5498 */
+    705,
+    /* VPMOVZXDQZrrkz */
+  },
+  { /* 5499 */
+    105,
+    /* VPMOVZXDQrm */
+  },
+  { /* 5500 */
+    45,
+    /* VPMOVZXDQrr */
+  },
+  { /* 5501 */
+    340,
+    /* VPMOVZXWDYrm */
+  },
+  { /* 5502 */
+    346,
+    /* VPMOVZXWDYrr */
+  },
+  { /* 5503 */
+    344,
+    /* VPMOVZXWDZrm */
+  },
+  { /* 5504 */
+    343,
+    /* VPMOVZXWDZrmk */
+  },
+  { /* 5505 */
+    343,
+    /* VPMOVZXWDZrmkz */
+  },
+  { /* 5506 */
+    375,
+    /* VPMOVZXWDZrr */
+  },
+  { /* 5507 */
+    706,
+    /* VPMOVZXWDZrrk */
+  },
+  { /* 5508 */
+    706,
+    /* VPMOVZXWDZrrkz */
+  },
+  { /* 5509 */
+    105,
+    /* VPMOVZXWDrm */
+  },
+  { /* 5510 */
+    45,
+    /* VPMOVZXWDrr */
+  },
+  { /* 5511 */
+    599,
+    /* VPMOVZXWQYrm */
+  },
+  { /* 5512 */
+    346,
+    /* VPMOVZXWQYrr */
+  },
+  { /* 5513 */
+    342,
+    /* VPMOVZXWQZrm */
+  },
+  { /* 5514 */
+    707,
+    /* VPMOVZXWQZrmk */
+  },
+  { /* 5515 */
+    707,
+    /* VPMOVZXWQZrmkz */
+  },
+  { /* 5516 */
+    700,
+    /* VPMOVZXWQZrr */
+  },
+  { /* 5517 */
+    708,
+    /* VPMOVZXWQZrrk */
+  },
+  { /* 5518 */
+    708,
+    /* VPMOVZXWQZrrkz */
+  },
+  { /* 5519 */
+    105,
+    /* VPMOVZXWQrm */
+  },
+  { /* 5520 */
+    45,
+    /* VPMOVZXWQrr */
+  },
+  { /* 5521 */
+    304,
+    /* VPMULDQYrm */
+  },
+  { /* 5522 */
+    305,
+    /* VPMULDQYrr */
+  },
+  { /* 5523 */
+    306,
+    /* VPMULDQZrm */
+  },
+  { /* 5524 */
+    589,
+    /* VPMULDQZrmb */
+  },
+  { /* 5525 */
+    591,
+    /* VPMULDQZrmbk */
+  },
+  { /* 5526 */
+    591,
+    /* VPMULDQZrmbkz */
+  },
+  { /* 5527 */
+    309,
+    /* VPMULDQZrmk */
+  },
+  { /* 5528 */
+    309,
+    /* VPMULDQZrmkz */
+  },
+  { /* 5529 */
+    310,
+    /* VPMULDQZrr */
+  },
+  { /* 5530 */
+    311,
+    /* VPMULDQZrrk */
+  },
+  { /* 5531 */
+    311,
+    /* VPMULDQZrrkz */
+  },
+  { /* 5532 */
+    312,
+    /* VPMULDQrm */
+  },
+  { /* 5533 */
+    313,
+    /* VPMULDQrr */
+  },
+  { /* 5534 */
+    312,
+    /* VPMULHRSWrm128 */
+  },
+  { /* 5535 */
+    304,
+    /* VPMULHRSWrm256 */
+  },
+  { /* 5536 */
+    313,
+    /* VPMULHRSWrr128 */
+  },
+  { /* 5537 */
+    305,
+    /* VPMULHRSWrr256 */
+  },
+  { /* 5538 */
+    304,
+    /* VPMULHUWYrm */
+  },
+  { /* 5539 */
+    305,
+    /* VPMULHUWYrr */
+  },
+  { /* 5540 */
+    312,
+    /* VPMULHUWrm */
+  },
+  { /* 5541 */
+    313,
+    /* VPMULHUWrr */
+  },
+  { /* 5542 */
+    304,
+    /* VPMULHWYrm */
+  },
+  { /* 5543 */
+    305,
+    /* VPMULHWYrr */
+  },
+  { /* 5544 */
+    312,
+    /* VPMULHWrm */
+  },
+  { /* 5545 */
+    313,
+    /* VPMULHWrr */
+  },
+  { /* 5546 */
+    304,
+    /* VPMULLDYrm */
+  },
+  { /* 5547 */
+    305,
+    /* VPMULLDYrr */
+  },
+  { /* 5548 */
+    306,
+    /* VPMULLDZrm */
+  },
+  { /* 5549 */
+    585,
+    /* VPMULLDZrmb */
+  },
+  { /* 5550 */
+    586,
+    /* VPMULLDZrmbk */
+  },
+  { /* 5551 */
+    587,
+    /* VPMULLDZrmbkz */
+  },
+  { /* 5552 */
+    588,
+    /* VPMULLDZrmk */
+  },
+  { /* 5553 */
+    316,
+    /* VPMULLDZrmkz */
+  },
+  { /* 5554 */
+    310,
+    /* VPMULLDZrr */
+  },
+  { /* 5555 */
+    439,
+    /* VPMULLDZrrk */
+  },
+  { /* 5556 */
+    317,
+    /* VPMULLDZrrkz */
+  },
+  { /* 5557 */
+    312,
+    /* VPMULLDrm */
+  },
+  { /* 5558 */
+    313,
+    /* VPMULLDrr */
+  },
+  { /* 5559 */
+    304,
+    /* VPMULLWYrm */
+  },
+  { /* 5560 */
+    305,
+    /* VPMULLWYrr */
+  },
+  { /* 5561 */
+    312,
+    /* VPMULLWrm */
+  },
+  { /* 5562 */
+    313,
+    /* VPMULLWrr */
+  },
+  { /* 5563 */
+    304,
+    /* VPMULUDQYrm */
+  },
+  { /* 5564 */
+    305,
+    /* VPMULUDQYrr */
+  },
+  { /* 5565 */
+    306,
+    /* VPMULUDQZrm */
+  },
+  { /* 5566 */
+    589,
+    /* VPMULUDQZrmb */
+  },
+  { /* 5567 */
+    591,
+    /* VPMULUDQZrmbk */
+  },
+  { /* 5568 */
+    591,
+    /* VPMULUDQZrmbkz */
+  },
+  { /* 5569 */
+    309,
+    /* VPMULUDQZrmk */
+  },
+  { /* 5570 */
+    309,
+    /* VPMULUDQZrmkz */
+  },
+  { /* 5571 */
+    310,
+    /* VPMULUDQZrr */
+  },
+  { /* 5572 */
+    311,
+    /* VPMULUDQZrrk */
+  },
+  { /* 5573 */
+    311,
+    /* VPMULUDQZrrkz */
+  },
+  { /* 5574 */
+    312,
+    /* VPMULUDQrm */
+  },
+  { /* 5575 */
+    313,
+    /* VPMULUDQrr */
+  },
+  { /* 5576 */
+    306,
+    /* VPORDZrm */
+  },
+  { /* 5577 */
+    585,
+    /* VPORDZrmb */
+  },
+  { /* 5578 */
+    586,
+    /* VPORDZrmbk */
+  },
+  { /* 5579 */
+    587,
+    /* VPORDZrmbkz */
+  },
+  { /* 5580 */
+    588,
+    /* VPORDZrmk */
+  },
+  { /* 5581 */
+    316,
+    /* VPORDZrmkz */
+  },
+  { /* 5582 */
+    310,
+    /* VPORDZrr */
+  },
+  { /* 5583 */
+    439,
+    /* VPORDZrrk */
+  },
+  { /* 5584 */
+    317,
+    /* VPORDZrrkz */
+  },
+  { /* 5585 */
+    306,
+    /* VPORQZrm */
+  },
+  { /* 5586 */
+    589,
+    /* VPORQZrmb */
+  },
+  { /* 5587 */
+    590,
+    /* VPORQZrmbk */
+  },
+  { /* 5588 */
+    591,
+    /* VPORQZrmbkz */
+  },
+  { /* 5589 */
+    592,
+    /* VPORQZrmk */
+  },
+  { /* 5590 */
+    309,
+    /* VPORQZrmkz */
+  },
+  { /* 5591 */
+    310,
+    /* VPORQZrr */
+  },
+  { /* 5592 */
+    438,
+    /* VPORQZrrk */
+  },
+  { /* 5593 */
+    311,
+    /* VPORQZrrkz */
+  },
+  { /* 5594 */
+    304,
+    /* VPORYrm */
+  },
+  { /* 5595 */
+    305,
+    /* VPORYrr */
+  },
+  { /* 5596 */
+    312,
+    /* VPORrm */
+  },
+  { /* 5597 */
+    313,
+    /* VPORrr */
+  },
+  { /* 5598 */
+    338,
+    /* VPPERMmr */
+  },
+  { /* 5599 */
+    440,
+    /* VPPERMrm */
+  },
+  { /* 5600 */
+    339,
+    /* VPPERMrr */
+  },
+  { /* 5601 */
+    46,
+    /* VPROTBmi */
+  },
+  { /* 5602 */
+    709,
+    /* VPROTBmr */
+  },
+  { /* 5603 */
+    47,
+    /* VPROTBri */
+  },
+  { /* 5604 */
+    312,
+    /* VPROTBrm */
+  },
+  { /* 5605 */
+    710,
+    /* VPROTBrr */
+  },
+  { /* 5606 */
+    46,
+    /* VPROTDmi */
+  },
+  { /* 5607 */
+    709,
+    /* VPROTDmr */
+  },
+  { /* 5608 */
+    47,
+    /* VPROTDri */
+  },
+  { /* 5609 */
+    312,
+    /* VPROTDrm */
+  },
+  { /* 5610 */
+    710,
+    /* VPROTDrr */
+  },
+  { /* 5611 */
+    46,
+    /* VPROTQmi */
+  },
+  { /* 5612 */
+    709,
+    /* VPROTQmr */
+  },
+  { /* 5613 */
+    47,
+    /* VPROTQri */
+  },
+  { /* 5614 */
+    312,
+    /* VPROTQrm */
+  },
+  { /* 5615 */
+    710,
+    /* VPROTQrr */
+  },
+  { /* 5616 */
+    46,
+    /* VPROTWmi */
+  },
+  { /* 5617 */
+    709,
+    /* VPROTWmr */
+  },
+  { /* 5618 */
+    47,
+    /* VPROTWri */
+  },
+  { /* 5619 */
+    312,
+    /* VPROTWrm */
+  },
+  { /* 5620 */
+    710,
+    /* VPROTWrr */
+  },
+  { /* 5621 */
+    304,
+    /* VPSADBWYrm */
+  },
+  { /* 5622 */
+    305,
+    /* VPSADBWYrr */
+  },
+  { /* 5623 */
+    312,
+    /* VPSADBWrm */
+  },
+  { /* 5624 */
+    313,
+    /* VPSADBWrr */
+  },
+  { /* 5625 */
+    711,
+    /* VPSCATTERDDZmr */
+  },
+  { /* 5626 */
+    712,
+    /* VPSCATTERDQZmr */
+  },
+  { /* 5627 */
+    713,
+    /* VPSCATTERQDZmr */
+  },
+  { /* 5628 */
+    712,
+    /* VPSCATTERQQZmr */
+  },
+  { /* 5629 */
+    709,
+    /* VPSHABmr */
+  },
+  { /* 5630 */
+    312,
+    /* VPSHABrm */
+  },
+  { /* 5631 */
+    710,
+    /* VPSHABrr */
+  },
+  { /* 5632 */
+    709,
+    /* VPSHADmr */
+  },
+  { /* 5633 */
+    312,
+    /* VPSHADrm */
+  },
+  { /* 5634 */
+    710,
+    /* VPSHADrr */
+  },
+  { /* 5635 */
+    709,
+    /* VPSHAQmr */
+  },
+  { /* 5636 */
+    312,
+    /* VPSHAQrm */
+  },
+  { /* 5637 */
+    710,
+    /* VPSHAQrr */
+  },
+  { /* 5638 */
+    709,
+    /* VPSHAWmr */
+  },
+  { /* 5639 */
+    312,
+    /* VPSHAWrm */
+  },
+  { /* 5640 */
+    710,
+    /* VPSHAWrr */
+  },
+  { /* 5641 */
+    709,
+    /* VPSHLBmr */
+  },
+  { /* 5642 */
+    312,
+    /* VPSHLBrm */
+  },
+  { /* 5643 */
+    710,
+    /* VPSHLBrr */
+  },
+  { /* 5644 */
+    709,
+    /* VPSHLDmr */
+  },
+  { /* 5645 */
+    312,
+    /* VPSHLDrm */
+  },
+  { /* 5646 */
+    710,
+    /* VPSHLDrr */
+  },
+  { /* 5647 */
+    709,
+    /* VPSHLQmr */
+  },
+  { /* 5648 */
+    312,
+    /* VPSHLQrm */
+  },
+  { /* 5649 */
+    710,
+    /* VPSHLQrr */
+  },
+  { /* 5650 */
+    709,
+    /* VPSHLWmr */
+  },
+  { /* 5651 */
+    312,
+    /* VPSHLWrm */
+  },
+  { /* 5652 */
+    710,
+    /* VPSHLWrr */
+  },
+  { /* 5653 */
+    304,
+    /* VPSHUFBYrm */
+  },
+  { /* 5654 */
+    305,
+    /* VPSHUFBYrr */
+  },
+  { /* 5655 */
+    312,
+    /* VPSHUFBrm */
+  },
+  { /* 5656 */
+    313,
+    /* VPSHUFBrr */
+  },
+  { /* 5657 */
+    676,
+    /* VPSHUFDYmi */
+  },
+  { /* 5658 */
+    677,
+    /* VPSHUFDYri */
+  },
+  { /* 5659 */
+    678,
+    /* VPSHUFDZmi */
+  },
+  { /* 5660 */
+    679,
+    /* VPSHUFDZri */
+  },
+  { /* 5661 */
+    46,
+    /* VPSHUFDmi */
+  },
+  { /* 5662 */
+    47,
+    /* VPSHUFDri */
+  },
+  { /* 5663 */
+    676,
+    /* VPSHUFHWYmi */
+  },
+  { /* 5664 */
+    677,
+    /* VPSHUFHWYri */
+  },
+  { /* 5665 */
+    46,
+    /* VPSHUFHWmi */
+  },
+  { /* 5666 */
+    47,
+    /* VPSHUFHWri */
+  },
+  { /* 5667 */
+    676,
+    /* VPSHUFLWYmi */
+  },
+  { /* 5668 */
+    677,
+    /* VPSHUFLWYri */
+  },
+  { /* 5669 */
+    46,
+    /* VPSHUFLWmi */
+  },
+  { /* 5670 */
+    47,
+    /* VPSHUFLWri */
+  },
+  { /* 5671 */
+    304,
+    /* VPSIGNBYrm */
+  },
+  { /* 5672 */
+    305,
+    /* VPSIGNBYrr */
+  },
+  { /* 5673 */
+    312,
+    /* VPSIGNBrm */
+  },
+  { /* 5674 */
+    313,
+    /* VPSIGNBrr */
+  },
+  { /* 5675 */
+    304,
+    /* VPSIGNDYrm */
+  },
+  { /* 5676 */
+    305,
+    /* VPSIGNDYrr */
+  },
+  { /* 5677 */
+    312,
+    /* VPSIGNDrm */
+  },
+  { /* 5678 */
+    313,
+    /* VPSIGNDrr */
+  },
+  { /* 5679 */
+    304,
+    /* VPSIGNWYrm */
+  },
+  { /* 5680 */
+    305,
+    /* VPSIGNWYrr */
+  },
+  { /* 5681 */
+    312,
+    /* VPSIGNWrm */
+  },
+  { /* 5682 */
+    313,
+    /* VPSIGNWrr */
+  },
+  { /* 5683 */
+    714,
+    /* VPSLLDQYri */
+  },
+  { /* 5684 */
+    715,
+    /* VPSLLDQri */
+  },
+  { /* 5685 */
+    716,
+    /* VPSLLDYri */
+  },
+  { /* 5686 */
+    717,
+    /* VPSLLDYrm */
+  },
+  { /* 5687 */
+    718,
+    /* VPSLLDYrr */
+  },
+  { /* 5688 */
+    719,
+    /* VPSLLDZmi */
+  },
+  { /* 5689 */
+    720,
+    /* VPSLLDZmik */
+  },
+  { /* 5690 */
+    721,
+    /* VPSLLDZri */
+  },
+  { /* 5691 */
+    722,
+    /* VPSLLDZrik */
+  },
+  { /* 5692 */
+    723,
+    /* VPSLLDZrm */
+  },
+  { /* 5693 */
+    724,
+    /* VPSLLDZrmk */
+  },
+  { /* 5694 */
+    725,
+    /* VPSLLDZrr */
+  },
+  { /* 5695 */
+    726,
+    /* VPSLLDZrrk */
+  },
+  { /* 5696 */
+    727,
+    /* VPSLLDri */
+  },
+  { /* 5697 */
+    312,
+    /* VPSLLDrm */
+  },
+  { /* 5698 */
+    313,
+    /* VPSLLDrr */
+  },
+  { /* 5699 */
+    716,
+    /* VPSLLQYri */
+  },
+  { /* 5700 */
+    717,
+    /* VPSLLQYrm */
+  },
+  { /* 5701 */
+    718,
+    /* VPSLLQYrr */
+  },
+  { /* 5702 */
+    719,
+    /* VPSLLQZmi */
+  },
+  { /* 5703 */
+    728,
+    /* VPSLLQZmik */
+  },
+  { /* 5704 */
+    721,
+    /* VPSLLQZri */
+  },
+  { /* 5705 */
+    729,
+    /* VPSLLQZrik */
+  },
+  { /* 5706 */
+    723,
+    /* VPSLLQZrm */
+  },
+  { /* 5707 */
+    730,
+    /* VPSLLQZrmk */
+  },
+  { /* 5708 */
+    725,
+    /* VPSLLQZrr */
+  },
+  { /* 5709 */
+    731,
+    /* VPSLLQZrrk */
+  },
+  { /* 5710 */
+    727,
+    /* VPSLLQri */
+  },
+  { /* 5711 */
+    312,
+    /* VPSLLQrm */
+  },
+  { /* 5712 */
+    313,
+    /* VPSLLQrr */
+  },
+  { /* 5713 */
+    304,
+    /* VPSLLVDYrm */
+  },
+  { /* 5714 */
+    305,
+    /* VPSLLVDYrr */
+  },
+  { /* 5715 */
+    306,
+    /* VPSLLVDZrm */
+  },
+  { /* 5716 */
+    310,
+    /* VPSLLVDZrr */
+  },
+  { /* 5717 */
+    312,
+    /* VPSLLVDrm */
+  },
+  { /* 5718 */
+    313,
+    /* VPSLLVDrr */
+  },
+  { /* 5719 */
+    304,
+    /* VPSLLVQYrm */
+  },
+  { /* 5720 */
+    305,
+    /* VPSLLVQYrr */
+  },
+  { /* 5721 */
+    306,
+    /* VPSLLVQZrm */
+  },
+  { /* 5722 */
+    310,
+    /* VPSLLVQZrr */
+  },
+  { /* 5723 */
+    312,
+    /* VPSLLVQrm */
+  },
+  { /* 5724 */
+    313,
+    /* VPSLLVQrr */
+  },
+  { /* 5725 */
+    716,
+    /* VPSLLWYri */
+  },
+  { /* 5726 */
+    717,
+    /* VPSLLWYrm */
+  },
+  { /* 5727 */
+    718,
+    /* VPSLLWYrr */
+  },
+  { /* 5728 */
+    727,
+    /* VPSLLWri */
+  },
+  { /* 5729 */
+    312,
+    /* VPSLLWrm */
+  },
+  { /* 5730 */
+    313,
+    /* VPSLLWrr */
+  },
+  { /* 5731 */
+    716,
+    /* VPSRADYri */
+  },
+  { /* 5732 */
+    717,
+    /* VPSRADYrm */
+  },
+  { /* 5733 */
+    718,
+    /* VPSRADYrr */
+  },
+  { /* 5734 */
+    719,
+    /* VPSRADZmi */
+  },
+  { /* 5735 */
+    720,
+    /* VPSRADZmik */
+  },
+  { /* 5736 */
+    721,
+    /* VPSRADZri */
+  },
+  { /* 5737 */
+    722,
+    /* VPSRADZrik */
+  },
+  { /* 5738 */
+    723,
+    /* VPSRADZrm */
+  },
+  { /* 5739 */
+    724,
+    /* VPSRADZrmk */
+  },
+  { /* 5740 */
+    725,
+    /* VPSRADZrr */
+  },
+  { /* 5741 */
+    726,
+    /* VPSRADZrrk */
+  },
+  { /* 5742 */
+    727,
+    /* VPSRADri */
+  },
+  { /* 5743 */
+    312,
+    /* VPSRADrm */
+  },
+  { /* 5744 */
+    313,
+    /* VPSRADrr */
+  },
+  { /* 5745 */
+    719,
+    /* VPSRAQZmi */
+  },
+  { /* 5746 */
+    728,
+    /* VPSRAQZmik */
+  },
+  { /* 5747 */
+    721,
+    /* VPSRAQZri */
+  },
+  { /* 5748 */
+    729,
+    /* VPSRAQZrik */
+  },
+  { /* 5749 */
+    723,
+    /* VPSRAQZrm */
+  },
+  { /* 5750 */
+    730,
+    /* VPSRAQZrmk */
+  },
+  { /* 5751 */
+    725,
+    /* VPSRAQZrr */
+  },
+  { /* 5752 */
+    731,
+    /* VPSRAQZrrk */
+  },
+  { /* 5753 */
+    304,
+    /* VPSRAVDYrm */
+  },
+  { /* 5754 */
+    305,
+    /* VPSRAVDYrr */
+  },
+  { /* 5755 */
+    306,
+    /* VPSRAVDZrm */
+  },
+  { /* 5756 */
+    310,
+    /* VPSRAVDZrr */
+  },
+  { /* 5757 */
+    312,
+    /* VPSRAVDrm */
+  },
+  { /* 5758 */
+    313,
+    /* VPSRAVDrr */
+  },
+  { /* 5759 */
+    306,
+    /* VPSRAVQZrm */
+  },
+  { /* 5760 */
+    310,
+    /* VPSRAVQZrr */
+  },
+  { /* 5761 */
+    716,
+    /* VPSRAWYri */
+  },
+  { /* 5762 */
+    717,
+    /* VPSRAWYrm */
+  },
+  { /* 5763 */
+    718,
+    /* VPSRAWYrr */
+  },
+  { /* 5764 */
+    727,
+    /* VPSRAWri */
+  },
+  { /* 5765 */
+    312,
+    /* VPSRAWrm */
+  },
+  { /* 5766 */
+    313,
+    /* VPSRAWrr */
+  },
+  { /* 5767 */
+    714,
+    /* VPSRLDQYri */
+  },
+  { /* 5768 */
+    715,
+    /* VPSRLDQri */
+  },
+  { /* 5769 */
+    716,
+    /* VPSRLDYri */
+  },
+  { /* 5770 */
+    717,
+    /* VPSRLDYrm */
+  },
+  { /* 5771 */
+    718,
+    /* VPSRLDYrr */
+  },
+  { /* 5772 */
+    719,
+    /* VPSRLDZmi */
+  },
+  { /* 5773 */
+    720,
+    /* VPSRLDZmik */
+  },
+  { /* 5774 */
+    721,
+    /* VPSRLDZri */
+  },
+  { /* 5775 */
+    722,
+    /* VPSRLDZrik */
+  },
+  { /* 5776 */
+    723,
+    /* VPSRLDZrm */
+  },
+  { /* 5777 */
+    724,
+    /* VPSRLDZrmk */
+  },
+  { /* 5778 */
+    725,
+    /* VPSRLDZrr */
+  },
+  { /* 5779 */
+    726,
+    /* VPSRLDZrrk */
+  },
+  { /* 5780 */
+    727,
+    /* VPSRLDri */
+  },
+  { /* 5781 */
+    312,
+    /* VPSRLDrm */
+  },
+  { /* 5782 */
+    313,
+    /* VPSRLDrr */
+  },
+  { /* 5783 */
+    716,
+    /* VPSRLQYri */
+  },
+  { /* 5784 */
+    717,
+    /* VPSRLQYrm */
+  },
+  { /* 5785 */
+    718,
+    /* VPSRLQYrr */
+  },
+  { /* 5786 */
+    719,
+    /* VPSRLQZmi */
+  },
+  { /* 5787 */
+    728,
+    /* VPSRLQZmik */
+  },
+  { /* 5788 */
+    721,
+    /* VPSRLQZri */
+  },
+  { /* 5789 */
+    729,
+    /* VPSRLQZrik */
+  },
+  { /* 5790 */
+    723,
+    /* VPSRLQZrm */
+  },
+  { /* 5791 */
+    730,
+    /* VPSRLQZrmk */
+  },
+  { /* 5792 */
+    725,
+    /* VPSRLQZrr */
+  },
+  { /* 5793 */
+    731,
+    /* VPSRLQZrrk */
+  },
+  { /* 5794 */
+    727,
+    /* VPSRLQri */
+  },
+  { /* 5795 */
+    312,
+    /* VPSRLQrm */
+  },
+  { /* 5796 */
+    313,
+    /* VPSRLQrr */
+  },
+  { /* 5797 */
+    304,
+    /* VPSRLVDYrm */
+  },
+  { /* 5798 */
+    305,
+    /* VPSRLVDYrr */
+  },
+  { /* 5799 */
+    306,
+    /* VPSRLVDZrm */
+  },
+  { /* 5800 */
+    310,
+    /* VPSRLVDZrr */
+  },
+  { /* 5801 */
+    312,
+    /* VPSRLVDrm */
+  },
+  { /* 5802 */
+    313,
+    /* VPSRLVDrr */
+  },
+  { /* 5803 */
+    304,
+    /* VPSRLVQYrm */
+  },
+  { /* 5804 */
+    305,
+    /* VPSRLVQYrr */
+  },
+  { /* 5805 */
+    306,
+    /* VPSRLVQZrm */
+  },
+  { /* 5806 */
+    310,
+    /* VPSRLVQZrr */
+  },
+  { /* 5807 */
+    312,
+    /* VPSRLVQrm */
+  },
+  { /* 5808 */
+    313,
+    /* VPSRLVQrr */
+  },
+  { /* 5809 */
+    716,
+    /* VPSRLWYri */
+  },
+  { /* 5810 */
+    717,
+    /* VPSRLWYrm */
+  },
+  { /* 5811 */
+    718,
+    /* VPSRLWYrr */
+  },
+  { /* 5812 */
+    727,
+    /* VPSRLWri */
+  },
+  { /* 5813 */
+    312,
+    /* VPSRLWrm */
+  },
+  { /* 5814 */
+    313,
+    /* VPSRLWrr */
+  },
+  { /* 5815 */
+    304,
+    /* VPSUBBYrm */
+  },
+  { /* 5816 */
+    305,
+    /* VPSUBBYrr */
+  },
+  { /* 5817 */
+    312,
+    /* VPSUBBrm */
+  },
+  { /* 5818 */
+    313,
+    /* VPSUBBrr */
+  },
+  { /* 5819 */
+    304,
+    /* VPSUBDYrm */
+  },
+  { /* 5820 */
+    305,
+    /* VPSUBDYrr */
+  },
+  { /* 5821 */
+    306,
+    /* VPSUBDZrm */
+  },
+  { /* 5822 */
+    585,
+    /* VPSUBDZrmb */
+  },
+  { /* 5823 */
+    586,
+    /* VPSUBDZrmbk */
+  },
+  { /* 5824 */
+    587,
+    /* VPSUBDZrmbkz */
+  },
+  { /* 5825 */
+    588,
+    /* VPSUBDZrmk */
+  },
+  { /* 5826 */
+    316,
+    /* VPSUBDZrmkz */
+  },
+  { /* 5827 */
+    310,
+    /* VPSUBDZrr */
+  },
+  { /* 5828 */
+    439,
+    /* VPSUBDZrrk */
+  },
+  { /* 5829 */
+    317,
+    /* VPSUBDZrrkz */
+  },
+  { /* 5830 */
+    312,
+    /* VPSUBDrm */
+  },
+  { /* 5831 */
+    313,
+    /* VPSUBDrr */
+  },
+  { /* 5832 */
+    304,
+    /* VPSUBQYrm */
+  },
+  { /* 5833 */
+    305,
+    /* VPSUBQYrr */
+  },
+  { /* 5834 */
+    306,
+    /* VPSUBQZrm */
+  },
+  { /* 5835 */
+    589,
+    /* VPSUBQZrmb */
+  },
+  { /* 5836 */
+    590,
+    /* VPSUBQZrmbk */
+  },
+  { /* 5837 */
+    591,
+    /* VPSUBQZrmbkz */
+  },
+  { /* 5838 */
+    592,
+    /* VPSUBQZrmk */
+  },
+  { /* 5839 */
+    309,
+    /* VPSUBQZrmkz */
+  },
+  { /* 5840 */
+    310,
+    /* VPSUBQZrr */
+  },
+  { /* 5841 */
+    438,
+    /* VPSUBQZrrk */
+  },
+  { /* 5842 */
+    311,
+    /* VPSUBQZrrkz */
+  },
+  { /* 5843 */
+    312,
+    /* VPSUBQrm */
+  },
+  { /* 5844 */
+    313,
+    /* VPSUBQrr */
+  },
+  { /* 5845 */
+    304,
+    /* VPSUBSBYrm */
+  },
+  { /* 5846 */
+    305,
+    /* VPSUBSBYrr */
+  },
+  { /* 5847 */
+    312,
+    /* VPSUBSBrm */
+  },
+  { /* 5848 */
+    313,
+    /* VPSUBSBrr */
+  },
+  { /* 5849 */
+    304,
+    /* VPSUBSWYrm */
+  },
+  { /* 5850 */
+    305,
+    /* VPSUBSWYrr */
+  },
+  { /* 5851 */
+    312,
+    /* VPSUBSWrm */
+  },
+  { /* 5852 */
+    313,
+    /* VPSUBSWrr */
+  },
+  { /* 5853 */
+    304,
+    /* VPSUBUSBYrm */
+  },
+  { /* 5854 */
+    305,
+    /* VPSUBUSBYrr */
+  },
+  { /* 5855 */
+    312,
+    /* VPSUBUSBrm */
+  },
+  { /* 5856 */
+    313,
+    /* VPSUBUSBrr */
+  },
+  { /* 5857 */
+    304,
+    /* VPSUBUSWYrm */
+  },
+  { /* 5858 */
+    305,
+    /* VPSUBUSWYrr */
+  },
+  { /* 5859 */
+    312,
+    /* VPSUBUSWrm */
+  },
+  { /* 5860 */
+    313,
+    /* VPSUBUSWrr */
+  },
+  { /* 5861 */
+    304,
+    /* VPSUBWYrm */
+  },
+  { /* 5862 */
+    305,
+    /* VPSUBWYrr */
+  },
+  { /* 5863 */
+    312,
+    /* VPSUBWrm */
+  },
+  { /* 5864 */
+    313,
+    /* VPSUBWrr */
+  },
+  { /* 5865 */
+    632,
+    /* VPTESTMDZrm */
+  },
+  { /* 5866 */
+    636,
+    /* VPTESTMDZrr */
+  },
+  { /* 5867 */
+    650,
+    /* VPTESTMQZrm */
+  },
+  { /* 5868 */
+    654,
+    /* VPTESTMQZrr */
+  },
+  { /* 5869 */
+    632,
+    /* VPTESTNMDZrm */
+  },
+  { /* 5870 */
+    636,
+    /* VPTESTNMDZrr */
+  },
+  { /* 5871 */
+    650,
+    /* VPTESTNMQZrm */
+  },
+  { /* 5872 */
+    654,
+    /* VPTESTNMQZrr */
+  },
+  { /* 5873 */
+    376,
+    /* VPTESTYrm */
+  },
+  { /* 5874 */
+    377,
+    /* VPTESTYrr */
+  },
+  { /* 5875 */
+    44,
+    /* VPTESTrm */
+  },
+  { /* 5876 */
+    45,
+    /* VPTESTrr */
+  },
+  { /* 5877 */
+    304,
+    /* VPUNPCKHBWYrm */
+  },
+  { /* 5878 */
+    305,
+    /* VPUNPCKHBWYrr */
+  },
+  { /* 5879 */
+    312,
+    /* VPUNPCKHBWrm */
+  },
+  { /* 5880 */
+    313,
+    /* VPUNPCKHBWrr */
+  },
+  { /* 5881 */
+    304,
+    /* VPUNPCKHDQYrm */
+  },
+  { /* 5882 */
+    305,
+    /* VPUNPCKHDQYrr */
+  },
+  { /* 5883 */
+    306,
+    /* VPUNPCKHDQZrm */
+  },
+  { /* 5884 */
+    310,
+    /* VPUNPCKHDQZrr */
+  },
+  { /* 5885 */
+    312,
+    /* VPUNPCKHDQrm */
+  },
+  { /* 5886 */
+    313,
+    /* VPUNPCKHDQrr */
+  },
+  { /* 5887 */
+    304,
+    /* VPUNPCKHQDQYrm */
+  },
+  { /* 5888 */
+    305,
+    /* VPUNPCKHQDQYrr */
+  },
+  { /* 5889 */
+    306,
+    /* VPUNPCKHQDQZrm */
+  },
+  { /* 5890 */
+    310,
+    /* VPUNPCKHQDQZrr */
+  },
+  { /* 5891 */
+    312,
+    /* VPUNPCKHQDQrm */
+  },
+  { /* 5892 */
+    313,
+    /* VPUNPCKHQDQrr */
+  },
+  { /* 5893 */
+    304,
+    /* VPUNPCKHWDYrm */
+  },
+  { /* 5894 */
+    305,
+    /* VPUNPCKHWDYrr */
+  },
+  { /* 5895 */
+    312,
+    /* VPUNPCKHWDrm */
+  },
+  { /* 5896 */
+    313,
+    /* VPUNPCKHWDrr */
+  },
+  { /* 5897 */
+    304,
+    /* VPUNPCKLBWYrm */
+  },
+  { /* 5898 */
+    305,
+    /* VPUNPCKLBWYrr */
+  },
+  { /* 5899 */
+    312,
+    /* VPUNPCKLBWrm */
+  },
+  { /* 5900 */
+    313,
+    /* VPUNPCKLBWrr */
+  },
+  { /* 5901 */
+    304,
+    /* VPUNPCKLDQYrm */
+  },
+  { /* 5902 */
+    305,
+    /* VPUNPCKLDQYrr */
+  },
+  { /* 5903 */
+    306,
+    /* VPUNPCKLDQZrm */
+  },
+  { /* 5904 */
+    310,
+    /* VPUNPCKLDQZrr */
+  },
+  { /* 5905 */
+    312,
+    /* VPUNPCKLDQrm */
+  },
+  { /* 5906 */
+    313,
+    /* VPUNPCKLDQrr */
+  },
+  { /* 5907 */
+    304,
+    /* VPUNPCKLQDQYrm */
+  },
+  { /* 5908 */
+    305,
+    /* VPUNPCKLQDQYrr */
+  },
+  { /* 5909 */
+    306,
+    /* VPUNPCKLQDQZrm */
+  },
+  { /* 5910 */
+    310,
+    /* VPUNPCKLQDQZrr */
+  },
+  { /* 5911 */
+    312,
+    /* VPUNPCKLQDQrm */
+  },
+  { /* 5912 */
+    313,
+    /* VPUNPCKLQDQrr */
+  },
+  { /* 5913 */
+    304,
+    /* VPUNPCKLWDYrm */
+  },
+  { /* 5914 */
+    305,
+    /* VPUNPCKLWDYrr */
+  },
+  { /* 5915 */
+    312,
+    /* VPUNPCKLWDrm */
+  },
+  { /* 5916 */
+    313,
+    /* VPUNPCKLWDrr */
+  },
+  { /* 5917 */
+    306,
+    /* VPXORDZrm */
+  },
+  { /* 5918 */
+    585,
+    /* VPXORDZrmb */
+  },
+  { /* 5919 */
+    586,
+    /* VPXORDZrmbk */
+  },
+  { /* 5920 */
+    587,
+    /* VPXORDZrmbkz */
+  },
+  { /* 5921 */
+    588,
+    /* VPXORDZrmk */
+  },
+  { /* 5922 */
+    316,
+    /* VPXORDZrmkz */
+  },
+  { /* 5923 */
+    310,
+    /* VPXORDZrr */
+  },
+  { /* 5924 */
+    439,
+    /* VPXORDZrrk */
+  },
+  { /* 5925 */
+    317,
+    /* VPXORDZrrkz */
+  },
+  { /* 5926 */
+    306,
+    /* VPXORQZrm */
+  },
+  { /* 5927 */
+    589,
+    /* VPXORQZrmb */
+  },
+  { /* 5928 */
+    590,
+    /* VPXORQZrmbk */
+  },
+  { /* 5929 */
+    591,
+    /* VPXORQZrmbkz */
+  },
+  { /* 5930 */
+    592,
+    /* VPXORQZrmk */
+  },
+  { /* 5931 */
+    309,
+    /* VPXORQZrmkz */
+  },
+  { /* 5932 */
+    310,
+    /* VPXORQZrr */
+  },
+  { /* 5933 */
+    438,
+    /* VPXORQZrrk */
+  },
+  { /* 5934 */
+    311,
+    /* VPXORQZrrkz */
+  },
+  { /* 5935 */
+    304,
+    /* VPXORYrm */
+  },
+  { /* 5936 */
+    305,
+    /* VPXORYrr */
+  },
+  { /* 5937 */
+    312,
+    /* VPXORrm */
+  },
+  { /* 5938 */
+    313,
+    /* VPXORrr */
+  },
+  { /* 5939 */
+    378,
+    /* VRCP14PDZm */
+  },
+  { /* 5940 */
+    379,
+    /* VRCP14PDZr */
+  },
+  { /* 5941 */
+    378,
+    /* VRCP14PSZm */
+  },
+  { /* 5942 */
+    379,
+    /* VRCP14PSZr */
+  },
+  { /* 5943 */
+    318,
+    /* VRCP14SDrm */
+  },
+  { /* 5944 */
+    319,
+    /* VRCP14SDrr */
+  },
+  { /* 5945 */
+    322,
+    /* VRCP14SSrm */
+  },
+  { /* 5946 */
+    323,
+    /* VRCP14SSrr */
+  },
+  { /* 5947 */
+    378,
+    /* VRCP28PDZm */
+  },
+  { /* 5948 */
+    379,
+    /* VRCP28PDZr */
+  },
+  { /* 5949 */
+    732,
+    /* VRCP28PDZrb */
+  },
+  { /* 5950 */
+    378,
+    /* VRCP28PSZm */
+  },
+  { /* 5951 */
+    379,
+    /* VRCP28PSZr */
+  },
+  { /* 5952 */
+    733,
+    /* VRCP28PSZrb */
+  },
+  { /* 5953 */
+    318,
+    /* VRCP28SDrm */
+  },
+  { /* 5954 */
+    319,
+    /* VRCP28SDrr */
+  },
+  { /* 5955 */
+    319,
+    /* VRCP28SDrrb */
+  },
+  { /* 5956 */
+    322,
+    /* VRCP28SSrm */
+  },
+  { /* 5957 */
+    323,
+    /* VRCP28SSrr */
+  },
+  { /* 5958 */
+    323,
+    /* VRCP28SSrrb */
+  },
+  { /* 5959 */
+    376,
+    /* VRCPPSYm */
+  },
+  { /* 5960 */
     0,
     /*  */
   },
-  { /* 5303 */
-    336,
+  { /* 5961 */
+    377,
+    /* VRCPPSYr */
+  },
+  { /* 5962 */
+    0,
+    /*  */
+  },
+  { /* 5963 */
+    44,
+    /* VRCPPSm */
+  },
+  { /* 5964 */
+    0,
+    /*  */
+  },
+  { /* 5965 */
+    45,
+    /* VRCPPSr */
+  },
+  { /* 5966 */
+    0,
+    /*  */
+  },
+  { /* 5967 */
+    324,
+    /* VRCPSSm */
+  },
+  { /* 5968 */
+    0,
+    /*  */
+  },
+  { /* 5969 */
+    325,
+    /* VRCPSSr */
+  },
+  { /* 5970 */
+    734,
+    /* VRNDSCALEPDZm */
+  },
+  { /* 5971 */
+    735,
+    /* VRNDSCALEPDZr */
+  },
+  { /* 5972 */
+    734,
+    /* VRNDSCALEPSZm */
+  },
+  { /* 5973 */
+    735,
+    /* VRNDSCALEPSZr */
+  },
+  { /* 5974 */
+    736,
+    /* VRNDSCALESDm */
+  },
+  { /* 5975 */
+    737,
+    /* VRNDSCALESDr */
+  },
+  { /* 5976 */
+    738,
+    /* VRNDSCALESSm */
+  },
+  { /* 5977 */
+    739,
+    /* VRNDSCALESSr */
+  },
+  { /* 5978 */
+    293,
+    /* VROUNDPDm */
+  },
+  { /* 5979 */
+    294,
+    /* VROUNDPDr */
+  },
+  { /* 5980 */
+    293,
+    /* VROUNDPSm */
+  },
+  { /* 5981 */
+    294,
+    /* VROUNDPSr */
+  },
+  { /* 5982 */
+    740,
+    /* VROUNDSDm */
+  },
+  { /* 5983 */
+    741,
+    /* VROUNDSDr */
+  },
+  { /* 5984 */
+    0,
+    /*  */
+  },
+  { /* 5985 */
+    480,
+    /* VROUNDSSm */
+  },
+  { /* 5986 */
+    742,
+    /* VROUNDSSr */
+  },
+  { /* 5987 */
+    0,
+    /*  */
+  },
+  { /* 5988 */
+    743,
+    /* VROUNDYPDm */
+  },
+  { /* 5989 */
+    744,
+    /* VROUNDYPDr */
+  },
+  { /* 5990 */
+    743,
+    /* VROUNDYPSm */
+  },
+  { /* 5991 */
+    744,
+    /* VROUNDYPSr */
+  },
+  { /* 5992 */
+    378,
+    /* VRSQRT14PDZm */
+  },
+  { /* 5993 */
+    379,
+    /* VRSQRT14PDZr */
+  },
+  { /* 5994 */
+    378,
+    /* VRSQRT14PSZm */
+  },
+  { /* 5995 */
+    379,
+    /* VRSQRT14PSZr */
+  },
+  { /* 5996 */
+    318,
+    /* VRSQRT14SDrm */
+  },
+  { /* 5997 */
+    319,
+    /* VRSQRT14SDrr */
+  },
+  { /* 5998 */
+    322,
+    /* VRSQRT14SSrm */
+  },
+  { /* 5999 */
+    323,
+    /* VRSQRT14SSrr */
+  },
+  { /* 6000 */
+    378,
+    /* VRSQRT28PDZm */
+  },
+  { /* 6001 */
+    379,
+    /* VRSQRT28PDZr */
+  },
+  { /* 6002 */
+    732,
+    /* VRSQRT28PDZrb */
+  },
+  { /* 6003 */
+    378,
+    /* VRSQRT28PSZm */
+  },
+  { /* 6004 */
+    379,
+    /* VRSQRT28PSZr */
+  },
+  { /* 6005 */
+    733,
+    /* VRSQRT28PSZrb */
+  },
+  { /* 6006 */
+    318,
+    /* VRSQRT28SDrm */
+  },
+  { /* 6007 */
+    319,
+    /* VRSQRT28SDrr */
+  },
+  { /* 6008 */
+    319,
+    /* VRSQRT28SDrrb */
+  },
+  { /* 6009 */
+    322,
+    /* VRSQRT28SSrm */
+  },
+  { /* 6010 */
+    323,
+    /* VRSQRT28SSrr */
+  },
+  { /* 6011 */
+    323,
+    /* VRSQRT28SSrrb */
+  },
+  { /* 6012 */
+    376,
+    /* VRSQRTPSYm */
+  },
+  { /* 6013 */
+    0,
+    /*  */
+  },
+  { /* 6014 */
+    377,
+    /* VRSQRTPSYr */
+  },
+  { /* 6015 */
+    0,
+    /*  */
+  },
+  { /* 6016 */
+    44,
+    /* VRSQRTPSm */
+  },
+  { /* 6017 */
+    0,
+    /*  */
+  },
+  { /* 6018 */
+    45,
+    /* VRSQRTPSr */
+  },
+  { /* 6019 */
+    0,
+    /*  */
+  },
+  { /* 6020 */
+    324,
+    /* VRSQRTSSm */
+  },
+  { /* 6021 */
+    0,
+    /*  */
+  },
+  { /* 6022 */
+    325,
+    /* VRSQRTSSr */
+  },
+  { /* 6023 */
+    712,
+    /* VSCATTERDPDZmr */
+  },
+  { /* 6024 */
+    711,
+    /* VSCATTERDPSZmr */
+  },
+  { /* 6025 */
+    470,
+    /* VSCATTERPF0DPDm */
+  },
+  { /* 6026 */
+    471,
+    /* VSCATTERPF0DPSm */
+  },
+  { /* 6027 */
+    472,
+    /* VSCATTERPF0QPDm */
+  },
+  { /* 6028 */
+    472,
+    /* VSCATTERPF0QPSm */
+  },
+  { /* 6029 */
+    470,
+    /* VSCATTERPF1DPDm */
+  },
+  { /* 6030 */
+    471,
+    /* VSCATTERPF1DPSm */
+  },
+  { /* 6031 */
+    472,
+    /* VSCATTERPF1QPDm */
+  },
+  { /* 6032 */
+    472,
+    /* VSCATTERPF1QPSm */
+  },
+  { /* 6033 */
+    712,
+    /* VSCATTERQPDZmr */
+  },
+  { /* 6034 */
+    713,
+    /* VSCATTERQPSZmr */
+  },
+  { /* 6035 */
+    595,
+    /* VSHUFPDYrmi */
+  },
+  { /* 6036 */
+    596,
+    /* VSHUFPDYrri */
+  },
+  { /* 6037 */
+    326,
+    /* VSHUFPDZrmi */
+  },
+  { /* 6038 */
+    327,
+    /* VSHUFPDZrri */
+  },
+  { /* 6039 */
+    593,
+    /* VSHUFPDrmi */
+  },
+  { /* 6040 */
+    594,
+    /* VSHUFPDrri */
+  },
+  { /* 6041 */
+    595,
+    /* VSHUFPSYrmi */
+  },
+  { /* 6042 */
+    596,
+    /* VSHUFPSYrri */
+  },
+  { /* 6043 */
+    326,
+    /* VSHUFPSZrmi */
+  },
+  { /* 6044 */
+    327,
+    /* VSHUFPSZrri */
+  },
+  { /* 6045 */
+    593,
+    /* VSHUFPSrmi */
+  },
+  { /* 6046 */
+    594,
+    /* VSHUFPSrri */
+  },
+  { /* 6047 */
+    376,
+    /* VSQRTPDYm */
+  },
+  { /* 6048 */
+    377,
+    /* VSQRTPDYr */
+  },
+  { /* 6049 */
+    378,
+    /* VSQRTPDZrm */
+  },
+  { /* 6050 */
+    379,
+    /* VSQRTPDZrr */
+  },
+  { /* 6051 */
+    44,
+    /* VSQRTPDm */
+  },
+  { /* 6052 */
+    45,
+    /* VSQRTPDr */
+  },
+  { /* 6053 */
+    376,
+    /* VSQRTPSYm */
+  },
+  { /* 6054 */
+    377,
+    /* VSQRTPSYr */
+  },
+  { /* 6055 */
+    378,
+    /* VSQRTPSZrm */
+  },
+  { /* 6056 */
+    379,
+    /* VSQRTPSZrr */
+  },
+  { /* 6057 */
+    44,
+    /* VSQRTPSm */
+  },
+  { /* 6058 */
+    45,
+    /* VSQRTPSr */
+  },
+  { /* 6059 */
+    318,
+    /* VSQRTSDZm */
+  },
+  { /* 6060 */
+    0,
+    /*  */
+  },
+  { /* 6061 */
+    745,
+    /* VSQRTSDZr */
+  },
+  { /* 6062 */
+    0,
+    /*  */
+  },
+  { /* 6063 */
+    320,
+    /* VSQRTSDm */
+  },
+  { /* 6064 */
+    0,
+    /*  */
+  },
+  { /* 6065 */
+    321,
+    /* VSQRTSDr */
+  },
+  { /* 6066 */
+    322,
+    /* VSQRTSSZm */
+  },
+  { /* 6067 */
+    0,
+    /*  */
+  },
+  { /* 6068 */
+    746,
+    /* VSQRTSSZr */
+  },
+  { /* 6069 */
+    0,
+    /*  */
+  },
+  { /* 6070 */
+    324,
+    /* VSQRTSSm */
+  },
+  { /* 6071 */
+    0,
+    /*  */
+  },
+  { /* 6072 */
+    325,
+    /* VSQRTSSr */
+  },
+  { /* 6073 */
+    38,
+    /* VSTMXCSR */
+  },
+  { /* 6074 */
+    304,
+    /* VSUBPDYrm */
+  },
+  { /* 6075 */
+    305,
+    /* VSUBPDYrr */
+  },
+  { /* 6076 */
+    306,
+    /* VSUBPDZrm */
+  },
+  { /* 6077 */
+    307,
+    /* VSUBPDZrmb */
+  },
+  { /* 6078 */
+    308,
+    /* VSUBPDZrmbk */
+  },
+  { /* 6079 */
+    308,
+    /* VSUBPDZrmbkz */
+  },
+  { /* 6080 */
+    309,
+    /* VSUBPDZrmk */
+  },
+  { /* 6081 */
+    309,
+    /* VSUBPDZrmkz */
+  },
+  { /* 6082 */
+    310,
+    /* VSUBPDZrr */
+  },
+  { /* 6083 */
+    311,
+    /* VSUBPDZrrk */
+  },
+  { /* 6084 */
+    311,
+    /* VSUBPDZrrkz */
+  },
+  { /* 6085 */
+    312,
+    /* VSUBPDrm */
+  },
+  { /* 6086 */
+    313,
+    /* VSUBPDrr */
+  },
+  { /* 6087 */
+    304,
+    /* VSUBPSYrm */
+  },
+  { /* 6088 */
+    305,
+    /* VSUBPSYrr */
+  },
+  { /* 6089 */
+    306,
+    /* VSUBPSZrm */
+  },
+  { /* 6090 */
+    314,
+    /* VSUBPSZrmb */
+  },
+  { /* 6091 */
+    315,
+    /* VSUBPSZrmbk */
+  },
+  { /* 6092 */
+    315,
+    /* VSUBPSZrmbkz */
+  },
+  { /* 6093 */
+    316,
+    /* VSUBPSZrmk */
+  },
+  { /* 6094 */
+    316,
+    /* VSUBPSZrmkz */
+  },
+  { /* 6095 */
+    310,
+    /* VSUBPSZrr */
+  },
+  { /* 6096 */
+    317,
+    /* VSUBPSZrrk */
+  },
+  { /* 6097 */
+    317,
+    /* VSUBPSZrrkz */
+  },
+  { /* 6098 */
+    312,
+    /* VSUBPSrm */
+  },
+  { /* 6099 */
+    313,
+    /* VSUBPSrr */
+  },
+  { /* 6100 */
+    318,
+    /* VSUBSDZrm */
+  },
+  { /* 6101 */
+    319,
+    /* VSUBSDZrr */
+  },
+  { /* 6102 */
+    320,
+    /* VSUBSDrm */
+  },
+  { /* 6103 */
+    0,
+    /*  */
+  },
+  { /* 6104 */
+    321,
+    /* VSUBSDrr */
+  },
+  { /* 6105 */
+    0,
+    /*  */
+  },
+  { /* 6106 */
+    322,
+    /* VSUBSSZrm */
+  },
+  { /* 6107 */
+    323,
+    /* VSUBSSZrr */
+  },
+  { /* 6108 */
+    324,
+    /* VSUBSSrm */
+  },
+  { /* 6109 */
+    0,
+    /*  */
+  },
+  { /* 6110 */
+    325,
+    /* VSUBSSrr */
+  },
+  { /* 6111 */
+    0,
+    /*  */
+  },
+  { /* 6112 */
+    376,
     /* VTESTPDYrm */
   },
-  { /* 5304 */
-    337,
+  { /* 6113 */
+    377,
     /* VTESTPDYrr */
   },
-  { /* 5305 */
-    42,
+  { /* 6114 */
+    44,
     /* VTESTPDrm */
   },
-  { /* 5306 */
-    43,
+  { /* 6115 */
+    45,
     /* VTESTPDrr */
   },
-  { /* 5307 */
-    336,
+  { /* 6116 */
+    376,
     /* VTESTPSYrm */
   },
-  { /* 5308 */
-    337,
+  { /* 6117 */
+    377,
     /* VTESTPSYrr */
   },
-  { /* 5309 */
-    42,
+  { /* 6118 */
+    44,
     /* VTESTPSrm */
   },
-  { /* 5310 */
-    43,
+  { /* 6119 */
+    45,
     /* VTESTPSrr */
   },
-  { /* 5311 */
-    234,
+  { /* 6120 */
+    566,
     /* VUCOMISDZrm */
   },
-  { /* 5312 */
-    283,
+  { /* 6121 */
+    747,
     /* VUCOMISDZrr */
   },
-  { /* 5313 */
-    234,
+  { /* 6122 */
+    254,
     /* VUCOMISDrm */
   },
-  { /* 5314 */
-    283,
+  { /* 6123 */
+    303,
     /* VUCOMISDrr */
   },
-  { /* 5315 */
-    238,
+  { /* 6124 */
+    574,
     /* VUCOMISSZrm */
   },
-  { /* 5316 */
-    267,
+  { /* 6125 */
+    748,
     /* VUCOMISSZrr */
   },
-  { /* 5317 */
-    238,
+  { /* 6126 */
+    258,
     /* VUCOMISSrm */
   },
-  { /* 5318 */
-    267,
+  { /* 6127 */
+    287,
     /* VUCOMISSrr */
   },
-  { /* 5319 */
-    284,
+  { /* 6128 */
+    304,
     /* VUNPCKHPDYrm */
   },
-  { /* 5320 */
-    285,
+  { /* 6129 */
+    305,
     /* VUNPCKHPDYrr */
   },
-  { /* 5321 */
-    286,
+  { /* 6130 */
+    306,
     /* VUNPCKHPDZrm */
   },
-  { /* 5322 */
-    288,
+  { /* 6131 */
+    310,
     /* VUNPCKHPDZrr */
   },
-  { /* 5323 */
-    289,
+  { /* 6132 */
+    312,
     /* VUNPCKHPDrm */
   },
-  { /* 5324 */
-    290,
+  { /* 6133 */
+    313,
     /* VUNPCKHPDrr */
   },
-  { /* 5325 */
-    284,
+  { /* 6134 */
+    304,
     /* VUNPCKHPSYrm */
   },
-  { /* 5326 */
-    285,
+  { /* 6135 */
+    305,
     /* VUNPCKHPSYrr */
   },
-  { /* 5327 */
-    286,
+  { /* 6136 */
+    306,
     /* VUNPCKHPSZrm */
   },
-  { /* 5328 */
-    288,
+  { /* 6137 */
+    310,
     /* VUNPCKHPSZrr */
   },
-  { /* 5329 */
-    289,
+  { /* 6138 */
+    312,
     /* VUNPCKHPSrm */
   },
-  { /* 5330 */
-    290,
+  { /* 6139 */
+    313,
     /* VUNPCKHPSrr */
   },
-  { /* 5331 */
-    284,
+  { /* 6140 */
+    304,
     /* VUNPCKLPDYrm */
   },
-  { /* 5332 */
-    285,
+  { /* 6141 */
+    305,
     /* VUNPCKLPDYrr */
   },
-  { /* 5333 */
-    286,
+  { /* 6142 */
+    306,
     /* VUNPCKLPDZrm */
   },
-  { /* 5334 */
-    288,
+  { /* 6143 */
+    310,
     /* VUNPCKLPDZrr */
   },
-  { /* 5335 */
-    289,
+  { /* 6144 */
+    312,
     /* VUNPCKLPDrm */
   },
-  { /* 5336 */
-    290,
+  { /* 6145 */
+    313,
     /* VUNPCKLPDrr */
   },
-  { /* 5337 */
-    284,
+  { /* 6146 */
+    304,
     /* VUNPCKLPSYrm */
   },
-  { /* 5338 */
-    285,
+  { /* 6147 */
+    305,
     /* VUNPCKLPSYrr */
   },
-  { /* 5339 */
-    286,
+  { /* 6148 */
+    306,
     /* VUNPCKLPSZrm */
   },
-  { /* 5340 */
-    288,
+  { /* 6149 */
+    310,
     /* VUNPCKLPSZrr */
   },
-  { /* 5341 */
-    289,
+  { /* 6150 */
+    312,
     /* VUNPCKLPSrm */
   },
-  { /* 5342 */
-    290,
+  { /* 6151 */
+    313,
     /* VUNPCKLPSrr */
   },
-  { /* 5343 */
-    284,
+  { /* 6152 */
+    304,
     /* VXORPDYrm */
   },
-  { /* 5344 */
-    285,
+  { /* 6153 */
+    305,
     /* VXORPDYrr */
   },
-  { /* 5345 */
-    289,
+  { /* 6154 */
+    312,
     /* VXORPDrm */
   },
-  { /* 5346 */
-    290,
+  { /* 6155 */
+    313,
     /* VXORPDrr */
   },
-  { /* 5347 */
-    284,
+  { /* 6156 */
+    304,
     /* VXORPSYrm */
   },
-  { /* 5348 */
-    285,
+  { /* 6157 */
+    305,
     /* VXORPSYrr */
   },
-  { /* 5349 */
-    289,
+  { /* 6158 */
+    312,
     /* VXORPSrm */
   },
-  { /* 5350 */
-    290,
+  { /* 6159 */
+    313,
     /* VXORPSrr */
   },
-  { /* 5351 */
+  { /* 6160 */
     0,
     /* VZEROALL */
   },
-  { /* 5352 */
+  { /* 6161 */
     0,
     /* VZEROUPPER */
   },
-  { /* 5353 */
+  { /* 6162 */
     0,
     /*  */
   },
-  { /* 5354 */
+  { /* 6163 */
     0,
     /*  */
   },
-  { /* 5355 */
+  { /* 6164 */
     0,
     /*  */
   },
-  { /* 5356 */
+  { /* 6165 */
     0,
     /* WAIT */
   },
-  { /* 5357 */
+  { /* 6166 */
     0,
     /* WBINVD */
   },
-  { /* 5358 */
+  { /* 6167 */
     0,
     /*  */
   },
-  { /* 5359 */
+  { /* 6168 */
     0,
     /*  */
   },
-  { /* 5360 */
+  { /* 6169 */
     0,
     /*  */
   },
-  { /* 5361 */
-    268,
+  { /* 6170 */
+    288,
     /* WRFSBASE */
   },
-  { /* 5362 */
-    77,
+  { /* 6171 */
+    79,
     /* WRFSBASE64 */
   },
-  { /* 5363 */
-    268,
+  { /* 6172 */
+    288,
     /* WRGSBASE */
   },
-  { /* 5364 */
-    77,
+  { /* 6173 */
+    79,
     /* WRGSBASE64 */
   },
-  { /* 5365 */
+  { /* 6174 */
     0,
     /* WRMSR */
   },
-  { /* 5366 */
+  { /* 6175 */
     1,
     /* XABORT */
   },
-  { /* 5367 */
+  { /* 6176 */
     0,
     /*  */
   },
-  { /* 5368 */
+  { /* 6177 */
     5,
     /* XADD16rm */
   },
-  { /* 5369 */
-    71,
+  { /* 6178 */
+    73,
     /* XADD16rr */
   },
-  { /* 5370 */
+  { /* 6179 */
     5,
     /* XADD32rm */
   },
-  { /* 5371 */
-    71,
+  { /* 6180 */
+    73,
     /* XADD32rr */
   },
-  { /* 5372 */
+  { /* 6181 */
     16,
     /* XADD64rm */
   },
-  { /* 5373 */
-    74,
+  { /* 6182 */
+    76,
     /* XADD64rr */
   },
-  { /* 5374 */
+  { /* 6183 */
     23,
     /* XADD8rm */
   },
-  { /* 5375 */
-    85,
+  { /* 6184 */
+    87,
     /* XADD8rr */
   },
-  { /* 5376 */
+  { /* 6185 */
     0,
     /*  */
   },
-  { /* 5377 */
-    156,
+  { /* 6186 */
+    157,
     /* XBEGIN_4 */
   },
-  { /* 5378 */
-    257,
+  { /* 6187 */
+    277,
     /* XCHG16ar */
   },
-  { /* 5379 */
+  { /* 6188 */
     8,
     /* XCHG16rm */
   },
-  { /* 5380 */
+  { /* 6189 */
     10,
     /* XCHG16rr */
   },
-  { /* 5381 */
-    257,
+  { /* 6190 */
+    277,
     /* XCHG32ar */
   },
-  { /* 5382 */
-    257,
+  { /* 6191 */
+    277,
     /* XCHG32ar64 */
   },
-  { /* 5383 */
+  { /* 6192 */
     8,
     /* XCHG32rm */
   },
-  { /* 5384 */
+  { /* 6193 */
     10,
     /* XCHG32rr */
   },
-  { /* 5385 */
-    258,
+  { /* 6194 */
+    278,
     /* XCHG64ar */
   },
-  { /* 5386 */
+  { /* 6195 */
     19,
     /* XCHG64rm */
   },
-  { /* 5387 */
+  { /* 6196 */
     21,
     /* XCHG64rr */
   },
-  { /* 5388 */
+  { /* 6197 */
     25,
     /* XCHG8rm */
   },
-  { /* 5389 */
+  { /* 6198 */
     27,
     /* XCHG8rr */
   },
-  { /* 5390 */
-    41,
+  { /* 6199 */
+    39,
     /* XCH_F */
   },
-  { /* 5391 */
+  { /* 6200 */
     0,
     /* XCRYPTCBC */
   },
-  { /* 5392 */
+  { /* 6201 */
     0,
     /* XCRYPTCFB */
   },
-  { /* 5393 */
+  { /* 6202 */
     0,
     /* XCRYPTCTR */
   },
-  { /* 5394 */
+  { /* 6203 */
     0,
     /* XCRYPTECB */
   },
-  { /* 5395 */
+  { /* 6204 */
     0,
     /* XCRYPTOFB */
   },
-  { /* 5396 */
+  { /* 6205 */
     0,
     /* XEND */
   },
-  { /* 5397 */
+  { /* 6206 */
     0,
     /* XGETBV */
   },
-  { /* 5398 */
+  { /* 6207 */
     0,
     /* XLAT */
   },
-  { /* 5399 */
+  { /* 6208 */
     2,
     /* XOR16i16 */
   },
-  { /* 5400 */
+  { /* 6209 */
     3,
     /* XOR16mi */
   },
-  { /* 5401 */
+  { /* 6210 */
     4,
     /* XOR16mi8 */
   },
-  { /* 5402 */
+  { /* 6211 */
     5,
     /* XOR16mr */
   },
-  { /* 5403 */
+  { /* 6212 */
     6,
     /* XOR16ri */
   },
-  { /* 5404 */
+  { /* 6213 */
     7,
     /* XOR16ri8 */
   },
-  { /* 5405 */
+  { /* 6214 */
     8,
     /* XOR16rm */
   },
-  { /* 5406 */
+  { /* 6215 */
     9,
     /* XOR16rr */
   },
-  { /* 5407 */
+  { /* 6216 */
     10,
     /* XOR16rr_REV */
   },
-  { /* 5408 */
+  { /* 6217 */
     2,
     /* XOR32i32 */
   },
-  { /* 5409 */
+  { /* 6218 */
     3,
     /* XOR32mi */
   },
-  { /* 5410 */
+  { /* 6219 */
     11,
     /* XOR32mi8 */
   },
-  { /* 5411 */
+  { /* 6220 */
     5,
     /* XOR32mr */
   },
-  { /* 5412 */
+  { /* 6221 */
     6,
     /* XOR32ri */
   },
-  { /* 5413 */
+  { /* 6222 */
     12,
     /* XOR32ri8 */
   },
-  { /* 5414 */
+  { /* 6223 */
     8,
     /* XOR32rm */
   },
-  { /* 5415 */
+  { /* 6224 */
     9,
     /* XOR32rr */
   },
-  { /* 5416 */
+  { /* 6225 */
     10,
     /* XOR32rr_REV */
   },
-  { /* 5417 */
+  { /* 6226 */
     13,
     /* XOR64i32 */
   },
-  { /* 5418 */
+  { /* 6227 */
     14,
     /* XOR64mi32 */
   },
-  { /* 5419 */
+  { /* 6228 */
     15,
     /* XOR64mi8 */
   },
-  { /* 5420 */
+  { /* 6229 */
     16,
     /* XOR64mr */
   },
-  { /* 5421 */
+  { /* 6230 */
     17,
     /* XOR64ri32 */
   },
-  { /* 5422 */
+  { /* 6231 */
     18,
     /* XOR64ri8 */
   },
-  { /* 5423 */
+  { /* 6232 */
     19,
     /* XOR64rm */
   },
-  { /* 5424 */
+  { /* 6233 */
     20,
     /* XOR64rr */
   },
-  { /* 5425 */
+  { /* 6234 */
     21,
     /* XOR64rr_REV */
   },
-  { /* 5426 */
+  { /* 6235 */
     1,
     /* XOR8i8 */
   },
-  { /* 5427 */
+  { /* 6236 */
     22,
     /* XOR8mi */
   },
-  { /* 5428 */
+  { /* 6237 */
     23,
     /* XOR8mr */
   },
-  { /* 5429 */
+  { /* 6238 */
     24,
     /* XOR8ri */
   },
-  { /* 5430 */
+  { /* 6239 */
     24,
     /* XOR8ri8 */
   },
-  { /* 5431 */
+  { /* 6240 */
     25,
     /* XOR8rm */
   },
-  { /* 5432 */
+  { /* 6241 */
     26,
     /* XOR8rr */
   },
-  { /* 5433 */
+  { /* 6242 */
     27,
     /* XOR8rr_REV */
   },
-  { /* 5434 */
-    32,
+  { /* 6243 */
+    30,
     /* XORPDrm */
   },
-  { /* 5435 */
-    33,
+  { /* 6244 */
+    31,
     /* XORPDrr */
   },
-  { /* 5436 */
-    32,
+  { /* 6245 */
+    30,
     /* XORPSrm */
   },
-  { /* 5437 */
-    33,
+  { /* 6246 */
+    31,
     /* XORPSrr */
   },
-  { /* 5438 */
+  { /* 6247 */
     0,
     /*  */
   },
-  { /* 5439 */
-    139,
+  { /* 6248 */
+    140,
     /* XRSTOR */
   },
-  { /* 5440 */
-    139,
+  { /* 6249 */
+    140,
     /* XRSTOR64 */
   },
-  { /* 5441 */
-    139,
+  { /* 6250 */
+    140,
     /* XSAVE */
   },
-  { /* 5442 */
-    139,
+  { /* 6251 */
+    140,
     /* XSAVE64 */
   },
-  { /* 5443 */
-    139,
+  { /* 6252 */
+    140,
     /* XSAVEOPT */
   },
-  { /* 5444 */
-    139,
+  { /* 6253 */
+    140,
     /* XSAVEOPT64 */
   },
-  { /* 5445 */
+  { /* 6254 */
     0,
     /* XSETBV */
   },
-  { /* 5446 */
+  { /* 6255 */
     0,
     /* XSHA1 */
   },
-  { /* 5447 */
+  { /* 6256 */
     0,
     /* XSHA256 */
   },
-  { /* 5448 */
+  { /* 6257 */
     0,
     /* XSTORE */
   },
-  { /* 5449 */
+  { /* 6258 */
     0,
     /* XTEST */
   }
@@ -43061,272 +48720,260 @@
 /* EmptyTable */
   0x0,
 /* Table1 */
-  0x6b, /* ADD8mr */
-  0x6f, /* ADD8rr */
+  0x6c, /* ADD8mr */
+  0x70, /* ADD8rr */
 /* Table3 */
-  0x54, /* ADD32mr */
-  0x5a, /* ADD32rr */
+  0x55, /* ADD32mr */
+  0x5b, /* ADD32rr */
 /* Table5 */
-  0x6e, /* ADD8rm */
-  0x70, /* ADD8rr_REV */
+  0x6f, /* ADD8rm */
+  0x71, /* ADD8rr_REV */
 /* Table7 */
-  0x59, /* ADD32rm */
-  0x5c, /* ADD32rr_REV */
+  0x5a, /* ADD32rm */
+  0x5d, /* ADD32rr_REV */
 /* Table9 */
-  0x69, /* ADD8i8 */
+  0x6a, /* ADD8i8 */
 /* Table10 */
-  0x51, /* ADD32i32 */
+  0x52, /* ADD32i32 */
 /* Table11 */
-  0x8eb, /* PUSHES32 */
+  0x8df, /* PUSHES32 */
 /* Table12 */
-  0x874, /* POPES32 */
+  0x868, /* POPES32 */
 /* Table13 */
-  0x766, /* OR8mr */
-  0x76a, /* OR8rr */
+  0x75a, /* OR8mr */
+  0x75e, /* OR8rr */
 /* Table15 */
-  0x754, /* OR32mr */
-  0x759, /* OR32rr */
+  0x748, /* OR32mr */
+  0x74d, /* OR32rr */
 /* Table17 */
-  0x769, /* OR8rm */
-  0x76b, /* OR8rr_REV */
+  0x75d, /* OR8rm */
+  0x75f, /* OR8rr_REV */
 /* Table19 */
-  0x758, /* OR32rm */
-  0x75a, /* OR32rr_REV */
+  0x74c, /* OR32rm */
+  0x74e, /* OR32rr_REV */
 /* Table21 */
-  0x764, /* OR8i8 */
+  0x758, /* OR8i8 */
 /* Table22 */
-  0x751, /* OR32i32 */
+  0x745, /* OR32i32 */
 /* Table23 */
-  0x8e7, /* PUSHCS32 */
+  0x8db, /* PUSHCS32 */
 /* Table24 */
-  0x3c, /* ADC8mr */
-  0x3f, /* ADC8rr */
+  0x3d, /* ADC8mr */
+  0x40, /* ADC8rr */
 /* Table26 */
-  0x2b, /* ADC32mr */
-  0x2f, /* ADC32rr */
+  0x2c, /* ADC32mr */
+  0x30, /* ADC32rr */
 /* Table28 */
-  0x3e, /* ADC8rm */
-  0x40, /* ADC8rr_REV */
+  0x3f, /* ADC8rm */
+  0x41, /* ADC8rr_REV */
 /* Table30 */
-  0x2e, /* ADC32rm */
-  0x30, /* ADC32rr_REV */
+  0x2f, /* ADC32rm */
+  0x31, /* ADC32rr_REV */
 /* Table32 */
-  0x3a, /* ADC8i8 */
+  0x3b, /* ADC8i8 */
 /* Table33 */
-  0x28, /* ADC32i32 */
+  0x29, /* ADC32i32 */
 /* Table34 */
-  0x8f6, /* PUSHSS32 */
+  0x8ea, /* PUSHSS32 */
 /* Table35 */
-  0x87f, /* POPSS32 */
+  0x873, /* POPSS32 */
 /* Table36 */
-  0x9f6, /* SBB8mr */
-  0x9f9, /* SBB8rr */
+  0x9ea, /* SBB8mr */
+  0x9ed, /* SBB8rr */
 /* Table38 */
-  0x9e5, /* SBB32mr */
-  0x9e9, /* SBB32rr */
+  0x9d9, /* SBB32mr */
+  0x9dd, /* SBB32rr */
 /* Table40 */
-  0x9f8, /* SBB8rm */
-  0x9fa, /* SBB8rr_REV */
+  0x9ec, /* SBB8rm */
+  0x9ee, /* SBB8rr_REV */
 /* Table42 */
-  0x9e8, /* SBB32rm */
-  0x9ea, /* SBB32rr_REV */
+  0x9dc, /* SBB32rm */
+  0x9de, /* SBB32rr_REV */
 /* Table44 */
-  0x9f4, /* SBB8i8 */
+  0x9e8, /* SBB8i8 */
 /* Table45 */
-  0x9e2, /* SBB32i32 */
+  0x9d6, /* SBB32i32 */
 /* Table46 */
-  0x8e9, /* PUSHDS32 */
+  0x8dd, /* PUSHDS32 */
 /* Table47 */
-  0x872, /* POPDS32 */
+  0x866, /* POPDS32 */
 /* Table48 */
-  0xc7, /* AND8mr */
-  0xcb, /* AND8rr */
+  0xc8, /* AND8mr */
+  0xcc, /* AND8rr */
 /* Table50 */
-  0xb6, /* AND32mr */
-  0xba, /* AND32rr */
+  0xb7, /* AND32mr */
+  0xbb, /* AND32rr */
 /* Table52 */
-  0xca, /* AND8rm */
-  0xcc, /* AND8rr_REV */
+  0xcb, /* AND8rm */
+  0xcd, /* AND8rr_REV */
 /* Table54 */
-  0xb9, /* AND32rm */
-  0xbb, /* AND32rr_REV */
+  0xba, /* AND32rm */
+  0xbc, /* AND32rr_REV */
 /* Table56 */
-  0xc5, /* AND8i8 */
+  0xc6, /* AND8i8 */
 /* Table57 */
-  0xb3, /* AND32i32 */
+  0xb4, /* AND32i32 */
 /* Table58 */
-  0x30f, /* ES_PREFIX */
+  0x28b, /* DAA */
 /* Table59 */
-  0x2b6, /* DAA */
-/* Table60 */
-  0xaec, /* SUB8mr */
-  0xaf0, /* SUB8rr */
-/* Table62 */
-  0xadb, /* SUB32mr */
-  0xadf, /* SUB32rr */
-/* Table64 */
-  0xaef, /* SUB8rm */
-  0xaf1, /* SUB8rr_REV */
-/* Table66 */
-  0xade, /* SUB32rm */
-  0xae0, /* SUB32rr_REV */
+  0xae7, /* SUB8mr */
+  0xaeb, /* SUB8rr */
+/* Table61 */
+  0xad6, /* SUB32mr */
+  0xada, /* SUB32rr */
+/* Table63 */
+  0xaea, /* SUB8rm */
+  0xaec, /* SUB8rr_REV */
+/* Table65 */
+  0xad9, /* SUB32rm */
+  0xadb, /* SUB32rr_REV */
+/* Table67 */
+  0xae5, /* SUB8i8 */
 /* Table68 */
-  0xaea, /* SUB8i8 */
+  0xad3, /* SUB32i32 */
 /* Table69 */
-  0xad8, /* SUB32i32 */
+  0x28c, /* DAS */
 /* Table70 */
-  0x287, /* CS_PREFIX */
-/* Table71 */
-  0x2b7, /* DAS */
+  0x185d, /* XOR8mr */
+  0x1861, /* XOR8rr */
 /* Table72 */
-  0x1534, /* XOR8mr */
-  0x1538, /* XOR8rr */
+  0x184c, /* XOR32mr */
+  0x1850, /* XOR32rr */
 /* Table74 */
-  0x1523, /* XOR32mr */
-  0x1527, /* XOR32rr */
+  0x1860, /* XOR8rm */
+  0x1862, /* XOR8rr_REV */
 /* Table76 */
-  0x1537, /* XOR8rm */
-  0x1539, /* XOR8rr_REV */
+  0x184f, /* XOR32rm */
+  0x1851, /* XOR32rr_REV */
 /* Table78 */
-  0x1526, /* XOR32rm */
-  0x1528, /* XOR32rr_REV */
+  0x185b, /* XOR8i8 */
+/* Table79 */
+  0x1849, /* XOR32i32 */
 /* Table80 */
-  0x1532, /* XOR8i8 */
+  0x14, /* AAA */
 /* Table81 */
-  0x1520, /* XOR32i32 */
-/* Table82 */
-  0xaac, /* SS_PREFIX */
+  0x221, /* CMP8mr */
+  0x224, /* CMP8rr */
 /* Table83 */
-  0x13, /* AAA */
-/* Table84 */
-  0x24b, /* CMP8mr */
-  0x24e, /* CMP8rr */
-/* Table86 */
-  0x23a, /* CMP32mr */
-  0x23e, /* CMP32rr */
-/* Table88 */
-  0x24d, /* CMP8rm */
-  0x24f, /* CMP8rr_REV */
+  0x210, /* CMP32mr */
+  0x214, /* CMP32rr */
+/* Table85 */
+  0x223, /* CMP8rm */
+  0x225, /* CMP8rr_REV */
+/* Table87 */
+  0x213, /* CMP32rm */
+  0x215, /* CMP32rr_REV */
+/* Table89 */
+  0x21f, /* CMP8i8 */
 /* Table90 */
-  0x23d, /* CMP32rm */
-  0x23f, /* CMP32rr_REV */
+  0x20d, /* CMP32i32 */
+/* Table91 */
+  0x17, /* AAS */
 /* Table92 */
-  0x249, /* CMP8i8 */
+  0x385, /* INC32r */
 /* Table93 */
-  0x237, /* CMP32i32 */
+  0x293, /* DEC32r */
 /* Table94 */
-  0x306, /* DS_PREFIX */
+  0x8cf, /* PUSH32r */
 /* Table95 */
-  0x16, /* AAS */
+  0x857, /* POP32r */
 /* Table96 */
-  0x3b6, /* INC32r */
+  0x8d9, /* PUSHA32 */
 /* Table97 */
-  0x2be, /* DEC32r */
+  0x85e, /* POPA32 */
 /* Table98 */
-  0x8db, /* PUSH32r */
-/* Table99 */
-  0x863, /* POP32r */
+  0x118, /* BOUNDS32rm */
+  0x0, /*  */
 /* Table100 */
-  0x8e5, /* PUSHA32 */
-/* Table101 */
-  0x86a, /* POPA32 */
+  0xda, /* ARPL16mr */
+  0xdb, /* ARPL16rr */
 /* Table102 */
-  0x142, /* BOUNDS32rm */
-  0x0, /*  */
+  0x28d, /* DATA16_PREFIX */
+/* Table103 */
+  0x8ec, /* PUSHi32 */
 /* Table104 */
-  0xd9, /* ARPL16mr */
-  0xda, /* ARPL16rr */
+  0x36b, /* IMUL32rmi */
+  0x36e, /* IMUL32rri */
 /* Table106 */
-  0x34f, /* FS_PREFIX */
+  0x8ce, /* PUSH32i8 */
 /* Table107 */
-  0x370, /* GS_PREFIX */
-/* Table108 */
-  0x2b8, /* DATA16_PREFIX */
+  0x36c, /* IMUL32rmi8 */
+  0x36f, /* IMUL32rri8 */
 /* Table109 */
-  0x8f8, /* PUSHi32 */
+  0x38e, /* INSB */
 /* Table110 */
-  0x399, /* IMUL32rmi */
-  0x39c, /* IMUL32rri */
+  0x393, /* INSL */
+/* Table111 */
+  0x76a, /* OUTSB */
 /* Table112 */
-  0x8da, /* PUSH32i8 */
+  0x76b, /* OUTSL */
 /* Table113 */
-  0x39a, /* IMUL32rmi8 */
-  0x39d, /* IMUL32rri8 */
+  0x45c, /* JO_1 */
+/* Table114 */
+  0x453, /* JNO_1 */
 /* Table115 */
-  0x3ae, /* IN8 */
+  0x432, /* JB_1 */
 /* Table116 */
-  0x3ab, /* IN32 */
+  0x429, /* JAE_1 */
 /* Table117 */
-  0x776, /* OUTSB */
+  0x438, /* JE_1 */
 /* Table118 */
-  0x777, /* OUTSL */
+  0x450, /* JNE_1 */
 /* Table119 */
-  0x48a, /* JO_1 */
+  0x42f, /* JBE_1 */
 /* Table120 */
-  0x481, /* JNO_1 */
+  0x42c, /* JA_1 */
 /* Table121 */
-  0x460, /* JB_1 */
+  0x463, /* JS_1 */
 /* Table122 */
-  0x457, /* JAE_1 */
+  0x459, /* JNS_1 */
 /* Table123 */
-  0x466, /* JE_1 */
+  0x45f, /* JP_1 */
 /* Table124 */
-  0x47e, /* JNE_1 */
+  0x456, /* JNP_1 */
 /* Table125 */
-  0x45d, /* JBE_1 */
+  0x444, /* JL_1 */
 /* Table126 */
-  0x45a, /* JA_1 */
+  0x43b, /* JGE_1 */
 /* Table127 */
-  0x491, /* JS_1 */
+  0x441, /* JLE_1 */
 /* Table128 */
-  0x487, /* JNS_1 */
+  0x43e, /* JG_1 */
 /* Table129 */
-  0x48d, /* JP_1 */
-/* Table130 */
-  0x484, /* JNP_1 */
-/* Table131 */
-  0x472, /* JL_1 */
-/* Table132 */
-  0x469, /* JGE_1 */
-/* Table133 */
-  0x46f, /* JLE_1 */
-/* Table134 */
-  0x46c, /* JG_1 */
-/* Table135 */
-  0x6a, /* ADD8mi */
-  0x765, /* OR8mi */
-  0x3b, /* ADC8mi */
-  0x9f5, /* SBB8mi */
-  0xc6, /* AND8mi */
-  0xaeb, /* SUB8mi */
-  0x1533, /* XOR8mi */
-  0x24a, /* CMP8mi */
-  0x6c, /* ADD8ri */
-  0x767, /* OR8ri */
-  0x3d, /* ADC8ri */
-  0x9f7, /* SBB8ri */
-  0xc8, /* AND8ri */
-  0xaed, /* SUB8ri */
-  0x1535, /* XOR8ri */
-  0x24c, /* CMP8ri */
-/* Table151 */
-  0x52, /* ADD32mi */
-  0x752, /* OR32mi */
-  0x29, /* ADC32mi */
-  0x9e3, /* SBB32mi */
-  0xb4, /* AND32mi */
-  0xad9, /* SUB32mi */
-  0x1521, /* XOR32mi */
-  0x238, /* CMP32mi */
-  0x55, /* ADD32ri */
-  0x756, /* OR32ri */
-  0x2c, /* ADC32ri */
-  0x9e6, /* SBB32ri */
-  0xb7, /* AND32ri */
-  0xadc, /* SUB32ri */
-  0x1524, /* XOR32ri */
-  0x23b, /* CMP32ri */
-/* Table167 */
+  0x6b, /* ADD8mi */
+  0x759, /* OR8mi */
+  0x3c, /* ADC8mi */
+  0x9e9, /* SBB8mi */
+  0xc7, /* AND8mi */
+  0xae6, /* SUB8mi */
+  0x185c, /* XOR8mi */
+  0x220, /* CMP8mi */
+  0x6d, /* ADD8ri */
+  0x75b, /* OR8ri */
+  0x3e, /* ADC8ri */
+  0x9eb, /* SBB8ri */
+  0xc9, /* AND8ri */
+  0xae8, /* SUB8ri */
+  0x185e, /* XOR8ri */
+  0x222, /* CMP8ri */
+/* Table145 */
+  0x53, /* ADD32mi */
+  0x746, /* OR32mi */
+  0x2a, /* ADC32mi */
+  0x9d7, /* SBB32mi */
+  0xb5, /* AND32mi */
+  0xad4, /* SUB32mi */
+  0x184a, /* XOR32mi */
+  0x20e, /* CMP32mi */
+  0x56, /* ADD32ri */
+  0x74a, /* OR32ri */
+  0x2d, /* ADC32ri */
+  0x9da, /* SBB32ri */
+  0xb8, /* AND32ri */
+  0xad7, /* SUB32ri */
+  0x184d, /* XOR32ri */
+  0x211, /* CMP32ri */
+/* Table161 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43335,66 +48982,66 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6d, /* ADD8ri8 */
-  0x768, /* OR8ri8 */
+  0x6e, /* ADD8ri8 */
+  0x75c, /* OR8ri8 */
   0x0, /*  */
   0x0, /*  */
-  0xc9, /* AND8ri8 */
-  0xaee, /* SUB8ri8 */
-  0x1536, /* XOR8ri8 */
+  0xca, /* AND8ri8 */
+  0xae9, /* SUB8ri8 */
+  0x185f, /* XOR8ri8 */
   0x0, /*  */
-/* Table183 */
-  0x53, /* ADD32mi8 */
-  0x753, /* OR32mi8 */
-  0x2a, /* ADC32mi8 */
-  0x9e4, /* SBB32mi8 */
-  0xb5, /* AND32mi8 */
-  0xada, /* SUB32mi8 */
-  0x1522, /* XOR32mi8 */
-  0x239, /* CMP32mi8 */
-  0x56, /* ADD32ri8 */
-  0x757, /* OR32ri8 */
-  0x2d, /* ADC32ri8 */
-  0x9e7, /* SBB32ri8 */
-  0xb8, /* AND32ri8 */
-  0xadd, /* SUB32ri8 */
-  0x1525, /* XOR32ri8 */
-  0x23c, /* CMP32ri8 */
+/* Table177 */
+  0x54, /* ADD32mi8 */
+  0x747, /* OR32mi8 */
+  0x2b, /* ADC32mi8 */
+  0x9d8, /* SBB32mi8 */
+  0xb6, /* AND32mi8 */
+  0xad5, /* SUB32mi8 */
+  0x184b, /* XOR32mi8 */
+  0x20f, /* CMP32mi8 */
+  0x57, /* ADD32ri8 */
+  0x74b, /* OR32ri8 */
+  0x2e, /* ADC32ri8 */
+  0x9db, /* SBB32ri8 */
+  0xb9, /* AND32ri8 */
+  0xad8, /* SUB32ri8 */
+  0x184e, /* XOR32ri8 */
+  0x212, /* CMP32ri8 */
+/* Table193 */
+  0xb52, /* TEST8rm */
+  0xb53, /* TEST8rr */
+/* Table195 */
+  0xb43, /* TEST32rm */
+  0xb44, /* TEST32rr */
+/* Table197 */
+  0x1835, /* XCHG8rm */
+  0x1836, /* XCHG8rr */
 /* Table199 */
-  0xb57, /* TEST8rm */
-  0xb58, /* TEST8rr */
+  0x1830, /* XCHG32rm */
+  0x1831, /* XCHG32rr */
 /* Table201 */
-  0xb48, /* TEST32rm */
-  0xb49, /* TEST32rr */
+  0x663, /* MOV8mr */
+  0x66b, /* MOV8rr */
 /* Table203 */
-  0x150c, /* XCHG8rm */
-  0x150d, /* XCHG8rr */
+  0x636, /* MOV32mr */
+  0x641, /* MOV32rr */
 /* Table205 */
-  0x1507, /* XCHG32rm */
-  0x1508, /* XCHG32rr */
+  0x669, /* MOV8rm */
+  0x66d, /* MOV8rr_REV */
 /* Table207 */
-  0x66f, /* MOV8mr */
-  0x677, /* MOV8rr */
+  0x640, /* MOV32rm */
+  0x642, /* MOV32rr_REV */
 /* Table209 */
-  0x642, /* MOV32mr */
-  0x64d, /* MOV32rr */
+  0x637, /* MOV32ms */
+  0x643, /* MOV32rs */
 /* Table211 */
-  0x675, /* MOV8rm */
-  0x679, /* MOV8rr_REV */
+  0x4be, /* LEA32r */
+  0x0, /*  */
 /* Table213 */
-  0x64c, /* MOV32rm */
-  0x64e, /* MOV32rr_REV */
+  0x644, /* MOV32sm */
+  0x645, /* MOV32sr */
 /* Table215 */
-  0x643, /* MOV32ms */
-  0x64f, /* MOV32rs */
-/* Table217 */
-  0x4cb, /* LEA32r */
-  0x0, /*  */
-/* Table219 */
-  0x650, /* MOV32sm */
-  0x651, /* MOV32sr */
-/* Table221 */
-  0x864, /* POP32rmm */
+  0x858, /* POP32rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43402,7 +49049,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x865, /* POP32rmr */
+  0x859, /* POP32rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43410,123 +49057,123 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table231 */
+  0x715, /* NOOP */
+/* Table232 */
+  0x182e, /* XCHG32ar */
+/* Table233 */
+  0x28a, /* CWDE */
+/* Table234 */
+  0x165, /* CDQ */
+/* Table235 */
+  0x2ec, /* FARCALL32i */
+/* Table236 */
+  0x1815, /* WAIT */
 /* Table237 */
-  0x721, /* NOOP */
+  0x8e1, /* PUSHF32 */
 /* Table238 */
-  0x1505, /* XCHG32ar */
+  0x86a, /* POPF32 */
 /* Table239 */
-  0x2b5, /* CWDE */
+  0x997, /* SAHF */
 /* Table240 */
-  0x18f, /* CDQ */
+  0x49a, /* LAHF */
 /* Table241 */
-  0x317, /* FARCALL32i */
+  0x665, /* MOV8o8a */
 /* Table242 */
-  0x14ec, /* WAIT */
+  0x638, /* MOV32o32a */
 /* Table243 */
-  0x8ed, /* PUSHF32 */
+  0x660, /* MOV8ao8 */
 /* Table244 */
-  0x876, /* POPF32 */
+  0x631, /* MOV32ao32 */
 /* Table245 */
-  0x9a3, /* SAHF */
+  0x6a5, /* MOVSB */
 /* Table246 */
-  0x4a7, /* LAHF */
+  0x6ae, /* MOVSL */
 /* Table247 */
-  0x671, /* MOV8o8a */
+  0x22e, /* CMPSB */
 /* Table248 */
-  0x644, /* MOV32o32a */
+  0x233, /* CMPSL */
 /* Table249 */
-  0x66c, /* MOV8ao8 */
+  0xb4c, /* TEST8i8 */
 /* Table250 */
-  0x63d, /* MOV32ao32 */
+  0xb3e, /* TEST32i32 */
 /* Table251 */
-  0x6b1, /* MOVSB */
+  0xaae, /* STOSB */
 /* Table252 */
-  0x6ba, /* MOVSL */
+  0xaaf, /* STOSL */
 /* Table253 */
-  0x25b, /* CMPS8 */
+  0x516, /* LODSB */
 /* Table254 */
-  0x259, /* CMPS32 */
+  0x517, /* LODSL */
 /* Table255 */
-  0xb51, /* TEST8i8 */
+  0x9ef, /* SCASB */
 /* Table256 */
-  0xb43, /* TEST32i32 */
+  0x9f0, /* SCASL */
 /* Table257 */
-  0xab3, /* STOSB */
+  0x667, /* MOV8ri */
 /* Table258 */
-  0xab4, /* STOSL */
+  0x63d, /* MOV32ri */
 /* Table259 */
-  0x523, /* LODSB */
-/* Table260 */
-  0x524, /* LODSL */
-/* Table261 */
-  0x9fe, /* SCAS8 */
-/* Table262 */
-  0x9fc, /* SCAS32 */
-/* Table263 */
-  0x673, /* MOV8ri */
-/* Table264 */
-  0x649, /* MOV32ri */
-/* Table265 */
-  0x970, /* ROL8mi */
-  0x988, /* ROR8mi */
-  0x90f, /* RCL8mi */
-  0x92f, /* RCR8mi */
-  0xa4b, /* SHL8mi */
-  0xa73, /* SHR8mi */
-  0x9b8, /* SAL8mi */
-  0x9d1, /* SAR8mi */
-  0x973, /* ROL8ri */
-  0x98b, /* ROR8ri */
-  0x912, /* RCL8ri */
-  0x932, /* RCR8ri */
-  0xa4e, /* SHL8ri */
-  0xa76, /* SHR8ri */
-  0x9bb, /* SAL8ri */
-  0x9d4, /* SAR8ri */
-/* Table281 */
-  0x964, /* ROL32mi */
-  0x97c, /* ROR32mi */
-  0x903, /* RCL32mi */
-  0x923, /* RCR32mi */
-  0xa3f, /* SHL32mi */
-  0xa67, /* SHR32mi */
-  0x9ac, /* SAL32mi */
-  0x9c5, /* SAR32mi */
-  0x967, /* ROL32ri */
-  0x97f, /* ROR32ri */
-  0x906, /* RCL32ri */
-  0x926, /* RCR32ri */
-  0xa42, /* SHL32ri */
-  0xa6a, /* SHR32ri */
-  0x9af, /* SAL32ri */
-  0x9c8, /* SAR32ri */
+  0x964, /* ROL8mi */
+  0x97c, /* ROR8mi */
+  0x903, /* RCL8mi */
+  0x923, /* RCR8mi */
+  0xa47, /* SHL8mi */
+  0xa6f, /* SHR8mi */
+  0x9ac, /* SAL8mi */
+  0x9c5, /* SAR8mi */
+  0x967, /* ROL8ri */
+  0x97f, /* ROR8ri */
+  0x906, /* RCL8ri */
+  0x926, /* RCR8ri */
+  0xa4a, /* SHL8ri */
+  0xa72, /* SHR8ri */
+  0x9af, /* SAL8ri */
+  0x9c8, /* SAR8ri */
+/* Table275 */
+  0x958, /* ROL32mi */
+  0x970, /* ROR32mi */
+  0x8f7, /* RCL32mi */
+  0x917, /* RCR32mi */
+  0xa3b, /* SHL32mi */
+  0xa63, /* SHR32mi */
+  0x9a0, /* SAL32mi */
+  0x9b9, /* SAR32mi */
+  0x95b, /* ROL32ri */
+  0x973, /* ROR32ri */
+  0x8fa, /* RCL32ri */
+  0x91a, /* RCR32ri */
+  0xa3e, /* SHL32ri */
+  0xa66, /* SHR32ri */
+  0x9a3, /* SAL32ri */
+  0x9bc, /* SAR32ri */
+/* Table291 */
+  0x949, /* RETIL */
+/* Table292 */
+  0x94c, /* RETL */
+/* Table293 */
+  0x4c4, /* LES32rm */
+  0x0, /*  */
+/* Table295 */
+  0x4aa, /* LDS32rm */
+  0x0, /*  */
 /* Table297 */
-  0x955, /* RETIL */
-/* Table298 */
-  0x958, /* RETL */
-/* Table299 */
-  0x4d1, /* LES32rm */
+  0x662, /* MOV8mi */
   0x0, /*  */
-/* Table301 */
-  0x4b7, /* LDS32rm */
   0x0, /*  */
-/* Table303 */
-  0x66e, /* MOV8mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
-  0x674, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
+  0x668, /* MOV8ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43575,7 +49222,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x14f6, /* XABORT */
+  0x181f, /* XABORT */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43583,8 +49230,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table375 */
-  0x641, /* MOV32mi */
+/* Table369 */
+  0x635, /* MOV32mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43592,14 +49239,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
-  0x64b, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
+  0x63f, /* MOV32ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43648,7 +49295,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1501, /* XBEGIN_4 */
+  0x182a, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43656,141 +49303,141 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table441 */
+  0x2e4, /* ENTER */
+/* Table442 */
+  0x4c1, /* LEAVE */
+/* Table443 */
+  0x51d, /* LRETIL */
+/* Table444 */
+  0x520, /* LRETL */
+/* Table445 */
+  0x397, /* INT3 */
+/* Table446 */
+  0x395, /* INT */
 /* Table447 */
-  0x30e, /* ENTER */
+  0x398, /* INTO */
 /* Table448 */
-  0x4ce, /* LEAVE */
+  0x3a4, /* IRET32 */
 /* Table449 */
-  0x52a, /* LRETIL */
-/* Table450 */
-  0x52d, /* LRETL */
-/* Table451 */
-  0x3c5, /* INT3 */
-/* Table452 */
-  0x3c3, /* INT */
-/* Table453 */
-  0x3c6, /* INTO */
-/* Table454 */
-  0x3d2, /* IRET32 */
-/* Table455 */
-  0x96e, /* ROL8m1 */
-  0x986, /* ROR8m1 */
-  0x90d, /* RCL8m1 */
-  0x92d, /* RCR8m1 */
-  0xa49, /* SHL8m1 */
-  0xa71, /* SHR8m1 */
-  0x9b6, /* SAL8m1 */
-  0x9cf, /* SAR8m1 */
-  0x971, /* ROL8r1 */
-  0x989, /* ROR8r1 */
-  0x910, /* RCL8r1 */
-  0x930, /* RCR8r1 */
-  0xa4c, /* SHL8r1 */
-  0xa74, /* SHR8r1 */
-  0x9b9, /* SAL8r1 */
-  0x9d2, /* SAR8r1 */
-/* Table471 */
-  0x962, /* ROL32m1 */
-  0x97a, /* ROR32m1 */
-  0x901, /* RCL32m1 */
-  0x921, /* RCR32m1 */
-  0xa3d, /* SHL32m1 */
-  0xa65, /* SHR32m1 */
-  0x9aa, /* SAL32m1 */
-  0x9c3, /* SAR32m1 */
-  0x965, /* ROL32r1 */
-  0x97d, /* ROR32r1 */
-  0x904, /* RCL32r1 */
-  0x924, /* RCR32r1 */
-  0xa40, /* SHL32r1 */
-  0xa68, /* SHR32r1 */
-  0x9ad, /* SAL32r1 */
-  0x9c6, /* SAR32r1 */
-/* Table487 */
-  0x96f, /* ROL8mCL */
-  0x987, /* ROR8mCL */
-  0x90e, /* RCL8mCL */
-  0x92e, /* RCR8mCL */
-  0xa4a, /* SHL8mCL */
-  0xa72, /* SHR8mCL */
-  0x9b7, /* SAL8mCL */
-  0x9d0, /* SAR8mCL */
-  0x972, /* ROL8rCL */
-  0x98a, /* ROR8rCL */
-  0x911, /* RCL8rCL */
-  0x931, /* RCR8rCL */
-  0xa4d, /* SHL8rCL */
-  0xa75, /* SHR8rCL */
-  0x9ba, /* SAL8rCL */
-  0x9d3, /* SAR8rCL */
-/* Table503 */
-  0x963, /* ROL32mCL */
-  0x97b, /* ROR32mCL */
-  0x902, /* RCL32mCL */
-  0x922, /* RCR32mCL */
-  0xa3e, /* SHL32mCL */
-  0xa66, /* SHR32mCL */
-  0x9ab, /* SAL32mCL */
-  0x9c4, /* SAR32mCL */
-  0x966, /* ROL32rCL */
-  0x97e, /* ROR32rCL */
-  0x905, /* RCL32rCL */
-  0x925, /* RCR32rCL */
-  0xa41, /* SHL32rCL */
-  0xa69, /* SHR32rCL */
-  0x9ae, /* SAL32rCL */
-  0x9c7, /* SAR32rCL */
-/* Table519 */
-  0x15, /* AAM8i8 */
-/* Table520 */
-  0x14, /* AAD8i8 */
-/* Table521 */
-  0x9bc, /* SALC */
-/* Table522 */
-  0x1516, /* XLAT */
-/* Table523 */
-  0x81, /* ADD_F32m */
-  0x703, /* MUL_F32m */
-  0x321, /* FCOM32m */
-  0x323, /* FCOMP32m */
-  0xb10, /* SUB_F32m */
-  0xaf6, /* SUBR_F32m */
-  0x2ed, /* DIV_F32m */
-  0x2d3, /* DIVR_F32m */
-  0x86, /* ADD_FST0r */
-  0x708, /* MUL_FST0r */
-  0x275, /* COM_FST0r */
-  0x272, /* COMP_FST0r */
-  0xb15, /* SUB_FST0r */
-  0xafb, /* SUBR_FST0r */
-  0x2f2, /* DIV_FST0r */
-  0x2d8, /* DIVR_FST0r */
-/* Table539 */
-  0x4ba, /* LD_F32m */
+  0x962, /* ROL8m1 */
+  0x97a, /* ROR8m1 */
+  0x901, /* RCL8m1 */
+  0x921, /* RCR8m1 */
+  0xa45, /* SHL8m1 */
+  0xa6d, /* SHR8m1 */
+  0x9aa, /* SAL8m1 */
+  0x9c3, /* SAR8m1 */
+  0x965, /* ROL8r1 */
+  0x97d, /* ROR8r1 */
+  0x904, /* RCL8r1 */
+  0x924, /* RCR8r1 */
+  0xa48, /* SHL8r1 */
+  0xa70, /* SHR8r1 */
+  0x9ad, /* SAL8r1 */
+  0x9c6, /* SAR8r1 */
+/* Table465 */
+  0x956, /* ROL32m1 */
+  0x96e, /* ROR32m1 */
+  0x8f5, /* RCL32m1 */
+  0x915, /* RCR32m1 */
+  0xa39, /* SHL32m1 */
+  0xa61, /* SHR32m1 */
+  0x99e, /* SAL32m1 */
+  0x9b7, /* SAR32m1 */
+  0x959, /* ROL32r1 */
+  0x971, /* ROR32r1 */
+  0x8f8, /* RCL32r1 */
+  0x918, /* RCR32r1 */
+  0xa3c, /* SHL32r1 */
+  0xa64, /* SHR32r1 */
+  0x9a1, /* SAL32r1 */
+  0x9ba, /* SAR32r1 */
+/* Table481 */
+  0x963, /* ROL8mCL */
+  0x97b, /* ROR8mCL */
+  0x902, /* RCL8mCL */
+  0x922, /* RCR8mCL */
+  0xa46, /* SHL8mCL */
+  0xa6e, /* SHR8mCL */
+  0x9ab, /* SAL8mCL */
+  0x9c4, /* SAR8mCL */
+  0x966, /* ROL8rCL */
+  0x97e, /* ROR8rCL */
+  0x905, /* RCL8rCL */
+  0x925, /* RCR8rCL */
+  0xa49, /* SHL8rCL */
+  0xa71, /* SHR8rCL */
+  0x9ae, /* SAL8rCL */
+  0x9c7, /* SAR8rCL */
+/* Table497 */
+  0x957, /* ROL32mCL */
+  0x96f, /* ROR32mCL */
+  0x8f6, /* RCL32mCL */
+  0x916, /* RCR32mCL */
+  0xa3a, /* SHL32mCL */
+  0xa62, /* SHR32mCL */
+  0x99f, /* SAL32mCL */
+  0x9b8, /* SAR32mCL */
+  0x95a, /* ROL32rCL */
+  0x972, /* ROR32rCL */
+  0x8f9, /* RCL32rCL */
+  0x919, /* RCR32rCL */
+  0xa3d, /* SHL32rCL */
+  0xa65, /* SHR32rCL */
+  0x9a2, /* SAL32rCL */
+  0x9bb, /* SAR32rCL */
+/* Table513 */
+  0x16, /* AAM8i8 */
+/* Table514 */
+  0x15, /* AAD8i8 */
+/* Table515 */
+  0x9b0, /* SALC */
+/* Table516 */
+  0x183f, /* XLAT */
+/* Table517 */
+  0x82, /* ADD_F32m */
+  0x6f7, /* MUL_F32m */
+  0x2f6, /* FCOM32m */
+  0x2f8, /* FCOMP32m */
+  0xb0b, /* SUB_F32m */
+  0xaf1, /* SUBR_F32m */
+  0x2c2, /* DIV_F32m */
+  0x2a8, /* DIVR_F32m */
+  0x87, /* ADD_FST0r */
+  0x6fc, /* MUL_FST0r */
+  0x24b, /* COM_FST0r */
+  0x248, /* COMP_FST0r */
+  0xb10, /* SUB_FST0r */
+  0xaf6, /* SUBR_FST0r */
+  0x2c7, /* DIV_FST0r */
+  0x2ad, /* DIVR_FST0r */
+/* Table533 */
+  0x4ad, /* LD_F32m */
   0x0, /*  */
-  0xabb, /* ST_F32m */
-  0xabd, /* ST_FP32m */
-  0x32f, /* FLDENVm */
-  0x32e, /* FLDCW16m */
-  0x34e, /* FSTENVm */
-  0x338, /* FNSTCW16m */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x4c9, /* LD_Frr */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x150e, /* XCH_F */
-  0x337, /* FNOP */
+  0xab6, /* ST_F32m */
+  0xab8, /* ST_FP32m */
+  0x304, /* FLDENVm */
+  0x303, /* FLDCW16m */
+  0x323, /* FSTENVm */
+  0x30d, /* FNSTCW16m */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x4bc, /* LD_Frr */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x1837, /* XCH_F */
+  0x30c, /* FNOP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43798,7 +49445,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xac0, /* ST_FPNCE */
+  0xabb, /* ST_FPNCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43806,79 +49453,79 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x191, /* CHS_F */
-  0x17, /* ABS_F */
+  0x167, /* CHS_F */
+  0x18, /* ABS_F */
   0x0, /*  */
   0x0, /*  */
-  0xb60, /* TST_F */
-  0x350, /* FXAM */
+  0xb5b, /* TST_F */
+  0x324, /* FXAM */
   0x0, /*  */
   0x0, /*  */
-  0x4b9, /* LD_F1 */
-  0x331, /* FLDL2T */
-  0x330, /* FLDL2E */
-  0x334, /* FLDPI */
-  0x332, /* FLDLG2 */
-  0x333, /* FLDLN2 */
-  0x4b8, /* LD_F0 */
+  0x4ac, /* LD_F1 */
+  0x306, /* FLDL2T */
+  0x305, /* FLDL2E */
+  0x309, /* FLDPI */
+  0x307, /* FLDLG2 */
+  0x308, /* FLDLN2 */
+  0x4ab, /* LD_F0 */
   0x0, /*  */
-  0x314, /* F2XM1 */
-  0x356, /* FYL2X */
-  0x347, /* FPTAN */
-  0x344, /* FPATAN */
-  0x355, /* FXTRACT */
-  0x346, /* FPREM1 */
-  0x326, /* FDECSTP */
-  0x32d, /* FINCSTP */
-  0x345, /* FPREM */
-  0x357, /* FYL2XP1 */
-  0xaa8, /* SQRT_F */
-  0x34d, /* FSINCOS */
-  0x348, /* FRNDINT */
-  0x34b, /* FSCALE */
-  0xa8e, /* SIN_F */
-  0x276, /* COS_F */
-/* Table611 */
-  0x84, /* ADD_FI32m */
-  0x706, /* MUL_FI32m */
-  0x32a, /* FICOM32m */
-  0x32c, /* FICOMP32m */
-  0xb13, /* SUB_FI32m */
-  0xaf9, /* SUBR_FI32m */
-  0x2f0, /* DIV_FI32m */
-  0x2d6, /* DIVR_FI32m */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1b9, /* CMOVB_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1c3, /* CMOVE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x1b5, /* CMOVBE_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
-  0x213, /* CMOVP_F */
+  0x2e9, /* F2XM1 */
+  0x32a, /* FYL2X */
+  0x31c, /* FPTAN */
+  0x319, /* FPATAN */
+  0x329, /* FXTRACT */
+  0x31b, /* FPREM1 */
+  0x2fb, /* FDECSTP */
+  0x302, /* FINCSTP */
+  0x31a, /* FPREM */
+  0x32b, /* FYL2XP1 */
+  0xaa4, /* SQRT_F */
+  0x322, /* FSINCOS */
+  0x31d, /* FRNDINT */
+  0x320, /* FSCALE */
+  0xa8a, /* SIN_F */
+  0x24c, /* COS_F */
+/* Table605 */
+  0x85, /* ADD_FI32m */
+  0x6fa, /* MUL_FI32m */
+  0x2ff, /* FICOM32m */
+  0x301, /* FICOMP32m */
+  0xb0e, /* SUB_FI32m */
+  0xaf4, /* SUBR_FI32m */
+  0x2c5, /* DIV_FI32m */
+  0x2ab, /* DIVR_FI32m */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x18f, /* CMOVB_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x199, /* CMOVE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x18b, /* CMOVBE_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
+  0x1e9, /* CMOVP_F */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43888,7 +49535,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb74, /* UCOM_FPPr */
+  0xb6f, /* UCOM_FPPr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43911,71 +49558,71 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table683 */
-  0x383, /* ILD_F32m */
-  0x3d5, /* ISTT_FP32m */
-  0x3e1, /* IST_F32m */
-  0x3e3, /* IST_FP32m */
+/* Table677 */
+  0x355, /* ILD_F32m */
+  0x3a7, /* ISTT_FP32m */
+  0x3b3, /* IST_F32m */
+  0x3b5, /* IST_FP32m */
   0x0, /*  */
-  0x4bc, /* LD_F80m */
+  0x4af, /* LD_F80m */
   0x0, /*  */
-  0xabf, /* ST_FP80m */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1e3, /* CMOVNB_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1ed, /* CMOVNE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1df, /* CMOVNBE_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
-  0x1fd, /* CMOVNP_F */
+  0xaba, /* ST_FP80m */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1b9, /* CMOVNB_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1c3, /* CMOVNE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1b5, /* CMOVNBE_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
+  0x1d3, /* CMOVNP_F */
   0x0, /*  */
   0x0, /*  */
-  0x335, /* FNCLEX */
-  0x336, /* FNINIT */
-  0x34c, /* FSETPM */
+  0x30a, /* FNCLEX */
+  0x30b, /* FNINIT */
+  0x321, /* FSETPM */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0xb73, /* UCOM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
-  0x274, /* COM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0xb6e, /* UCOM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
+  0x24a, /* COM_FIr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -43984,65 +49631,65 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table755 */
-  0x82, /* ADD_F64m */
-  0x704, /* MUL_F64m */
-  0x322, /* FCOM64m */
-  0x324, /* FCOMP64m */
-  0xb11, /* SUB_F64m */
-  0xaf7, /* SUBR_F64m */
-  0x2ee, /* DIV_F64m */
-  0x2d4, /* DIVR_F64m */
-  0x95, /* ADD_FrST0 */
-  0x717, /* MUL_FrST0 */
+/* Table749 */
+  0x83, /* ADD_F64m */
+  0x6f8, /* MUL_F64m */
+  0x2f7, /* FCOM64m */
+  0x2f9, /* FCOMP64m */
+  0xb0c, /* SUB_F64m */
+  0xaf2, /* SUBR_F64m */
+  0x2c3, /* DIV_F64m */
+  0x2a9, /* DIVR_F64m */
+  0x96, /* ADD_FrST0 */
+  0x70b, /* MUL_FrST0 */
   0x0, /*  */
   0x0, /*  */
-  0xb07, /* SUBR_FrST0 */
-  0xb24, /* SUB_FrST0 */
-  0x2e4, /* DIVR_FrST0 */
-  0x301, /* DIV_FrST0 */
-/* Table771 */
-  0x4bb, /* LD_F64m */
-  0x3d6, /* ISTT_FP64m */
-  0xabc, /* ST_F64m */
-  0xabe, /* ST_FP64m */
-  0x349, /* FRSTORm */
+  0xb02, /* SUBR_FrST0 */
+  0xb1f, /* SUB_FrST0 */
+  0x2b9, /* DIVR_FrST0 */
+  0x2d6, /* DIV_FrST0 */
+/* Table765 */
+  0x4ae, /* LD_F64m */
+  0x3a8, /* ISTT_FP64m */
+  0xab7, /* ST_F64m */
+  0xab9, /* ST_FP64m */
+  0x31e, /* FRSTORm */
   0x0, /*  */
-  0x34a, /* FSAVEm */
-  0x33a, /* FNSTSWm */
-  0x328, /* FFREE */
+  0x31f, /* FSAVEm */
+  0x30f, /* FNSTSWm */
+  0x2fd, /* FFREE */
   0x0, /*  */
-  0xace, /* ST_Frr */
-  0xac2, /* ST_FPrr */
-  0xb7c, /* UCOM_Fr */
-  0xb75, /* UCOM_FPr */
+  0xac9, /* ST_Frr */
+  0xabd, /* ST_FPrr */
+  0xb77, /* UCOM_Fr */
+  0xb70, /* UCOM_FPr */
   0x0, /*  */
   0x0, /*  */
-/* Table787 */
-  0x83, /* ADD_FI16m */
-  0x705, /* MUL_FI16m */
-  0x329, /* FICOM16m */
-  0x32b, /* FICOMP16m */
-  0xb12, /* SUB_FI16m */
-  0xaf8, /* SUBR_FI16m */
-  0x2ef, /* DIV_FI16m */
-  0x2d5, /* DIVR_FI16m */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x85, /* ADD_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
-  0x707, /* MUL_FPrST0 */
+/* Table781 */
+  0x84, /* ADD_FI16m */
+  0x6f9, /* MUL_FI16m */
+  0x2fe, /* FICOM16m */
+  0x300, /* FICOMP16m */
+  0xb0d, /* SUB_FI16m */
+  0xaf3, /* SUBR_FI16m */
+  0x2c4, /* DIV_FI16m */
+  0x2aa, /* DIVR_FI16m */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x86, /* ADD_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
+  0x6fb, /* MUL_FPrST0 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44052,54 +49699,54 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x325, /* FCOMPP */
+  0x2fa, /* FCOMPP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xafa, /* SUBR_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0xb14, /* SUB_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2d7, /* DIVR_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-  0x2f1, /* DIV_FPrST0 */
-/* Table859 */
-  0x382, /* ILD_F16m */
-  0x3d4, /* ISTT_FP16m */
-  0x3e0, /* IST_F16m */
-  0x3e2, /* IST_FP16m */
-  0x31f, /* FBLDm */
-  0x384, /* ILD_F64m */
-  0x320, /* FBSTPm */
-  0x3e4, /* IST_FP64m */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xaf5, /* SUBR_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0xb0f, /* SUB_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2ac, /* DIVR_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+  0x2c6, /* DIV_FPrST0 */
+/* Table853 */
+  0x354, /* ILD_F16m */
+  0x3a6, /* ISTT_FP16m */
+  0x3b2, /* IST_F16m */
+  0x3b4, /* IST_FP16m */
+  0x2f4, /* FBLDm */
+  0x356, /* ILD_F64m */
+  0x2f5, /* FBSTPm */
+  0x3b6, /* IST_FP64m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44131,8 +49778,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xac1, /* ST_FPr0r7 */
-  0x339, /* FNSTSW16r */
+  0xabc, /* ST_FPr0r7 */
+  0x30e, /* FNSTSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44140,22 +49787,22 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0xb72, /* UCOM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
-  0x273, /* COM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0xb6d, /* UCOM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
+  0x249, /* COM_FIPr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44164,145 +49811,145 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table925 */
+  0x51c, /* LOOPNE */
+/* Table926 */
+  0x51b, /* LOOPE */
+/* Table927 */
+  0x51a, /* LOOP */
+/* Table928 */
+  0x436, /* JECXZ_32 */
+/* Table929 */
+  0x37e, /* IN8ri */
+/* Table930 */
+  0x37c, /* IN32ri */
 /* Table931 */
-  0x529, /* LOOPNE */
+  0x768, /* OUT8ir */
 /* Table932 */
-  0x528, /* LOOPE */
+  0x766, /* OUT32ir */
 /* Table933 */
-  0x527, /* LOOP */
+  0x163, /* CALLpcrel32 */
 /* Table934 */
-  0x464, /* JECXZ_32 */
+  0x44f, /* JMP_4 */
 /* Table935 */
-  0x3af, /* IN8ri */
+  0x2f1, /* FARJMP32i */
 /* Table936 */
-  0x3ac, /* IN32ri */
+  0x44d, /* JMP_1 */
 /* Table937 */
-  0x774, /* OUT8ir */
+  0x37f, /* IN8rr */
 /* Table938 */
-  0x772, /* OUT32ir */
+  0x37d, /* IN32rr */
 /* Table939 */
-  0x18d, /* CALLpcrel32 */
+  0x769, /* OUT8rr */
 /* Table940 */
-  0x47d, /* JMP_4 */
+  0x767, /* OUT32rr */
 /* Table941 */
-  0x31c, /* FARJMP32i */
+  0x4ff, /* LOCK_PREFIX */
 /* Table942 */
-  0x47b, /* JMP_1 */
+  0x396, /* INT1 */
 /* Table943 */
-  0x3b0, /* IN8rr */
+  0x939, /* REPNE_PREFIX */
 /* Table944 */
-  0x3ad, /* IN32rr */
+  0x941, /* REP_PREFIX */
 /* Table945 */
-  0x775, /* OUT8rr */
+  0x347, /* HLT */
 /* Table946 */
-  0x773, /* OUT32rr */
+  0x172, /* CMC */
 /* Table947 */
-  0x50c, /* LOCK_PREFIX */
-/* Table948 */
-  0x3c4, /* INT1 */
-/* Table949 */
-  0x945, /* REPNE_PREFIX */
-/* Table950 */
-  0x94d, /* REP_PREFIX */
-/* Table951 */
-  0x375, /* HLT */
-/* Table952 */
-  0x19c, /* CMC */
-/* Table953 */
-  0xb52, /* TEST8mi */
-  0xb53, /* TEST8mi_alt */
-  0x746, /* NOT8m */
-  0x71f, /* NEG8m */
-  0x6f1, /* MUL8m */
-  0x3a6, /* IMUL8m */
-  0x2cd, /* DIV8m */
-  0x380, /* IDIV8m */
-  0xb54, /* TEST8ri */
-  0xb56, /* TEST8ri_alt */
-  0x747, /* NOT8r */
-  0x720, /* NEG8r */
-  0x6f2, /* MUL8r */
-  0x3a7, /* IMUL8r */
-  0x2ce, /* DIV8r */
-  0x381, /* IDIV8r */
-/* Table969 */
-  0xb44, /* TEST32mi */
-  0xb45, /* TEST32mi_alt */
-  0x742, /* NOT32m */
-  0x71b, /* NEG32m */
-  0x6ed, /* MUL32m */
-  0x396, /* IMUL32m */
-  0x2c9, /* DIV32m */
-  0x37c, /* IDIV32m */
-  0xb46, /* TEST32ri */
-  0xb47, /* TEST32ri_alt */
-  0x743, /* NOT32r */
-  0x71c, /* NEG32r */
-  0x6ee, /* MUL32r */
-  0x397, /* IMUL32r */
-  0x2ca, /* DIV32r */
-  0x37d, /* IDIV32r */
+  0xb4d, /* TEST8mi */
+  0xb4e, /* TEST8mi_alt */
+  0x73a, /* NOT8m */
+  0x713, /* NEG8m */
+  0x6e5, /* MUL8m */
+  0x378, /* IMUL8m */
+  0x2a2, /* DIV8m */
+  0x352, /* IDIV8m */
+  0xb4f, /* TEST8ri */
+  0xb51, /* TEST8ri_alt */
+  0x73b, /* NOT8r */
+  0x714, /* NEG8r */
+  0x6e6, /* MUL8r */
+  0x379, /* IMUL8r */
+  0x2a3, /* DIV8r */
+  0x353, /* IDIV8r */
+/* Table963 */
+  0xb3f, /* TEST32mi */
+  0xb40, /* TEST32mi_alt */
+  0x736, /* NOT32m */
+  0x70f, /* NEG32m */
+  0x6e1, /* MUL32m */
+  0x368, /* IMUL32m */
+  0x29e, /* DIV32m */
+  0x34e, /* IDIV32m */
+  0xb41, /* TEST32ri */
+  0xb42, /* TEST32ri_alt */
+  0x737, /* NOT32r */
+  0x710, /* NEG32r */
+  0x6e2, /* MUL32r */
+  0x369, /* IMUL32r */
+  0x29f, /* DIV32r */
+  0x34f, /* IDIV32r */
+/* Table979 */
+  0x16c, /* CLC */
+/* Table980 */
+  0xaa9, /* STC */
+/* Table981 */
+  0x170, /* CLI */
+/* Table982 */
+  0xaac, /* STI */
+/* Table983 */
+  0x16d, /* CLD */
+/* Table984 */
+  0xaaa, /* STD */
 /* Table985 */
-  0x196, /* CLC */
-/* Table986 */
-  0xaae, /* STC */
-/* Table987 */
-  0x19a, /* CLI */
-/* Table988 */
-  0xab1, /* STI */
-/* Table989 */
-  0x197, /* CLD */
-/* Table990 */
-  0xaaf, /* STD */
-/* Table991 */
-  0x3bd, /* INC8m */
-  0x2c5, /* DEC8m */
+  0x38c, /* INC8m */
+  0x29a, /* DEC8m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3be, /* INC8r */
-  0x2c6, /* DEC8r */
+  0x38d, /* INC8r */
+  0x29b, /* DEC8r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1007 */
-  0x3b5, /* INC32m */
-  0x2bd, /* DEC32m */
-  0x187, /* CALL32m */
-  0x318, /* FARCALL32m */
-  0x477, /* JMP32m */
-  0x31d, /* FARJMP32m */
-  0x8dc, /* PUSH32rmm */
+/* Table1001 */
+  0x384, /* INC32m */
+  0x292, /* DEC32m */
+  0x15d, /* CALL32m */
+  0x2ed, /* FARCALL32m */
+  0x449, /* JMP32m */
+  0x2f2, /* FARJMP32m */
+  0x8d0, /* PUSH32rmm */
   0x0, /*  */
-  0x3b4, /* INC32_32r */
-  0x2bc, /* DEC32_32r */
-  0x188, /* CALL32r */
+  0x383, /* INC32_32r */
+  0x291, /* DEC32_32r */
+  0x15e, /* CALL32r */
   0x0, /*  */
-  0x478, /* JMP32r */
+  0x44a, /* JMP32r */
   0x0, /*  */
-  0x8dd, /* PUSH32rmr */
+  0x8d1, /* PUSH32rmr */
   0x0, /*  */
-/* Table1023 */
-  0x95b, /* REX64_PREFIX */
+/* Table1017 */
+  0x94f, /* REX64_PREFIX */
+/* Table1018 */
+  0x8d5, /* PUSH64r */
+/* Table1019 */
+  0x85a, /* POP64r */
+/* Table1020 */
+  0x8d3, /* PUSH64i32 */
+/* Table1021 */
+  0x8d4, /* PUSH64i8 */
+/* Table1022 */
+  0x4bf, /* LEA64_32r */
+  0x0, /*  */
 /* Table1024 */
-  0x8e1, /* PUSH64r */
-/* Table1025 */
-  0x866, /* POP64r */
-/* Table1026 */
-  0x8df, /* PUSH64i32 */
-/* Table1027 */
-  0x8e0, /* PUSH64i8 */
-/* Table1028 */
-  0x4cc, /* LEA64_32r */
-  0x0, /*  */
-/* Table1030 */
-  0x867, /* POP64rmm */
+  0x85b, /* POP64rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44310,7 +49957,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x868, /* POP64rmr */
+  0x85c, /* POP64rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44318,211 +49965,211 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1040 */
+  0x182f, /* XCHG32ar64 */
+/* Table1041 */
+  0x8e2, /* PUSHF64 */
+/* Table1042 */
+  0x86b, /* POPF64 */
+/* Table1043 */
+  0x652, /* MOV64o8a */
+/* Table1044 */
+  0x650, /* MOV64o32a */
+/* Table1045 */
+  0x649, /* MOV64ao8 */
 /* Table1046 */
-  0x1506, /* XCHG32ar64 */
+  0x647, /* MOV64ao32 */
 /* Table1047 */
-  0x8ee, /* PUSHF64 */
+  0x94a, /* RETIQ */
 /* Table1048 */
-  0x877, /* POPF64 */
+  0x94d, /* RETQ */
 /* Table1049 */
-  0x65e, /* MOV64o8a */
+  0x4c2, /* LEAVE64 */
 /* Table1050 */
-  0x65c, /* MOV64o32a */
+  0x462, /* JRCXZ */
 /* Table1051 */
-  0x655, /* MOV64ao8 */
+  0x160, /* CALL64pcrel32 */
 /* Table1052 */
-  0x653, /* MOV64ao32 */
-/* Table1053 */
-  0x956, /* RETIQ */
-/* Table1054 */
-  0x959, /* RETQ */
-/* Table1055 */
-  0x4cf, /* LEAVE64 */
-/* Table1056 */
-  0x490, /* JRCXZ */
-/* Table1057 */
-  0x18a, /* CALL64pcrel32 */
-/* Table1058 */
-  0x3b9, /* INC64_32m */
-  0x2c1, /* DEC64_32m */
-  0x189, /* CALL64m */
-  0x318, /* FARCALL32m */
-  0x479, /* JMP64m */
-  0x31d, /* FARJMP32m */
-  0x8e2, /* PUSH64rmm */
+  0x388, /* INC64_32m */
+  0x296, /* DEC64_32m */
+  0x15f, /* CALL64m */
+  0x2ed, /* FARCALL32m */
+  0x44b, /* JMP64m */
+  0x2f2, /* FARJMP32m */
+  0x8d6, /* PUSH64rmm */
   0x0, /*  */
-  0x3ba, /* INC64_32r */
-  0x2c2, /* DEC64_32r */
-  0x18b, /* CALL64r */
+  0x389, /* INC64_32r */
+  0x297, /* DEC64_32r */
+  0x161, /* CALL64r */
   0x0, /*  */
-  0x47a, /* JMP64r */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x8e3, /* PUSH64rmr */
+  0x8d7, /* PUSH64rmr */
   0x0, /*  */
+/* Table1068 */
+  0x49, /* ADD16mr */
+  0x4f, /* ADD16rr */
+/* Table1070 */
+  0x4e, /* ADD16rm */
+  0x51, /* ADD16rr_REV */
+/* Table1072 */
+  0x46, /* ADD16i16 */
+/* Table1073 */
+  0x8de, /* PUSHES16 */
 /* Table1074 */
-  0x48, /* ADD16mr */
-  0x4e, /* ADD16rr */
-/* Table1076 */
-  0x4d, /* ADD16rm */
-  0x50, /* ADD16rr_REV */
-/* Table1078 */
-  0x45, /* ADD16i16 */
+  0x867, /* POPES16 */
+/* Table1075 */
+  0x73f, /* OR16mr */
+  0x743, /* OR16rr */
+/* Table1077 */
+  0x742, /* OR16rm */
+  0x744, /* OR16rr_REV */
 /* Table1079 */
-  0x8ea, /* PUSHES16 */
+  0x73c, /* OR16i16 */
 /* Table1080 */
-  0x873, /* POPES16 */
+  0x8da, /* PUSHCS16 */
 /* Table1081 */
-  0x74b, /* OR16mr */
-  0x74f, /* OR16rr */
+  0x23, /* ADC16mr */
+  0x27, /* ADC16rr */
 /* Table1083 */
-  0x74e, /* OR16rm */
-  0x750, /* OR16rr_REV */
+  0x26, /* ADC16rm */
+  0x28, /* ADC16rr_REV */
 /* Table1085 */
-  0x748, /* OR16i16 */
+  0x20, /* ADC16i16 */
 /* Table1086 */
-  0x8e6, /* PUSHCS16 */
+  0x8e9, /* PUSHSS16 */
 /* Table1087 */
-  0x22, /* ADC16mr */
-  0x26, /* ADC16rr */
-/* Table1089 */
-  0x25, /* ADC16rm */
-  0x27, /* ADC16rr_REV */
-/* Table1091 */
-  0x1f, /* ADC16i16 */
+  0x872, /* POPSS16 */
+/* Table1088 */
+  0x9d0, /* SBB16mr */
+  0x9d4, /* SBB16rr */
+/* Table1090 */
+  0x9d3, /* SBB16rm */
+  0x9d5, /* SBB16rr_REV */
 /* Table1092 */
-  0x8f5, /* PUSHSS16 */
+  0x9cd, /* SBB16i16 */
 /* Table1093 */
-  0x87e, /* POPSS16 */
+  0x8dc, /* PUSHDS16 */
 /* Table1094 */
-  0x9dc, /* SBB16mr */
-  0x9e0, /* SBB16rr */
-/* Table1096 */
-  0x9df, /* SBB16rm */
-  0x9e1, /* SBB16rr_REV */
-/* Table1098 */
-  0x9d9, /* SBB16i16 */
+  0x865, /* POPDS16 */
+/* Table1095 */
+  0xae, /* AND16mr */
+  0xb2, /* AND16rr */
+/* Table1097 */
+  0xb1, /* AND16rm */
+  0xb3, /* AND16rr_REV */
 /* Table1099 */
-  0x8e8, /* PUSHDS16 */
+  0xab, /* AND16i16 */
 /* Table1100 */
-  0x871, /* POPDS16 */
-/* Table1101 */
-  0xad, /* AND16mr */
-  0xb1, /* AND16rr */
-/* Table1103 */
-  0xb0, /* AND16rm */
-  0xb2, /* AND16rr_REV */
+  0xacd, /* SUB16mr */
+  0xad1, /* SUB16rr */
+/* Table1102 */
+  0xad0, /* SUB16rm */
+  0xad2, /* SUB16rr_REV */
+/* Table1104 */
+  0xaca, /* SUB16i16 */
 /* Table1105 */
-  0xaa, /* AND16i16 */
-/* Table1106 */
-  0xad2, /* SUB16mr */
-  0xad6, /* SUB16rr */
-/* Table1108 */
-  0xad5, /* SUB16rm */
-  0xad7, /* SUB16rr_REV */
+  0x1843, /* XOR16mr */
+  0x1847, /* XOR16rr */
+/* Table1107 */
+  0x1846, /* XOR16rm */
+  0x1848, /* XOR16rr_REV */
+/* Table1109 */
+  0x1840, /* XOR16i16 */
 /* Table1110 */
-  0xacf, /* SUB16i16 */
-/* Table1111 */
-  0x151a, /* XOR16mr */
-  0x151e, /* XOR16rr */
-/* Table1113 */
-  0x151d, /* XOR16rm */
-  0x151f, /* XOR16rr_REV */
+  0x207, /* CMP16mr */
+  0x20b, /* CMP16rr */
+/* Table1112 */
+  0x20a, /* CMP16rm */
+  0x20c, /* CMP16rr_REV */
+/* Table1114 */
+  0x204, /* CMP16i16 */
 /* Table1115 */
-  0x1517, /* XOR16i16 */
+  0x381, /* INC16r */
 /* Table1116 */
-  0x231, /* CMP16mr */
-  0x235, /* CMP16rr */
+  0x28f, /* DEC16r */
+/* Table1117 */
+  0x8cb, /* PUSH16r */
 /* Table1118 */
-  0x234, /* CMP16rm */
-  0x236, /* CMP16rr_REV */
+  0x854, /* POP16r */
+/* Table1119 */
+  0x8d8, /* PUSHA16 */
 /* Table1120 */
-  0x22e, /* CMP16i16 */
+  0x85d, /* POPA16 */
 /* Table1121 */
-  0x3b2, /* INC16r */
-/* Table1122 */
-  0x2ba, /* DEC16r */
+  0x117, /* BOUNDS16rm */
+  0x0, /*  */
 /* Table1123 */
-  0x8d7, /* PUSH16r */
+  0x8eb, /* PUSHi16 */
 /* Table1124 */
-  0x860, /* POP16r */
-/* Table1125 */
-  0x8e4, /* PUSHA16 */
+  0x363, /* IMUL16rmi */
+  0x366, /* IMUL16rri */
 /* Table1126 */
-  0x869, /* POPA16 */
+  0x8ca, /* PUSH16i8 */
 /* Table1127 */
-  0x141, /* BOUNDS16rm */
-  0x0, /*  */
+  0x364, /* IMUL16rmi8 */
+  0x367, /* IMUL16rri8 */
 /* Table1129 */
-  0x8f7, /* PUSHi16 */
+  0x394, /* INSW */
 /* Table1130 */
-  0x391, /* IMUL16rmi */
-  0x394, /* IMUL16rri */
-/* Table1132 */
-  0x8d6, /* PUSH16i8 */
-/* Table1133 */
-  0x392, /* IMUL16rmi8 */
-  0x395, /* IMUL16rri8 */
-/* Table1135 */
-  0x3a8, /* IN16 */
-/* Table1136 */
-  0x778, /* OUTSW */
-/* Table1137 */
-  0x46, /* ADD16mi */
-  0x749, /* OR16mi */
-  0x20, /* ADC16mi */
-  0x9da, /* SBB16mi */
-  0xab, /* AND16mi */
-  0xad0, /* SUB16mi */
-  0x1518, /* XOR16mi */
-  0x22f, /* CMP16mi */
-  0x49, /* ADD16ri */
-  0x74c, /* OR16ri */
-  0x23, /* ADC16ri */
-  0x9dd, /* SBB16ri */
-  0xae, /* AND16ri */
-  0xad3, /* SUB16ri */
-  0x151b, /* XOR16ri */
-  0x232, /* CMP16ri */
-/* Table1153 */
-  0x47, /* ADD16mi8 */
-  0x74a, /* OR16mi8 */
-  0x21, /* ADC16mi8 */
-  0x9db, /* SBB16mi8 */
-  0xac, /* AND16mi8 */
-  0xad1, /* SUB16mi8 */
-  0x1519, /* XOR16mi8 */
-  0x230, /* CMP16mi8 */
-  0x4a, /* ADD16ri8 */
-  0x74d, /* OR16ri8 */
-  0x24, /* ADC16ri8 */
-  0x9de, /* SBB16ri8 */
-  0xaf, /* AND16ri8 */
-  0xad4, /* SUB16ri8 */
-  0x151c, /* XOR16ri8 */
-  0x233, /* CMP16ri8 */
+  0x76c, /* OUTSW */
+/* Table1131 */
+  0x47, /* ADD16mi */
+  0x73d, /* OR16mi */
+  0x21, /* ADC16mi */
+  0x9ce, /* SBB16mi */
+  0xac, /* AND16mi */
+  0xacb, /* SUB16mi */
+  0x1841, /* XOR16mi */
+  0x205, /* CMP16mi */
+  0x4a, /* ADD16ri */
+  0x740, /* OR16ri */
+  0x24, /* ADC16ri */
+  0x9d1, /* SBB16ri */
+  0xaf, /* AND16ri */
+  0xace, /* SUB16ri */
+  0x1844, /* XOR16ri */
+  0x208, /* CMP16ri */
+/* Table1147 */
+  0x48, /* ADD16mi8 */
+  0x73e, /* OR16mi8 */
+  0x22, /* ADC16mi8 */
+  0x9cf, /* SBB16mi8 */
+  0xad, /* AND16mi8 */
+  0xacc, /* SUB16mi8 */
+  0x1842, /* XOR16mi8 */
+  0x206, /* CMP16mi8 */
+  0x4b, /* ADD16ri8 */
+  0x741, /* OR16ri8 */
+  0x25, /* ADC16ri8 */
+  0x9d2, /* SBB16ri8 */
+  0xb0, /* AND16ri8 */
+  0xacf, /* SUB16ri8 */
+  0x1845, /* XOR16ri8 */
+  0x209, /* CMP16ri8 */
+/* Table1163 */
+  0xb3c, /* TEST16rm */
+  0xb3d, /* TEST16rr */
+/* Table1165 */
+  0x182c, /* XCHG16rm */
+  0x182d, /* XCHG16rr */
+/* Table1167 */
+  0x625, /* MOV16mr */
+  0x62c, /* MOV16rr */
 /* Table1169 */
-  0xb41, /* TEST16rm */
-  0xb42, /* TEST16rr */
+  0x62b, /* MOV16rm */
+  0x62d, /* MOV16rr_REV */
 /* Table1171 */
-  0x1503, /* XCHG16rm */
-  0x1504, /* XCHG16rr */
+  0x626, /* MOV16ms */
+  0x62e, /* MOV16rs */
 /* Table1173 */
-  0x631, /* MOV16mr */
-  0x638, /* MOV16rr */
+  0x4bd, /* LEA16r */
+  0x0, /*  */
 /* Table1175 */
-  0x637, /* MOV16rm */
-  0x639, /* MOV16rr_REV */
+  0x62f, /* MOV16sm */
+  0x630, /* MOV16sr */
 /* Table1177 */
-  0x632, /* MOV16ms */
-  0x63a, /* MOV16rs */
-/* Table1179 */
-  0x4ca, /* LEA16r */
-  0x0, /*  */
-/* Table1181 */
-  0x63b, /* MOV16sm */
-  0x63c, /* MOV16sr */
-/* Table1183 */
-  0x861, /* POP16rmm */
+  0x855, /* POP16rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44530,7 +50177,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x862, /* POP16rmr */
+  0x856, /* POP16rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44538,65 +50185,65 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1193 */
+  0x182b, /* XCHG16ar */
+/* Table1194 */
+  0x164, /* CBW */
+/* Table1195 */
+  0x289, /* CWD */
+/* Table1196 */
+  0x2ea, /* FARCALL16i */
+/* Table1197 */
+  0x8e0, /* PUSHF16 */
+/* Table1198 */
+  0x869, /* POPF16 */
 /* Table1199 */
-  0x1502, /* XCHG16ar */
+  0x627, /* MOV16o16a */
 /* Table1200 */
-  0x18e, /* CBW */
+  0x622, /* MOV16ao16 */
 /* Table1201 */
-  0x2b4, /* CWD */
+  0x6b8, /* MOVSW */
 /* Table1202 */
-  0x315, /* FARCALL16i */
+  0x239, /* CMPSW */
 /* Table1203 */
-  0x8ec, /* PUSHF16 */
+  0xb37, /* TEST16i16 */
 /* Table1204 */
-  0x875, /* POPF16 */
+  0xab1, /* STOSW */
 /* Table1205 */
-  0x633, /* MOV16o16a */
+  0x519, /* LODSW */
 /* Table1206 */
-  0x62e, /* MOV16ao16 */
+  0x9f2, /* SCASW */
 /* Table1207 */
-  0x6c4, /* MOVSW */
+  0x629, /* MOV16ri */
 /* Table1208 */
-  0x258, /* CMPS16 */
-/* Table1209 */
-  0xb3c, /* TEST16i16 */
-/* Table1210 */
-  0xab6, /* STOSW */
-/* Table1211 */
-  0x526, /* LODSW */
-/* Table1212 */
-  0x9fb, /* SCAS16 */
-/* Table1213 */
-  0x635, /* MOV16ri */
-/* Table1214 */
-  0x95e, /* ROL16mi */
-  0x976, /* ROR16mi */
-  0x8fd, /* RCL16mi */
-  0x91d, /* RCR16mi */
-  0xa39, /* SHL16mi */
-  0xa61, /* SHR16mi */
-  0x9a6, /* SAL16mi */
-  0x9bf, /* SAR16mi */
-  0x961, /* ROL16ri */
-  0x979, /* ROR16ri */
-  0x900, /* RCL16ri */
-  0x920, /* RCR16ri */
-  0xa3c, /* SHL16ri */
-  0xa64, /* SHR16ri */
-  0x9a9, /* SAL16ri */
-  0x9c2, /* SAR16ri */
+  0x952, /* ROL16mi */
+  0x96a, /* ROR16mi */
+  0x8f1, /* RCL16mi */
+  0x911, /* RCR16mi */
+  0xa35, /* SHL16mi */
+  0xa5d, /* SHR16mi */
+  0x99a, /* SAL16mi */
+  0x9b3, /* SAR16mi */
+  0x955, /* ROL16ri */
+  0x96d, /* ROR16ri */
+  0x8f4, /* RCL16ri */
+  0x914, /* RCR16ri */
+  0xa38, /* SHL16ri */
+  0xa60, /* SHR16ri */
+  0x99d, /* SAL16ri */
+  0x9b6, /* SAR16ri */
+/* Table1224 */
+  0x94b, /* RETIW */
+/* Table1225 */
+  0x94e, /* RETW */
+/* Table1226 */
+  0x4c3, /* LES16rm */
+  0x0, /*  */
+/* Table1228 */
+  0x4a9, /* LDS16rm */
+  0x0, /*  */
 /* Table1230 */
-  0x957, /* RETIW */
-/* Table1231 */
-  0x95a, /* RETW */
-/* Table1232 */
-  0x4d0, /* LES16rm */
-  0x0, /*  */
-/* Table1234 */
-  0x4b6, /* LDS16rm */
-  0x0, /*  */
-/* Table1236 */
-  0x630, /* MOV16mi */
+  0x624, /* MOV16mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44604,14 +50251,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
-  0x636, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
+  0x62a, /* MOV16ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44660,7 +50307,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1501, /* XBEGIN_4 */
+  0x182a, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44668,269 +50315,269 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1308 */
-  0x52c, /* LRETIW */
-/* Table1309 */
-  0x52f, /* LRETW */
-/* Table1310 */
-  0x3d1, /* IRET16 */
-/* Table1311 */
-  0x95c, /* ROL16m1 */
-  0x974, /* ROR16m1 */
-  0x8fb, /* RCL16m1 */
-  0x91b, /* RCR16m1 */
-  0xa37, /* SHL16m1 */
-  0xa5f, /* SHR16m1 */
-  0x9a4, /* SAL16m1 */
-  0x9bd, /* SAR16m1 */
-  0x95f, /* ROL16r1 */
-  0x977, /* ROR16r1 */
-  0x8fe, /* RCL16r1 */
-  0x91e, /* RCR16r1 */
-  0xa3a, /* SHL16r1 */
-  0xa62, /* SHR16r1 */
-  0x9a7, /* SAL16r1 */
-  0x9c0, /* SAR16r1 */
-/* Table1327 */
-  0x95d, /* ROL16mCL */
-  0x975, /* ROR16mCL */
-  0x8fc, /* RCL16mCL */
-  0x91c, /* RCR16mCL */
-  0xa38, /* SHL16mCL */
-  0xa60, /* SHR16mCL */
-  0x9a5, /* SAL16mCL */
-  0x9be, /* SAR16mCL */
-  0x960, /* ROL16rCL */
-  0x978, /* ROR16rCL */
-  0x8ff, /* RCL16rCL */
-  0x91f, /* RCR16rCL */
-  0xa3b, /* SHL16rCL */
-  0xa63, /* SHR16rCL */
-  0x9a8, /* SAL16rCL */
-  0x9c1, /* SAR16rCL */
+/* Table1302 */
+  0x51f, /* LRETIW */
+/* Table1303 */
+  0x522, /* LRETW */
+/* Table1304 */
+  0x3a3, /* IRET16 */
+/* Table1305 */
+  0x950, /* ROL16m1 */
+  0x968, /* ROR16m1 */
+  0x8ef, /* RCL16m1 */
+  0x90f, /* RCR16m1 */
+  0xa33, /* SHL16m1 */
+  0xa5b, /* SHR16m1 */
+  0x998, /* SAL16m1 */
+  0x9b1, /* SAR16m1 */
+  0x953, /* ROL16r1 */
+  0x96b, /* ROR16r1 */
+  0x8f2, /* RCL16r1 */
+  0x912, /* RCR16r1 */
+  0xa36, /* SHL16r1 */
+  0xa5e, /* SHR16r1 */
+  0x99b, /* SAL16r1 */
+  0x9b4, /* SAR16r1 */
+/* Table1321 */
+  0x951, /* ROL16mCL */
+  0x969, /* ROR16mCL */
+  0x8f0, /* RCL16mCL */
+  0x910, /* RCR16mCL */
+  0xa34, /* SHL16mCL */
+  0xa5c, /* SHR16mCL */
+  0x999, /* SAL16mCL */
+  0x9b2, /* SAR16mCL */
+  0x954, /* ROL16rCL */
+  0x96c, /* ROR16rCL */
+  0x8f3, /* RCL16rCL */
+  0x913, /* RCR16rCL */
+  0xa37, /* SHL16rCL */
+  0xa5f, /* SHR16rCL */
+  0x99c, /* SAL16rCL */
+  0x9b5, /* SAR16rCL */
+/* Table1337 */
+  0x37a, /* IN16ri */
+/* Table1338 */
+  0x764, /* OUT16ir */
+/* Table1339 */
+  0x162, /* CALLpcrel16 */
+/* Table1340 */
+  0x44e, /* JMP_2 */
+/* Table1341 */
+  0x2ef, /* FARJMP16i */
+/* Table1342 */
+  0x37b, /* IN16rr */
 /* Table1343 */
-  0x3a9, /* IN16ri */
+  0x765, /* OUT16rr */
 /* Table1344 */
-  0x770, /* OUT16ir */
-/* Table1345 */
-  0x18c, /* CALLpcrel16 */
-/* Table1346 */
-  0x47c, /* JMP_2 */
-/* Table1347 */
-  0x31a, /* FARJMP16i */
-/* Table1348 */
-  0x3aa, /* IN16rr */
-/* Table1349 */
-  0x771, /* OUT16rr */
-/* Table1350 */
-  0xb3d, /* TEST16mi */
-  0xb3e, /* TEST16mi_alt */
-  0x740, /* NOT16m */
-  0x719, /* NEG16m */
-  0x6eb, /* MUL16m */
-  0x38e, /* IMUL16m */
-  0x2c7, /* DIV16m */
-  0x37a, /* IDIV16m */
-  0xb3f, /* TEST16ri */
-  0xb40, /* TEST16ri_alt */
-  0x741, /* NOT16r */
-  0x71a, /* NEG16r */
-  0x6ec, /* MUL16r */
-  0x38f, /* IMUL16r */
-  0x2c8, /* DIV16r */
-  0x37b, /* IDIV16r */
-/* Table1366 */
-  0x3b1, /* INC16m */
-  0x2b9, /* DEC16m */
-  0x185, /* CALL16m */
-  0x316, /* FARCALL16m */
-  0x475, /* JMP16m */
-  0x31b, /* FARJMP16m */
-  0x8d8, /* PUSH16rmm */
+  0xb38, /* TEST16mi */
+  0xb39, /* TEST16mi_alt */
+  0x734, /* NOT16m */
+  0x70d, /* NEG16m */
+  0x6df, /* MUL16m */
+  0x360, /* IMUL16m */
+  0x29c, /* DIV16m */
+  0x34c, /* IDIV16m */
+  0xb3a, /* TEST16ri */
+  0xb3b, /* TEST16ri_alt */
+  0x735, /* NOT16r */
+  0x70e, /* NEG16r */
+  0x6e0, /* MUL16r */
+  0x361, /* IMUL16r */
+  0x29d, /* DIV16r */
+  0x34d, /* IDIV16r */
+/* Table1360 */
+  0x380, /* INC16m */
+  0x28e, /* DEC16m */
+  0x15b, /* CALL16m */
+  0x2eb, /* FARCALL16m */
+  0x447, /* JMP16m */
+  0x2f0, /* FARJMP16m */
+  0x8cc, /* PUSH16rmm */
   0x0, /*  */
-  0x3b3, /* INC32_16r */
-  0x2bb, /* DEC32_16r */
-  0x186, /* CALL16r */
+  0x382, /* INC32_16r */
+  0x290, /* DEC32_16r */
+  0x15c, /* CALL16r */
   0x0, /*  */
-  0x476, /* JMP16r */
+  0x448, /* JMP16r */
   0x0, /*  */
-  0x8d9, /* PUSH16rmr */
+  0x8cd, /* PUSH16rmr */
   0x0, /*  */
+/* Table1376 */
+  0x435, /* JCXZ */
+/* Table1377 */
+  0x791, /* PAUSE */
+/* Table1378 */
+  0x61, /* ADD64mr */
+  0x67, /* ADD64rr */
+/* Table1380 */
+  0x66, /* ADD64rm */
+  0x69, /* ADD64rr_REV */
 /* Table1382 */
-  0x463, /* JCXZ */
+  0x5e, /* ADD64i32 */
 /* Table1383 */
-  0x79d, /* PAUSE */
-/* Table1384 */
-  0x60, /* ADD64mr */
-  0x66, /* ADD64rr */
-/* Table1386 */
-  0x65, /* ADD64rm */
-  0x68, /* ADD64rr_REV */
+  0x752, /* OR64mr */
+  0x756, /* OR64rr */
+/* Table1385 */
+  0x755, /* OR64rm */
+  0x757, /* OR64rr_REV */
+/* Table1387 */
+  0x74f, /* OR64i32 */
 /* Table1388 */
-  0x5d, /* ADD64i32 */
-/* Table1389 */
-  0x75e, /* OR64mr */
-  0x762, /* OR64rr */
-/* Table1391 */
-  0x761, /* OR64rm */
-  0x763, /* OR64rr_REV */
+  0x35, /* ADC64mr */
+  0x39, /* ADC64rr */
+/* Table1390 */
+  0x38, /* ADC64rm */
+  0x3a, /* ADC64rr_REV */
+/* Table1392 */
+  0x32, /* ADC64i32 */
 /* Table1393 */
-  0x75b, /* OR64i32 */
-/* Table1394 */
-  0x34, /* ADC64mr */
-  0x38, /* ADC64rr */
-/* Table1396 */
-  0x37, /* ADC64rm */
-  0x39, /* ADC64rr_REV */
+  0x9e2, /* SBB64mr */
+  0x9e6, /* SBB64rr */
+/* Table1395 */
+  0x9e5, /* SBB64rm */
+  0x9e7, /* SBB64rr_REV */
+/* Table1397 */
+  0x9df, /* SBB64i32 */
 /* Table1398 */
-  0x31, /* ADC64i32 */
-/* Table1399 */
-  0x9ee, /* SBB64mr */
-  0x9f2, /* SBB64rr */
-/* Table1401 */
-  0x9f1, /* SBB64rm */
-  0x9f3, /* SBB64rr_REV */
+  0xc0, /* AND64mr */
+  0xc4, /* AND64rr */
+/* Table1400 */
+  0xc3, /* AND64rm */
+  0xc5, /* AND64rr_REV */
+/* Table1402 */
+  0xbd, /* AND64i32 */
 /* Table1403 */
-  0x9eb, /* SBB64i32 */
-/* Table1404 */
-  0xbf, /* AND64mr */
-  0xc3, /* AND64rr */
-/* Table1406 */
-  0xc2, /* AND64rm */
-  0xc4, /* AND64rr_REV */
+  0xadf, /* SUB64mr */
+  0xae3, /* SUB64rr */
+/* Table1405 */
+  0xae2, /* SUB64rm */
+  0xae4, /* SUB64rr_REV */
+/* Table1407 */
+  0xadc, /* SUB64i32 */
 /* Table1408 */
-  0xbc, /* AND64i32 */
-/* Table1409 */
-  0xae4, /* SUB64mr */
-  0xae8, /* SUB64rr */
-/* Table1411 */
-  0xae7, /* SUB64rm */
-  0xae9, /* SUB64rr_REV */
+  0x1855, /* XOR64mr */
+  0x1859, /* XOR64rr */
+/* Table1410 */
+  0x1858, /* XOR64rm */
+  0x185a, /* XOR64rr_REV */
+/* Table1412 */
+  0x1852, /* XOR64i32 */
 /* Table1413 */
-  0xae1, /* SUB64i32 */
-/* Table1414 */
-  0x152c, /* XOR64mr */
-  0x1530, /* XOR64rr */
-/* Table1416 */
-  0x152f, /* XOR64rm */
-  0x1531, /* XOR64rr_REV */
+  0x219, /* CMP64mr */
+  0x21d, /* CMP64rr */
+/* Table1415 */
+  0x21c, /* CMP64rm */
+  0x21e, /* CMP64rr_REV */
+/* Table1417 */
+  0x216, /* CMP64i32 */
 /* Table1418 */
-  0x1529, /* XOR64i32 */
-/* Table1419 */
-  0x243, /* CMP64mr */
-  0x247, /* CMP64rr */
-/* Table1421 */
-  0x246, /* CMP64rm */
-  0x248, /* CMP64rr_REV */
-/* Table1423 */
-  0x240, /* CMP64i32 */
+  0x6c0, /* MOVSX64rm32 */
+  0x6c3, /* MOVSX64rr32 */
+/* Table1420 */
+  0x373, /* IMUL64rmi32 */
+  0x376, /* IMUL64rri32 */
+/* Table1422 */
+  0x374, /* IMUL64rmi8 */
+  0x377, /* IMUL64rri8 */
 /* Table1424 */
-  0x6cc, /* MOVSX64rm32 */
-  0x6cf, /* MOVSX64rr32 */
-/* Table1426 */
-  0x3a1, /* IMUL64rmi32 */
-  0x3a4, /* IMUL64rri32 */
-/* Table1428 */
-  0x3a2, /* IMUL64rmi8 */
-  0x3a5, /* IMUL64rri8 */
-/* Table1430 */
-  0x5e, /* ADD64mi32 */
-  0x75c, /* OR64mi32 */
-  0x32, /* ADC64mi32 */
-  0x9ec, /* SBB64mi32 */
-  0xbd, /* AND64mi32 */
-  0xae2, /* SUB64mi32 */
-  0x152a, /* XOR64mi32 */
-  0x241, /* CMP64mi32 */
-  0x61, /* ADD64ri32 */
-  0x75f, /* OR64ri32 */
-  0x35, /* ADC64ri32 */
-  0x9ef, /* SBB64ri32 */
-  0xc0, /* AND64ri32 */
-  0xae5, /* SUB64ri32 */
-  0x152d, /* XOR64ri32 */
-  0x244, /* CMP64ri32 */
-/* Table1446 */
-  0x5f, /* ADD64mi8 */
-  0x75d, /* OR64mi8 */
-  0x33, /* ADC64mi8 */
-  0x9ed, /* SBB64mi8 */
-  0xbe, /* AND64mi8 */
-  0xae3, /* SUB64mi8 */
-  0x152b, /* XOR64mi8 */
-  0x242, /* CMP64mi8 */
-  0x63, /* ADD64ri8 */
-  0x760, /* OR64ri8 */
-  0x36, /* ADC64ri8 */
-  0x9f0, /* SBB64ri8 */
-  0xc1, /* AND64ri8 */
-  0xae6, /* SUB64ri8 */
-  0x152e, /* XOR64ri8 */
-  0x245, /* CMP64ri8 */
+  0x5f, /* ADD64mi32 */
+  0x750, /* OR64mi32 */
+  0x33, /* ADC64mi32 */
+  0x9e0, /* SBB64mi32 */
+  0xbe, /* AND64mi32 */
+  0xadd, /* SUB64mi32 */
+  0x1853, /* XOR64mi32 */
+  0x217, /* CMP64mi32 */
+  0x62, /* ADD64ri32 */
+  0x753, /* OR64ri32 */
+  0x36, /* ADC64ri32 */
+  0x9e3, /* SBB64ri32 */
+  0xc1, /* AND64ri32 */
+  0xae0, /* SUB64ri32 */
+  0x1856, /* XOR64ri32 */
+  0x21a, /* CMP64ri32 */
+/* Table1440 */
+  0x60, /* ADD64mi8 */
+  0x751, /* OR64mi8 */
+  0x34, /* ADC64mi8 */
+  0x9e1, /* SBB64mi8 */
+  0xbf, /* AND64mi8 */
+  0xade, /* SUB64mi8 */
+  0x1854, /* XOR64mi8 */
+  0x218, /* CMP64mi8 */
+  0x64, /* ADD64ri8 */
+  0x754, /* OR64ri8 */
+  0x37, /* ADC64ri8 */
+  0x9e4, /* SBB64ri8 */
+  0xc2, /* AND64ri8 */
+  0xae1, /* SUB64ri8 */
+  0x1857, /* XOR64ri8 */
+  0x21b, /* CMP64ri8 */
+/* Table1456 */
+  0xb4a, /* TEST64rm */
+  0xb4b, /* TEST64rr */
+/* Table1458 */
+  0x1833, /* XCHG64rm */
+  0x1834, /* XCHG64rr */
+/* Table1460 */
+  0x64d, /* MOV64mr */
+  0x658, /* MOV64rr */
 /* Table1462 */
-  0xb4f, /* TEST64rm */
-  0xb50, /* TEST64rr */
+  0x657, /* MOV64rm */
+  0x659, /* MOV64rr_REV */
 /* Table1464 */
-  0x150a, /* XCHG64rm */
-  0x150b, /* XCHG64rr */
+  0x64e, /* MOV64ms */
+  0x65a, /* MOV64rs */
 /* Table1466 */
-  0x659, /* MOV64mr */
-  0x664, /* MOV64rr */
+  0x4c0, /* LEA64r */
+  0x0, /*  */
 /* Table1468 */
-  0x663, /* MOV64rm */
-  0x665, /* MOV64rr_REV */
+  0x65b, /* MOV64sm */
+  0x65c, /* MOV64sr */
 /* Table1470 */
-  0x65a, /* MOV64ms */
-  0x666, /* MOV64rs */
+  0x1832, /* XCHG64ar */
+/* Table1471 */
+  0x166, /* CDQE */
 /* Table1472 */
-  0x4cd, /* LEA64r */
-  0x0, /*  */
+  0x252, /* CQO */
+/* Table1473 */
+  0x651, /* MOV64o64a */
 /* Table1474 */
-  0x667, /* MOV64sm */
-  0x668, /* MOV64sr */
+  0x648, /* MOV64ao64 */
+/* Table1475 */
+  0x6b1, /* MOVSQ */
 /* Table1476 */
-  0x1509, /* XCHG64ar */
+  0x234, /* CMPSQ */
 /* Table1477 */
-  0x190, /* CDQE */
+  0xb45, /* TEST64i32 */
 /* Table1478 */
-  0x27c, /* CQO */
+  0xab0, /* STOSQ */
 /* Table1479 */
-  0x65d, /* MOV64o64a */
+  0x518, /* LODSQ */
 /* Table1480 */
-  0x654, /* MOV64ao64 */
+  0x9f1, /* SCASQ */
 /* Table1481 */
-  0x6bd, /* MOVSQ */
+  0x655, /* MOV64ri */
 /* Table1482 */
-  0x25a, /* CMPS64 */
-/* Table1483 */
-  0xb4a, /* TEST64i32 */
-/* Table1484 */
-  0xab5, /* STOSQ */
-/* Table1485 */
-  0x525, /* LODSQ */
-/* Table1486 */
-  0x9fd, /* SCAS64 */
-/* Table1487 */
-  0x661, /* MOV64ri */
-/* Table1488 */
-  0x96a, /* ROL64mi */
-  0x982, /* ROR64mi */
-  0x909, /* RCL64mi */
-  0x929, /* RCR64mi */
-  0xa45, /* SHL64mi */
-  0xa6d, /* SHR64mi */
-  0x9b2, /* SAL64mi */
-  0x9cb, /* SAR64mi */
-  0x96d, /* ROL64ri */
-  0x985, /* ROR64ri */
-  0x90c, /* RCL64ri */
-  0x92c, /* RCR64ri */
-  0xa48, /* SHL64ri */
-  0xa70, /* SHR64ri */
-  0x9b5, /* SAL64ri */
-  0x9ce, /* SAR64ri */
-/* Table1504 */
-  0x658, /* MOV64mi32 */
+  0x95e, /* ROL64mi */
+  0x976, /* ROR64mi */
+  0x8fd, /* RCL64mi */
+  0x91d, /* RCR64mi */
+  0xa41, /* SHL64mi */
+  0xa69, /* SHR64mi */
+  0x9a6, /* SAL64mi */
+  0x9bf, /* SAR64mi */
+  0x961, /* ROL64ri */
+  0x979, /* ROR64ri */
+  0x900, /* RCL64ri */
+  0x920, /* RCR64ri */
+  0xa44, /* SHL64ri */
+  0xa6c, /* SHR64ri */
+  0x9a9, /* SAL64ri */
+  0x9c2, /* SAR64ri */
+/* Table1498 */
+  0x64c, /* MOV64mi32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44938,14 +50585,14 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
-  0x662, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
+  0x656, /* MOV64ri32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -44994,7 +50641,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1501, /* XBEGIN_4 */
+  0x182a, /* XBEGIN_4 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45002,188 +50649,188 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1576 */
-  0x52b, /* LRETIQ */
-/* Table1577 */
-  0x52e, /* LRETQ */
-/* Table1578 */
-  0x3d3, /* IRET64 */
-/* Table1579 */
-  0x968, /* ROL64m1 */
-  0x980, /* ROR64m1 */
-  0x907, /* RCL64m1 */
-  0x927, /* RCR64m1 */
-  0xa43, /* SHL64m1 */
-  0xa6b, /* SHR64m1 */
-  0x9b0, /* SAL64m1 */
-  0x9c9, /* SAR64m1 */
-  0x96b, /* ROL64r1 */
-  0x983, /* ROR64r1 */
-  0x90a, /* RCL64r1 */
-  0x92a, /* RCR64r1 */
-  0xa46, /* SHL64r1 */
-  0xa6e, /* SHR64r1 */
-  0x9b3, /* SAL64r1 */
-  0x9cc, /* SAR64r1 */
-/* Table1595 */
-  0x969, /* ROL64mCL */
-  0x981, /* ROR64mCL */
-  0x908, /* RCL64mCL */
-  0x928, /* RCR64mCL */
-  0xa44, /* SHL64mCL */
-  0xa6c, /* SHR64mCL */
-  0x9b1, /* SAL64mCL */
-  0x9ca, /* SAR64mCL */
-  0x96c, /* ROL64rCL */
-  0x984, /* ROR64rCL */
-  0x90b, /* RCL64rCL */
-  0x92b, /* RCR64rCL */
-  0xa47, /* SHL64rCL */
-  0xa6f, /* SHR64rCL */
-  0x9b4, /* SAL64rCL */
-  0x9cd, /* SAR64rCL */
-/* Table1611 */
-  0xb4b, /* TEST64mi32 */
-  0xb4c, /* TEST64mi32_alt */
-  0x744, /* NOT64m */
-  0x71d, /* NEG64m */
-  0x6ef, /* MUL64m */
-  0x39e, /* IMUL64m */
-  0x2cb, /* DIV64m */
-  0x37e, /* IDIV64m */
-  0xb4d, /* TEST64ri32 */
-  0xb4e, /* TEST64ri32_alt */
-  0x745, /* NOT64r */
-  0x71e, /* NEG64r */
-  0x6f0, /* MUL64r */
-  0x39f, /* IMUL64r */
-  0x2cc, /* DIV64r */
-  0x37f, /* IDIV64r */
-/* Table1627 */
-  0x3bb, /* INC64m */
-  0x2c3, /* DEC64m */
-  0x189, /* CALL64m */
-  0x319, /* FARCALL64 */
-  0x479, /* JMP64m */
-  0x31e, /* FARJMP64 */
-  0x8e2, /* PUSH64rmm */
+/* Table1570 */
+  0x51e, /* LRETIQ */
+/* Table1571 */
+  0x521, /* LRETQ */
+/* Table1572 */
+  0x3a5, /* IRET64 */
+/* Table1573 */
+  0x95c, /* ROL64m1 */
+  0x974, /* ROR64m1 */
+  0x8fb, /* RCL64m1 */
+  0x91b, /* RCR64m1 */
+  0xa3f, /* SHL64m1 */
+  0xa67, /* SHR64m1 */
+  0x9a4, /* SAL64m1 */
+  0x9bd, /* SAR64m1 */
+  0x95f, /* ROL64r1 */
+  0x977, /* ROR64r1 */
+  0x8fe, /* RCL64r1 */
+  0x91e, /* RCR64r1 */
+  0xa42, /* SHL64r1 */
+  0xa6a, /* SHR64r1 */
+  0x9a7, /* SAL64r1 */
+  0x9c0, /* SAR64r1 */
+/* Table1589 */
+  0x95d, /* ROL64mCL */
+  0x975, /* ROR64mCL */
+  0x8fc, /* RCL64mCL */
+  0x91c, /* RCR64mCL */
+  0xa40, /* SHL64mCL */
+  0xa68, /* SHR64mCL */
+  0x9a5, /* SAL64mCL */
+  0x9be, /* SAR64mCL */
+  0x960, /* ROL64rCL */
+  0x978, /* ROR64rCL */
+  0x8ff, /* RCL64rCL */
+  0x91f, /* RCR64rCL */
+  0xa43, /* SHL64rCL */
+  0xa6b, /* SHR64rCL */
+  0x9a8, /* SAL64rCL */
+  0x9c1, /* SAR64rCL */
+/* Table1605 */
+  0xb46, /* TEST64mi32 */
+  0xb47, /* TEST64mi32_alt */
+  0x738, /* NOT64m */
+  0x711, /* NEG64m */
+  0x6e3, /* MUL64m */
+  0x370, /* IMUL64m */
+  0x2a0, /* DIV64m */
+  0x350, /* IDIV64m */
+  0xb48, /* TEST64ri32 */
+  0xb49, /* TEST64ri32_alt */
+  0x739, /* NOT64r */
+  0x712, /* NEG64r */
+  0x6e4, /* MUL64r */
+  0x371, /* IMUL64r */
+  0x2a1, /* DIV64r */
+  0x351, /* IDIV64r */
+/* Table1621 */
+  0x38a, /* INC64m */
+  0x298, /* DEC64m */
+  0x15f, /* CALL64m */
+  0x2ee, /* FARCALL64 */
+  0x44b, /* JMP64m */
+  0x2f3, /* FARJMP64 */
+  0x8d6, /* PUSH64rmm */
   0x0, /*  */
-  0x3bc, /* INC64r */
-  0x2c4, /* DEC64r */
-  0x18b, /* CALL64r */
+  0x38b, /* INC64r */
+  0x299, /* DEC64r */
+  0x161, /* CALL64r */
   0x0, /*  */
-  0x47a, /* JMP64r */
-  0x0, /*  */
-  0x8e3, /* PUSH64rmr */
-  0x0, /*  */
-/* Table1643 */
-  0x8de, /* PUSH64i16 */
-/* Table1644 */
-  0x65b, /* MOV64o16a */
-/* Table1645 */
-  0x652, /* MOV64ao16 */
-/* Table1646 */
-  0x3b7, /* INC64_16m */
-  0x2bf, /* DEC64_16m */
-  0x189, /* CALL64m */
-  0x316, /* FARCALL16m */
-  0x479, /* JMP64m */
-  0x31b, /* FARJMP16m */
-  0x8d8, /* PUSH16rmm */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x3b8, /* INC64_16r */
-  0x2c0, /* DEC64_16r */
-  0x18b, /* CALL64r */
+  0x8d7, /* PUSH64rmr */
   0x0, /*  */
-  0x47a, /* JMP64r */
+/* Table1637 */
+  0x8d2, /* PUSH64i16 */
+/* Table1638 */
+  0x64f, /* MOV64o16a */
+/* Table1639 */
+  0x646, /* MOV64ao16 */
+/* Table1640 */
+  0x386, /* INC64_16m */
+  0x294, /* DEC64_16m */
+  0x15f, /* CALL64m */
+  0x2eb, /* FARCALL16m */
+  0x44b, /* JMP64m */
+  0x2f0, /* FARJMP16m */
+  0x8cc, /* PUSH16rmm */
   0x0, /*  */
-  0x8d9, /* PUSH16rmr */
+  0x387, /* INC64_16r */
+  0x295, /* DEC64_16r */
+  0x161, /* CALL64r */
   0x0, /*  */
-/* Table1662 */
-  0x465, /* JECXZ_64 */
-/* Table1663 */
-  0x3bb, /* INC64m */
-  0x2c3, /* DEC64m */
-  0x189, /* CALL64m */
-  0x319, /* FARCALL64 */
-  0x479, /* JMP64m */
-  0x31e, /* FARJMP64 */
-  0x8d8, /* PUSH16rmm */
+  0x44c, /* JMP64r */
   0x0, /*  */
-  0x3bc, /* INC64r */
-  0x2c4, /* DEC64r */
-  0x18b, /* CALL64r */
+  0x8cd, /* PUSH16rmr */
   0x0, /*  */
-  0x47a, /* JMP64r */
+/* Table1656 */
+  0x437, /* JECXZ_64 */
+/* Table1657 */
+  0x38a, /* INC64m */
+  0x298, /* DEC64m */
+  0x15f, /* CALL64m */
+  0x2ee, /* FARCALL64 */
+  0x44b, /* JMP64m */
+  0x2f3, /* FARJMP64 */
+  0x8cc, /* PUSH16rmm */
   0x0, /*  */
-  0x8d9, /* PUSH16rmr */
+  0x38b, /* INC64r */
+  0x299, /* DEC64r */
+  0x161, /* CALL64r */
   0x0, /*  */
-/* Table1679 */
-  0xa93, /* SLDT16m */
-  0xaba, /* STRm */
-  0x4df, /* LLDT16m */
-  0x539, /* LTRm */
-  0xcdb, /* VERRm */
-  0xcdd, /* VERWm */
+  0x44c, /* JMP64r */
   0x0, /*  */
+  0x8cd, /* PUSH16rmr */
   0x0, /*  */
-  0xa95, /* SLDT32r */
-  0xab8, /* STR32r */
-  0x4e0, /* LLDT16r */
-  0x53a, /* LTRr */
-  0xcdc, /* VERRr */
-  0xcde, /* VERWr */
+/* Table1673 */
+  0xa8f, /* SLDT16m */
+  0xab5, /* STRm */
+  0x4d2, /* LLDT16m */
+  0x52c, /* LTRm */
+  0xcf6, /* VERRm */
+  0xcf8, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-/* Table1695 */
-  0xa27, /* SGDT32m */
-  0xa8c, /* SIDT32m */
-  0x4d7, /* LGDT32m */
-  0x4dd, /* LIDT32m */
-  0xa98, /* SMSW16m */
+  0xa91, /* SLDT32r */
+  0xab3, /* STR32r */
+  0x4d3, /* LLDT16r */
+  0x52d, /* LTRr */
+  0xcf7, /* VERRr */
+  0xcf9, /* VERWr */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+/* Table1689 */
+  0xa23, /* SGDT32m */
+  0xa88, /* SIDT32m */
+  0x4ca, /* LGDT32m */
+  0x4d0, /* LIDT32m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
   0x0, /*  */
   0x0, /*  */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
   0x0, /*  */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
   0x0, /*  */
-  0xffe, /* VMRUN32 */
-  0xf3a, /* VMMCALL */
-  0xf38, /* VMLOAD32 */
-  0x1000, /* VMSAVE32 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cb, /* INVLPGA32 */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1181, /* VMRUN32 */
+  0xf8d, /* VMMCALL */
+  0xf8b, /* VMLOAD32 */
+  0x1183, /* VMSAVE32 */
+  0xaab, /* STGI */
+  0x16f, /* CLGI */
+  0xa8e, /* SKINIT */
+  0x39d, /* INVLPGA32 */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45192,43 +50839,43 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1761 */
+  0x49d, /* LAR32rm */
+  0x49e, /* LAR32rr */
+/* Table1763 */
+  0x525, /* LSL32rm */
+  0x526, /* LSL32rr */
+/* Table1765 */
+  0xb21, /* SYSCALL */
+/* Table1766 */
+  0x171, /* CLTS */
 /* Table1767 */
-  0x4aa, /* LAR32rm */
-  0x4ab, /* LAR32rr */
+  0xb25, /* SYSRET */
+/* Table1768 */
+  0x399, /* INVD */
 /* Table1769 */
-  0x532, /* LSL32rm */
-  0x533, /* LSL32rr */
+  0x1816, /* WBINVD */
+/* Table1770 */
+  0xb5a, /* TRAP */
 /* Table1771 */
-  0xb26, /* SYSCALL */
-/* Table1772 */
-  0x19b, /* CLTS */
-/* Table1773 */
-  0xb2a, /* SYSRET */
-/* Table1774 */
-  0x3c7, /* INVD */
-/* Table1775 */
-  0x14ed, /* WBINVD */
-/* Table1776 */
-  0xb5f, /* TRAP */
-/* Table1777 */
   0x0, /*  */
-  0x887, /* PREFETCHW */
+  0x87b, /* PREFETCHW */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45243,260 +50890,260 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1787 */
+  0x6ca, /* MOVUPSrm */
+  0x6cb, /* MOVUPSrr */
+/* Table1789 */
+  0x6c9, /* MOVUPSmr */
+  0x6cc, /* MOVUPSrr_REV */
+/* Table1791 */
+  0x693, /* MOVLPSrm */
+  0x68a, /* MOVHLPSrr */
 /* Table1793 */
-  0x6d6, /* MOVUPSrm */
-  0x6d7, /* MOVUPSrr */
+  0x692, /* MOVLPSmr */
+  0x0, /*  */
 /* Table1795 */
-  0x6d5, /* MOVUPSmr */
-  0x6d8, /* MOVUPSrr_REV */
+  0xb7f, /* UNPCKLPSrm */
+  0xb80, /* UNPCKLPSrr */
 /* Table1797 */
-  0x69f, /* MOVLPSrm */
-  0x696, /* MOVHLPSrr */
+  0xb7b, /* UNPCKHPSrm */
+  0xb7c, /* UNPCKHPSrr */
 /* Table1799 */
-  0x69e, /* MOVLPSmr */
-  0x0, /*  */
+  0x68e, /* MOVHPSrm */
+  0x68f, /* MOVLHPSrr */
 /* Table1801 */
-  0xb84, /* UNPCKLPSrm */
-  0xb85, /* UNPCKLPSrr */
+  0x68d, /* MOVHPSmr */
+  0x0, /*  */
 /* Table1803 */
-  0xb80, /* UNPCKHPSrm */
-  0xb81, /* UNPCKHPSrr */
-/* Table1805 */
-  0x69a, /* MOVHPSrm */
-  0x69b, /* MOVLHPSrr */
-/* Table1807 */
-  0x699, /* MOVHPSmr */
-  0x0, /*  */
-/* Table1809 */
-  0x883, /* PREFETCHNTA */
-  0x884, /* PREFETCHT0 */
-  0x885, /* PREFETCHT1 */
-  0x886, /* PREFETCHT2 */
-  0x72a, /* NOOP18_m4 */
-  0x72b, /* NOOP18_m5 */
-  0x72c, /* NOOP18_m6 */
-  0x72d, /* NOOP18_m7 */
+  0x877, /* PREFETCHNTA */
+  0x878, /* PREFETCHT0 */
+  0x879, /* PREFETCHT1 */
+  0x87a, /* PREFETCHT2 */
+  0x71e, /* NOOP18_m4 */
+  0x71f, /* NOOP18_m5 */
+  0x720, /* NOOP18_m6 */
+  0x721, /* NOOP18_m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x72e, /* NOOP18_r4 */
-  0x72f, /* NOOP18_r5 */
-  0x730, /* NOOP18_r6 */
-  0x731, /* NOOP18_r7 */
+  0x722, /* NOOP18_r4 */
+  0x723, /* NOOP18_r5 */
+  0x724, /* NOOP18_r6 */
+  0x725, /* NOOP18_r7 */
+/* Table1819 */
+  0x727, /* NOOPL_19 */
+  0x0, /*  */
+/* Table1821 */
+  0x728, /* NOOPL_1a */
+  0x0, /*  */
+/* Table1823 */
+  0x729, /* NOOPL_1b */
+  0x0, /*  */
 /* Table1825 */
-  0x733, /* NOOPL_19 */
+  0x72a, /* NOOPL_1c */
   0x0, /*  */
 /* Table1827 */
-  0x734, /* NOOPL_1a */
+  0x72b, /* NOOPL_1d */
   0x0, /*  */
 /* Table1829 */
-  0x735, /* NOOPL_1b */
+  0x72c, /* NOOPL_1e */
   0x0, /*  */
 /* Table1831 */
-  0x736, /* NOOPL_1c */
+  0x726, /* NOOPL */
   0x0, /*  */
 /* Table1833 */
-  0x737, /* NOOPL_1d */
   0x0, /*  */
+  0x63b, /* MOV32rc */
 /* Table1835 */
-  0x738, /* NOOPL_1e */
   0x0, /*  */
+  0x63c, /* MOV32rd */
 /* Table1837 */
-  0x732, /* NOOPL */
   0x0, /*  */
+  0x633, /* MOV32cr */
 /* Table1839 */
   0x0, /*  */
-  0x647, /* MOV32rc */
+  0x634, /* MOV32dr */
 /* Table1841 */
-  0x0, /*  */
-  0x648, /* MOV32rd */
+  0x673, /* MOVAPSrm */
+  0x674, /* MOVAPSrr */
 /* Table1843 */
-  0x0, /*  */
-  0x63f, /* MOV32cr */
+  0x672, /* MOVAPSmr */
+  0x675, /* MOVAPSrr_REV */
 /* Table1845 */
-  0x0, /*  */
-  0x640, /* MOV32dr */
+  0x567, /* MMX_CVTPI2PSirm */
+  0x568, /* MMX_CVTPI2PSirr */
 /* Table1847 */
-  0x67f, /* MOVAPSrm */
-  0x680, /* MOVAPSrr */
+  0x69b, /* MOVNTPSmr */
+  0x0, /*  */
 /* Table1849 */
-  0x67e, /* MOVAPSmr */
-  0x681, /* MOVAPSrr_REV */
+  0x56d, /* MMX_CVTTPS2PIirm */
+  0x56e, /* MMX_CVTTPS2PIirr */
 /* Table1851 */
-  0x574, /* MMX_CVTPI2PSirm */
-  0x575, /* MMX_CVTPI2PSirr */
+  0x569, /* MMX_CVTPS2PIirm */
+  0x56a, /* MMX_CVTPS2PIirr */
 /* Table1853 */
-  0x6a7, /* MOVNTPSmr */
-  0x0, /*  */
+  0xb6b, /* UCOMISSrm */
+  0xb6c, /* UCOMISSrr */
 /* Table1855 */
-  0x57a, /* MMX_CVTTPS2PIirm */
-  0x57b, /* MMX_CVTTPS2PIirr */
+  0x246, /* COMISSrm */
+  0x247, /* COMISSrr */
 /* Table1857 */
-  0x576, /* MMX_CVTPS2PIirm */
-  0x577, /* MMX_CVTPS2PIirr */
+  0x181e, /* WRMSR */
+/* Table1858 */
+  0x933, /* RDTSC */
 /* Table1859 */
-  0xb70, /* UCOMISSrm */
-  0xb71, /* UCOMISSrr */
+  0x92b, /* RDMSR */
+/* Table1860 */
+  0x92c, /* RDPMC */
 /* Table1861 */
-  0x270, /* COMISSrm */
-  0x271, /* COMISSrr */
+  0xb22, /* SYSENTER */
+/* Table1862 */
+  0xb23, /* SYSEXIT */
 /* Table1863 */
-  0x14f5, /* WRMSR */
+  0x342, /* GETSEC */
 /* Table1864 */
-  0x93f, /* RDTSC */
-/* Table1865 */
-  0x937, /* RDMSR */
+  0x1df, /* CMOVO32rm */
+  0x1e0, /* CMOVO32rr */
 /* Table1866 */
-  0x938, /* RDPMC */
-/* Table1867 */
-  0xb27, /* SYSENTER */
+  0x1c9, /* CMOVNO32rm */
+  0x1ca, /* CMOVNO32rr */
 /* Table1868 */
-  0xb28, /* SYSEXIT */
-/* Table1869 */
-  0x36f, /* GETSEC */
+  0x181, /* CMOVB32rm */
+  0x182, /* CMOVB32rr */
 /* Table1870 */
-  0x209, /* CMOVO32rm */
-  0x20a, /* CMOVO32rr */
+  0x17b, /* CMOVAE32rm */
+  0x17c, /* CMOVAE32rr */
 /* Table1872 */
-  0x1f3, /* CMOVNO32rm */
-  0x1f4, /* CMOVNO32rr */
+  0x195, /* CMOVE32rm */
+  0x196, /* CMOVE32rr */
 /* Table1874 */
-  0x1ab, /* CMOVB32rm */
-  0x1ac, /* CMOVB32rr */
+  0x1bf, /* CMOVNE32rm */
+  0x1c0, /* CMOVNE32rr */
 /* Table1876 */
-  0x1a5, /* CMOVAE32rm */
-  0x1a6, /* CMOVAE32rr */
+  0x187, /* CMOVBE32rm */
+  0x188, /* CMOVBE32rr */
 /* Table1878 */
-  0x1bf, /* CMOVE32rm */
-  0x1c0, /* CMOVE32rr */
+  0x175, /* CMOVA32rm */
+  0x176, /* CMOVA32rr */
 /* Table1880 */
-  0x1e9, /* CMOVNE32rm */
-  0x1ea, /* CMOVNE32rr */
+  0x1ef, /* CMOVS32rm */
+  0x1f0, /* CMOVS32rr */
 /* Table1882 */
-  0x1b1, /* CMOVBE32rm */
-  0x1b2, /* CMOVBE32rr */
+  0x1d9, /* CMOVNS32rm */
+  0x1da, /* CMOVNS32rr */
 /* Table1884 */
-  0x19f, /* CMOVA32rm */
-  0x1a0, /* CMOVA32rr */
+  0x1e5, /* CMOVP32rm */
+  0x1e6, /* CMOVP32rr */
 /* Table1886 */
-  0x219, /* CMOVS32rm */
-  0x21a, /* CMOVS32rr */
+  0x1cf, /* CMOVNP32rm */
+  0x1d0, /* CMOVNP32rr */
 /* Table1888 */
-  0x203, /* CMOVNS32rm */
-  0x204, /* CMOVNS32rr */
+  0x1ab, /* CMOVL32rm */
+  0x1ac, /* CMOVL32rr */
 /* Table1890 */
-  0x20f, /* CMOVP32rm */
-  0x210, /* CMOVP32rr */
+  0x1a5, /* CMOVGE32rm */
+  0x1a6, /* CMOVGE32rr */
 /* Table1892 */
-  0x1f9, /* CMOVNP32rm */
-  0x1fa, /* CMOVNP32rr */
+  0x1b1, /* CMOVLE32rm */
+  0x1b2, /* CMOVLE32rr */
 /* Table1894 */
-  0x1d5, /* CMOVL32rm */
-  0x1d6, /* CMOVL32rr */
+  0x19f, /* CMOVG32rm */
+  0x1a0, /* CMOVG32rr */
 /* Table1896 */
-  0x1cf, /* CMOVGE32rm */
-  0x1d0, /* CMOVGE32rr */
+  0x0, /*  */
+  0x695, /* MOVMSKPSrr */
 /* Table1898 */
-  0x1db, /* CMOVLE32rm */
-  0x1dc, /* CMOVLE32rr */
+  0xa9a, /* SQRTPSm */
+  0xa9b, /* SQRTPSr */
 /* Table1900 */
-  0x1c9, /* CMOVG32rm */
-  0x1ca, /* CMOVG32rr */
+  0x98f, /* RSQRTPSm */
+  0x991, /* RSQRTPSr */
 /* Table1902 */
-  0x0, /*  */
-  0x6a1, /* MOVMSKPSrr */
+  0x907, /* RCPPSm */
+  0x909, /* RCPPSr */
 /* Table1904 */
-  0xa9e, /* SQRTPSm */
-  0xa9f, /* SQRTPSr */
+  0xd8, /* ANDPSrm */
+  0xd9, /* ANDPSrr */
 /* Table1906 */
-  0x99b, /* RSQRTPSm */
-  0x99d, /* RSQRTPSr */
+  0xd4, /* ANDNPSrm */
+  0xd5, /* ANDNPSrr */
 /* Table1908 */
-  0x913, /* RCPPSm */
-  0x915, /* RCPPSr */
+  0x762, /* ORPSrm */
+  0x763, /* ORPSrr */
 /* Table1910 */
-  0xd7, /* ANDPSrm */
-  0xd8, /* ANDPSrr */
+  0x1865, /* XORPSrm */
+  0x1866, /* XORPSrr */
 /* Table1912 */
-  0xd3, /* ANDNPSrm */
-  0xd4, /* ANDNPSrr */
+  0x74, /* ADDPSrm */
+  0x75, /* ADDPSrr */
 /* Table1914 */
-  0x76e, /* ORPSrm */
-  0x76f, /* ORPSrr */
+  0x6e9, /* MULPSrm */
+  0x6ea, /* MULPSrr */
 /* Table1916 */
-  0x153c, /* XORPSrm */
-  0x153d, /* XORPSrr */
+  0x267, /* CVTPS2PDrm */
+  0x268, /* CVTPS2PDrr */
 /* Table1918 */
-  0x73, /* ADDPSrm */
-  0x74, /* ADDPSrr */
+  0x25f, /* CVTDQ2PSrm */
+  0x260, /* CVTDQ2PSrr */
 /* Table1920 */
-  0x6f5, /* MULPSrm */
-  0x6f6, /* MULPSrr */
+  0xaef, /* SUBPSrm */
+  0xaf0, /* SUBPSrr */
 /* Table1922 */
-  0x292, /* CVTPS2PDrm */
-  0x293, /* CVTPS2PDrr */
+  0x559, /* MINPSrm */
+  0x55a, /* MINPSrr */
 /* Table1924 */
-  0x28a, /* CVTDQ2PSrm */
-  0x28b, /* CVTDQ2PSrr */
+  0x2a6, /* DIVPSrm */
+  0x2a7, /* DIVPSrr */
 /* Table1926 */
-  0xaf4, /* SUBPSrm */
-  0xaf5, /* SUBPSrr */
+  0x544, /* MAXPSrm */
+  0x545, /* MAXPSrr */
 /* Table1928 */
-  0x566, /* MINPSrm */
-  0x567, /* MINPSrr */
+  0x615, /* MMX_PUNPCKLBWirm */
+  0x616, /* MMX_PUNPCKLBWirr */
 /* Table1930 */
-  0x2d1, /* DIVPSrm */
-  0x2d2, /* DIVPSrr */
+  0x619, /* MMX_PUNPCKLWDirm */
+  0x61a, /* MMX_PUNPCKLWDirr */
 /* Table1932 */
-  0x551, /* MAXPSrm */
-  0x552, /* MAXPSrr */
+  0x617, /* MMX_PUNPCKLDQirm */
+  0x618, /* MMX_PUNPCKLDQirr */
 /* Table1934 */
-  0x621, /* MMX_PUNPCKLBWirm */
-  0x622, /* MMX_PUNPCKLBWirr */
+  0x589, /* MMX_PACKSSWBirm */
+  0x58a, /* MMX_PACKSSWBirr */
 /* Table1936 */
-  0x625, /* MMX_PUNPCKLWDirm */
-  0x626, /* MMX_PUNPCKLWDirr */
+  0x5ad, /* MMX_PCMPGTBirm */
+  0x5ae, /* MMX_PCMPGTBirr */
 /* Table1938 */
-  0x623, /* MMX_PUNPCKLDQirm */
-  0x624, /* MMX_PUNPCKLDQirr */
+  0x5b1, /* MMX_PCMPGTWirm */
+  0x5b2, /* MMX_PCMPGTWirr */
 /* Table1940 */
-  0x595, /* MMX_PACKSSWBirm */
-  0x596, /* MMX_PACKSSWBirr */
+  0x5af, /* MMX_PCMPGTDirm */
+  0x5b0, /* MMX_PCMPGTDirr */
 /* Table1942 */
-  0x5b9, /* MMX_PCMPGTBirm */
-  0x5ba, /* MMX_PCMPGTBirr */
+  0x58b, /* MMX_PACKUSWBirm */
+  0x58c, /* MMX_PACKUSWBirr */
 /* Table1944 */
-  0x5bd, /* MMX_PCMPGTWirm */
-  0x5be, /* MMX_PCMPGTWirr */
+  0x60f, /* MMX_PUNPCKHBWirm */
+  0x610, /* MMX_PUNPCKHBWirr */
 /* Table1946 */
-  0x5bb, /* MMX_PCMPGTDirm */
-  0x5bc, /* MMX_PCMPGTDirr */
+  0x613, /* MMX_PUNPCKHWDirm */
+  0x614, /* MMX_PUNPCKHWDirr */
 /* Table1948 */
-  0x597, /* MMX_PACKUSWBirm */
-  0x598, /* MMX_PACKUSWBirr */
+  0x611, /* MMX_PUNPCKHDQirm */
+  0x612, /* MMX_PUNPCKHDQirr */
 /* Table1950 */
-  0x61b, /* MMX_PUNPCKHBWirm */
-  0x61c, /* MMX_PUNPCKHBWirr */
+  0x587, /* MMX_PACKSSDWirm */
+  0x588, /* MMX_PACKSSDWirr */
 /* Table1952 */
-  0x61f, /* MMX_PUNPCKHWDirm */
-  0x620, /* MMX_PUNPCKHWDirr */
+  0x575, /* MMX_MOVD64rm */
+  0x576, /* MMX_MOVD64rr */
 /* Table1954 */
-  0x61d, /* MMX_PUNPCKHDQirm */
-  0x61e, /* MMX_PUNPCKHDQirr */
+  0x57e, /* MMX_MOVQ64rm */
+  0x57f, /* MMX_MOVQ64rr */
 /* Table1956 */
-  0x593, /* MMX_PACKSSDWirm */
-  0x594, /* MMX_PACKSSDWirr */
+  0x5df, /* MMX_PSHUFWmi */
+  0x5e0, /* MMX_PSHUFWri */
 /* Table1958 */
-  0x582, /* MMX_MOVD64rm */
-  0x583, /* MMX_MOVD64rr */
-/* Table1960 */
-  0x58b, /* MMX_MOVQ64rm */
-  0x58c, /* MMX_MOVQ64rr */
-/* Table1962 */
-  0x5eb, /* MMX_PSHUFWmi */
-  0x5ec, /* MMX_PSHUFWri */
-/* Table1964 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45507,13 +51154,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x608, /* MMX_PSRLWri */
+  0x5fc, /* MMX_PSRLWri */
   0x0, /*  */
-  0x5ff, /* MMX_PSRAWri */
+  0x5f3, /* MMX_PSRAWri */
   0x0, /*  */
-  0x5f9, /* MMX_PSLLWri */
+  0x5ed, /* MMX_PSLLWri */
   0x0, /*  */
-/* Table1980 */
+/* Table1974 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45524,13 +51171,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x602, /* MMX_PSRLDri */
+  0x5f6, /* MMX_PSRLDri */
   0x0, /*  */
-  0x5fc, /* MMX_PSRADri */
+  0x5f0, /* MMX_PSRADri */
   0x0, /*  */
-  0x5f3, /* MMX_PSLLDri */
+  0x5e7, /* MMX_PSLLDri */
   0x0, /*  */
-/* Table1996 */
+/* Table1990 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45541,131 +51188,131 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x605, /* MMX_PSRLQri */
+  0x5f9, /* MMX_PSRLQri */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x5f6, /* MMX_PSLLQri */
+  0x5ea, /* MMX_PSLLQri */
   0x0, /*  */
+/* Table2006 */
+  0x5a7, /* MMX_PCMPEQBirm */
+  0x5a8, /* MMX_PCMPEQBirr */
+/* Table2008 */
+  0x5ab, /* MMX_PCMPEQWirm */
+  0x5ac, /* MMX_PCMPEQWirr */
+/* Table2010 */
+  0x5a9, /* MMX_PCMPEQDirm */
+  0x5aa, /* MMX_PCMPEQDirr */
 /* Table2012 */
-  0x5b3, /* MMX_PCMPEQBirm */
-  0x5b4, /* MMX_PCMPEQBirr */
-/* Table2014 */
-  0x5b7, /* MMX_PCMPEQWirm */
-  0x5b8, /* MMX_PCMPEQWirr */
-/* Table2016 */
-  0x5b5, /* MMX_PCMPEQDirm */
-  0x5b6, /* MMX_PCMPEQDirr */
-/* Table2018 */
-  0x57c, /* MMX_EMMS */
+  0x56f, /* MMX_EMMS */
+/* Table2013 */
+  0x117c, /* VMREAD32rm */
+  0x117d, /* VMREAD32rr */
+/* Table2015 */
+  0x11ab, /* VMWRITE32rm */
+  0x11ac, /* VMWRITE32rr */
+/* Table2017 */
+  0x574, /* MMX_MOVD64mr */
+  0x573, /* MMX_MOVD64grr */
 /* Table2019 */
-  0xff9, /* VMREAD32rm */
-  0xffa, /* VMREAD32rr */
+  0x57d, /* MMX_MOVQ64mr */
+  0x580, /* MMX_MOVQ64rr_REV */
 /* Table2021 */
-  0x101c, /* VMWRITE32rm */
-  0x101d, /* VMWRITE32rr */
+  0x45e, /* JO_4 */
+/* Table2022 */
+  0x455, /* JNO_4 */
 /* Table2023 */
-  0x581, /* MMX_MOVD64mr */
-  0x580, /* MMX_MOVD64grr */
+  0x434, /* JB_4 */
+/* Table2024 */
+  0x42b, /* JAE_4 */
 /* Table2025 */
-  0x58a, /* MMX_MOVQ64mr */
-  0x0, /*  */
+  0x43a, /* JE_4 */
+/* Table2026 */
+  0x452, /* JNE_4 */
 /* Table2027 */
-  0x48c, /* JO_4 */
+  0x431, /* JBE_4 */
 /* Table2028 */
-  0x483, /* JNO_4 */
+  0x42e, /* JA_4 */
 /* Table2029 */
-  0x462, /* JB_4 */
+  0x465, /* JS_4 */
 /* Table2030 */
-  0x459, /* JAE_4 */
+  0x45b, /* JNS_4 */
 /* Table2031 */
-  0x468, /* JE_4 */
+  0x461, /* JP_4 */
 /* Table2032 */
-  0x480, /* JNE_4 */
+  0x458, /* JNP_4 */
 /* Table2033 */
-  0x45f, /* JBE_4 */
+  0x446, /* JL_4 */
 /* Table2034 */
-  0x45c, /* JA_4 */
+  0x43d, /* JGE_4 */
 /* Table2035 */
-  0x493, /* JS_4 */
+  0x443, /* JLE_4 */
 /* Table2036 */
-  0x489, /* JNS_4 */
+  0x440, /* JG_4 */
 /* Table2037 */
-  0x48f, /* JP_4 */
-/* Table2038 */
-  0x486, /* JNP_4 */
+  0xa1b, /* SETOm */
+  0xa1c, /* SETOr */
 /* Table2039 */
-  0x474, /* JL_4 */
-/* Table2040 */
-  0x46b, /* JGE_4 */
+  0xa15, /* SETNOm */
+  0xa16, /* SETNOr */
 /* Table2041 */
-  0x471, /* JLE_4 */
-/* Table2042 */
-  0x46e, /* JG_4 */
+  0xa07, /* SETBm */
+  0xa08, /* SETBr */
 /* Table2043 */
-  0xa1f, /* SETOm */
-  0xa20, /* SETOr */
+  0x9fd, /* SETAEm */
+  0x9fe, /* SETAEr */
 /* Table2045 */
-  0xa19, /* SETNOm */
-  0xa1a, /* SETNOr */
+  0xa09, /* SETEm */
+  0xa0a, /* SETEr */
 /* Table2047 */
-  0xa0b, /* SETBm */
-  0xa0c, /* SETBr */
+  0xa13, /* SETNEm */
+  0xa14, /* SETNEr */
 /* Table2049 */
-  0xa01, /* SETAEm */
-  0xa02, /* SETAEr */
+  0xa01, /* SETBEm */
+  0xa02, /* SETBEr */
 /* Table2051 */
-  0xa0d, /* SETEm */
-  0xa0e, /* SETEr */
+  0x9ff, /* SETAm */
+  0xa00, /* SETAr */
 /* Table2053 */
-  0xa17, /* SETNEm */
-  0xa18, /* SETNEr */
+  0xa1f, /* SETSm */
+  0xa20, /* SETSr */
 /* Table2055 */
-  0xa05, /* SETBEm */
-  0xa06, /* SETBEr */
+  0xa19, /* SETNSm */
+  0xa1a, /* SETNSr */
 /* Table2057 */
-  0xa03, /* SETAm */
-  0xa04, /* SETAr */
+  0xa1d, /* SETPm */
+  0xa1e, /* SETPr */
 /* Table2059 */
-  0xa23, /* SETSm */
-  0xa24, /* SETSr */
+  0xa17, /* SETNPm */
+  0xa18, /* SETNPr */
 /* Table2061 */
-  0xa1d, /* SETNSm */
-  0xa1e, /* SETNSr */
+  0xa11, /* SETLm */
+  0xa12, /* SETLr */
 /* Table2063 */
-  0xa21, /* SETPm */
-  0xa22, /* SETPr */
+  0xa0b, /* SETGEm */
+  0xa0c, /* SETGEr */
 /* Table2065 */
-  0xa1b, /* SETNPm */
-  0xa1c, /* SETNPr */
+  0xa0f, /* SETLEm */
+  0xa10, /* SETLEr */
 /* Table2067 */
-  0xa15, /* SETLm */
-  0xa16, /* SETLr */
+  0xa0d, /* SETGm */
+  0xa0e, /* SETGr */
 /* Table2069 */
-  0xa0f, /* SETGEm */
-  0xa10, /* SETGEr */
+  0x8e4, /* PUSHFS32 */
+/* Table2070 */
+  0x86d, /* POPFS32 */
 /* Table2071 */
-  0xa13, /* SETLEm */
-  0xa14, /* SETLEr */
-/* Table2073 */
-  0xa11, /* SETGm */
-  0xa12, /* SETGr */
-/* Table2075 */
-  0x8f0, /* PUSHFS32 */
+  0x250, /* CPUID32 */
+/* Table2072 */
+  0x12c, /* BT32mr */
+  0x12e, /* BT32rr */
+/* Table2074 */
+  0xa50, /* SHLD32mri8 */
+  0xa52, /* SHLD32rri8 */
 /* Table2076 */
-  0x879, /* POPFS32 */
-/* Table2077 */
-  0x27a, /* CPUID32 */
+  0xa4f, /* SHLD32mrCL */
+  0xa51, /* SHLD32rrCL */
 /* Table2078 */
-  0x156, /* BT32mr */
-  0x158, /* BT32rr */
-/* Table2080 */
-  0xa54, /* SHLD32mri8 */
-  0xa56, /* SHLD32rri8 */
-/* Table2082 */
-  0xa53, /* SHLD32mrCL */
-  0xa55, /* SHLD32rrCL */
-/* Table2084 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45674,7 +51321,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62b, /* MONTMUL */
+  0x61f, /* MONTMUL */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45682,7 +51329,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1546, /* XSHA1 */
+  0x186f, /* XSHA1 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45690,7 +51337,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1547, /* XSHA256 */
+  0x1870, /* XSHA256 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45738,7 +51385,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2156 */
+/* Table2150 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45747,7 +51394,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1548, /* XSTORE */
+  0x1871, /* XSTORE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45755,7 +51402,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1512, /* XCRYPTECB */
+  0x183b, /* XCRYPTECB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45763,7 +51410,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x150f, /* XCRYPTCBC */
+  0x1838, /* XCRYPTCBC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45771,7 +51418,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1511, /* XCRYPTCTR */
+  0x183a, /* XCRYPTCTR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45779,7 +51426,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1510, /* XCRYPTCFB */
+  0x1839, /* XCRYPTCFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45787,7 +51434,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1513, /* XCRYPTOFB */
+  0x183c, /* XCRYPTOFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45811,30 +51458,30 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2228 */
-  0x8f3, /* PUSHGS32 */
+/* Table2222 */
+  0x8e7, /* PUSHGS32 */
+/* Table2223 */
+  0x870, /* POPGS32 */
+/* Table2224 */
+  0x98e, /* RSM */
+/* Table2225 */
+  0x150, /* BTS32mr */
+  0x152, /* BTS32rr */
+/* Table2227 */
+  0xa78, /* SHRD32mri8 */
+  0xa7a, /* SHRD32rri8 */
 /* Table2229 */
-  0x87c, /* POPGS32 */
-/* Table2230 */
-  0x99a, /* RSM */
+  0xa77, /* SHRD32mrCL */
+  0xa79, /* SHRD32rrCL */
 /* Table2231 */
-  0x17a, /* BTS32mr */
-  0x17c, /* BTS32rr */
-/* Table2233 */
-  0xa7c, /* SHRD32mri8 */
-  0xa7e, /* SHRD32rri8 */
-/* Table2235 */
-  0xa7b, /* SHRD32mrCL */
-  0xa7d, /* SHRD32rrCL */
-/* Table2237 */
-  0x353, /* FXSAVE */
-  0x351, /* FXRSTOR */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1541, /* XSAVE */
-  0x153f, /* XRSTOR */
-  0x1543, /* XSAVEOPT */
-  0x198, /* CLFLUSH */
+  0x327, /* FXSAVE */
+  0x325, /* FXRSTOR */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186a, /* XSAVE */
+  0x1868, /* XRSTOR */
+  0x186c, /* XSAVEOPT */
+  0x16e, /* CLFLUSH */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45875,7 +51522,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45883,7 +51530,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45891,7 +51538,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -45899,285 +51546,285 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2303 */
+  0x36a, /* IMUL32rm */
+  0x36d, /* IMUL32rr */
+/* Table2305 */
+  0x242, /* CMPXCHG8rm */
+  0x243, /* CMPXCHG8rr */
+/* Table2307 */
+  0x23d, /* CMPXCHG32rm */
+  0x23e, /* CMPXCHG32rr */
 /* Table2309 */
-  0x398, /* IMUL32rm */
-  0x39b, /* IMUL32rr */
+  0x52a, /* LSS32rm */
+  0x0, /*  */
 /* Table2311 */
-  0x26c, /* CMPXCHG8rm */
-  0x26d, /* CMPXCHG8rr */
+  0x144, /* BTR32mr */
+  0x146, /* BTR32rr */
 /* Table2313 */
-  0x267, /* CMPXCHG32rm */
-  0x268, /* CMPXCHG32rr */
+  0x4c7, /* LFS32rm */
+  0x0, /*  */
 /* Table2315 */
-  0x537, /* LSS32rm */
+  0x4cd, /* LGS32rm */
   0x0, /*  */
 /* Table2317 */
-  0x16e, /* BTR32mr */
-  0x170, /* BTR32rr */
+  0x6d6, /* MOVZX32rm8 */
+  0x6d8, /* MOVZX32rr8 */
 /* Table2319 */
-  0x4d4, /* LFS32rm */
-  0x0, /*  */
+  0x6d5, /* MOVZX32rm16 */
+  0x6d7, /* MOVZX32rr16 */
 /* Table2321 */
-  0x4da, /* LGS32rm */
-  0x0, /*  */
-/* Table2323 */
-  0x6e2, /* MOVZX32rm8 */
-  0x6e4, /* MOVZX32rr8 */
-/* Table2325 */
-  0x6e1, /* MOVZX32rm16 */
-  0x6e3, /* MOVZX32rr16 */
-/* Table2327 */
-  0xb7d, /* UD2B */
-/* Table2328 */
+  0xb78, /* UD2B */
+/* Table2322 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x155, /* BT32mi8 */
-  0x179, /* BTS32mi8 */
-  0x16d, /* BTR32mi8 */
-  0x161, /* BTC32mi8 */
+  0x12b, /* BT32mi8 */
+  0x14f, /* BTS32mi8 */
+  0x143, /* BTR32mi8 */
+  0x137, /* BTC32mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x157, /* BT32ri8 */
-  0x17b, /* BTS32ri8 */
-  0x16f, /* BTR32ri8 */
-  0x163, /* BTC32ri8 */
+  0x12d, /* BT32ri8 */
+  0x151, /* BTS32ri8 */
+  0x145, /* BTR32ri8 */
+  0x139, /* BTC32ri8 */
+/* Table2338 */
+  0x138, /* BTC32mr */
+  0x13a, /* BTC32rr */
+/* Table2340 */
+  0x11b, /* BSF32rm */
+  0x11c, /* BSF32rr */
+/* Table2342 */
+  0x121, /* BSR32rm */
+  0x122, /* BSR32rr */
 /* Table2344 */
-  0x162, /* BTC32mr */
-  0x164, /* BTC32rr */
+  0x6bc, /* MOVSX32rm8 */
+  0x6be, /* MOVSX32rr8 */
 /* Table2346 */
-  0x145, /* BSF32rm */
-  0x146, /* BSF32rr */
+  0x6bb, /* MOVSX32rm16 */
+  0x6bd, /* MOVSX32rr16 */
 /* Table2348 */
-  0x14b, /* BSR32rm */
-  0x14c, /* BSR32rr */
+  0x1827, /* XADD8rm */
+  0x1828, /* XADD8rr */
 /* Table2350 */
-  0x6c8, /* MOVSX32rm8 */
-  0x6ca, /* MOVSX32rr8 */
+  0x1823, /* XADD32rm */
+  0x1824, /* XADD32rr */
 /* Table2352 */
-  0x6c7, /* MOVSX32rm16 */
-  0x6c9, /* MOVSX32rr16 */
+  0x22a, /* CMPPSrmi */
+  0x22c, /* CMPPSrri */
 /* Table2354 */
-  0x14fe, /* XADD8rm */
-  0x14ff, /* XADD8rr */
+  0x699, /* MOVNTImr */
+  0x0, /*  */
 /* Table2356 */
-  0x14fa, /* XADD32rm */
-  0x14fb, /* XADD32rr */
+  0x5c0, /* MMX_PINSRWirmi */
+  0x5c1, /* MMX_PINSRWirri */
 /* Table2358 */
-  0x254, /* CMPPSrmi */
-  0x256, /* CMPPSrri */
+  0x0, /*  */
+  0x5b3, /* MMX_PEXTRWirri */
 /* Table2360 */
-  0x6a5, /* MOVNTImr */
-  0x0, /*  */
+  0xa85, /* SHUFPSrmi */
+  0xa86, /* SHUFPSrri */
 /* Table2362 */
-  0x5cc, /* MMX_PINSRWirmi */
-  0x5cd, /* MMX_PINSRWirri */
-/* Table2364 */
   0x0, /*  */
-  0x5bf, /* MMX_PEXTRWirri */
-/* Table2366 */
-  0xa89, /* SHUFPSrmi */
-  0xa8a, /* SHUFPSrri */
-/* Table2368 */
-  0x0, /*  */
-  0x26b, /* CMPXCHG8B */
+  0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xff7, /* VMPTRLDm */
-  0xff8, /* VMPTRSTm */
+  0x117a, /* VMPTRLDm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93a, /* RDRAND32r */
-  0x93d, /* RDSEED32r */
-/* Table2384 */
-  0x14f, /* BSWAP32r */
+  0x92e, /* RDRAND32r */
+  0x931, /* RDSEED32r */
+/* Table2378 */
+  0x125, /* BSWAP32r */
+/* Table2379 */
+  0x5fd, /* MMX_PSRLWrm */
+  0x5fe, /* MMX_PSRLWrr */
+/* Table2381 */
+  0x5f7, /* MMX_PSRLDrm */
+  0x5f8, /* MMX_PSRLDrr */
+/* Table2383 */
+  0x5fa, /* MMX_PSRLQrm */
+  0x5fb, /* MMX_PSRLQrr */
 /* Table2385 */
-  0x609, /* MMX_PSRLWrm */
-  0x60a, /* MMX_PSRLWrr */
+  0x591, /* MMX_PADDQirm */
+  0x592, /* MMX_PADDQirr */
 /* Table2387 */
-  0x603, /* MMX_PSRLDrm */
-  0x604, /* MMX_PSRLDrr */
+  0x5d5, /* MMX_PMULLWirm */
+  0x5d6, /* MMX_PMULLWirr */
 /* Table2389 */
-  0x606, /* MMX_PSRLQrm */
-  0x607, /* MMX_PSRLQrr */
+  0x0, /*  */
+  0x5ce, /* MMX_PMOVMSKBrr */
 /* Table2391 */
-  0x59d, /* MMX_PADDQirm */
-  0x59e, /* MMX_PADDQirr */
+  0x609, /* MMX_PSUBUSBirm */
+  0x60a, /* MMX_PSUBUSBirr */
 /* Table2393 */
-  0x5e1, /* MMX_PMULLWirm */
-  0x5e2, /* MMX_PMULLWirr */
+  0x60b, /* MMX_PSUBUSWirm */
+  0x60c, /* MMX_PSUBUSWirr */
 /* Table2395 */
-  0x0, /*  */
-  0x5da, /* MMX_PMOVMSKBrr */
+  0x5cc, /* MMX_PMINUBirm */
+  0x5cd, /* MMX_PMINUBirr */
 /* Table2397 */
-  0x615, /* MMX_PSUBUSBirm */
-  0x616, /* MMX_PSUBUSBirr */
+  0x5a1, /* MMX_PANDirm */
+  0x5a2, /* MMX_PANDirr */
 /* Table2399 */
-  0x617, /* MMX_PSUBUSWirm */
-  0x618, /* MMX_PSUBUSWirr */
+  0x597, /* MMX_PADDUSBirm */
+  0x598, /* MMX_PADDUSBirr */
 /* Table2401 */
-  0x5d8, /* MMX_PMINUBirm */
-  0x5d9, /* MMX_PMINUBirr */
+  0x599, /* MMX_PADDUSWirm */
+  0x59a, /* MMX_PADDUSWirr */
 /* Table2403 */
-  0x5ad, /* MMX_PANDirm */
-  0x5ae, /* MMX_PANDirr */
+  0x5c8, /* MMX_PMAXUBirm */
+  0x5c9, /* MMX_PMAXUBirr */
 /* Table2405 */
-  0x5a3, /* MMX_PADDUSBirm */
-  0x5a4, /* MMX_PADDUSBirr */
+  0x59f, /* MMX_PANDNirm */
+  0x5a0, /* MMX_PANDNirr */
 /* Table2407 */
-  0x5a5, /* MMX_PADDUSWirm */
-  0x5a6, /* MMX_PADDUSWirr */
+  0x5a3, /* MMX_PAVGBirm */
+  0x5a4, /* MMX_PAVGBirr */
 /* Table2409 */
-  0x5d4, /* MMX_PMAXUBirm */
-  0x5d5, /* MMX_PMAXUBirr */
+  0x5f4, /* MMX_PSRAWrm */
+  0x5f5, /* MMX_PSRAWrr */
 /* Table2411 */
-  0x5ab, /* MMX_PANDNirm */
-  0x5ac, /* MMX_PANDNirr */
+  0x5f1, /* MMX_PSRADrm */
+  0x5f2, /* MMX_PSRADrr */
 /* Table2413 */
-  0x5af, /* MMX_PAVGBirm */
-  0x5b0, /* MMX_PAVGBirr */
+  0x5a5, /* MMX_PAVGWirm */
+  0x5a6, /* MMX_PAVGWirr */
 /* Table2415 */
-  0x600, /* MMX_PSRAWrm */
-  0x601, /* MMX_PSRAWrr */
+  0x5d1, /* MMX_PMULHUWirm */
+  0x5d2, /* MMX_PMULHUWirr */
 /* Table2417 */
-  0x5fd, /* MMX_PSRADrm */
-  0x5fe, /* MMX_PSRADrr */
+  0x5d3, /* MMX_PMULHWirm */
+  0x5d4, /* MMX_PMULHWirr */
 /* Table2419 */
-  0x5b1, /* MMX_PAVGWirm */
-  0x5b2, /* MMX_PAVGWirr */
+  0x57a, /* MMX_MOVNTQmr */
+  0x0, /*  */
 /* Table2421 */
-  0x5dd, /* MMX_PMULHUWirm */
-  0x5de, /* MMX_PMULHUWirr */
+  0x605, /* MMX_PSUBSBirm */
+  0x606, /* MMX_PSUBSBirr */
 /* Table2423 */
-  0x5df, /* MMX_PMULHWirm */
-  0x5e0, /* MMX_PMULHWirr */
+  0x607, /* MMX_PSUBSWirm */
+  0x608, /* MMX_PSUBSWirr */
 /* Table2425 */
-  0x587, /* MMX_MOVNTQmr */
-  0x0, /*  */
+  0x5ca, /* MMX_PMINSWirm */
+  0x5cb, /* MMX_PMINSWirr */
 /* Table2427 */
-  0x611, /* MMX_PSUBSBirm */
-  0x612, /* MMX_PSUBSBirr */
+  0x5d9, /* MMX_PORirm */
+  0x5da, /* MMX_PORirr */
 /* Table2429 */
-  0x613, /* MMX_PSUBSWirm */
-  0x614, /* MMX_PSUBSWirr */
+  0x593, /* MMX_PADDSBirm */
+  0x594, /* MMX_PADDSBirr */
 /* Table2431 */
-  0x5d6, /* MMX_PMINSWirm */
-  0x5d7, /* MMX_PMINSWirr */
+  0x595, /* MMX_PADDSWirm */
+  0x596, /* MMX_PADDSWirr */
 /* Table2433 */
-  0x5e5, /* MMX_PORirm */
-  0x5e6, /* MMX_PORirr */
+  0x5c6, /* MMX_PMAXSWirm */
+  0x5c7, /* MMX_PMAXSWirr */
 /* Table2435 */
-  0x59f, /* MMX_PADDSBirm */
-  0x5a0, /* MMX_PADDSBirr */
+  0x61b, /* MMX_PXORirm */
+  0x61c, /* MMX_PXORirr */
 /* Table2437 */
-  0x5a1, /* MMX_PADDSWirm */
-  0x5a2, /* MMX_PADDSWirr */
+  0x5ee, /* MMX_PSLLWrm */
+  0x5ef, /* MMX_PSLLWrr */
 /* Table2439 */
-  0x5d2, /* MMX_PMAXSWirm */
-  0x5d3, /* MMX_PMAXSWirr */
+  0x5e8, /* MMX_PSLLDrm */
+  0x5e9, /* MMX_PSLLDrr */
 /* Table2441 */
-  0x627, /* MMX_PXORirm */
-  0x628, /* MMX_PXORirr */
+  0x5eb, /* MMX_PSLLQrm */
+  0x5ec, /* MMX_PSLLQrr */
 /* Table2443 */
-  0x5fa, /* MMX_PSLLWrm */
-  0x5fb, /* MMX_PSLLWrr */
+  0x5d7, /* MMX_PMULUDQirm */
+  0x5d8, /* MMX_PMULUDQirr */
 /* Table2445 */
-  0x5f4, /* MMX_PSLLDrm */
-  0x5f5, /* MMX_PSLLDrr */
+  0x5c4, /* MMX_PMADDWDirm */
+  0x5c5, /* MMX_PMADDWDirr */
 /* Table2447 */
-  0x5f7, /* MMX_PSLLQrm */
-  0x5f8, /* MMX_PSLLQrr */
+  0x5db, /* MMX_PSADBWirm */
+  0x5dc, /* MMX_PSADBWirr */
 /* Table2449 */
-  0x5e3, /* MMX_PMULUDQirm */
-  0x5e4, /* MMX_PMULUDQirr */
+  0x0, /*  */
+  0x570, /* MMX_MASKMOVQ */
 /* Table2451 */
-  0x5d0, /* MMX_PMADDWDirm */
-  0x5d1, /* MMX_PMADDWDirr */
+  0x5ff, /* MMX_PSUBBirm */
+  0x600, /* MMX_PSUBBirr */
 /* Table2453 */
-  0x5e7, /* MMX_PSADBWirm */
-  0x5e8, /* MMX_PSADBWirr */
+  0x60d, /* MMX_PSUBWirm */
+  0x60e, /* MMX_PSUBWirr */
 /* Table2455 */
-  0x0, /*  */
-  0x57d, /* MMX_MASKMOVQ */
+  0x601, /* MMX_PSUBDirm */
+  0x602, /* MMX_PSUBDirr */
 /* Table2457 */
-  0x60b, /* MMX_PSUBBirm */
-  0x60c, /* MMX_PSUBBirr */
+  0x603, /* MMX_PSUBQirm */
+  0x604, /* MMX_PSUBQirr */
 /* Table2459 */
-  0x619, /* MMX_PSUBWirm */
-  0x61a, /* MMX_PSUBWirr */
+  0x58d, /* MMX_PADDBirm */
+  0x58e, /* MMX_PADDBirr */
 /* Table2461 */
-  0x60d, /* MMX_PSUBDirm */
-  0x60e, /* MMX_PSUBDirr */
+  0x59b, /* MMX_PADDWirm */
+  0x59c, /* MMX_PADDWirr */
 /* Table2463 */
-  0x60f, /* MMX_PSUBQirm */
-  0x610, /* MMX_PSUBQirr */
+  0x58f, /* MMX_PADDDirm */
+  0x590, /* MMX_PADDDirr */
 /* Table2465 */
-  0x599, /* MMX_PADDBirm */
-  0x59a, /* MMX_PADDBirr */
-/* Table2467 */
-  0x5a7, /* MMX_PADDWirm */
-  0x5a8, /* MMX_PADDWirr */
-/* Table2469 */
-  0x59b, /* MMX_PADDDirm */
-  0x59c, /* MMX_PADDDirr */
-/* Table2471 */
-  0xa28, /* SGDT64m */
-  0xa8d, /* SIDT64m */
-  0x4d8, /* LGDT64m */
-  0x4de, /* LIDT64m */
-  0xa98, /* SMSW16m */
+  0xa24, /* SGDT64m */
+  0xa89, /* SIDT64m */
+  0x4cb, /* LGDT64m */
+  0x4d1, /* LIDT64m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xfff, /* VMRUN64 */
-  0xf3a, /* VMMCALL */
-  0xf39, /* VMLOAD64 */
-  0x1001, /* VMSAVE64 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cc, /* INVLPGA64 */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
-  0xa9a, /* SMSW32r */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1182, /* VMRUN64 */
+  0xf8d, /* VMMCALL */
+  0xf8c, /* VMLOAD64 */
+  0x1184, /* VMSAVE64 */
+  0xaab, /* STGI */
+  0x16f, /* CLGI */
+  0xa8e, /* SKINIT */
+  0x39e, /* INVLPGA64 */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
+  0xa96, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46186,119 +51833,119 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2537 */
+  0x0, /*  */
+  0x653, /* MOV64rc */
+/* Table2539 */
+  0x0, /*  */
+  0x654, /* MOV64rd */
+/* Table2541 */
+  0x0, /*  */
+  0x64a, /* MOV64cr */
 /* Table2543 */
   0x0, /*  */
-  0x65f, /* MOV64rc */
+  0x64b, /* MOV64dr */
 /* Table2545 */
-  0x0, /*  */
-  0x660, /* MOV64rd */
+  0x117e, /* VMREAD64rm */
+  0x117f, /* VMREAD64rr */
 /* Table2547 */
-  0x0, /*  */
-  0x656, /* MOV64cr */
+  0x11ad, /* VMWRITE64rm */
+  0x11ae, /* VMWRITE64rr */
 /* Table2549 */
-  0x0, /*  */
-  0x657, /* MOV64dr */
+  0x8e5, /* PUSHFS64 */
+/* Table2550 */
+  0x86e, /* POPFS64 */
 /* Table2551 */
-  0xffb, /* VMREAD64rm */
-  0xffc, /* VMREAD64rr */
+  0x251, /* CPUID64 */
+/* Table2552 */
+  0x8e8, /* PUSHGS64 */
 /* Table2553 */
-  0x101e, /* VMWRITE64rm */
-  0x101f, /* VMWRITE64rr */
-/* Table2555 */
-  0x8f1, /* PUSHFS64 */
+  0x871, /* POPGS64 */
+/* Table2554 */
+  0x0, /*  */
+  0x571, /* MMX_MASKMOVQ64 */
 /* Table2556 */
-  0x87a, /* POPFS64 */
-/* Table2557 */
-  0x27b, /* CPUID64 */
-/* Table2558 */
-  0x8f4, /* PUSHGS64 */
-/* Table2559 */
-  0x87d, /* POPGS64 */
-/* Table2560 */
-  0x0, /*  */
-  0x57e, /* MMX_MASKMOVQ64 */
-/* Table2562 */
-  0xa93, /* SLDT16m */
-  0xaba, /* STRm */
-  0x4df, /* LLDT16m */
-  0x539, /* LTRm */
-  0xcdb, /* VERRm */
-  0xcdd, /* VERWm */
+  0xa8f, /* SLDT16m */
+  0xab5, /* STRm */
+  0x4d2, /* LLDT16m */
+  0x52c, /* LTRm */
+  0xcf6, /* VERRm */
+  0xcf8, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa94, /* SLDT16r */
-  0xab7, /* STR16r */
-  0x4e0, /* LLDT16r */
-  0x53a, /* LTRr */
-  0xcdc, /* VERRr */
-  0xcde, /* VERWr */
+  0xa90, /* SLDT16r */
+  0xab2, /* STR16r */
+  0x4d3, /* LLDT16r */
+  0x52d, /* LTRr */
+  0xcf7, /* VERRr */
+  0xcf9, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table2578 */
-  0xa26, /* SGDT16m */
-  0xa8b, /* SIDT16m */
-  0x4d6, /* LGDT16m */
-  0x4dc, /* LIDT16m */
-  0xa98, /* SMSW16m */
+/* Table2572 */
+  0xa22, /* SGDT16m */
+  0xa87, /* SIDT16m */
+  0x4c9, /* LGDT16m */
+  0x4cf, /* LIDT16m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xffe, /* VMRUN32 */
-  0xf3a, /* VMMCALL */
-  0xf38, /* VMLOAD32 */
-  0x1000, /* VMSAVE32 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cb, /* INVLPGA32 */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1181, /* VMRUN32 */
+  0xf8d, /* VMMCALL */
+  0xf8b, /* VMLOAD32 */
+  0x1183, /* VMSAVE32 */
+  0xaab, /* STGI */
+  0x16f, /* CLGI */
+  0xa8e, /* SKINIT */
+  0x39d, /* INVLPGA32 */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46307,256 +51954,256 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2644 */
+  0x49b, /* LAR16rm */
+  0x49c, /* LAR16rr */
+/* Table2646 */
+  0x523, /* LSL16rm */
+  0x524, /* LSL16rr */
+/* Table2648 */
+  0x6c6, /* MOVUPDrm */
+  0x6c7, /* MOVUPDrr */
 /* Table2650 */
-  0x4a8, /* LAR16rm */
-  0x4a9, /* LAR16rr */
+  0x6c5, /* MOVUPDmr */
+  0x6c8, /* MOVUPDrr_REV */
 /* Table2652 */
-  0x530, /* LSL16rm */
-  0x531, /* LSL16rr */
+  0x691, /* MOVLPDrm */
+  0x68a, /* MOVHLPSrr */
 /* Table2654 */
-  0x6d2, /* MOVUPDrm */
-  0x6d3, /* MOVUPDrr */
+  0x690, /* MOVLPDmr */
+  0x0, /*  */
 /* Table2656 */
-  0x6d1, /* MOVUPDmr */
-  0x6d4, /* MOVUPDrr_REV */
+  0xb7d, /* UNPCKLPDrm */
+  0xb7e, /* UNPCKLPDrr */
 /* Table2658 */
-  0x69d, /* MOVLPDrm */
-  0x696, /* MOVHLPSrr */
+  0xb79, /* UNPCKHPDrm */
+  0xb7a, /* UNPCKHPDrr */
 /* Table2660 */
-  0x69c, /* MOVLPDmr */
-  0x0, /*  */
+  0x68c, /* MOVHPDrm */
+  0x68f, /* MOVLHPSrr */
 /* Table2662 */
-  0xb82, /* UNPCKLPDrm */
-  0xb83, /* UNPCKLPDrr */
+  0x68b, /* MOVHPDmr */
+  0x0, /*  */
 /* Table2664 */
-  0xb7e, /* UNPCKHPDrm */
-  0xb7f, /* UNPCKHPDrr */
-/* Table2666 */
-  0x698, /* MOVHPDrm */
-  0x69b, /* MOVLHPSrr */
-/* Table2668 */
-  0x697, /* MOVHPDmr */
-  0x0, /*  */
-/* Table2670 */
-  0x883, /* PREFETCHNTA */
-  0x884, /* PREFETCHT0 */
-  0x885, /* PREFETCHT1 */
-  0x886, /* PREFETCHT2 */
-  0x722, /* NOOP18_16m4 */
-  0x723, /* NOOP18_16m5 */
-  0x724, /* NOOP18_16m6 */
-  0x725, /* NOOP18_16m7 */
+  0x877, /* PREFETCHNTA */
+  0x878, /* PREFETCHT0 */
+  0x879, /* PREFETCHT1 */
+  0x87a, /* PREFETCHT2 */
+  0x716, /* NOOP18_16m4 */
+  0x717, /* NOOP18_16m5 */
+  0x718, /* NOOP18_16m6 */
+  0x719, /* NOOP18_16m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x726, /* NOOP18_16r4 */
-  0x727, /* NOOP18_16r5 */
-  0x728, /* NOOP18_16r6 */
-  0x729, /* NOOP18_16r7 */
+  0x71a, /* NOOP18_16r4 */
+  0x71b, /* NOOP18_16r5 */
+  0x71c, /* NOOP18_16r6 */
+  0x71d, /* NOOP18_16r7 */
+/* Table2680 */
+  0x72e, /* NOOPW_19 */
+  0x0, /*  */
+/* Table2682 */
+  0x72f, /* NOOPW_1a */
+  0x0, /*  */
+/* Table2684 */
+  0x730, /* NOOPW_1b */
+  0x0, /*  */
 /* Table2686 */
-  0x73a, /* NOOPW_19 */
+  0x731, /* NOOPW_1c */
   0x0, /*  */
 /* Table2688 */
-  0x73b, /* NOOPW_1a */
+  0x732, /* NOOPW_1d */
   0x0, /*  */
 /* Table2690 */
-  0x73c, /* NOOPW_1b */
+  0x733, /* NOOPW_1e */
   0x0, /*  */
 /* Table2692 */
-  0x73d, /* NOOPW_1c */
+  0x72d, /* NOOPW */
   0x0, /*  */
 /* Table2694 */
-  0x73e, /* NOOPW_1d */
-  0x0, /*  */
+  0x66f, /* MOVAPDrm */
+  0x670, /* MOVAPDrr */
 /* Table2696 */
-  0x73f, /* NOOPW_1e */
-  0x0, /*  */
+  0x66e, /* MOVAPDmr */
+  0x671, /* MOVAPDrr_REV */
 /* Table2698 */
-  0x739, /* NOOPW */
-  0x0, /*  */
+  0x565, /* MMX_CVTPI2PDirm */
+  0x566, /* MMX_CVTPI2PDirr */
 /* Table2700 */
-  0x67b, /* MOVAPDrm */
-  0x67c, /* MOVAPDrr */
+  0x69a, /* MOVNTPDmr */
+  0x0, /*  */
 /* Table2702 */
-  0x67a, /* MOVAPDmr */
-  0x67d, /* MOVAPDrr_REV */
+  0x56b, /* MMX_CVTTPD2PIirm */
+  0x56c, /* MMX_CVTTPD2PIirr */
 /* Table2704 */
-  0x572, /* MMX_CVTPI2PDirm */
-  0x573, /* MMX_CVTPI2PDirr */
+  0x563, /* MMX_CVTPD2PIirm */
+  0x564, /* MMX_CVTPD2PIirr */
 /* Table2706 */
-  0x6a6, /* MOVNTPDmr */
-  0x0, /*  */
+  0xb69, /* UCOMISDrm */
+  0xb6a, /* UCOMISDrr */
 /* Table2708 */
-  0x578, /* MMX_CVTTPD2PIirm */
-  0x579, /* MMX_CVTTPD2PIirr */
+  0x244, /* COMISDrm */
+  0x245, /* COMISDrr */
 /* Table2710 */
-  0x570, /* MMX_CVTPD2PIirm */
-  0x571, /* MMX_CVTPD2PIirr */
+  0x1dd, /* CMOVO16rm */
+  0x1de, /* CMOVO16rr */
 /* Table2712 */
-  0xb6e, /* UCOMISDrm */
-  0xb6f, /* UCOMISDrr */
+  0x1c7, /* CMOVNO16rm */
+  0x1c8, /* CMOVNO16rr */
 /* Table2714 */
-  0x26e, /* COMISDrm */
-  0x26f, /* COMISDrr */
+  0x17f, /* CMOVB16rm */
+  0x180, /* CMOVB16rr */
 /* Table2716 */
-  0x207, /* CMOVO16rm */
-  0x208, /* CMOVO16rr */
+  0x179, /* CMOVAE16rm */
+  0x17a, /* CMOVAE16rr */
 /* Table2718 */
-  0x1f1, /* CMOVNO16rm */
-  0x1f2, /* CMOVNO16rr */
+  0x193, /* CMOVE16rm */
+  0x194, /* CMOVE16rr */
 /* Table2720 */
-  0x1a9, /* CMOVB16rm */
-  0x1aa, /* CMOVB16rr */
+  0x1bd, /* CMOVNE16rm */
+  0x1be, /* CMOVNE16rr */
 /* Table2722 */
-  0x1a3, /* CMOVAE16rm */
-  0x1a4, /* CMOVAE16rr */
+  0x185, /* CMOVBE16rm */
+  0x186, /* CMOVBE16rr */
 /* Table2724 */
-  0x1bd, /* CMOVE16rm */
-  0x1be, /* CMOVE16rr */
+  0x173, /* CMOVA16rm */
+  0x174, /* CMOVA16rr */
 /* Table2726 */
-  0x1e7, /* CMOVNE16rm */
-  0x1e8, /* CMOVNE16rr */
+  0x1ed, /* CMOVS16rm */
+  0x1ee, /* CMOVS16rr */
 /* Table2728 */
-  0x1af, /* CMOVBE16rm */
-  0x1b0, /* CMOVBE16rr */
+  0x1d7, /* CMOVNS16rm */
+  0x1d8, /* CMOVNS16rr */
 /* Table2730 */
-  0x19d, /* CMOVA16rm */
-  0x19e, /* CMOVA16rr */
+  0x1e3, /* CMOVP16rm */
+  0x1e4, /* CMOVP16rr */
 /* Table2732 */
-  0x217, /* CMOVS16rm */
-  0x218, /* CMOVS16rr */
+  0x1cd, /* CMOVNP16rm */
+  0x1ce, /* CMOVNP16rr */
 /* Table2734 */
-  0x201, /* CMOVNS16rm */
-  0x202, /* CMOVNS16rr */
+  0x1a9, /* CMOVL16rm */
+  0x1aa, /* CMOVL16rr */
 /* Table2736 */
-  0x20d, /* CMOVP16rm */
-  0x20e, /* CMOVP16rr */
+  0x1a3, /* CMOVGE16rm */
+  0x1a4, /* CMOVGE16rr */
 /* Table2738 */
-  0x1f7, /* CMOVNP16rm */
-  0x1f8, /* CMOVNP16rr */
+  0x1af, /* CMOVLE16rm */
+  0x1b0, /* CMOVLE16rr */
 /* Table2740 */
-  0x1d3, /* CMOVL16rm */
-  0x1d4, /* CMOVL16rr */
+  0x19d, /* CMOVG16rm */
+  0x19e, /* CMOVG16rr */
 /* Table2742 */
-  0x1cd, /* CMOVGE16rm */
-  0x1ce, /* CMOVGE16rr */
+  0x0, /*  */
+  0x694, /* MOVMSKPDrr */
 /* Table2744 */
-  0x1d9, /* CMOVLE16rm */
-  0x1da, /* CMOVLE16rr */
+  0xa98, /* SQRTPDm */
+  0xa99, /* SQRTPDr */
 /* Table2746 */
-  0x1c7, /* CMOVG16rm */
-  0x1c8, /* CMOVG16rr */
+  0xd6, /* ANDPDrm */
+  0xd7, /* ANDPDrr */
 /* Table2748 */
-  0x0, /*  */
-  0x6a0, /* MOVMSKPDrr */
+  0xd2, /* ANDNPDrm */
+  0xd3, /* ANDNPDrr */
 /* Table2750 */
-  0xa9c, /* SQRTPDm */
-  0xa9d, /* SQRTPDr */
+  0x760, /* ORPDrm */
+  0x761, /* ORPDrr */
 /* Table2752 */
-  0xd5, /* ANDPDrm */
-  0xd6, /* ANDPDrr */
+  0x1863, /* XORPDrm */
+  0x1864, /* XORPDrr */
 /* Table2754 */
-  0xd1, /* ANDNPDrm */
-  0xd2, /* ANDNPDrr */
+  0x72, /* ADDPDrm */
+  0x73, /* ADDPDrr */
 /* Table2756 */
-  0x76c, /* ORPDrm */
-  0x76d, /* ORPDrr */
+  0x6e7, /* MULPDrm */
+  0x6e8, /* MULPDrr */
 /* Table2758 */
-  0x153a, /* XORPDrm */
-  0x153b, /* XORPDrr */
+  0x263, /* CVTPD2PSrm */
+  0x264, /* CVTPD2PSrr */
 /* Table2760 */
-  0x71, /* ADDPDrm */
-  0x72, /* ADDPDrr */
+  0x265, /* CVTPS2DQrm */
+  0x266, /* CVTPS2DQrr */
 /* Table2762 */
-  0x6f3, /* MULPDrm */
-  0x6f4, /* MULPDrr */
+  0xaed, /* SUBPDrm */
+  0xaee, /* SUBPDrr */
 /* Table2764 */
-  0x28e, /* CVTPD2PSrm */
-  0x28f, /* CVTPD2PSrr */
+  0x557, /* MINPDrm */
+  0x558, /* MINPDrr */
 /* Table2766 */
-  0x290, /* CVTPS2DQrm */
-  0x291, /* CVTPS2DQrr */
+  0x2a4, /* DIVPDrm */
+  0x2a5, /* DIVPDrr */
 /* Table2768 */
-  0xaf2, /* SUBPDrm */
-  0xaf3, /* SUBPDrr */
+  0x542, /* MAXPDrm */
+  0x543, /* MAXPDrr */
 /* Table2770 */
-  0x564, /* MINPDrm */
-  0x565, /* MINPDrr */
+  0x8c2, /* PUNPCKLBWrm */
+  0x8c3, /* PUNPCKLBWrr */
 /* Table2772 */
-  0x2cf, /* DIVPDrm */
-  0x2d0, /* DIVPDrr */
+  0x8c8, /* PUNPCKLWDrm */
+  0x8c9, /* PUNPCKLWDrr */
 /* Table2774 */
-  0x54f, /* MAXPDrm */
-  0x550, /* MAXPDrr */
+  0x8c4, /* PUNPCKLDQrm */
+  0x8c5, /* PUNPCKLDQrr */
 /* Table2776 */
-  0x8ce, /* PUNPCKLBWrm */
-  0x8cf, /* PUNPCKLBWrr */
+  0x775, /* PACKSSWBrm */
+  0x776, /* PACKSSWBrr */
 /* Table2778 */
-  0x8d4, /* PUNPCKLWDrm */
-  0x8d5, /* PUNPCKLWDrr */
+  0x7ae, /* PCMPGTBrm */
+  0x7af, /* PCMPGTBrr */
 /* Table2780 */
-  0x8d0, /* PUNPCKLDQrm */
-  0x8d1, /* PUNPCKLDQrr */
+  0x7b4, /* PCMPGTWrm */
+  0x7b5, /* PCMPGTWrr */
 /* Table2782 */
-  0x781, /* PACKSSWBrm */
-  0x782, /* PACKSSWBrr */
+  0x7b0, /* PCMPGTDrm */
+  0x7b1, /* PCMPGTDrr */
 /* Table2784 */
-  0x7ba, /* PCMPGTBrm */
-  0x7bb, /* PCMPGTBrr */
+  0x779, /* PACKUSWBrm */
+  0x77a, /* PACKUSWBrr */
 /* Table2786 */
-  0x7c0, /* PCMPGTWrm */
-  0x7c1, /* PCMPGTWrr */
+  0x8ba, /* PUNPCKHBWrm */
+  0x8bb, /* PUNPCKHBWrr */
 /* Table2788 */
-  0x7bc, /* PCMPGTDrm */
-  0x7bd, /* PCMPGTDrr */
+  0x8c0, /* PUNPCKHWDrm */
+  0x8c1, /* PUNPCKHWDrr */
 /* Table2790 */
-  0x785, /* PACKUSWBrm */
-  0x786, /* PACKUSWBrr */
+  0x8bc, /* PUNPCKHDQrm */
+  0x8bd, /* PUNPCKHDQrr */
 /* Table2792 */
-  0x8c6, /* PUNPCKHBWrm */
-  0x8c7, /* PUNPCKHBWrr */
+  0x773, /* PACKSSDWrm */
+  0x774, /* PACKSSDWrr */
 /* Table2794 */
-  0x8cc, /* PUNPCKHWDrm */
-  0x8cd, /* PUNPCKHWDrr */
+  0x8c6, /* PUNPCKLQDQrm */
+  0x8c7, /* PUNPCKLQDQrr */
 /* Table2796 */
-  0x8c8, /* PUNPCKHDQrm */
-  0x8c9, /* PUNPCKHDQrr */
+  0x8be, /* PUNPCKHQDQrm */
+  0x8bf, /* PUNPCKHQDQrr */
 /* Table2798 */
-  0x77f, /* PACKSSDWrm */
-  0x780, /* PACKSSDWrr */
+  0x67e, /* MOVDI2PDIrm */
+  0x67f, /* MOVDI2PDIrr */
 /* Table2800 */
-  0x8d2, /* PUNPCKLQDQrm */
-  0x8d3, /* PUNPCKLQDQrr */
+  0x683, /* MOVDQArm */
+  0x684, /* MOVDQArr */
 /* Table2802 */
-  0x8ca, /* PUNPCKHQDQrm */
-  0x8cb, /* PUNPCKHQDQrr */
+  0x880, /* PSHUFDmi */
+  0x881, /* PSHUFDri */
 /* Table2804 */
-  0x68a, /* MOVDI2PDIrm */
-  0x68b, /* MOVDI2PDIrr */
-/* Table2806 */
-  0x68f, /* MOVDQArm */
-  0x690, /* MOVDQArr */
-/* Table2808 */
-  0x88c, /* PSHUFDmi */
-  0x88d, /* PSHUFDri */
-/* Table2810 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46567,13 +52214,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8af, /* PSRLWri */
+  0x8a3, /* PSRLWri */
   0x0, /*  */
-  0x8a5, /* PSRAWri */
+  0x899, /* PSRAWri */
   0x0, /*  */
-  0x89f, /* PSLLWri */
+  0x893, /* PSLLWri */
   0x0, /*  */
-/* Table2826 */
+/* Table2820 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46584,13 +52231,13 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8a9, /* PSRLDri */
+  0x89d, /* PSRLDri */
   0x0, /*  */
-  0x8a2, /* PSRADri */
+  0x896, /* PSRADri */
   0x0, /*  */
-  0x899, /* PSLLDri */
+  0x88d, /* PSLLDri */
   0x0, /*  */
-/* Table2842 */
+/* Table2836 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -46601,571 +52248,571 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x8ac, /* PSRLQri */
-  0x8a8, /* PSRLDQri */
+  0x8a0, /* PSRLQri */
+  0x89c, /* PSRLDQri */
   0x0, /*  */
   0x0, /*  */
-  0x89c, /* PSLLQri */
-  0x898, /* PSLLDQri */
+  0x890, /* PSLLQri */
+  0x88c, /* PSLLDQri */
+/* Table2852 */
+  0x79e, /* PCMPEQBrm */
+  0x79f, /* PCMPEQBrr */
+/* Table2854 */
+  0x7a4, /* PCMPEQWrm */
+  0x7a5, /* PCMPEQWrr */
+/* Table2856 */
+  0x7a0, /* PCMPEQDrm */
+  0x7a1, /* PCMPEQDrr */
 /* Table2858 */
-  0x7aa, /* PCMPEQBrm */
-  0x7ab, /* PCMPEQBrr */
+  0x117c, /* VMREAD32rm */
+  0x2e8, /* EXTRQI */
 /* Table2860 */
-  0x7b0, /* PCMPEQWrm */
-  0x7b1, /* PCMPEQWrr */
+  0x11ab, /* VMWRITE32rm */
+  0x2e7, /* EXTRQ */
 /* Table2862 */
-  0x7ac, /* PCMPEQDrm */
-  0x7ad, /* PCMPEQDrr */
+  0x343, /* HADDPDrm */
+  0x344, /* HADDPDrr */
 /* Table2864 */
-  0xff9, /* VMREAD32rm */
-  0x313, /* EXTRQI */
+  0x348, /* HSUBPDrm */
+  0x349, /* HSUBPDrr */
 /* Table2866 */
-  0x101c, /* VMWRITE32rm */
-  0x312, /* EXTRQ */
+  0x69f, /* MOVPDI2DImr */
+  0x6a0, /* MOVPDI2DIrr */
 /* Table2868 */
-  0x371, /* HADDPDrm */
-  0x372, /* HADDPDrr */
+  0x682, /* MOVDQAmr */
+  0x685, /* MOVDQArr_REV */
 /* Table2870 */
-  0x376, /* HSUBPDrm */
-  0x377, /* HSUBPDrr */
+  0x45d, /* JO_2 */
+/* Table2871 */
+  0x454, /* JNO_2 */
 /* Table2872 */
-  0x6ab, /* MOVPDI2DImr */
-  0x6ac, /* MOVPDI2DIrr */
+  0x433, /* JB_2 */
+/* Table2873 */
+  0x42a, /* JAE_2 */
 /* Table2874 */
-  0x68e, /* MOVDQAmr */
-  0x691, /* MOVDQArr_REV */
+  0x439, /* JE_2 */
+/* Table2875 */
+  0x451, /* JNE_2 */
 /* Table2876 */
-  0x48b, /* JO_2 */
+  0x430, /* JBE_2 */
 /* Table2877 */
-  0x482, /* JNO_2 */
+  0x42d, /* JA_2 */
 /* Table2878 */
-  0x461, /* JB_2 */
+  0x464, /* JS_2 */
 /* Table2879 */
-  0x458, /* JAE_2 */
+  0x45a, /* JNS_2 */
 /* Table2880 */
-  0x467, /* JE_2 */
+  0x460, /* JP_2 */
 /* Table2881 */
-  0x47f, /* JNE_2 */
+  0x457, /* JNP_2 */
 /* Table2882 */
-  0x45e, /* JBE_2 */
+  0x445, /* JL_2 */
 /* Table2883 */
-  0x45b, /* JA_2 */
+  0x43c, /* JGE_2 */
 /* Table2884 */
-  0x492, /* JS_2 */
+  0x442, /* JLE_2 */
 /* Table2885 */
-  0x488, /* JNS_2 */
+  0x43f, /* JG_2 */
 /* Table2886 */
-  0x48e, /* JP_2 */
+  0x8e3, /* PUSHFS16 */
 /* Table2887 */
-  0x485, /* JNP_2 */
+  0x86c, /* POPFS16 */
 /* Table2888 */
-  0x473, /* JL_2 */
-/* Table2889 */
-  0x46a, /* JGE_2 */
+  0x128, /* BT16mr */
+  0x12a, /* BT16rr */
 /* Table2890 */
-  0x470, /* JLE_2 */
-/* Table2891 */
-  0x46d, /* JG_2 */
+  0xa4c, /* SHLD16mri8 */
+  0xa4e, /* SHLD16rri8 */
 /* Table2892 */
-  0x8ef, /* PUSHFS16 */
-/* Table2893 */
-  0x878, /* POPFS16 */
+  0xa4b, /* SHLD16mrCL */
+  0xa4d, /* SHLD16rrCL */
 /* Table2894 */
-  0x152, /* BT16mr */
-  0x154, /* BT16rr */
+  0x8e6, /* PUSHGS16 */
+/* Table2895 */
+  0x86f, /* POPGS16 */
 /* Table2896 */
-  0xa50, /* SHLD16mri8 */
-  0xa52, /* SHLD16rri8 */
+  0x14c, /* BTS16mr */
+  0x14e, /* BTS16rr */
 /* Table2898 */
-  0xa4f, /* SHLD16mrCL */
-  0xa51, /* SHLD16rrCL */
+  0xa74, /* SHRD16mri8 */
+  0xa76, /* SHRD16rri8 */
 /* Table2900 */
-  0x8f2, /* PUSHGS16 */
-/* Table2901 */
-  0x87b, /* POPGS16 */
+  0xa73, /* SHRD16mrCL */
+  0xa75, /* SHRD16rrCL */
 /* Table2902 */
-  0x176, /* BTS16mr */
-  0x178, /* BTS16rr */
+  0x362, /* IMUL16rm */
+  0x365, /* IMUL16rr */
 /* Table2904 */
-  0xa78, /* SHRD16mri8 */
-  0xa7a, /* SHRD16rri8 */
+  0x23b, /* CMPXCHG16rm */
+  0x23c, /* CMPXCHG16rr */
 /* Table2906 */
-  0xa77, /* SHRD16mrCL */
-  0xa79, /* SHRD16rrCL */
+  0x529, /* LSS16rm */
+  0x0, /*  */
 /* Table2908 */
-  0x390, /* IMUL16rm */
-  0x393, /* IMUL16rr */
+  0x140, /* BTR16mr */
+  0x142, /* BTR16rr */
 /* Table2910 */
-  0x265, /* CMPXCHG16rm */
-  0x266, /* CMPXCHG16rr */
+  0x4c6, /* LFS16rm */
+  0x0, /*  */
 /* Table2912 */
-  0x536, /* LSS16rm */
+  0x4cc, /* LGS16rm */
   0x0, /*  */
 /* Table2914 */
-  0x16a, /* BTR16mr */
-  0x16c, /* BTR16rr */
+  0x6d1, /* MOVZX16rm8 */
+  0x6d2, /* MOVZX16rr8 */
 /* Table2916 */
-  0x4d3, /* LFS16rm */
-  0x0, /*  */
-/* Table2918 */
-  0x4d9, /* LGS16rm */
-  0x0, /*  */
-/* Table2920 */
-  0x6dd, /* MOVZX16rm8 */
-  0x6de, /* MOVZX16rr8 */
-/* Table2922 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x151, /* BT16mi8 */
-  0x175, /* BTS16mi8 */
-  0x169, /* BTR16mi8 */
-  0x15d, /* BTC16mi8 */
+  0x127, /* BT16mi8 */
+  0x14b, /* BTS16mi8 */
+  0x13f, /* BTR16mi8 */
+  0x133, /* BTC16mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x153, /* BT16ri8 */
-  0x177, /* BTS16ri8 */
-  0x16b, /* BTR16ri8 */
-  0x15f, /* BTC16ri8 */
+  0x129, /* BT16ri8 */
+  0x14d, /* BTS16ri8 */
+  0x141, /* BTR16ri8 */
+  0x135, /* BTC16ri8 */
+/* Table2932 */
+  0x134, /* BTC16mr */
+  0x136, /* BTC16rr */
+/* Table2934 */
+  0x119, /* BSF16rm */
+  0x11a, /* BSF16rr */
+/* Table2936 */
+  0x11f, /* BSR16rm */
+  0x120, /* BSR16rr */
 /* Table2938 */
-  0x15e, /* BTC16mr */
-  0x160, /* BTC16rr */
+  0x6b9, /* MOVSX16rm8 */
+  0x6ba, /* MOVSX16rr8 */
 /* Table2940 */
-  0x143, /* BSF16rm */
-  0x144, /* BSF16rr */
+  0x1821, /* XADD16rm */
+  0x1822, /* XADD16rr */
 /* Table2942 */
-  0x149, /* BSR16rm */
-  0x14a, /* BSR16rr */
+  0x226, /* CMPPDrmi */
+  0x228, /* CMPPDrri */
 /* Table2944 */
-  0x6c5, /* MOVSX16rm8 */
-  0x6c6, /* MOVSX16rr8 */
+  0x80d, /* PINSRWrmi */
+  0x80e, /* PINSRWrri */
 /* Table2946 */
-  0x14f8, /* XADD16rm */
-  0x14f9, /* XADD16rr */
+  0x0, /*  */
+  0x7cd, /* PEXTRWri */
 /* Table2948 */
-  0x250, /* CMPPDrmi */
-  0x252, /* CMPPDrri */
+  0xa83, /* SHUFPDrmi */
+  0xa84, /* SHUFPDrri */
 /* Table2950 */
-  0x819, /* PINSRWrmi */
-  0x81a, /* PINSRWrri */
-/* Table2952 */
   0x0, /*  */
-  0x7d9, /* PEXTRWri */
-/* Table2954 */
-  0xa87, /* SHUFPDrmi */
-  0xa88, /* SHUFPDrri */
-/* Table2956 */
-  0x0, /*  */
-  0x26b, /* CMPXCHG8B */
+  0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf0f, /* VMCLEARm */
-  0xff8, /* VMPTRSTm */
+  0xf56, /* VMCLEARm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x939, /* RDRAND16r */
-  0x93c, /* RDSEED16r */
+  0x92d, /* RDRAND16r */
+  0x930, /* RDSEED16r */
+/* Table2966 */
+  0x7e, /* ADDSUBPDrm */
+  0x7f, /* ADDSUBPDrr */
+/* Table2968 */
+  0x8a4, /* PSRLWrm */
+  0x8a5, /* PSRLWrr */
+/* Table2970 */
+  0x89e, /* PSRLDrm */
+  0x89f, /* PSRLDrr */
 /* Table2972 */
-  0x7d, /* ADDSUBPDrm */
-  0x7e, /* ADDSUBPDrr */
+  0x8a1, /* PSRLQrm */
+  0x8a2, /* PSRLQrr */
 /* Table2974 */
-  0x8b0, /* PSRLWrm */
-  0x8b1, /* PSRLWrr */
+  0x77f, /* PADDQrm */
+  0x780, /* PADDQrr */
 /* Table2976 */
-  0x8aa, /* PSRLDrm */
-  0x8ab, /* PSRLDrr */
+  0x850, /* PMULLWrm */
+  0x851, /* PMULLWrr */
 /* Table2978 */
-  0x8ad, /* PSRLQrm */
-  0x8ae, /* PSRLQrr */
+  0x6a1, /* MOVPQI2QImr */
+  0x6a2, /* MOVPQI2QIrr */
 /* Table2980 */
-  0x78b, /* PADDQrm */
-  0x78c, /* PADDQrr */
+  0x0, /*  */
+  0x82b, /* PMOVMSKBrr */
 /* Table2982 */
-  0x85c, /* PMULLWrm */
-  0x85d, /* PMULLWrr */
+  0x8b0, /* PSUBUSBrm */
+  0x8b1, /* PSUBUSBrr */
 /* Table2984 */
-  0x6ad, /* MOVPQI2QImr */
-  0x6ae, /* MOVPQI2QIrr */
+  0x8b2, /* PSUBUSWrm */
+  0x8b3, /* PSUBUSWrr */
 /* Table2986 */
-  0x0, /*  */
-  0x837, /* PMOVMSKBrr */
+  0x825, /* PMINUBrm */
+  0x826, /* PMINUBrr */
 /* Table2988 */
-  0x8bc, /* PSUBUSBrm */
-  0x8bd, /* PSUBUSBrr */
+  0x78f, /* PANDrm */
+  0x790, /* PANDrr */
 /* Table2990 */
-  0x8be, /* PSUBUSWrm */
-  0x8bf, /* PSUBUSWrr */
+  0x785, /* PADDUSBrm */
+  0x786, /* PADDUSBrr */
 /* Table2992 */
-  0x831, /* PMINUBrm */
-  0x832, /* PMINUBrr */
+  0x787, /* PADDUSWrm */
+  0x788, /* PADDUSWrr */
 /* Table2994 */
-  0x79b, /* PANDrm */
-  0x79c, /* PANDrr */
+  0x819, /* PMAXUBrm */
+  0x81a, /* PMAXUBrr */
 /* Table2996 */
-  0x791, /* PADDUSBrm */
-  0x792, /* PADDUSBrr */
+  0x78d, /* PANDNrm */
+  0x78e, /* PANDNrr */
 /* Table2998 */
-  0x793, /* PADDUSWrm */
-  0x794, /* PADDUSWrr */
+  0x792, /* PAVGBrm */
+  0x793, /* PAVGBrr */
 /* Table3000 */
-  0x825, /* PMAXUBrm */
-  0x826, /* PMAXUBrr */
+  0x89a, /* PSRAWrm */
+  0x89b, /* PSRAWrr */
 /* Table3002 */
-  0x799, /* PANDNrm */
-  0x79a, /* PANDNrr */
+  0x897, /* PSRADrm */
+  0x898, /* PSRADrr */
 /* Table3004 */
-  0x79e, /* PAVGBrm */
-  0x79f, /* PAVGBrr */
+  0x796, /* PAVGWrm */
+  0x797, /* PAVGWrr */
 /* Table3006 */
-  0x8a6, /* PSRAWrm */
-  0x8a7, /* PSRAWrr */
+  0x84a, /* PMULHUWrm */
+  0x84b, /* PMULHUWrr */
 /* Table3008 */
-  0x8a3, /* PSRADrm */
-  0x8a4, /* PSRADrr */
+  0x84c, /* PMULHWrm */
+  0x84d, /* PMULHWrr */
 /* Table3010 */
-  0x7a2, /* PAVGWrm */
-  0x7a3, /* PAVGWrr */
+  0x27d, /* CVTTPD2DQrm */
+  0x27e, /* CVTTPD2DQrr */
 /* Table3012 */
-  0x856, /* PMULHUWrm */
-  0x857, /* PMULHUWrr */
+  0x697, /* MOVNTDQmr */
+  0x0, /*  */
 /* Table3014 */
-  0x858, /* PMULHWrm */
-  0x859, /* PMULHWrr */
+  0x8ac, /* PSUBSBrm */
+  0x8ad, /* PSUBSBrr */
 /* Table3016 */
-  0x2a8, /* CVTTPD2DQrm */
-  0x2a9, /* CVTTPD2DQrr */
+  0x8ae, /* PSUBSWrm */
+  0x8af, /* PSUBSWrr */
 /* Table3018 */
-  0x6a3, /* MOVNTDQmr */
-  0x0, /*  */
+  0x823, /* PMINSWrm */
+  0x824, /* PMINSWrr */
 /* Table3020 */
-  0x8b8, /* PSUBSBrm */
-  0x8b9, /* PSUBSBrr */
+  0x874, /* PORrm */
+  0x875, /* PORrr */
 /* Table3022 */
-  0x8ba, /* PSUBSWrm */
-  0x8bb, /* PSUBSWrr */
+  0x781, /* PADDSBrm */
+  0x782, /* PADDSBrr */
 /* Table3024 */
-  0x82f, /* PMINSWrm */
-  0x830, /* PMINSWrr */
+  0x783, /* PADDSWrm */
+  0x784, /* PADDSWrr */
 /* Table3026 */
-  0x880, /* PORrm */
-  0x881, /* PORrr */
+  0x817, /* PMAXSWrm */
+  0x818, /* PMAXSWrr */
 /* Table3028 */
-  0x78d, /* PADDSBrm */
-  0x78e, /* PADDSBrr */
+  0x8ed, /* PXORrm */
+  0x8ee, /* PXORrr */
 /* Table3030 */
-  0x78f, /* PADDSWrm */
-  0x790, /* PADDSWrr */
+  0x894, /* PSLLWrm */
+  0x895, /* PSLLWrr */
 /* Table3032 */
-  0x823, /* PMAXSWrm */
-  0x824, /* PMAXSWrr */
+  0x88e, /* PSLLDrm */
+  0x88f, /* PSLLDrr */
 /* Table3034 */
-  0x8f9, /* PXORrm */
-  0x8fa, /* PXORrr */
+  0x891, /* PSLLQrm */
+  0x892, /* PSLLQrr */
 /* Table3036 */
-  0x8a0, /* PSLLWrm */
-  0x8a1, /* PSLLWrr */
+  0x852, /* PMULUDQrm */
+  0x853, /* PMULUDQrr */
 /* Table3038 */
-  0x89a, /* PSLLDrm */
-  0x89b, /* PSLLDrr */
+  0x811, /* PMADDWDrm */
+  0x812, /* PMADDWDrr */
 /* Table3040 */
-  0x89d, /* PSLLQrm */
-  0x89e, /* PSLLQrr */
+  0x87c, /* PSADBWrm */
+  0x87d, /* PSADBWrr */
 /* Table3042 */
-  0x85e, /* PMULUDQrm */
-  0x85f, /* PMULUDQrr */
+  0x0, /*  */
+  0x538, /* MASKMOVDQU */
 /* Table3044 */
-  0x81d, /* PMADDWDrm */
-  0x81e, /* PMADDWDrr */
+  0x8a6, /* PSUBBrm */
+  0x8a7, /* PSUBBrr */
 /* Table3046 */
-  0x888, /* PSADBWrm */
-  0x889, /* PSADBWrr */
+  0x8b4, /* PSUBWrm */
+  0x8b5, /* PSUBWrr */
 /* Table3048 */
-  0x0, /*  */
-  0x545, /* MASKMOVDQU */
+  0x8a8, /* PSUBDrm */
+  0x8a9, /* PSUBDrr */
 /* Table3050 */
-  0x8b2, /* PSUBBrm */
-  0x8b3, /* PSUBBrr */
+  0x8aa, /* PSUBQrm */
+  0x8ab, /* PSUBQrr */
 /* Table3052 */
-  0x8c0, /* PSUBWrm */
-  0x8c1, /* PSUBWrr */
+  0x77b, /* PADDBrm */
+  0x77c, /* PADDBrr */
 /* Table3054 */
-  0x8b4, /* PSUBDrm */
-  0x8b5, /* PSUBDrr */
+  0x789, /* PADDWrm */
+  0x78a, /* PADDWrr */
 /* Table3056 */
-  0x8b6, /* PSUBQrm */
-  0x8b7, /* PSUBQrr */
+  0x77d, /* PADDDrm */
+  0x77e, /* PADDDrr */
 /* Table3058 */
-  0x787, /* PADDBrm */
-  0x788, /* PADDBrr */
+  0x6a7, /* MOVSDrm */
+  0x6a8, /* MOVSDrr */
 /* Table3060 */
-  0x795, /* PADDWrm */
-  0x796, /* PADDWrr */
+  0x6a6, /* MOVSDmr */
+  0x6a9, /* MOVSDrr_REV */
 /* Table3062 */
-  0x789, /* PADDDrm */
-  0x78a, /* PADDDrr */
+  0x67c, /* MOVDDUPrm */
+  0x67d, /* MOVDDUPrr */
 /* Table3064 */
-  0x6b3, /* MOVSDrm */
-  0x6b4, /* MOVSDrr */
+  0x271, /* CVTSI2SDrm */
+  0x272, /* CVTSI2SDrr */
 /* Table3066 */
-  0x6b2, /* MOVSDmr */
-  0x6b5, /* MOVSDrr_REV */
+  0x69c, /* MOVNTSD */
+  0x0, /*  */
 /* Table3068 */
-  0x688, /* MOVDDUPrm */
-  0x689, /* MOVDDUPrr */
+  0x283, /* CVTTSD2SIrm */
+  0x284, /* CVTTSD2SIrr */
 /* Table3070 */
-  0x29c, /* CVTSI2SDrm */
-  0x29d, /* CVTSI2SDrr */
+  0x26b, /* CVTSD2SIrm */
+  0x26c, /* CVTSD2SIrr */
 /* Table3072 */
-  0x6a8, /* MOVNTSD */
-  0x0, /*  */
+  0xa9c, /* SQRTSDm */
+  0xa9e, /* SQRTSDr */
 /* Table3074 */
-  0x2ae, /* CVTTSD2SIrm */
-  0x2af, /* CVTTSD2SIrr */
+  0x76, /* ADDSDrm */
+  0x78, /* ADDSDrr */
 /* Table3076 */
-  0x296, /* CVTSD2SIrm */
-  0x297, /* CVTSD2SIrr */
+  0x6eb, /* MULSDrm */
+  0x6ed, /* MULSDrr */
 /* Table3078 */
-  0xaa0, /* SQRTSDm */
-  0xaa2, /* SQRTSDr */
+  0x26d, /* CVTSD2SSrm */
+  0x26e, /* CVTSD2SSrr */
 /* Table3080 */
-  0x75, /* ADDSDrm */
-  0x77, /* ADDSDrr */
+  0xb03, /* SUBSDrm */
+  0xb05, /* SUBSDrr */
 /* Table3082 */
-  0x6f7, /* MULSDrm */
-  0x6f9, /* MULSDrr */
+  0x55b, /* MINSDrm */
+  0x55d, /* MINSDrr */
 /* Table3084 */
-  0x298, /* CVTSD2SSrm */
-  0x299, /* CVTSD2SSrr */
+  0x2ba, /* DIVSDrm */
+  0x2bc, /* DIVSDrr */
 /* Table3086 */
-  0xb08, /* SUBSDrm */
-  0xb0a, /* SUBSDrr */
+  0x546, /* MAXSDrm */
+  0x548, /* MAXSDrr */
 /* Table3088 */
-  0x568, /* MINSDrm */
-  0x56a, /* MINSDrr */
+  0x884, /* PSHUFLWmi */
+  0x885, /* PSHUFLWri */
 /* Table3090 */
-  0x2e5, /* DIVSDrm */
-  0x2e7, /* DIVSDrr */
+  0x117c, /* VMREAD32rm */
+  0x392, /* INSERTQI */
 /* Table3092 */
-  0x553, /* MAXSDrm */
-  0x555, /* MAXSDrr */
+  0x11ab, /* VMWRITE32rm */
+  0x391, /* INSERTQ */
 /* Table3094 */
-  0x890, /* PSHUFLWmi */
-  0x891, /* PSHUFLWri */
+  0x345, /* HADDPSrm */
+  0x346, /* HADDPSrr */
 /* Table3096 */
-  0xff9, /* VMREAD32rm */
-  0x3c2, /* INSERTQI */
+  0x34a, /* HSUBPSrm */
+  0x34b, /* HSUBPSrr */
 /* Table3098 */
-  0x101c, /* VMWRITE32rm */
-  0x3c1, /* INSERTQ */
+  0x22f, /* CMPSDrm */
+  0x231, /* CMPSDrr */
 /* Table3100 */
-  0x373, /* HADDPSrm */
-  0x374, /* HADDPSrr */
+  0x80, /* ADDSUBPSrm */
+  0x81, /* ADDSUBPSrr */
 /* Table3102 */
-  0x378, /* HSUBPSrm */
-  0x379, /* HSUBPSrr */
+  0x0, /*  */
+  0x578, /* MMX_MOVDQ2Qrr */
 /* Table3104 */
-  0x25c, /* CMPSDrm */
-  0x25e, /* CMPSDrr */
+  0x261, /* CVTPD2DQrm */
+  0x262, /* CVTPD2DQrr */
 /* Table3106 */
-  0x7f, /* ADDSUBPSrm */
-  0x80, /* ADDSUBPSrr */
+  0x4a7, /* LDDQUrm */
+  0x0, /*  */
 /* Table3108 */
-  0x0, /*  */
-  0x585, /* MMX_MOVDQ2Qrr */
+  0x6b5, /* MOVSSrm */
+  0x6b6, /* MOVSSrr */
 /* Table3110 */
-  0x28c, /* CVTPD2DQrm */
-  0x28d, /* CVTPD2DQrr */
+  0x6b4, /* MOVSSmr */
+  0x6b7, /* MOVSSrr_REV */
 /* Table3112 */
-  0x4b4, /* LDDQUrm */
-  0x0, /*  */
+  0x6af, /* MOVSLDUPrm */
+  0x6b0, /* MOVSLDUPrr */
 /* Table3114 */
-  0x6c1, /* MOVSSrm */
-  0x6c2, /* MOVSSrr */
+  0x6ac, /* MOVSHDUPrm */
+  0x6ad, /* MOVSHDUPrr */
 /* Table3116 */
-  0x6c0, /* MOVSSmr */
-  0x6c3, /* MOVSSrr_REV */
+  0x275, /* CVTSI2SSrm */
+  0x276, /* CVTSI2SSrr */
 /* Table3118 */
-  0x6bb, /* MOVSLDUPrm */
-  0x6bc, /* MOVSLDUPrr */
+  0x69d, /* MOVNTSS */
+  0x0, /*  */
 /* Table3120 */
-  0x6b8, /* MOVSHDUPrm */
-  0x6b9, /* MOVSHDUPrr */
+  0x287, /* CVTTSS2SIrm */
+  0x288, /* CVTTSS2SIrr */
 /* Table3122 */
-  0x2a0, /* CVTSI2SSrm */
-  0x2a1, /* CVTSI2SSrr */
+  0x27b, /* CVTSS2SIrm */
+  0x27c, /* CVTSS2SIrr */
 /* Table3124 */
-  0x6a9, /* MOVNTSS */
-  0x0, /*  */
+  0xaa0, /* SQRTSSm */
+  0xaa2, /* SQRTSSr */
 /* Table3126 */
-  0x2b2, /* CVTTSS2SIrm */
-  0x2b3, /* CVTTSS2SIrr */
+  0x993, /* RSQRTSSm */
+  0x995, /* RSQRTSSr */
 /* Table3128 */
-  0x2a6, /* CVTSS2SIrm */
-  0x2a7, /* CVTSS2SIrr */
+  0x90b, /* RCPSSm */
+  0x90d, /* RCPSSr */
 /* Table3130 */
-  0xaa4, /* SQRTSSm */
-  0xaa6, /* SQRTSSr */
+  0x7a, /* ADDSSrm */
+  0x7c, /* ADDSSrr */
 /* Table3132 */
-  0x99f, /* RSQRTSSm */
-  0x9a1, /* RSQRTSSr */
+  0x6ef, /* MULSSrm */
+  0x6f1, /* MULSSrr */
 /* Table3134 */
-  0x917, /* RCPSSm */
-  0x919, /* RCPSSr */
+  0x277, /* CVTSS2SDrm */
+  0x278, /* CVTSS2SDrr */
 /* Table3136 */
-  0x79, /* ADDSSrm */
-  0x7b, /* ADDSSrr */
+  0x27f, /* CVTTPS2DQrm */
+  0x280, /* CVTTPS2DQrr */
 /* Table3138 */
-  0x6fb, /* MULSSrm */
-  0x6fd, /* MULSSrr */
+  0xb07, /* SUBSSrm */
+  0xb09, /* SUBSSrr */
 /* Table3140 */
-  0x2a2, /* CVTSS2SDrm */
-  0x2a3, /* CVTSS2SDrr */
+  0x55f, /* MINSSrm */
+  0x561, /* MINSSrr */
 /* Table3142 */
-  0x2aa, /* CVTTPS2DQrm */
-  0x2ab, /* CVTTPS2DQrr */
+  0x2be, /* DIVSSrm */
+  0x2c0, /* DIVSSrr */
 /* Table3144 */
-  0xb0c, /* SUBSSrm */
-  0xb0e, /* SUBSSrr */
+  0x54a, /* MAXSSrm */
+  0x54c, /* MAXSSrr */
 /* Table3146 */
-  0x56c, /* MINSSrm */
-  0x56e, /* MINSSrr */
+  0x687, /* MOVDQUrm */
+  0x688, /* MOVDQUrr */
 /* Table3148 */
-  0x2e9, /* DIVSSrm */
-  0x2eb, /* DIVSSrr */
+  0x882, /* PSHUFHWmi */
+  0x883, /* PSHUFHWri */
 /* Table3150 */
-  0x557, /* MAXSSrm */
-  0x559, /* MAXSSrr */
+  0x6a4, /* MOVQI2PQIrm */
+  0x6ce, /* MOVZPQILo2PQIrr */
 /* Table3152 */
-  0x693, /* MOVDQUrm */
-  0x694, /* MOVDQUrr */
+  0x686, /* MOVDQUmr */
+  0x689, /* MOVDQUrr_REV */
 /* Table3154 */
-  0x88e, /* PSHUFHWmi */
-  0x88f, /* PSHUFHWri */
+  0x861, /* POPCNT32rm */
+  0x862, /* POPCNT32rr */
 /* Table3156 */
-  0x6b0, /* MOVQI2PQIrm */
-  0x6da, /* MOVZPQILo2PQIrr */
+  0xb61, /* TZCNT32rm */
+  0xb62, /* TZCNT32rr */
 /* Table3158 */
-  0x692, /* MOVDQUmr */
-  0x695, /* MOVDQUrr_REV */
+  0x534, /* LZCNT32rm */
+  0x535, /* LZCNT32rr */
 /* Table3160 */
-  0x86d, /* POPCNT32rm */
-  0x86e, /* POPCNT32rr */
+  0x235, /* CMPSSrm */
+  0x237, /* CMPSSrr */
 /* Table3162 */
-  0xb66, /* TZCNT32rm */
-  0xb67, /* TZCNT32rr */
-/* Table3164 */
-  0x541, /* LZCNT32rm */
-  0x542, /* LZCNT32rr */
-/* Table3166 */
-  0x260, /* CMPSSrm */
-  0x262, /* CMPSSrr */
-/* Table3168 */
   0x0, /*  */
-  0x26b, /* CMPXCHG8B */
+  0x241, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1021, /* VMXON */
-  0xff8, /* VMPTRSTm */
+  0x11b0, /* VMXON */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93a, /* RDRAND32r */
-  0x93d, /* RDSEED32r */
+  0x92e, /* RDRAND32r */
+  0x931, /* RDSEED32r */
+/* Table3178 */
+  0x0, /*  */
+  0x57b, /* MMX_MOVQ2DQrr */
+/* Table3180 */
+  0x25d, /* CVTDQ2PDrm */
+  0x25e, /* CVTDQ2PDrr */
+/* Table3182 */
+  0x85f, /* POPCNT16rm */
+  0x860, /* POPCNT16rr */
 /* Table3184 */
-  0x0, /*  */
-  0x588, /* MMX_MOVQ2DQrr */
+  0xb5f, /* TZCNT16rm */
+  0xb60, /* TZCNT16rr */
 /* Table3186 */
-  0x288, /* CVTDQ2PDrm */
-  0x289, /* CVTDQ2PDrr */
+  0x532, /* LZCNT16rm */
+  0x533, /* LZCNT16rr */
 /* Table3188 */
-  0x86b, /* POPCNT16rm */
-  0x86c, /* POPCNT16rr */
-/* Table3190 */
-  0xb64, /* TZCNT16rm */
-  0xb65, /* TZCNT16rr */
-/* Table3192 */
-  0x53f, /* LZCNT16rm */
-  0x540, /* LZCNT16rr */
-/* Table3194 */
-  0xa96, /* SLDT64m */
-  0xaba, /* STRm */
-  0x4df, /* LLDT16m */
-  0x539, /* LTRm */
-  0xcdb, /* VERRm */
-  0xcdd, /* VERWm */
+  0xa92, /* SLDT64m */
+  0xab5, /* STRm */
+  0x4d2, /* LLDT16m */
+  0x52c, /* LTRm */
+  0xcf6, /* VERRm */
+  0xcf8, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0xa97, /* SLDT64r */
-  0xab9, /* STR64r */
-  0x4e0, /* LLDT16r */
-  0x53a, /* LTRr */
-  0xcdc, /* VERRr */
-  0xcde, /* VERWr */
+  0xa93, /* SLDT64r */
+  0xab4, /* STR64r */
+  0x4d3, /* LLDT16r */
+  0x52d, /* LTRr */
+  0xcf7, /* VERRr */
+  0xcf9, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table3210 */
-  0xa28, /* SGDT64m */
-  0xa8d, /* SIDT64m */
-  0x4d8, /* LGDT64m */
-  0x4de, /* LIDT64m */
-  0xa98, /* SMSW16m */
+/* Table3204 */
+  0xa24, /* SGDT64m */
+  0xa89, /* SIDT64m */
+  0x4cb, /* LGDT64m */
+  0x4d1, /* LIDT64m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xfff, /* VMRUN64 */
-  0xf3a, /* VMMCALL */
-  0xf39, /* VMLOAD64 */
-  0x1001, /* VMSAVE64 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cc, /* INVLPGA64 */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
-  0xa9b, /* SMSW64r */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1182, /* VMRUN64 */
+  0xf8d, /* VMMCALL */
+  0xf8c, /* VMLOAD64 */
+  0x1184, /* VMSAVE64 */
+  0xaab, /* STGI */
+  0x16f, /* CLGI */
+  0xa8e, /* SKINIT */
+  0x39e, /* INVLPGA64 */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
+  0xa97, /* SMSW64r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47174,113 +52821,113 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3276 */
+  0x49f, /* LAR64rm */
+  0x4a0, /* LAR64rr */
+/* Table3278 */
+  0x527, /* LSL64rm */
+  0x528, /* LSL64rr */
+/* Table3280 */
+  0xb26, /* SYSRET64 */
+/* Table3281 */
+  0xb24, /* SYSEXIT64 */
 /* Table3282 */
-  0x4ac, /* LAR64rm */
-  0x4ad, /* LAR64rr */
+  0x1e1, /* CMOVO64rm */
+  0x1e2, /* CMOVO64rr */
 /* Table3284 */
-  0x534, /* LSL64rm */
-  0x535, /* LSL64rr */
+  0x1cb, /* CMOVNO64rm */
+  0x1cc, /* CMOVNO64rr */
 /* Table3286 */
-  0xb2b, /* SYSRET64 */
-/* Table3287 */
-  0xb29, /* SYSEXIT64 */
+  0x183, /* CMOVB64rm */
+  0x184, /* CMOVB64rr */
 /* Table3288 */
-  0x20b, /* CMOVO64rm */
-  0x20c, /* CMOVO64rr */
+  0x17d, /* CMOVAE64rm */
+  0x17e, /* CMOVAE64rr */
 /* Table3290 */
-  0x1f5, /* CMOVNO64rm */
-  0x1f6, /* CMOVNO64rr */
+  0x197, /* CMOVE64rm */
+  0x198, /* CMOVE64rr */
 /* Table3292 */
-  0x1ad, /* CMOVB64rm */
-  0x1ae, /* CMOVB64rr */
+  0x1c1, /* CMOVNE64rm */
+  0x1c2, /* CMOVNE64rr */
 /* Table3294 */
-  0x1a7, /* CMOVAE64rm */
-  0x1a8, /* CMOVAE64rr */
+  0x189, /* CMOVBE64rm */
+  0x18a, /* CMOVBE64rr */
 /* Table3296 */
-  0x1c1, /* CMOVE64rm */
-  0x1c2, /* CMOVE64rr */
+  0x177, /* CMOVA64rm */
+  0x178, /* CMOVA64rr */
 /* Table3298 */
-  0x1eb, /* CMOVNE64rm */
-  0x1ec, /* CMOVNE64rr */
+  0x1f1, /* CMOVS64rm */
+  0x1f2, /* CMOVS64rr */
 /* Table3300 */
-  0x1b3, /* CMOVBE64rm */
-  0x1b4, /* CMOVBE64rr */
+  0x1db, /* CMOVNS64rm */
+  0x1dc, /* CMOVNS64rr */
 /* Table3302 */
-  0x1a1, /* CMOVA64rm */
-  0x1a2, /* CMOVA64rr */
+  0x1e7, /* CMOVP64rm */
+  0x1e8, /* CMOVP64rr */
 /* Table3304 */
-  0x21b, /* CMOVS64rm */
-  0x21c, /* CMOVS64rr */
+  0x1d1, /* CMOVNP64rm */
+  0x1d2, /* CMOVNP64rr */
 /* Table3306 */
-  0x205, /* CMOVNS64rm */
-  0x206, /* CMOVNS64rr */
+  0x1ad, /* CMOVL64rm */
+  0x1ae, /* CMOVL64rr */
 /* Table3308 */
-  0x211, /* CMOVP64rm */
-  0x212, /* CMOVP64rr */
+  0x1a7, /* CMOVGE64rm */
+  0x1a8, /* CMOVGE64rr */
 /* Table3310 */
-  0x1fb, /* CMOVNP64rm */
-  0x1fc, /* CMOVNP64rr */
+  0x1b3, /* CMOVLE64rm */
+  0x1b4, /* CMOVLE64rr */
 /* Table3312 */
-  0x1d7, /* CMOVL64rm */
-  0x1d8, /* CMOVL64rr */
+  0x1a1, /* CMOVG64rm */
+  0x1a2, /* CMOVG64rr */
 /* Table3314 */
-  0x1d1, /* CMOVGE64rm */
-  0x1d2, /* CMOVGE64rr */
+  0x575, /* MMX_MOVD64rm */
+  0x577, /* MMX_MOVD64to64rr */
 /* Table3316 */
-  0x1dd, /* CMOVLE64rm */
-  0x1de, /* CMOVLE64rr */
+  0x574, /* MMX_MOVD64mr */
+  0x572, /* MMX_MOVD64from64rr */
 /* Table3318 */
-  0x1cb, /* CMOVG64rm */
-  0x1cc, /* CMOVG64rr */
+  0x130, /* BT64mr */
+  0x132, /* BT64rr */
 /* Table3320 */
-  0x582, /* MMX_MOVD64rm */
-  0x584, /* MMX_MOVD64to64rr */
+  0xa54, /* SHLD64mri8 */
+  0xa56, /* SHLD64rri8 */
 /* Table3322 */
-  0x581, /* MMX_MOVD64mr */
-  0x57f, /* MMX_MOVD64from64rr */
+  0xa53, /* SHLD64mrCL */
+  0xa55, /* SHLD64rrCL */
 /* Table3324 */
-  0x15a, /* BT64mr */
-  0x15c, /* BT64rr */
+  0x154, /* BTS64mr */
+  0x156, /* BTS64rr */
 /* Table3326 */
-  0xa58, /* SHLD64mri8 */
-  0xa5a, /* SHLD64rri8 */
+  0xa7c, /* SHRD64mri8 */
+  0xa7e, /* SHRD64rri8 */
 /* Table3328 */
-  0xa57, /* SHLD64mrCL */
-  0xa59, /* SHLD64rrCL */
+  0xa7b, /* SHRD64mrCL */
+  0xa7d, /* SHRD64rrCL */
 /* Table3330 */
-  0x17e, /* BTS64mr */
-  0x180, /* BTS64rr */
-/* Table3332 */
-  0xa80, /* SHRD64mri8 */
-  0xa82, /* SHRD64rri8 */
-/* Table3334 */
-  0xa7f, /* SHRD64mrCL */
-  0xa81, /* SHRD64rrCL */
-/* Table3336 */
-  0x354, /* FXSAVE64 */
-  0x352, /* FXRSTOR64 */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1542, /* XSAVE64 */
-  0x1540, /* XRSTOR64 */
-  0x1544, /* XSAVEOPT64 */
-  0x198, /* CLFLUSH */
+  0x328, /* FXSAVE64 */
+  0x326, /* FXRSTOR64 */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186b, /* XSAVE64 */
+  0x1869, /* XRSTOR64 */
+  0x186d, /* XSAVEOPT64 */
+  0x16e, /* CLFLUSH */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47321,7 +52968,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47329,7 +52976,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47337,7 +52984,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47345,136 +52992,136 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3402 */
+  0x372, /* IMUL64rm */
+  0x375, /* IMUL64rr */
+/* Table3404 */
+  0x23f, /* CMPXCHG64rm */
+  0x240, /* CMPXCHG64rr */
+/* Table3406 */
+  0x52b, /* LSS64rm */
+  0x0, /*  */
 /* Table3408 */
-  0x3a0, /* IMUL64rm */
-  0x3a3, /* IMUL64rr */
+  0x148, /* BTR64mr */
+  0x14a, /* BTR64rr */
 /* Table3410 */
-  0x269, /* CMPXCHG64rm */
-  0x26a, /* CMPXCHG64rr */
+  0x4c8, /* LFS64rm */
+  0x0, /*  */
 /* Table3412 */
-  0x538, /* LSS64rm */
+  0x4ce, /* LGS64rm */
   0x0, /*  */
 /* Table3414 */
-  0x172, /* BTR64mr */
-  0x174, /* BTR64rr */
+  0x6da, /* MOVZX64rm8_Q */
+  0x6dc, /* MOVZX64rr8_Q */
 /* Table3416 */
-  0x4d5, /* LFS64rm */
-  0x0, /*  */
+  0x6d9, /* MOVZX64rm16_Q */
+  0x6db, /* MOVZX64rr16_Q */
 /* Table3418 */
-  0x4db, /* LGS64rm */
-  0x0, /*  */
-/* Table3420 */
-  0x6e6, /* MOVZX64rm8_Q */
-  0x6e8, /* MOVZX64rr8_Q */
-/* Table3422 */
-  0x6e5, /* MOVZX64rm16_Q */
-  0x6e7, /* MOVZX64rr16_Q */
-/* Table3424 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x159, /* BT64mi8 */
-  0x17d, /* BTS64mi8 */
-  0x171, /* BTR64mi8 */
-  0x165, /* BTC64mi8 */
+  0x12f, /* BT64mi8 */
+  0x153, /* BTS64mi8 */
+  0x147, /* BTR64mi8 */
+  0x13b, /* BTC64mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x15b, /* BT64ri8 */
-  0x17f, /* BTS64ri8 */
-  0x173, /* BTR64ri8 */
-  0x167, /* BTC64ri8 */
+  0x131, /* BT64ri8 */
+  0x155, /* BTS64ri8 */
+  0x149, /* BTR64ri8 */
+  0x13d, /* BTC64ri8 */
+/* Table3434 */
+  0x13c, /* BTC64mr */
+  0x13e, /* BTC64rr */
+/* Table3436 */
+  0x11d, /* BSF64rm */
+  0x11e, /* BSF64rr */
+/* Table3438 */
+  0x123, /* BSR64rm */
+  0x124, /* BSR64rr */
 /* Table3440 */
-  0x166, /* BTC64mr */
-  0x168, /* BTC64rr */
+  0x6c1, /* MOVSX64rm8 */
+  0x6c4, /* MOVSX64rr8 */
 /* Table3442 */
-  0x147, /* BSF64rm */
-  0x148, /* BSF64rr */
+  0x6bf, /* MOVSX64rm16 */
+  0x6c2, /* MOVSX64rr16 */
 /* Table3444 */
-  0x14d, /* BSR64rm */
-  0x14e, /* BSR64rr */
+  0x1825, /* XADD64rm */
+  0x1826, /* XADD64rr */
 /* Table3446 */
-  0x6cd, /* MOVSX64rm8 */
-  0x6d0, /* MOVSX64rr8 */
+  0x698, /* MOVNTI_64mr */
+  0x0, /*  */
 /* Table3448 */
-  0x6cb, /* MOVSX64rm16 */
-  0x6ce, /* MOVSX64rr16 */
-/* Table3450 */
-  0x14fc, /* XADD64rm */
-  0x14fd, /* XADD64rr */
-/* Table3452 */
-  0x6a4, /* MOVNTI_64mr */
   0x0, /*  */
-/* Table3454 */
-  0x0, /*  */
-  0x264, /* CMPXCHG16B */
+  0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xff7, /* VMPTRLDm */
-  0xff8, /* VMPTRSTm */
+  0x117a, /* VMPTRLDm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93b, /* RDRAND64r */
-  0x93e, /* RDSEED64r */
-/* Table3470 */
-  0x150, /* BSWAP64r */
-/* Table3471 */
-  0xa28, /* SGDT64m */
-  0xa8d, /* SIDT64m */
-  0x4d8, /* LGDT64m */
-  0x4de, /* LIDT64m */
-  0xa98, /* SMSW16m */
+  0x92f, /* RDRAND64r */
+  0x932, /* RDSEED64r */
+/* Table3464 */
+  0x126, /* BSWAP64r */
+/* Table3465 */
+  0xa24, /* SGDT64m */
+  0xa89, /* SIDT64m */
+  0x4cb, /* LGDT64m */
+  0x4d1, /* LIDT64m */
+  0xa94, /* SMSW16m */
   0x0, /*  */
-  0x4e1, /* LMSW16m */
-  0x3ca, /* INVLPG */
+  0x4d4, /* LMSW16m */
+  0x39c, /* INVLPG */
   0x0, /*  */
-  0xf0e, /* VMCALL */
-  0xf37, /* VMLAUNCH */
-  0xffd, /* VMRESUME */
-  0x1020, /* VMXOFF */
+  0xf55, /* VMCALL */
+  0xf8a, /* VMLAUNCH */
+  0x1180, /* VMRESUME */
+  0x11af, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x62a, /* MONITORrrr */
-  0x718, /* MWAITrr */
-  0x195, /* CLAC */
-  0xaad, /* STAC */
+  0x61e, /* MONITORrrr */
+  0x70c, /* MWAITrr */
+  0x16b, /* CLAC */
+  0xaa8, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x0, /*  */
-  0x1515, /* XGETBV */
-  0x1545, /* XSETBV */
+  0x2e2, /* ENCLS */
+  0x183e, /* XGETBV */
+  0x186e, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0xf10, /* VMFUNC */
-  0x1514, /* XEND */
-  0x1549, /* XTEST */
-  0x0, /*  */
-  0xfff, /* VMRUN64 */
-  0xf3a, /* VMMCALL */
-  0xf39, /* VMLOAD64 */
-  0x1001, /* VMSAVE64 */
-  0xab0, /* STGI */
-  0x199, /* CLGI */
-  0xa92, /* SKINIT */
-  0x3cc, /* INVLPGA64 */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
-  0xa99, /* SMSW16r */
+  0xf57, /* VMFUNC */
+  0x183d, /* XEND */
+  0x1872, /* XTEST */
+  0x2e3, /* ENCLU */
+  0x1182, /* VMRUN64 */
+  0xf8d, /* VMMCALL */
+  0xf8c, /* VMLOAD64 */
+  0x1184, /* VMSAVE64 */
+  0xaab, /* STGI */
+  0x16f, /* CLGI */
+  0xa8e, /* SKINIT */
+  0x39e, /* INVLPGA64 */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
+  0xa95, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47483,78 +53130,78 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0x4e2, /* LMSW16r */
-  0xb25, /* SWAPGS */
-  0x940, /* RDTSCP */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0x4d5, /* LMSW16r */
+  0xb20, /* SWAPGS */
+  0x934, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3537 */
+  0x117e, /* VMREAD64rm */
+  0x2e8, /* EXTRQI */
+/* Table3539 */
+  0x11ad, /* VMWRITE64rm */
+  0x2e7, /* EXTRQ */
+/* Table3541 */
+  0x0, /*  */
+  0x539, /* MASKMOVDQU64 */
 /* Table3543 */
-  0xffb, /* VMREAD64rm */
-  0x313, /* EXTRQI */
+  0x117e, /* VMREAD64rm */
+  0x392, /* INSERTQI */
 /* Table3545 */
-  0x101e, /* VMWRITE64rm */
-  0x312, /* EXTRQ */
+  0x11ad, /* VMWRITE64rm */
+  0x391, /* INSERTQ */
 /* Table3547 */
-  0x0, /*  */
-  0x546, /* MASKMOVDQU64 */
-/* Table3549 */
-  0xffb, /* VMREAD64rm */
-  0x3c2, /* INSERTQI */
-/* Table3551 */
-  0x101e, /* VMWRITE64rm */
-  0x3c1, /* INSERTQ */
-/* Table3553 */
-  0x353, /* FXSAVE */
-  0x351, /* FXRSTOR */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1541, /* XSAVE */
-  0x153f, /* XRSTOR */
-  0x1543, /* XSAVEOPT */
-  0x198, /* CLFLUSH */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x933, /* RDFSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x935, /* RDGSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f1, /* WRFSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
-  0x14f3, /* WRGSBASE */
+  0x327, /* FXSAVE */
+  0x325, /* FXRSTOR */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186a, /* XSAVE */
+  0x1868, /* XRSTOR */
+  0x186c, /* XSAVEOPT */
+  0x16e, /* CLFLUSH */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x927, /* RDFSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x929, /* RDGSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181a, /* WRFSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
+  0x181c, /* WRGSBASE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47563,7 +53210,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47571,7 +53218,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47579,7 +53226,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47587,59 +53234,59 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3619 */
+  0x273, /* CVTSI2SS64rm */
+  0x274, /* CVTSI2SS64rr */
+/* Table3621 */
+  0x285, /* CVTTSS2SI64rm */
+  0x286, /* CVTTSS2SI64rr */
+/* Table3623 */
+  0x279, /* CVTSS2SI64rm */
+  0x27a, /* CVTSS2SI64rr */
 /* Table3625 */
-  0x29e, /* CVTSI2SS64rm */
-  0x29f, /* CVTSI2SS64rr */
+  0x6a4, /* MOVQI2PQIrm */
+  0x572, /* MMX_MOVD64from64rr */
 /* Table3627 */
-  0x2b0, /* CVTTSS2SI64rm */
-  0x2b1, /* CVTTSS2SI64rr */
-/* Table3629 */
-  0x2a4, /* CVTSS2SI64rm */
-  0x2a5, /* CVTSS2SI64rr */
-/* Table3631 */
-  0x6b0, /* MOVQI2PQIrm */
-  0x57f, /* MMX_MOVD64from64rr */
-/* Table3633 */
-  0x354, /* FXSAVE64 */
-  0x352, /* FXRSTOR64 */
-  0x4b5, /* LDMXCSR */
-  0xab2, /* STMXCSR */
-  0x1542, /* XSAVE64 */
-  0x1540, /* XRSTOR64 */
-  0x1544, /* XSAVEOPT64 */
-  0x198, /* CLFLUSH */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x934, /* RDFSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x936, /* RDGSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f2, /* WRFSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
-  0x14f4, /* WRGSBASE64 */
+  0x328, /* FXSAVE64 */
+  0x326, /* FXRSTOR64 */
+  0x4a8, /* LDMXCSR */
+  0xaad, /* STMXCSR */
+  0x186b, /* XSAVE64 */
+  0x1869, /* XRSTOR64 */
+  0x186d, /* XSAVEOPT64 */
+  0x16e, /* CLFLUSH */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x928, /* RDFSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x92a, /* RDGSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181b, /* WRFSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
+  0x181d, /* WRGSBASE64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47648,7 +53295,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x4d2, /* LFENCE */
+  0x4c5, /* LFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47656,7 +53303,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x55b, /* MFENCE */
+  0x54e, /* MFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47664,7 +53311,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xa25, /* SFENCE */
+  0xa21, /* SFENCE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47672,176 +53319,176 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3699 */
+  0x863, /* POPCNT64rm */
+  0x864, /* POPCNT64rr */
+/* Table3701 */
+  0xb63, /* TZCNT64rm */
+  0xb64, /* TZCNT64rr */
+/* Table3703 */
+  0x536, /* LZCNT64rm */
+  0x537, /* LZCNT64rr */
 /* Table3705 */
-  0x86f, /* POPCNT64rm */
-  0x870, /* POPCNT64rr */
-/* Table3707 */
-  0xb68, /* TZCNT64rm */
-  0xb69, /* TZCNT64rr */
-/* Table3709 */
-  0x543, /* LZCNT64rm */
-  0x544, /* LZCNT64rr */
-/* Table3711 */
   0x0, /*  */
-  0x264, /* CMPXCHG16B */
+  0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1021, /* VMXON */
-  0xff8, /* VMPTRSTm */
+  0x11b0, /* VMXON */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93b, /* RDRAND64r */
-  0x93e, /* RDSEED64r */
+  0x92f, /* RDRAND64r */
+  0x932, /* RDSEED64r */
+/* Table3721 */
+  0x26f, /* CVTSI2SD64rm */
+  0x270, /* CVTSI2SD64rr */
+/* Table3723 */
+  0x281, /* CVTTSD2SI64rm */
+  0x282, /* CVTTSD2SI64rr */
+/* Table3725 */
+  0x269, /* CVTSD2SI64rm */
+  0x26a, /* CVTSD2SI64rr */
 /* Table3727 */
-  0x29a, /* CVTSI2SD64rm */
-  0x29b, /* CVTSI2SD64rr */
+  0x67e, /* MOVDI2PDIrm */
+  0x65d, /* MOV64toPQIrr */
 /* Table3729 */
-  0x2ac, /* CVTTSD2SI64rm */
-  0x2ad, /* CVTTSD2SI64rr */
+  0x69f, /* MOVPDI2DImr */
+  0x6a3, /* MOVPQIto64rr */
 /* Table3731 */
-  0x294, /* CVTSD2SI64rm */
-  0x295, /* CVTSD2SI64rr */
-/* Table3733 */
-  0x68a, /* MOVDI2PDIrm */
-  0x669, /* MOV64toPQIrr */
-/* Table3735 */
-  0x6ab, /* MOVPDI2DImr */
-  0x6af, /* MOVPQIto64rr */
-/* Table3737 */
   0x0, /*  */
-  0x264, /* CMPXCHG16B */
+  0x23a, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf0f, /* VMCLEARm */
-  0xff8, /* VMPTRSTm */
+  0xf56, /* VMCLEARm */
+  0x117b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x93b, /* RDRAND64r */
-  0x93e, /* RDSEED64r */
+  0x92f, /* RDRAND64r */
+  0x932, /* RDSEED64r */
+/* Table3747 */
+  0x116d, /* VMOVUPSrm */
+  0x116e, /* VMOVUPSrr */
+/* Table3749 */
+  0x116c, /* VMOVUPSmr */
+  0x116f, /* VMOVUPSrr_REV */
+/* Table3751 */
+  0x10d4, /* VMOVLPSrm */
+  0x10ca, /* VMOVHLPSrr */
 /* Table3753 */
-  0xfea, /* VMOVUPSrm */
-  0xfeb, /* VMOVUPSrr */
-/* Table3755 */
-  0xfe9, /* VMOVUPSmr */
-  0xfec, /* VMOVUPSrr_REV */
-/* Table3757 */
-  0xf95, /* VMOVLPSrm */
-  0xf8b, /* VMOVHLPSrr */
-/* Table3759 */
-  0xf94, /* VMOVLPSmr */
+  0x10d3, /* VMOVLPSmr */
   0x0, /*  */
+/* Table3755 */
+  0x1806, /* VUNPCKLPSrm */
+  0x1807, /* VUNPCKLPSrr */
+/* Table3757 */
+  0x17fa, /* VUNPCKHPSrm */
+  0x17fb, /* VUNPCKHPSrr */
+/* Table3759 */
+  0x10ce, /* VMOVHPSrm */
+  0x10d0, /* VMOVLHPSrr */
 /* Table3761 */
-  0x14dd, /* VUNPCKLPSrm */
-  0x14de, /* VUNPCKLPSrr */
+  0x10cd, /* VMOVHPSmr */
+  0x0, /*  */
 /* Table3763 */
-  0x14d1, /* VUNPCKHPSrm */
-  0x14d2, /* VUNPCKHPSrr */
+  0xfe2, /* VMOVAPSrm */
+  0xfe3, /* VMOVAPSrr */
 /* Table3765 */
-  0xf8f, /* VMOVHPSrm */
-  0xf91, /* VMOVLHPSrr */
+  0xfe1, /* VMOVAPSmr */
+  0xfe4, /* VMOVAPSrr_REV */
 /* Table3767 */
-  0xf8e, /* VMOVHPSmr */
+  0x10ec, /* VMOVNTPSmr */
   0x0, /*  */
 /* Table3769 */
-  0xf57, /* VMOVAPSrm */
-  0xf58, /* VMOVAPSrr */
+  0x17ee, /* VUCOMISSrm */
+  0x17ef, /* VUCOMISSrr */
 /* Table3771 */
-  0xf56, /* VMOVAPSmr */
-  0xf59, /* VMOVAPSrr_REV */
+  0xc29, /* VCOMISSrm */
+  0xc2a, /* VCOMISSrr */
 /* Table3773 */
-  0xfa1, /* VMOVNTPSmr */
   0x0, /*  */
+  0x485, /* KNOTWrr */
 /* Table3775 */
-  0x14c5, /* VUCOMISSrm */
-  0x14c6, /* VUCOMISSrr */
+  0x0, /*  */
+  0x10d8, /* VMOVMSKPSrr */
 /* Table3777 */
-  0xc1a, /* VCOMISSrm */
-  0xc1b, /* VCOMISSrr */
+  0x17a9, /* VSQRTPSm */
+  0x17aa, /* VSQRTPSr */
 /* Table3779 */
-  0x0, /*  */
-  0x49b, /* KNOTWrr */
+  0x1780, /* VRSQRTPSm */
+  0x1782, /* VRSQRTPSr */
 /* Table3781 */
-  0x0, /*  */
-  0xf99, /* VMOVMSKPSrr */
+  0x174b, /* VRCPPSm */
+  0x174d, /* VRCPPSr */
 /* Table3783 */
-  0x148c, /* VSQRTPSm */
-  0x148d, /* VSQRTPSr */
+  0xbd2, /* VANDPSrm */
+  0xbd3, /* VANDPSrr */
 /* Table3785 */
-  0x1467, /* VRSQRTPSm */
-  0x1469, /* VRSQRTPSr */
+  0xbca, /* VANDNPSrm */
+  0xbcb, /* VANDNPSrr */
 /* Table3787 */
-  0x1432, /* VRCPPSm */
-  0x1434, /* VRCPPSr */
+  0x11b7, /* VORPSrm */
+  0x11b8, /* VORPSrr */
 /* Table3789 */
-  0xbc7, /* VANDPSrm */
-  0xbc8, /* VANDPSrr */
+  0x180e, /* VXORPSrm */
+  0x180f, /* VXORPSrr */
 /* Table3791 */
-  0xbbf, /* VANDNPSrm */
-  0xbc0, /* VANDNPSrr */
+  0xb9a, /* VADDPSrm */
+  0xb9b, /* VADDPSrr */
 /* Table3793 */
-  0x1028, /* VORPSrm */
-  0x1029, /* VORPSrr */
+  0x119d, /* VMULPSrm */
+  0x119e, /* VMULPSrr */
 /* Table3795 */
-  0x14e5, /* VXORPSrm */
-  0x14e6, /* VXORPSrr */
+  0xc5a, /* VCVTPS2PDrm */
+  0xc5b, /* VCVTPS2PDrr */
 /* Table3797 */
-  0xb93, /* VADDPSrm */
-  0xb94, /* VADDPSrr */
+  0xc36, /* VCVTDQ2PSrm */
+  0xc37, /* VCVTDQ2PSrr */
 /* Table3799 */
-  0x100e, /* VMULPSrm */
-  0x100f, /* VMULPSrr */
+  0x17d2, /* VSUBPSrm */
+  0x17d3, /* VSUBPSrr */
 /* Table3801 */
-  0xc4b, /* VCVTPS2PDrm */
-  0xc4c, /* VCVTPS2PDrr */
+  0xf7c, /* VMINPSrm */
+  0xf7d, /* VMINPSrr */
 /* Table3803 */
-  0xc27, /* VCVTDQ2PSrm */
-  0xc28, /* VCVTDQ2PSrr */
+  0xce2, /* VDIVPSrm */
+  0xce3, /* VDIVPSrr */
 /* Table3805 */
-  0x14a9, /* VSUBPSrm */
-  0x14aa, /* VSUBPSrr */
+  0xf47, /* VMAXPSrm */
+  0xf48, /* VMAXPSrr */
 /* Table3807 */
-  0xf29, /* VMINPSrm */
-  0xf2a, /* VMINPSrr */
-/* Table3809 */
-  0xcc7, /* VDIVPSrm */
-  0xcc8, /* VDIVPSrr */
-/* Table3811 */
-  0xf00, /* VMAXPSrm */
-  0xf01, /* VMAXPSrr */
-/* Table3813 */
-  0x14e8, /* VZEROUPPER */
-/* Table3814 */
-  0x497, /* KMOVWkm */
-  0x496, /* KMOVWkk */
-/* Table3816 */
-  0x499, /* KMOVWmk */
+  0x1811, /* VZEROUPPER */
+/* Table3808 */
+  0x47e, /* KMOVWkm */
+  0x47d, /* KMOVWkk */
+/* Table3810 */
+  0x480, /* KMOVWmk */
   0x0, /*  */
+/* Table3812 */
+  0x0, /*  */
+  0x47f, /* KMOVWkr */
+/* Table3814 */
+  0x0, /*  */
+  0x481, /* KMOVWrk */
+/* Table3816 */
+  0x0, /*  */
+  0x489, /* KORTESTWrr */
 /* Table3818 */
   0x0, /*  */
-  0x498, /* KMOVWkr */
-/* Table3820 */
   0x0, /*  */
-  0x49a, /* KMOVWrk */
-/* Table3822 */
-  0x0, /*  */
-  0x49c, /* KORTESTWrr */
-/* Table3824 */
-  0x0, /*  */
-  0x0, /*  */
-  0xedd, /* VLDMXCSR */
-  0x149c, /* VSTMXCSR */
+  0xf18, /* VLDMXCSR */
+  0x17b9, /* VSTMXCSR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -47854,279 +53501,288 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table3834 */
+  0xc0f, /* VCMPPSrmi */
+  0xc11, /* VCMPPSrri */
+/* Table3836 */
+  0x179d, /* VSHUFPSrmi */
+  0x179e, /* VSHUFPSrri */
+/* Table3838 */
+  0x111b, /* VMOVSSrm */
+  0x111c, /* VMOVSSrr */
 /* Table3840 */
-  0xc00, /* VCMPPSrmi */
-  0xc02, /* VCMPPSrri */
+  0x111a, /* VMOVSSmr */
+  0x111d, /* VMOVSSrr_REV */
 /* Table3842 */
-  0x147c, /* VSHUFPSrmi */
-  0x147d, /* VSHUFPSrri */
+  0x110f, /* VMOVSLDUPrm */
+  0x1110, /* VMOVSLDUPrr */
 /* Table3844 */
-  0xfd0, /* VMOVSSrm */
-  0xfd1, /* VMOVSSrr */
+  0x1109, /* VMOVSHDUPrm */
+  0x110a, /* VMOVSHDUPrr */
 /* Table3846 */
-  0xfcf, /* VMOVSSmr */
-  0xfd2, /* VMOVSSrr_REV */
+  0xc7f, /* VCVTSI2SSrm */
+  0xc80, /* VCVTSI2SSrr */
 /* Table3848 */
-  0xfc4, /* VMOVSLDUPrm */
-  0xfc5, /* VMOVSLDUPrr */
+  0xcb7, /* VCVTTSS2SIrm */
+  0xcb8, /* VCVTTSS2SIrr */
 /* Table3850 */
-  0xfbe, /* VMOVSHDUPrm */
-  0xfbf, /* VMOVSHDUPrr */
+  0xc8f, /* VCVTSS2SIrm */
+  0xc90, /* VCVTSS2SIrr */
 /* Table3852 */
-  0xc70, /* VCVTSI2SSrm */
-  0xc71, /* VCVTSI2SSrr */
+  0x17b6, /* VSQRTSSm */
+  0x17b8, /* VSQRTSSr */
 /* Table3854 */
-  0xca8, /* VCVTTSS2SIrm */
-  0xca9, /* VCVTTSS2SIrr */
+  0x1784, /* VRSQRTSSm */
+  0x1786, /* VRSQRTSSr */
 /* Table3856 */
-  0xc80, /* VCVTSS2SIrm */
-  0xc81, /* VCVTSS2SIrr */
+  0x174f, /* VRCPSSm */
+  0x1751, /* VRCPSSr */
 /* Table3858 */
-  0x1499, /* VSQRTSSm */
-  0x149b, /* VSQRTSSr */
+  0xba4, /* VADDSSrm */
+  0xba6, /* VADDSSrr */
 /* Table3860 */
-  0x146b, /* VRSQRTSSm */
-  0x146d, /* VRSQRTSSr */
+  0x11a7, /* VMULSSrm */
+  0x11a9, /* VMULSSrr */
 /* Table3862 */
-  0x1436, /* VRCPSSm */
-  0x1438, /* VRCPSSr */
+  0xc87, /* VCVTSS2SDrm */
+  0xc88, /* VCVTSS2SDrr */
 /* Table3864 */
-  0xb9d, /* VADDSSrm */
-  0xb9f, /* VADDSSrr */
+  0xca1, /* VCVTTPS2DQrm */
+  0xca2, /* VCVTTPS2DQrr */
 /* Table3866 */
-  0x1018, /* VMULSSrm */
-  0x101a, /* VMULSSrr */
+  0x17dc, /* VSUBSSrm */
+  0x17de, /* VSUBSSrr */
 /* Table3868 */
-  0xc78, /* VCVTSS2SDrm */
-  0xc79, /* VCVTSS2SDrr */
+  0xf86, /* VMINSSrm */
+  0xf88, /* VMINSSrr */
 /* Table3870 */
-  0xc92, /* VCVTTPS2DQrm */
-  0xc93, /* VCVTTPS2DQrr */
+  0xcec, /* VDIVSSrm */
+  0xcee, /* VDIVSSrr */
 /* Table3872 */
-  0x14b3, /* VSUBSSrm */
-  0x14b5, /* VSUBSSrr */
+  0xf51, /* VMAXSSrm */
+  0xf53, /* VMAXSSrr */
 /* Table3874 */
-  0xf33, /* VMINSSrm */
-  0xf35, /* VMINSSrr */
+  0x10c6, /* VMOVDQUrm */
+  0x10c7, /* VMOVDQUrr */
 /* Table3876 */
-  0xcd1, /* VDIVSSrm */
-  0xcd3, /* VDIVSSrr */
+  0x1621, /* VPSHUFHWmi */
+  0x1622, /* VPSHUFHWri */
 /* Table3878 */
-  0xf0a, /* VMAXSSrm */
-  0xf0c, /* VMAXSSrr */
+  0x10f7, /* VMOVQI2PQIrm */
+  0x1173, /* VMOVZPQILo2PQIrr */
 /* Table3880 */
-  0xf87, /* VMOVDQUrm */
-  0xf88, /* VMOVDQUrr */
+  0x10c5, /* VMOVDQUmr */
+  0x10c8, /* VMOVDQUrr_REV */
 /* Table3882 */
-  0x1320, /* VPSHUFHWmi */
-  0x1321, /* VPSHUFHWri */
+  0xc1f, /* VCMPSSrm */
+  0xc21, /* VCMPSSrr */
 /* Table3884 */
-  0xfac, /* VMOVQI2PQIrm */
-  0xff0, /* VMOVZPQILo2PQIrr */
+  0xc2f, /* VCVTDQ2PDrm */
+  0xc30, /* VCVTDQ2PDrr */
 /* Table3886 */
-  0xf86, /* VMOVDQUmr */
-  0xf89, /* VMOVDQUrr_REV */
+  0x10fe, /* VMOVSDrm */
+  0x10ff, /* VMOVSDrr */
 /* Table3888 */
-  0xc10, /* VCMPSSrm */
-  0xc12, /* VCMPSSrr */
+  0x10fd, /* VMOVSDmr */
+  0x1100, /* VMOVSDrr_REV */
 /* Table3890 */
-  0xc20, /* VCVTDQ2PDrm */
-  0xc21, /* VCVTDQ2PDrr */
+  0xfe9, /* VMOVDDUPrm */
+  0xfea, /* VMOVDDUPrr */
 /* Table3892 */
-  0xfb3, /* VMOVSDrm */
-  0xfb4, /* VMOVSDrr */
+  0xc79, /* VCVTSI2SDrm */
+  0xc7a, /* VCVTSI2SDrr */
 /* Table3894 */
-  0xfb2, /* VMOVSDmr */
-  0xfb5, /* VMOVSDrr_REV */
+  0xcab, /* VCVTTSD2SIrm */
+  0xcac, /* VCVTTSD2SIrr */
 /* Table3896 */
-  0xf5e, /* VMOVDDUPrm */
-  0xf5f, /* VMOVDDUPrr */
+  0xc6b, /* VCVTSD2SIrm */
+  0xc6c, /* VCVTSD2SIrr */
 /* Table3898 */
-  0xc6a, /* VCVTSI2SDrm */
-  0xc6b, /* VCVTSI2SDrr */
+  0x17af, /* VSQRTSDm */
+  0x17b1, /* VSQRTSDr */
 /* Table3900 */
-  0xc9c, /* VCVTTSD2SIrm */
-  0xc9d, /* VCVTTSD2SIrr */
+  0xb9e, /* VADDSDrm */
+  0xba0, /* VADDSDrr */
 /* Table3902 */
-  0xc5c, /* VCVTSD2SIrm */
-  0xc5d, /* VCVTSD2SIrr */
+  0x11a1, /* VMULSDrm */
+  0x11a3, /* VMULSDrr */
 /* Table3904 */
-  0x1492, /* VSQRTSDm */
-  0x1494, /* VSQRTSDr */
+  0xc6f, /* VCVTSD2SSrm */
+  0xc70, /* VCVTSD2SSrr */
 /* Table3906 */
-  0xb97, /* VADDSDrm */
-  0xb99, /* VADDSDrr */
+  0x17d6, /* VSUBSDrm */
+  0x17d8, /* VSUBSDrr */
 /* Table3908 */
-  0x1012, /* VMULSDrm */
-  0x1014, /* VMULSDrr */
+  0xf80, /* VMINSDrm */
+  0xf82, /* VMINSDrr */
 /* Table3910 */
-  0xc60, /* VCVTSD2SSrm */
-  0xc61, /* VCVTSD2SSrr */
+  0xce6, /* VDIVSDrm */
+  0xce8, /* VDIVSDrr */
 /* Table3912 */
-  0x14ad, /* VSUBSDrm */
-  0x14af, /* VSUBSDrr */
+  0xf4b, /* VMAXSDrm */
+  0xf4d, /* VMAXSDrr */
 /* Table3914 */
-  0xf2d, /* VMINSDrm */
-  0xf2f, /* VMINSDrr */
+  0x1625, /* VPSHUFLWmi */
+  0x1626, /* VPSHUFLWri */
 /* Table3916 */
-  0xccb, /* VDIVSDrm */
-  0xccd, /* VDIVSDrr */
+  0xefc, /* VHADDPSrm */
+  0xefd, /* VHADDPSrr */
 /* Table3918 */
-  0xf04, /* VMAXSDrm */
-  0xf06, /* VMAXSDrr */
+  0xf04, /* VHSUBPSrm */
+  0xf05, /* VHSUBPSrr */
 /* Table3920 */
-  0x1324, /* VPSHUFLWmi */
-  0x1325, /* VPSHUFLWri */
-/* Table3922 */
-  0xec1, /* VHADDPSrm */
-  0xec2, /* VHADDPSrr */
-/* Table3924 */
-  0xec9, /* VHSUBPSrm */
-  0xeca, /* VHSUBPSrr */
-/* Table3926 */
-  0xc08, /* VCMPSDrm */
-  0xc0a, /* VCMPSDrr */
-/* Table3928 */
-  0xba7, /* VADDSUBPSrm */
-  0xba8, /* VADDSUBPSrr */
-/* Table3930 */
-  0xc29, /* VCVTPD2DQXrm */
-  0xc2f, /* VCVTPD2DQrr */
-/* Table3932 */
-  0xedc, /* VLDDQUrm */
   0x0, /*  */
+  0x475, /* KMOVDkr */
+/* Table3922 */
+  0x0, /*  */
+  0x477, /* KMOVDrk */
+/* Table3924 */
+  0xc17, /* VCMPSDrm */
+  0xc19, /* VCMPSDrr */
+/* Table3926 */
+  0xbae, /* VADDSUBPSrm */
+  0xbaf, /* VADDSUBPSrr */
+/* Table3928 */
+  0xc38, /* VCVTPD2DQXrm */
+  0xc3e, /* VCVTPD2DQrr */
+/* Table3930 */
+  0xf17, /* VLDDQUrm */
+  0x0, /*  */
+/* Table3932 */
+  0x1144, /* VMOVUPDrm */
+  0x1145, /* VMOVUPDrr */
 /* Table3934 */
-  0xfdd, /* VMOVUPDrm */
-  0xfde, /* VMOVUPDrr */
+  0x1143, /* VMOVUPDmr */
+  0x1146, /* VMOVUPDrr_REV */
 /* Table3936 */
-  0xfdc, /* VMOVUPDmr */
-  0xfdf, /* VMOVUPDrr_REV */
+  0x10d2, /* VMOVLPDrm */
+  0x0, /*  */
 /* Table3938 */
-  0xf93, /* VMOVLPDrm */
+  0x10d1, /* VMOVLPDmr */
   0x0, /*  */
 /* Table3940 */
-  0xf92, /* VMOVLPDmr */
-  0x0, /*  */
+  0x1800, /* VUNPCKLPDrm */
+  0x1801, /* VUNPCKLPDrr */
 /* Table3942 */
-  0x14d7, /* VUNPCKLPDrm */
-  0x14d8, /* VUNPCKLPDrr */
+  0x17f4, /* VUNPCKHPDrm */
+  0x17f5, /* VUNPCKHPDrr */
 /* Table3944 */
-  0x14cb, /* VUNPCKHPDrm */
-  0x14cc, /* VUNPCKHPDrr */
+  0x10cc, /* VMOVHPDrm */
+  0x0, /*  */
 /* Table3946 */
-  0xf8d, /* VMOVHPDrm */
+  0x10cb, /* VMOVHPDmr */
   0x0, /*  */
 /* Table3948 */
-  0xf8c, /* VMOVHPDmr */
-  0x0, /*  */
+  0xfb9, /* VMOVAPDrm */
+  0xfba, /* VMOVAPDrr */
 /* Table3950 */
-  0xf4a, /* VMOVAPDrm */
-  0xf4b, /* VMOVAPDrr */
+  0xfb8, /* VMOVAPDmr */
+  0xfbb, /* VMOVAPDrr_REV */
 /* Table3952 */
-  0xf49, /* VMOVAPDmr */
-  0xf4c, /* VMOVAPDrr_REV */
-/* Table3954 */
-  0xf9f, /* VMOVNTPDmr */
+  0x10e7, /* VMOVNTPDmr */
   0x0, /*  */
+/* Table3954 */
+  0x17ea, /* VUCOMISDrm */
+  0x17eb, /* VUCOMISDrr */
 /* Table3956 */
-  0x14c1, /* VUCOMISDrm */
-  0x14c2, /* VUCOMISDrr */
+  0xc25, /* VCOMISDrm */
+  0xc26, /* VCOMISDrr */
 /* Table3958 */
-  0xc16, /* VCOMISDrm */
-  0xc17, /* VCOMISDrr */
+  0x0, /*  */
+  0x482, /* KNOTBrr */
 /* Table3960 */
   0x0, /*  */
-  0xf97, /* VMOVMSKPDrr */
+  0x10d6, /* VMOVMSKPDrr */
 /* Table3962 */
-  0x1484, /* VSQRTPDm */
-  0x1485, /* VSQRTPDr */
+  0x17a3, /* VSQRTPDm */
+  0x17a4, /* VSQRTPDr */
 /* Table3964 */
-  0xbc3, /* VANDPDrm */
-  0xbc4, /* VANDPDrr */
+  0xbce, /* VANDPDrm */
+  0xbcf, /* VANDPDrr */
 /* Table3966 */
-  0xbbb, /* VANDNPDrm */
-  0xbbc, /* VANDNPDrr */
+  0xbc6, /* VANDNPDrm */
+  0xbc7, /* VANDNPDrr */
 /* Table3968 */
-  0x1024, /* VORPDrm */
-  0x1025, /* VORPDrr */
+  0x11b3, /* VORPDrm */
+  0x11b4, /* VORPDrr */
 /* Table3970 */
-  0x14e1, /* VXORPDrm */
-  0x14e2, /* VXORPDrr */
+  0x180a, /* VXORPDrm */
+  0x180b, /* VXORPDrr */
 /* Table3972 */
-  0xb8c, /* VADDPDrm */
-  0xb8d, /* VADDPDrr */
+  0xb8d, /* VADDPDrm */
+  0xb8e, /* VADDPDrr */
 /* Table3974 */
-  0x1007, /* VMULPDrm */
-  0x1008, /* VMULPDrr */
+  0x1190, /* VMULPDrm */
+  0x1191, /* VMULPDrr */
 /* Table3976 */
-  0xc30, /* VCVTPD2PSXrm */
-  0xc36, /* VCVTPD2PSrr */
+  0xc3f, /* VCVTPD2PSXrm */
+  0xc45, /* VCVTPD2PSrr */
 /* Table3978 */
-  0xc45, /* VCVTPS2DQrm */
-  0xc46, /* VCVTPS2DQrr */
+  0xc54, /* VCVTPS2DQrm */
+  0xc55, /* VCVTPS2DQrr */
 /* Table3980 */
-  0x14a2, /* VSUBPDrm */
-  0x14a3, /* VSUBPDrr */
+  0x17c5, /* VSUBPDrm */
+  0x17c6, /* VSUBPDrr */
 /* Table3982 */
-  0xf22, /* VMINPDrm */
-  0xf23, /* VMINPDrr */
+  0xf6f, /* VMINPDrm */
+  0xf70, /* VMINPDrr */
 /* Table3984 */
-  0xcc0, /* VDIVPDrm */
-  0xcc1, /* VDIVPDrr */
+  0xcd5, /* VDIVPDrm */
+  0xcd6, /* VDIVPDrr */
 /* Table3986 */
-  0xef9, /* VMAXPDrm */
-  0xefa, /* VMAXPDrr */
+  0xf3a, /* VMAXPDrm */
+  0xf3b, /* VMAXPDrr */
 /* Table3988 */
-  0x13fe, /* VPUNPCKLBWrm */
-  0x13ff, /* VPUNPCKLBWrr */
+  0x170b, /* VPUNPCKLBWrm */
+  0x170c, /* VPUNPCKLBWrr */
 /* Table3990 */
-  0x140e, /* VPUNPCKLWDrm */
-  0x140f, /* VPUNPCKLWDrr */
+  0x171b, /* VPUNPCKLWDrm */
+  0x171c, /* VPUNPCKLWDrr */
 /* Table3992 */
-  0x1404, /* VPUNPCKLDQrm */
-  0x1405, /* VPUNPCKLDQrr */
+  0x1711, /* VPUNPCKLDQrm */
+  0x1712, /* VPUNPCKLDQrr */
 /* Table3994 */
-  0x1040, /* VPACKSSWBrm */
-  0x1041, /* VPACKSSWBrr */
+  0x11dd, /* VPACKSSWBrm */
+  0x11de, /* VPACKSSWBrr */
 /* Table3996 */
-  0x10e8, /* VPCMPGTBrm */
-  0x10e9, /* VPCMPGTBrr */
+  0x12ef, /* VPCMPGTBrm */
+  0x12f0, /* VPCMPGTBrr */
 /* Table3998 */
-  0x10f8, /* VPCMPGTWrm */
-  0x10f9, /* VPCMPGTWrr */
+  0x132b, /* VPCMPGTWrm */
+  0x132c, /* VPCMPGTWrr */
 /* Table4000 */
-  0x10ee, /* VPCMPGTDrm */
-  0x10ef, /* VPCMPGTDrr */
+  0x1305, /* VPCMPGTDrm */
+  0x1306, /* VPCMPGTDrr */
 /* Table4002 */
-  0x1048, /* VPACKUSWBrm */
-  0x1049, /* VPACKUSWBrr */
+  0x11e5, /* VPACKUSWBrm */
+  0x11e6, /* VPACKUSWBrr */
 /* Table4004 */
-  0x13ea, /* VPUNPCKHBWrm */
-  0x13eb, /* VPUNPCKHBWrr */
+  0x16f7, /* VPUNPCKHBWrm */
+  0x16f8, /* VPUNPCKHBWrr */
 /* Table4006 */
-  0x13fa, /* VPUNPCKHWDrm */
-  0x13fb, /* VPUNPCKHWDrr */
+  0x1707, /* VPUNPCKHWDrm */
+  0x1708, /* VPUNPCKHWDrr */
 /* Table4008 */
-  0x13f0, /* VPUNPCKHDQrm */
-  0x13f1, /* VPUNPCKHDQrr */
+  0x16fd, /* VPUNPCKHDQrm */
+  0x16fe, /* VPUNPCKHDQrr */
 /* Table4010 */
-  0x103c, /* VPACKSSDWrm */
-  0x103d, /* VPACKSSDWrr */
+  0x11d9, /* VPACKSSDWrm */
+  0x11da, /* VPACKSSDWrr */
 /* Table4012 */
-  0x140a, /* VPUNPCKLQDQrm */
-  0x140b, /* VPUNPCKLQDQrr */
+  0x1717, /* VPUNPCKLQDQrm */
+  0x1718, /* VPUNPCKLQDQrr */
 /* Table4014 */
-  0x13f6, /* VPUNPCKHQDQrm */
-  0x13f7, /* VPUNPCKHQDQrr */
+  0x1703, /* VPUNPCKHQDQrm */
+  0x1704, /* VPUNPCKHQDQrr */
 /* Table4016 */
-  0xf62, /* VMOVDI2PDIrm */
-  0xf63, /* VMOVDI2PDIrr */
+  0xfed, /* VMOVDI2PDIrm */
+  0xfee, /* VMOVDI2PDIrr */
 /* Table4018 */
-  0xf73, /* VMOVDQArm */
-  0xf74, /* VMOVDQArr */
+  0x103a, /* VMOVDQArm */
+  0x103b, /* VMOVDQArr */
 /* Table4020 */
-  0x131c, /* VPSHUFDmi */
-  0x131d, /* VPSHUFDri */
+  0x161d, /* VPSHUFDmi */
+  0x161e, /* VPSHUFDri */
 /* Table4022 */
   0x0, /*  */
   0x0, /*  */
@@ -48138,11 +53794,11 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x13b3, /* VPSRLWri */
+  0x16b4, /* VPSRLWri */
   0x0, /*  */
-  0x1383, /* VPSRAWri */
+  0x1684, /* VPSRAWri */
   0x0, /*  */
-  0x135f, /* VPSLLWri */
+  0x1660, /* VPSLLWri */
   0x0, /*  */
 /* Table4038 */
   0x0, /*  */
@@ -48155,11 +53811,11 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1393, /* VPSRLDri */
+  0x1694, /* VPSRLDri */
   0x0, /*  */
-  0x136d, /* VPSRADri */
+  0x166e, /* VPSRADri */
   0x0, /*  */
-  0x133f, /* VPSLLDri */
+  0x1640, /* VPSLLDri */
   0x0, /*  */
 /* Table4054 */
   0x0, /*  */
@@ -48172,490 +53828,507 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x13a1, /* VPSRLQri */
-  0x1387, /* VPSRLDQri */
+  0x16a2, /* VPSRLQri */
+  0x1688, /* VPSRLDQri */
   0x0, /*  */
   0x0, /*  */
-  0x134d, /* VPSLLQri */
-  0x1333, /* VPSLLDQri */
+  0x164e, /* VPSLLQri */
+  0x1634, /* VPSLLDQri */
 /* Table4070 */
-  0x10cc, /* VPCMPEQBrm */
-  0x10cd, /* VPCMPEQBrr */
+  0x129b, /* VPCMPEQBrm */
+  0x129c, /* VPCMPEQBrr */
 /* Table4072 */
-  0x10dc, /* VPCMPEQWrm */
-  0x10dd, /* VPCMPEQWrr */
+  0x12d7, /* VPCMPEQWrm */
+  0x12d8, /* VPCMPEQWrr */
 /* Table4074 */
-  0x10d2, /* VPCMPEQDrm */
-  0x10d3, /* VPCMPEQDrr */
+  0x12b1, /* VPCMPEQDrm */
+  0x12b2, /* VPCMPEQDrr */
 /* Table4076 */
-  0xebd, /* VHADDPDrm */
-  0xebe, /* VHADDPDrr */
+  0xef8, /* VHADDPDrm */
+  0xef9, /* VHADDPDrr */
 /* Table4078 */
-  0xec5, /* VHSUBPDrm */
-  0xec6, /* VHSUBPDrr */
+  0xf00, /* VHSUBPDrm */
+  0xf01, /* VHSUBPDrr */
 /* Table4080 */
-  0xfa4, /* VMOVPDI2DImr */
-  0xfa5, /* VMOVPDI2DIrr */
+  0x10ef, /* VMOVPDI2DImr */
+  0x10f0, /* VMOVPDI2DIrr */
 /* Table4082 */
-  0xf72, /* VMOVDQAmr */
-  0xf75, /* VMOVDQArr_REV */
+  0x1039, /* VMOVDQAmr */
+  0x103c, /* VMOVDQArr_REV */
 /* Table4084 */
-  0xbf3, /* VCMPPDrmi */
-  0xbf5, /* VCMPPDrri */
+  0x46f, /* KMOVBkm */
+  0x46e, /* KMOVBkk */
 /* Table4086 */
-  0x11cb, /* VPINSRWrmi */
-  0x11cc, /* VPINSRWrri */
+  0x471, /* KMOVBmk */
+  0x0, /*  */
 /* Table4088 */
   0x0, /*  */
-  0x117f, /* VPEXTRWri */
+  0x470, /* KMOVBkr */
 /* Table4090 */
-  0x1476, /* VSHUFPDrmi */
-  0x1477, /* VSHUFPDrri */
+  0x0, /*  */
+  0x472, /* KMOVBrk */
 /* Table4092 */
-  0xba3, /* VADDSUBPDrm */
-  0xba4, /* VADDSUBPDrr */
+  0xc02, /* VCMPPDrmi */
+  0xc04, /* VCMPPDrri */
 /* Table4094 */
-  0x13b4, /* VPSRLWrm */
-  0x13b5, /* VPSRLWrr */
+  0x1424, /* VPINSRWrmi */
+  0x1425, /* VPINSRWrri */
 /* Table4096 */
-  0x1394, /* VPSRLDrm */
-  0x1395, /* VPSRLDrr */
+  0x0, /*  */
+  0x13d8, /* VPEXTRWri */
 /* Table4098 */
-  0x13a2, /* VPSRLQrm */
-  0x13a3, /* VPSRLQrr */
+  0x1797, /* VSHUFPDrmi */
+  0x1798, /* VSHUFPDrri */
 /* Table4100 */
-  0x105a, /* VPADDQrm */
-  0x105b, /* VPADDQrr */
+  0xbaa, /* VADDSUBPDrm */
+  0xbab, /* VADDSUBPDrr */
 /* Table4102 */
-  0x12cb, /* VPMULLWrm */
-  0x12cc, /* VPMULLWrr */
+  0x16b5, /* VPSRLWrm */
+  0x16b6, /* VPSRLWrr */
 /* Table4104 */
-  0xfa6, /* VMOVPQI2QImr */
-  0xfa7, /* VMOVPQI2QIrr */
+  0x1695, /* VPSRLDrm */
+  0x1696, /* VPSRLDrr */
 /* Table4106 */
-  0x0, /*  */
-  0x1244, /* VPMOVMSKBrr */
+  0x16a3, /* VPSRLQrm */
+  0x16a4, /* VPSRLQrr */
 /* Table4108 */
-  0x13d2, /* VPSUBUSBrm */
-  0x13d3, /* VPSUBUSBrr */
+  0x1203, /* VPADDQrm */
+  0x1204, /* VPADDQrr */
 /* Table4110 */
-  0x13d6, /* VPSUBUSWrm */
-  0x13d7, /* VPSUBUSWrr */
+  0x15b9, /* VPMULLWrm */
+  0x15ba, /* VPMULLWrr */
 /* Table4112 */
-  0x122d, /* VPMINUBrm */
-  0x122e, /* VPMINUBrr */
+  0x10f1, /* VMOVPQI2QImr */
+  0x10f2, /* VMOVPQI2QIrr */
 /* Table4114 */
-  0x1086, /* VPANDrm */
-  0x1087, /* VPANDrr */
+  0x0, /*  */
+  0x14e3, /* VPMOVMSKBrr */
 /* Table4116 */
-  0x1066, /* VPADDUSBrm */
-  0x1067, /* VPADDUSBrr */
+  0x16df, /* VPSUBUSBrm */
+  0x16e0, /* VPSUBUSBrr */
 /* Table4118 */
-  0x106a, /* VPADDUSWrm */
-  0x106b, /* VPADDUSWrr */
+  0x16e3, /* VPSUBUSWrm */
+  0x16e4, /* VPSUBUSWrr */
 /* Table4120 */
-  0x1209, /* VPMAXUBrm */
-  0x120a, /* VPMAXUBrr */
+  0x14bc, /* VPMINUBrm */
+  0x14bd, /* VPMINUBrr */
 /* Table4122 */
-  0x107f, /* VPANDNrm */
-  0x1080, /* VPANDNrr */
+  0x1247, /* VPANDrm */
+  0x1248, /* VPANDrr */
 /* Table4124 */
-  0x108a, /* VPAVGBrm */
-  0x108b, /* VPAVGBrr */
+  0x120f, /* VPADDUSBrm */
+  0x1210, /* VPADDUSBrr */
 /* Table4126 */
-  0x1384, /* VPSRAWrm */
-  0x1385, /* VPSRAWrr */
+  0x1213, /* VPADDUSWrm */
+  0x1214, /* VPADDUSWrr */
 /* Table4128 */
-  0x136e, /* VPSRADrm */
-  0x136f, /* VPSRADrr */
+  0x1480, /* VPMAXUBrm */
+  0x1481, /* VPMAXUBrr */
 /* Table4130 */
-  0x108e, /* VPAVGWrm */
-  0x108f, /* VPAVGWrr */
+  0x123a, /* VPANDNrm */
+  0x123b, /* VPANDNrr */
 /* Table4132 */
-  0x12bc, /* VPMULHUWrm */
-  0x12bd, /* VPMULHUWrr */
+  0x124b, /* VPAVGBrm */
+  0x124c, /* VPAVGBrr */
 /* Table4134 */
-  0x12c0, /* VPMULHWrm */
-  0x12c1, /* VPMULHWrr */
+  0x1685, /* VPSRAWrm */
+  0x1686, /* VPSRAWrr */
 /* Table4136 */
-  0xc86, /* VCVTTPD2DQXrm */
-  0xc8b, /* VCVTTPD2DQrr */
+  0x166f, /* VPSRADrm */
+  0x1670, /* VPSRADrr */
 /* Table4138 */
-  0xf9d, /* VMOVNTDQmr */
-  0x0, /*  */
+  0x124f, /* VPAVGWrm */
+  0x1250, /* VPAVGWrr */
 /* Table4140 */
-  0x13ca, /* VPSUBSBrm */
-  0x13cb, /* VPSUBSBrr */
+  0x15a4, /* VPMULHUWrm */
+  0x15a5, /* VPMULHUWrr */
 /* Table4142 */
-  0x13ce, /* VPSUBSWrm */
-  0x13cf, /* VPSUBSWrr */
+  0x15a8, /* VPMULHWrm */
+  0x15a9, /* VPMULHWrr */
 /* Table4144 */
-  0x1229, /* VPMINSWrm */
-  0x122a, /* VPMINSWrr */
+  0xc95, /* VCVTTPD2DQXrm */
+  0xc9a, /* VCVTTPD2DQrr */
 /* Table4146 */
-  0x12db, /* VPORrm */
-  0x12dc, /* VPORrr */
+  0x10e2, /* VMOVNTDQmr */
+  0x0, /*  */
 /* Table4148 */
-  0x105e, /* VPADDSBrm */
-  0x105f, /* VPADDSBrr */
+  0x16d7, /* VPSUBSBrm */
+  0x16d8, /* VPSUBSBrr */
 /* Table4150 */
-  0x1062, /* VPADDSWrm */
-  0x1063, /* VPADDSWrr */
+  0x16db, /* VPSUBSWrm */
+  0x16dc, /* VPSUBSWrr */
 /* Table4152 */
-  0x1205, /* VPMAXSWrm */
-  0x1206, /* VPMAXSWrr */
+  0x14b8, /* VPMINSWrm */
+  0x14b9, /* VPMINSWrr */
 /* Table4154 */
-  0x1418, /* VPXORrm */
-  0x1419, /* VPXORrr */
+  0x15dc, /* VPORrm */
+  0x15dd, /* VPORrr */
 /* Table4156 */
-  0x1360, /* VPSLLWrm */
-  0x1361, /* VPSLLWrr */
+  0x1207, /* VPADDSBrm */
+  0x1208, /* VPADDSBrr */
 /* Table4158 */
-  0x1340, /* VPSLLDrm */
-  0x1341, /* VPSLLDrr */
+  0x120b, /* VPADDSWrm */
+  0x120c, /* VPADDSWrr */
 /* Table4160 */
-  0x134e, /* VPSLLQrm */
-  0x134f, /* VPSLLQrr */
+  0x147c, /* VPMAXSWrm */
+  0x147d, /* VPMAXSWrr */
 /* Table4162 */
-  0x12d1, /* VPMULUDQrm */
-  0x12d2, /* VPMULUDQrr */
+  0x1731, /* VPXORrm */
+  0x1732, /* VPXORrr */
 /* Table4164 */
-  0x11eb, /* VPMADDWDrm */
-  0x11ec, /* VPMADDWDrr */
+  0x1661, /* VPSLLWrm */
+  0x1662, /* VPSLLWrr */
 /* Table4166 */
-  0x12f6, /* VPSADBWrm */
-  0x12f7, /* VPSADBWrr */
+  0x1641, /* VPSLLDrm */
+  0x1642, /* VPSLLDrr */
 /* Table4168 */
-  0x0, /*  */
-  0xede, /* VMASKMOVDQU */
+  0x164f, /* VPSLLQrm */
+  0x1650, /* VPSLLQrr */
 /* Table4170 */
-  0x13b8, /* VPSUBBrm */
-  0x13b9, /* VPSUBBrr */
+  0x15c6, /* VPMULUDQrm */
+  0x15c7, /* VPMULUDQrr */
 /* Table4172 */
-  0x13da, /* VPSUBWrm */
-  0x13db, /* VPSUBWrr */
+  0x1456, /* VPMADDWDrm */
+  0x1457, /* VPMADDWDrr */
 /* Table4174 */
-  0x13bf, /* VPSUBDrm */
-  0x13c0, /* VPSUBDrr */
+  0x15f7, /* VPSADBWrm */
+  0x15f8, /* VPSADBWrr */
 /* Table4176 */
-  0x13c6, /* VPSUBQrm */
-  0x13c7, /* VPSUBQrr */
-/* Table4178 */
-  0x104c, /* VPADDBrm */
-  0x104d, /* VPADDBrr */
-/* Table4180 */
-  0x106e, /* VPADDWrm */
-  0x106f, /* VPADDWrr */
-/* Table4182 */
-  0x1053, /* VPADDDrm */
-  0x1054, /* VPADDDrr */
-/* Table4184 */
-  0xc6c, /* VCVTSI2SS64rm */
-  0xc6d, /* VCVTSI2SS64rr */
-/* Table4186 */
-  0xca4, /* VCVTTSS2SI64rm */
-  0xca5, /* VCVTTSS2SI64rr */
-/* Table4188 */
-  0xc7c, /* VCVTSS2SI64rm */
-  0xc7d, /* VCVTSS2SI64rr */
-/* Table4190 */
-  0xc66, /* VCVTSI2SD64rm */
-  0xc67, /* VCVTSI2SD64rr */
-/* Table4192 */
-  0xc98, /* VCVTTSD2SI64rm */
-  0xc99, /* VCVTTSD2SI64rr */
-/* Table4194 */
-  0xc58, /* VCVTSD2SI64rm */
-  0xc59, /* VCVTSD2SI64rr */
-/* Table4196 */
-  0xf62, /* VMOVDI2PDIrm */
-  0xf3c, /* VMOV64toPQIrr */
-/* Table4198 */
-  0xfa4, /* VMOVPDI2DImr */
-  0xfaa, /* VMOVPQIto64rr */
-/* Table4200 */
-  0xfe1, /* VMOVUPSYrm */
-  0xfe2, /* VMOVUPSYrr */
-/* Table4202 */
-  0xfe0, /* VMOVUPSYmr */
-  0xfe3, /* VMOVUPSYrr_REV */
-/* Table4204 */
-  0x14d9, /* VUNPCKLPSYrm */
-  0x14da, /* VUNPCKLPSYrr */
-/* Table4206 */
-  0x14cd, /* VUNPCKHPSYrm */
-  0x14ce, /* VUNPCKHPSYrr */
-/* Table4208 */
-  0xf4e, /* VMOVAPSYrm */
-  0xf4f, /* VMOVAPSYrr */
-/* Table4210 */
-  0xf4d, /* VMOVAPSYmr */
-  0xf50, /* VMOVAPSYrr_REV */
-/* Table4212 */
-  0xfa0, /* VMOVNTPSYmr */
   0x0, /*  */
+  0xf19, /* VMASKMOVDQU */
+/* Table4178 */
+  0x16b9, /* VPSUBBrm */
+  0x16ba, /* VPSUBBrr */
+/* Table4180 */
+  0x16e7, /* VPSUBWrm */
+  0x16e8, /* VPSUBWrr */
+/* Table4182 */
+  0x16c6, /* VPSUBDrm */
+  0x16c7, /* VPSUBDrr */
+/* Table4184 */
+  0x16d3, /* VPSUBQrm */
+  0x16d4, /* VPSUBQrr */
+/* Table4186 */
+  0x11e9, /* VPADDBrm */
+  0x11ea, /* VPADDBrr */
+/* Table4188 */
+  0x1217, /* VPADDWrm */
+  0x1218, /* VPADDWrr */
+/* Table4190 */
+  0x11f6, /* VPADDDrm */
+  0x11f7, /* VPADDDrr */
+/* Table4192 */
+  0x0, /*  */
+  0x484, /* KNOTQrr */
+/* Table4194 */
+  0x479, /* KMOVQkm */
+  0x478, /* KMOVQkk */
+/* Table4196 */
+  0x47b, /* KMOVQmk */
+  0x0, /*  */
+/* Table4198 */
+  0xc7b, /* VCVTSI2SS64rm */
+  0xc7c, /* VCVTSI2SS64rr */
+/* Table4200 */
+  0xcb3, /* VCVTTSS2SI64rm */
+  0xcb4, /* VCVTTSS2SI64rr */
+/* Table4202 */
+  0xc8b, /* VCVTSS2SI64rm */
+  0xc8c, /* VCVTSS2SI64rr */
+/* Table4204 */
+  0xc75, /* VCVTSI2SD64rm */
+  0xc76, /* VCVTSI2SD64rr */
+/* Table4206 */
+  0xca7, /* VCVTTSD2SI64rm */
+  0xca8, /* VCVTTSD2SI64rr */
+/* Table4208 */
+  0xc67, /* VCVTSD2SI64rm */
+  0xc68, /* VCVTSD2SI64rr */
+/* Table4210 */
+  0x0, /*  */
+  0x47a, /* KMOVQkr */
+/* Table4212 */
+  0x0, /*  */
+  0x47c, /* KMOVQrk */
 /* Table4214 */
   0x0, /*  */
-  0x495, /* KANDWrr */
+  0x483, /* KNOTDrr */
 /* Table4216 */
-  0x0, /*  */
-  0x494, /* KANDNWrr */
+  0xfed, /* VMOVDI2PDIrm */
+  0xf8f, /* VMOV64toPQIrr */
 /* Table4218 */
-  0x0, /*  */
-  0x49d, /* KORWrr */
+  0x10ef, /* VMOVPDI2DImr */
+  0x10f5, /* VMOVPQIto64rr */
 /* Table4220 */
-  0x0, /*  */
-  0x4a5, /* KXNORWrr */
+  0x474, /* KMOVDkm */
+  0x473, /* KMOVDkk */
 /* Table4222 */
+  0x476, /* KMOVDmk */
   0x0, /*  */
-  0x4a6, /* KXORWrr */
 /* Table4224 */
-  0x0, /*  */
-  0xf98, /* VMOVMSKPSYrr */
+  0x1148, /* VMOVUPSYrm */
+  0x1149, /* VMOVUPSYrr */
 /* Table4226 */
-  0x1486, /* VSQRTPSYm */
-  0x1487, /* VSQRTPSYr */
+  0x1147, /* VMOVUPSYmr */
+  0x114a, /* VMOVUPSYrr_REV */
 /* Table4228 */
-  0x1463, /* VRSQRTPSYm */
-  0x1465, /* VRSQRTPSYr */
+  0x1802, /* VUNPCKLPSYrm */
+  0x1803, /* VUNPCKLPSYrr */
 /* Table4230 */
-  0x142e, /* VRCPPSYm */
-  0x1430, /* VRCPPSYr */
+  0x17f6, /* VUNPCKHPSYrm */
+  0x17f7, /* VUNPCKHPSYrr */
 /* Table4232 */
-  0xbc5, /* VANDPSYrm */
-  0xbc6, /* VANDPSYrr */
+  0xfbd, /* VMOVAPSYrm */
+  0xfbe, /* VMOVAPSYrr */
 /* Table4234 */
-  0xbbd, /* VANDNPSYrm */
-  0xbbe, /* VANDNPSYrr */
+  0xfbc, /* VMOVAPSYmr */
+  0xfbf, /* VMOVAPSYrr_REV */
 /* Table4236 */
-  0x1026, /* VORPSYrm */
-  0x1027, /* VORPSYrr */
+  0x10e8, /* VMOVNTPSYmr */
+  0x0, /*  */
 /* Table4238 */
-  0x14e3, /* VXORPSYrm */
-  0x14e4, /* VXORPSYrr */
+  0x0, /*  */
+  0x46d, /* KANDWrr */
 /* Table4240 */
-  0xb8e, /* VADDPSYrm */
-  0xb8f, /* VADDPSYrr */
+  0x0, /*  */
+  0x46b, /* KANDNWrr */
 /* Table4242 */
-  0x1009, /* VMULPSYrm */
-  0x100a, /* VMULPSYrr */
+  0x0, /*  */
+  0x48a, /* KORWrr */
 /* Table4244 */
-  0xc47, /* VCVTPS2PDYrm */
-  0xc48, /* VCVTPS2PDYrr */
+  0x0, /*  */
+  0x495, /* KXNORWrr */
 /* Table4246 */
-  0xc22, /* VCVTDQ2PSYrm */
-  0xc23, /* VCVTDQ2PSYrr */
+  0x0, /*  */
+  0x499, /* KXORWrr */
 /* Table4248 */
-  0x14a4, /* VSUBPSYrm */
-  0x14a5, /* VSUBPSYrr */
+  0x0, /*  */
+  0x10d7, /* VMOVMSKPSYrr */
 /* Table4250 */
-  0xf24, /* VMINPSYrm */
-  0xf25, /* VMINPSYrr */
+  0x17a5, /* VSQRTPSYm */
+  0x17a6, /* VSQRTPSYr */
 /* Table4252 */
-  0xcc2, /* VDIVPSYrm */
-  0xcc3, /* VDIVPSYrr */
+  0x177c, /* VRSQRTPSYm */
+  0x177e, /* VRSQRTPSYr */
 /* Table4254 */
-  0xefb, /* VMAXPSYrm */
-  0xefc, /* VMAXPSYrr */
+  0x1747, /* VRCPPSYm */
+  0x1749, /* VRCPPSYr */
 /* Table4256 */
-  0x14e7, /* VZEROALL */
-/* Table4257 */
-  0xbf7, /* VCMPPSYrmi */
-  0xbf9, /* VCMPPSYrri */
-/* Table4259 */
-  0x1478, /* VSHUFPSYrmi */
-  0x1479, /* VSHUFPSYrri */
-/* Table4261 */
-  0xfc0, /* VMOVSLDUPYrm */
-  0xfc1, /* VMOVSLDUPYrr */
-/* Table4263 */
-  0xfba, /* VMOVSHDUPYrm */
-  0xfbb, /* VMOVSHDUPYrr */
-/* Table4265 */
-  0xc8e, /* VCVTTPS2DQYrm */
-  0xc8f, /* VCVTTPS2DQYrr */
-/* Table4267 */
-  0xf83, /* VMOVDQUYrm */
-  0xf84, /* VMOVDQUYrr */
-/* Table4269 */
-  0x131e, /* VPSHUFHWYmi */
-  0x131f, /* VPSHUFHWYri */
-/* Table4271 */
-  0xf82, /* VMOVDQUYmr */
-  0xf85, /* VMOVDQUYrr_REV */
-/* Table4273 */
-  0xc1c, /* VCVTDQ2PDYrm */
-  0xc1d, /* VCVTDQ2PDYrr */
-/* Table4275 */
-  0xf5a, /* VMOVDDUPYrm */
-  0xf5b, /* VMOVDDUPYrr */
-/* Table4277 */
-  0x1322, /* VPSHUFLWYmi */
-  0x1323, /* VPSHUFLWYri */
-/* Table4279 */
-  0xebf, /* VHADDPSYrm */
-  0xec0, /* VHADDPSYrr */
+  0xbd0, /* VANDPSYrm */
+  0xbd1, /* VANDPSYrr */
+/* Table4258 */
+  0xbc8, /* VANDNPSYrm */
+  0xbc9, /* VANDNPSYrr */
+/* Table4260 */
+  0x11b5, /* VORPSYrm */
+  0x11b6, /* VORPSYrr */
+/* Table4262 */
+  0x180c, /* VXORPSYrm */
+  0x180d, /* VXORPSYrr */
+/* Table4264 */
+  0xb8f, /* VADDPSYrm */
+  0xb90, /* VADDPSYrr */
+/* Table4266 */
+  0x1192, /* VMULPSYrm */
+  0x1193, /* VMULPSYrr */
+/* Table4268 */
+  0xc56, /* VCVTPS2PDYrm */
+  0xc57, /* VCVTPS2PDYrr */
+/* Table4270 */
+  0xc31, /* VCVTDQ2PSYrm */
+  0xc32, /* VCVTDQ2PSYrr */
+/* Table4272 */
+  0x17c7, /* VSUBPSYrm */
+  0x17c8, /* VSUBPSYrr */
+/* Table4274 */
+  0xf71, /* VMINPSYrm */
+  0xf72, /* VMINPSYrr */
+/* Table4276 */
+  0xcd7, /* VDIVPSYrm */
+  0xcd8, /* VDIVPSYrr */
+/* Table4278 */
+  0xf3c, /* VMAXPSYrm */
+  0xf3d, /* VMAXPSYrr */
+/* Table4280 */
+  0x1810, /* VZEROALL */
 /* Table4281 */
-  0xec7, /* VHSUBPSYrm */
-  0xec8, /* VHSUBPSYrr */
+  0xc06, /* VCMPPSYrmi */
+  0xc08, /* VCMPPSYrri */
 /* Table4283 */
-  0xba5, /* VADDSUBPSYrm */
-  0xba6, /* VADDSUBPSYrr */
+  0x1799, /* VSHUFPSYrmi */
+  0x179a, /* VSHUFPSYrri */
 /* Table4285 */
-  0xc2a, /* VCVTPD2DQYrm */
-  0xc2b, /* VCVTPD2DQYrr */
+  0x110b, /* VMOVSLDUPYrm */
+  0x110c, /* VMOVSLDUPYrr */
 /* Table4287 */
-  0xedb, /* VLDDQUYrm */
-  0x0, /*  */
+  0x1105, /* VMOVSHDUPYrm */
+  0x1106, /* VMOVSHDUPYrr */
 /* Table4289 */
-  0xfd4, /* VMOVUPDYrm */
-  0xfd5, /* VMOVUPDYrr */
+  0xc9d, /* VCVTTPS2DQYrm */
+  0xc9e, /* VCVTTPS2DQYrr */
 /* Table4291 */
-  0xfd3, /* VMOVUPDYmr */
-  0xfd6, /* VMOVUPDYrr_REV */
+  0x10c2, /* VMOVDQUYrm */
+  0x10c3, /* VMOVDQUYrr */
 /* Table4293 */
-  0x14d3, /* VUNPCKLPDYrm */
-  0x14d4, /* VUNPCKLPDYrr */
+  0x161f, /* VPSHUFHWYmi */
+  0x1620, /* VPSHUFHWYri */
 /* Table4295 */
-  0x14c7, /* VUNPCKHPDYrm */
-  0x14c8, /* VUNPCKHPDYrr */
+  0x10c1, /* VMOVDQUYmr */
+  0x10c4, /* VMOVDQUYrr_REV */
 /* Table4297 */
-  0xf41, /* VMOVAPDYrm */
-  0xf42, /* VMOVAPDYrr */
+  0xc2b, /* VCVTDQ2PDYrm */
+  0xc2c, /* VCVTDQ2PDYrr */
 /* Table4299 */
-  0xf40, /* VMOVAPDYmr */
-  0xf43, /* VMOVAPDYrr_REV */
+  0xfe5, /* VMOVDDUPYrm */
+  0xfe6, /* VMOVDDUPYrr */
 /* Table4301 */
-  0xf9e, /* VMOVNTPDYmr */
-  0x0, /*  */
+  0x1623, /* VPSHUFLWYmi */
+  0x1624, /* VPSHUFLWYri */
 /* Table4303 */
-  0x0, /*  */
-  0x4a4, /* KUNPCKBWrr */
+  0xefa, /* VHADDPSYrm */
+  0xefb, /* VHADDPSYrr */
 /* Table4305 */
-  0x0, /*  */
-  0xf96, /* VMOVMSKPDYrr */
+  0xf02, /* VHSUBPSYrm */
+  0xf03, /* VHSUBPSYrr */
 /* Table4307 */
-  0x147e, /* VSQRTPDYm */
-  0x147f, /* VSQRTPDYr */
+  0xbac, /* VADDSUBPSYrm */
+  0xbad, /* VADDSUBPSYrr */
 /* Table4309 */
-  0xbc1, /* VANDPDYrm */
-  0xbc2, /* VANDPDYrr */
+  0xc39, /* VCVTPD2DQYrm */
+  0xc3a, /* VCVTPD2DQYrr */
 /* Table4311 */
-  0xbb9, /* VANDNPDYrm */
-  0xbba, /* VANDNPDYrr */
+  0xf16, /* VLDDQUYrm */
+  0x0, /*  */
 /* Table4313 */
-  0x1022, /* VORPDYrm */
-  0x1023, /* VORPDYrr */
+  0x111f, /* VMOVUPDYrm */
+  0x1120, /* VMOVUPDYrr */
 /* Table4315 */
-  0x14df, /* VXORPDYrm */
-  0x14e0, /* VXORPDYrr */
+  0x111e, /* VMOVUPDYmr */
+  0x1121, /* VMOVUPDYrr_REV */
 /* Table4317 */
-  0xb87, /* VADDPDYrm */
-  0xb88, /* VADDPDYrr */
+  0x17fc, /* VUNPCKLPDYrm */
+  0x17fd, /* VUNPCKLPDYrr */
 /* Table4319 */
-  0x1002, /* VMULPDYrm */
-  0x1003, /* VMULPDYrr */
+  0x17f0, /* VUNPCKHPDYrm */
+  0x17f1, /* VUNPCKHPDYrr */
 /* Table4321 */
-  0xc31, /* VCVTPD2PSYrm */
-  0xc32, /* VCVTPD2PSYrr */
+  0xf94, /* VMOVAPDYrm */
+  0xf95, /* VMOVAPDYrr */
 /* Table4323 */
-  0xc40, /* VCVTPS2DQYrm */
-  0xc41, /* VCVTPS2DQYrr */
+  0xf93, /* VMOVAPDYmr */
+  0xf96, /* VMOVAPDYrr_REV */
 /* Table4325 */
-  0x149d, /* VSUBPDYrm */
-  0x149e, /* VSUBPDYrr */
+  0x10e3, /* VMOVNTPDYmr */
+  0x0, /*  */
 /* Table4327 */
-  0xf1d, /* VMINPDYrm */
-  0xf1e, /* VMINPDYrr */
+  0x0, /*  */
+  0x466, /* KANDBrr */
 /* Table4329 */
-  0xcbb, /* VDIVPDYrm */
-  0xcbc, /* VDIVPDYrr */
+  0x0, /*  */
+  0x468, /* KANDNBrr */
 /* Table4331 */
-  0xef4, /* VMAXPDYrm */
-  0xef5, /* VMAXPDYrr */
+  0x0, /*  */
+  0x486, /* KORBrr */
 /* Table4333 */
-  0x13fc, /* VPUNPCKLBWYrm */
-  0x13fd, /* VPUNPCKLBWYrr */
+  0x0, /*  */
+  0x492, /* KXNORBrr */
 /* Table4335 */
-  0x140c, /* VPUNPCKLWDYrm */
-  0x140d, /* VPUNPCKLWDYrr */
+  0x0, /*  */
+  0x496, /* KXORBrr */
 /* Table4337 */
-  0x1400, /* VPUNPCKLDQYrm */
-  0x1401, /* VPUNPCKLDQYrr */
+  0x0, /*  */
+  0x491, /* KUNPCKBWrr */
 /* Table4339 */
-  0x103e, /* VPACKSSWBYrm */
-  0x103f, /* VPACKSSWBYrr */
+  0x0, /*  */
+  0x10d5, /* VMOVMSKPDYrr */
 /* Table4341 */
-  0x10e6, /* VPCMPGTBYrm */
-  0x10e7, /* VPCMPGTBYrr */
+  0x179f, /* VSQRTPDYm */
+  0x17a0, /* VSQRTPDYr */
 /* Table4343 */
-  0x10f6, /* VPCMPGTWYrm */
-  0x10f7, /* VPCMPGTWYrr */
+  0xbcc, /* VANDPDYrm */
+  0xbcd, /* VANDPDYrr */
 /* Table4345 */
-  0x10ea, /* VPCMPGTDYrm */
-  0x10eb, /* VPCMPGTDYrr */
+  0xbc4, /* VANDNPDYrm */
+  0xbc5, /* VANDNPDYrr */
 /* Table4347 */
-  0x1046, /* VPACKUSWBYrm */
-  0x1047, /* VPACKUSWBYrr */
+  0x11b1, /* VORPDYrm */
+  0x11b2, /* VORPDYrr */
 /* Table4349 */
-  0x13e8, /* VPUNPCKHBWYrm */
-  0x13e9, /* VPUNPCKHBWYrr */
+  0x1808, /* VXORPDYrm */
+  0x1809, /* VXORPDYrr */
 /* Table4351 */
-  0x13f8, /* VPUNPCKHWDYrm */
-  0x13f9, /* VPUNPCKHWDYrr */
+  0xb82, /* VADDPDYrm */
+  0xb83, /* VADDPDYrr */
 /* Table4353 */
-  0x13ec, /* VPUNPCKHDQYrm */
-  0x13ed, /* VPUNPCKHDQYrr */
+  0x1185, /* VMULPDYrm */
+  0x1186, /* VMULPDYrr */
 /* Table4355 */
-  0x103a, /* VPACKSSDWYrm */
-  0x103b, /* VPACKSSDWYrr */
+  0xc40, /* VCVTPD2PSYrm */
+  0xc41, /* VCVTPD2PSYrr */
 /* Table4357 */
-  0x1406, /* VPUNPCKLQDQYrm */
-  0x1407, /* VPUNPCKLQDQYrr */
+  0xc4f, /* VCVTPS2DQYrm */
+  0xc50, /* VCVTPS2DQYrr */
 /* Table4359 */
-  0x13f2, /* VPUNPCKHQDQYrm */
-  0x13f3, /* VPUNPCKHQDQYrr */
+  0x17ba, /* VSUBPDYrm */
+  0x17bb, /* VSUBPDYrr */
 /* Table4361 */
-  0xf6f, /* VMOVDQAYrm */
-  0xf70, /* VMOVDQAYrr */
+  0xf64, /* VMINPDYrm */
+  0xf65, /* VMINPDYrr */
 /* Table4363 */
-  0x1318, /* VPSHUFDYmi */
-  0x1319, /* VPSHUFDYri */
+  0xcca, /* VDIVPDYrm */
+  0xccb, /* VDIVPDYrr */
 /* Table4365 */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x13b0, /* VPSRLWYri */
-  0x0, /*  */
-  0x1380, /* VPSRAWYri */
-  0x0, /*  */
-  0x135c, /* VPSLLWYri */
-  0x0, /*  */
+  0xf2f, /* VMAXPDYrm */
+  0xf30, /* VMAXPDYrr */
+/* Table4367 */
+  0x1709, /* VPUNPCKLBWYrm */
+  0x170a, /* VPUNPCKLBWYrr */
+/* Table4369 */
+  0x1719, /* VPUNPCKLWDYrm */
+  0x171a, /* VPUNPCKLWDYrr */
+/* Table4371 */
+  0x170d, /* VPUNPCKLDQYrm */
+  0x170e, /* VPUNPCKLDQYrr */
+/* Table4373 */
+  0x11db, /* VPACKSSWBYrm */
+  0x11dc, /* VPACKSSWBYrr */
+/* Table4375 */
+  0x12e1, /* VPCMPGTBYrm */
+  0x12e2, /* VPCMPGTBYrr */
+/* Table4377 */
+  0x131d, /* VPCMPGTWYrm */
+  0x131e, /* VPCMPGTWYrr */
+/* Table4379 */
+  0x12f1, /* VPCMPGTDYrm */
+  0x12f2, /* VPCMPGTDYrr */
 /* Table4381 */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1388, /* VPSRLDYri */
-  0x0, /*  */
-  0x1362, /* VPSRADYri */
-  0x0, /*  */
-  0x1334, /* VPSLLDYri */
-  0x0, /*  */
+  0x11e3, /* VPACKUSWBYrm */
+  0x11e4, /* VPACKUSWBYrr */
+/* Table4383 */
+  0x16f5, /* VPUNPCKHBWYrm */
+  0x16f6, /* VPUNPCKHBWYrr */
+/* Table4385 */
+  0x1705, /* VPUNPCKHWDYrm */
+  0x1706, /* VPUNPCKHWDYrr */
+/* Table4387 */
+  0x16f9, /* VPUNPCKHDQYrm */
+  0x16fa, /* VPUNPCKHDQYrr */
+/* Table4389 */
+  0x11d7, /* VPACKSSDWYrm */
+  0x11d8, /* VPACKSSDWYrr */
+/* Table4391 */
+  0x1713, /* VPUNPCKLQDQYrm */
+  0x1714, /* VPUNPCKLQDQYrr */
+/* Table4393 */
+  0x16ff, /* VPUNPCKHQDQYrm */
+  0x1700, /* VPUNPCKHQDQYrr */
+/* Table4395 */
+  0x1036, /* VMOVDQAYrm */
+  0x1037, /* VMOVDQAYrr */
 /* Table4397 */
+  0x1619, /* VPSHUFDYmi */
+  0x161a, /* VPSHUFDYri */
+/* Table4399 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -48666,3446 +54339,4937 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x1396, /* VPSRLQYri */
-  0x1386, /* VPSRLDQYri */
+  0x16b1, /* VPSRLWYri */
   0x0, /*  */
+  0x1681, /* VPSRAWYri */
   0x0, /*  */
-  0x1342, /* VPSLLQYri */
-  0x1332, /* VPSLLDQYri */
-/* Table4413 */
-  0x10ca, /* VPCMPEQBYrm */
-  0x10cb, /* VPCMPEQBYrr */
+  0x165d, /* VPSLLWYri */
+  0x0, /*  */
 /* Table4415 */
-  0x10da, /* VPCMPEQWYrm */
-  0x10db, /* VPCMPEQWYrr */
-/* Table4417 */
-  0x10ce, /* VPCMPEQDYrm */
-  0x10cf, /* VPCMPEQDYrr */
-/* Table4419 */
-  0xebb, /* VHADDPDYrm */
-  0xebc, /* VHADDPDYrr */
-/* Table4421 */
-  0xec3, /* VHSUBPDYrm */
-  0xec4, /* VHSUBPDYrr */
-/* Table4423 */
-  0xf6e, /* VMOVDQAYmr */
-  0xf71, /* VMOVDQAYrr_REV */
-/* Table4425 */
-  0xbea, /* VCMPPDYrmi */
-  0xbec, /* VCMPPDYrri */
-/* Table4427 */
-  0x1472, /* VSHUFPDYrmi */
-  0x1473, /* VSHUFPDYrri */
-/* Table4429 */
-  0xba1, /* VADDSUBPDYrm */
-  0xba2, /* VADDSUBPDYrr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1689, /* VPSRLDYri */
+  0x0, /*  */
+  0x1663, /* VPSRADYri */
+  0x0, /*  */
+  0x1635, /* VPSLLDYri */
+  0x0, /*  */
 /* Table4431 */
-  0x13b1, /* VPSRLWYrm */
-  0x13b2, /* VPSRLWYrr */
-/* Table4433 */
-  0x1389, /* VPSRLDYrm */
-  0x138a, /* VPSRLDYrr */
-/* Table4435 */
-  0x1397, /* VPSRLQYrm */
-  0x1398, /* VPSRLQYrr */
-/* Table4437 */
-  0x1055, /* VPADDQYrm */
-  0x1056, /* VPADDQYrr */
-/* Table4439 */
-  0x12c9, /* VPMULLWYrm */
-  0x12ca, /* VPMULLWYrr */
-/* Table4441 */
   0x0, /*  */
-  0x1243, /* VPMOVMSKBYrr */
-/* Table4443 */
-  0x13d0, /* VPSUBUSBYrm */
-  0x13d1, /* VPSUBUSBYrr */
-/* Table4445 */
-  0x13d4, /* VPSUBUSWYrm */
-  0x13d5, /* VPSUBUSWYrr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1697, /* VPSRLQYri */
+  0x1687, /* VPSRLDQYri */
+  0x0, /*  */
+  0x0, /*  */
+  0x1643, /* VPSLLQYri */
+  0x1633, /* VPSLLDQYri */
 /* Table4447 */
-  0x122b, /* VPMINUBYrm */
-  0x122c, /* VPMINUBYrr */
+  0x128d, /* VPCMPEQBYrm */
+  0x128e, /* VPCMPEQBYrr */
 /* Table4449 */
-  0x1084, /* VPANDYrm */
-  0x1085, /* VPANDYrr */
+  0x12c9, /* VPCMPEQWYrm */
+  0x12ca, /* VPCMPEQWYrr */
 /* Table4451 */
-  0x1064, /* VPADDUSBYrm */
-  0x1065, /* VPADDUSBYrr */
+  0x129d, /* VPCMPEQDYrm */
+  0x129e, /* VPCMPEQDYrr */
 /* Table4453 */
-  0x1068, /* VPADDUSWYrm */
-  0x1069, /* VPADDUSWYrr */
+  0xef6, /* VHADDPDYrm */
+  0xef7, /* VHADDPDYrr */
 /* Table4455 */
-  0x1207, /* VPMAXUBYrm */
-  0x1208, /* VPMAXUBYrr */
+  0xefe, /* VHSUBPDYrm */
+  0xeff, /* VHSUBPDYrr */
 /* Table4457 */
-  0x107d, /* VPANDNYrm */
-  0x107e, /* VPANDNYrr */
+  0x1035, /* VMOVDQAYmr */
+  0x1038, /* VMOVDQAYrr_REV */
 /* Table4459 */
-  0x1088, /* VPAVGBYrm */
-  0x1089, /* VPAVGBYrr */
+  0xbf9, /* VCMPPDYrmi */
+  0xbfb, /* VCMPPDYrri */
 /* Table4461 */
-  0x1381, /* VPSRAWYrm */
-  0x1382, /* VPSRAWYrr */
+  0x1793, /* VSHUFPDYrmi */
+  0x1794, /* VSHUFPDYrri */
 /* Table4463 */
-  0x1363, /* VPSRADYrm */
-  0x1364, /* VPSRADYrr */
+  0xba8, /* VADDSUBPDYrm */
+  0xba9, /* VADDSUBPDYrr */
 /* Table4465 */
-  0x108c, /* VPAVGWYrm */
-  0x108d, /* VPAVGWYrr */
+  0x16b2, /* VPSRLWYrm */
+  0x16b3, /* VPSRLWYrr */
 /* Table4467 */
-  0x12ba, /* VPMULHUWYrm */
-  0x12bb, /* VPMULHUWYrr */
+  0x168a, /* VPSRLDYrm */
+  0x168b, /* VPSRLDYrr */
 /* Table4469 */
-  0x12be, /* VPMULHWYrm */
-  0x12bf, /* VPMULHWYrr */
+  0x1698, /* VPSRLQYrm */
+  0x1699, /* VPSRLQYrr */
 /* Table4471 */
-  0xc87, /* VCVTTPD2DQYrm */
-  0xc88, /* VCVTTPD2DQYrr */
+  0x11f8, /* VPADDQYrm */
+  0x11f9, /* VPADDQYrr */
 /* Table4473 */
-  0xf9c, /* VMOVNTDQYmr */
-  0x0, /*  */
+  0x15b7, /* VPMULLWYrm */
+  0x15b8, /* VPMULLWYrr */
 /* Table4475 */
-  0x13c8, /* VPSUBSBYrm */
-  0x13c9, /* VPSUBSBYrr */
+  0x0, /*  */
+  0x14e2, /* VPMOVMSKBYrr */
 /* Table4477 */
-  0x13cc, /* VPSUBSWYrm */
-  0x13cd, /* VPSUBSWYrr */
+  0x16dd, /* VPSUBUSBYrm */
+  0x16de, /* VPSUBUSBYrr */
 /* Table4479 */
-  0x1227, /* VPMINSWYrm */
-  0x1228, /* VPMINSWYrr */
+  0x16e1, /* VPSUBUSWYrm */
+  0x16e2, /* VPSUBUSWYrr */
 /* Table4481 */
-  0x12d9, /* VPORYrm */
-  0x12da, /* VPORYrr */
+  0x14ba, /* VPMINUBYrm */
+  0x14bb, /* VPMINUBYrr */
 /* Table4483 */
-  0x105c, /* VPADDSBYrm */
-  0x105d, /* VPADDSBYrr */
+  0x1245, /* VPANDYrm */
+  0x1246, /* VPANDYrr */
 /* Table4485 */
-  0x1060, /* VPADDSWYrm */
-  0x1061, /* VPADDSWYrr */
+  0x120d, /* VPADDUSBYrm */
+  0x120e, /* VPADDUSBYrr */
 /* Table4487 */
-  0x1203, /* VPMAXSWYrm */
-  0x1204, /* VPMAXSWYrr */
+  0x1211, /* VPADDUSWYrm */
+  0x1212, /* VPADDUSWYrr */
 /* Table4489 */
-  0x1416, /* VPXORYrm */
-  0x1417, /* VPXORYrr */
+  0x147e, /* VPMAXUBYrm */
+  0x147f, /* VPMAXUBYrr */
 /* Table4491 */
-  0x135d, /* VPSLLWYrm */
-  0x135e, /* VPSLLWYrr */
+  0x1238, /* VPANDNYrm */
+  0x1239, /* VPANDNYrr */
 /* Table4493 */
-  0x1335, /* VPSLLDYrm */
-  0x1336, /* VPSLLDYrr */
+  0x1249, /* VPAVGBYrm */
+  0x124a, /* VPAVGBYrr */
 /* Table4495 */
-  0x1343, /* VPSLLQYrm */
-  0x1344, /* VPSLLQYrr */
+  0x1682, /* VPSRAWYrm */
+  0x1683, /* VPSRAWYrr */
 /* Table4497 */
-  0x12cd, /* VPMULUDQYrm */
-  0x12ce, /* VPMULUDQYrr */
+  0x1664, /* VPSRADYrm */
+  0x1665, /* VPSRADYrr */
 /* Table4499 */
-  0x11e9, /* VPMADDWDYrm */
-  0x11ea, /* VPMADDWDYrr */
+  0x124d, /* VPAVGWYrm */
+  0x124e, /* VPAVGWYrr */
 /* Table4501 */
-  0x12f4, /* VPSADBWYrm */
-  0x12f5, /* VPSADBWYrr */
+  0x15a2, /* VPMULHUWYrm */
+  0x15a3, /* VPMULHUWYrr */
 /* Table4503 */
-  0x13b6, /* VPSUBBYrm */
-  0x13b7, /* VPSUBBYrr */
+  0x15a6, /* VPMULHWYrm */
+  0x15a7, /* VPMULHWYrr */
 /* Table4505 */
-  0x13d8, /* VPSUBWYrm */
-  0x13d9, /* VPSUBWYrr */
+  0xc96, /* VCVTTPD2DQYrm */
+  0xc97, /* VCVTTPD2DQYrr */
 /* Table4507 */
-  0x13ba, /* VPSUBDYrm */
-  0x13bb, /* VPSUBDYrr */
+  0x10de, /* VMOVNTDQYmr */
+  0x0, /*  */
 /* Table4509 */
-  0x13c1, /* VPSUBQYrm */
-  0x13c2, /* VPSUBQYrr */
+  0x16d5, /* VPSUBSBYrm */
+  0x16d6, /* VPSUBSBYrr */
 /* Table4511 */
-  0x104a, /* VPADDBYrm */
-  0x104b, /* VPADDBYrr */
+  0x16d9, /* VPSUBSWYrm */
+  0x16da, /* VPSUBSWYrr */
 /* Table4513 */
-  0x106c, /* VPADDWYrm */
-  0x106d, /* VPADDWYrr */
+  0x14b6, /* VPMINSWYrm */
+  0x14b7, /* VPMINSWYrr */
 /* Table4515 */
-  0x104e, /* VPADDDYrm */
-  0x104f, /* VPADDDYrr */
+  0x15da, /* VPORYrm */
+  0x15db, /* VPORYrr */
 /* Table4517 */
-  0x0, /*  */
-  0xf8a, /* VMOVHLPSZrr */
+  0x1205, /* VPADDSBYrm */
+  0x1206, /* VPADDSBYrr */
 /* Table4519 */
-  0x0, /*  */
-  0xf90, /* VMOVLHPSZrr */
+  0x1209, /* VPADDSWYrm */
+  0x120a, /* VPADDSWYrr */
 /* Table4521 */
-  0x14c3, /* VUCOMISSZrm */
-  0x14c4, /* VUCOMISSZrr */
+  0x147a, /* VPMAXSWYrm */
+  0x147b, /* VPMAXSWYrr */
 /* Table4523 */
-  0xc18, /* VCOMISSZrm */
-  0xc19, /* VCOMISSZrr */
+  0x172f, /* VPXORYrm */
+  0x1730, /* VPXORYrr */
 /* Table4525 */
-  0xfcb, /* VMOVSSZrm */
-  0xfcc, /* VMOVSSZrr */
+  0x165e, /* VPSLLWYrm */
+  0x165f, /* VPSLLWYrr */
 /* Table4527 */
-  0xfca, /* VMOVSSZmr */
-  0xfcd, /* VMOVSSZrr_REV */
+  0x1636, /* VPSLLDYrm */
+  0x1637, /* VPSLLDYrr */
 /* Table4529 */
-  0xc6e, /* VCVTSI2SSZrm */
-  0xc6f, /* VCVTSI2SSZrr */
+  0x1644, /* VPSLLQYrm */
+  0x1645, /* VPSLLQYrr */
 /* Table4531 */
-  0xca6, /* VCVTTSS2SIZrm */
-  0xca7, /* VCVTTSS2SIZrr */
+  0x15bb, /* VPMULUDQYrm */
+  0x15bc, /* VPMULUDQYrr */
 /* Table4533 */
-  0xc7e, /* VCVTSS2SIZrm */
-  0xc7f, /* VCVTSS2SIZrr */
+  0x1454, /* VPMADDWDYrm */
+  0x1455, /* VPMADDWDYrr */
 /* Table4535 */
-  0x1495, /* VSQRTSSZm */
-  0x1497, /* VSQRTSSZr */
+  0x15f5, /* VPSADBWYrm */
+  0x15f6, /* VPSADBWYrr */
 /* Table4537 */
-  0xb9b, /* VADDSSZrm */
-  0xb9c, /* VADDSSZrr */
+  0x16b7, /* VPSUBBYrm */
+  0x16b8, /* VPSUBBYrr */
 /* Table4539 */
-  0x1016, /* VMULSSZrm */
-  0x1017, /* VMULSSZrr */
+  0x16e5, /* VPSUBWYrm */
+  0x16e6, /* VPSUBWYrr */
 /* Table4541 */
-  0xc76, /* VCVTSS2SDZrm */
-  0xc77, /* VCVTSS2SDZrr */
+  0x16bb, /* VPSUBDYrm */
+  0x16bc, /* VPSUBDYrr */
 /* Table4543 */
-  0x14b1, /* VSUBSSZrm */
-  0x14b2, /* VSUBSSZrr */
+  0x16c8, /* VPSUBQYrm */
+  0x16c9, /* VPSUBQYrr */
 /* Table4545 */
-  0xf31, /* VMINSSZrm */
-  0xf32, /* VMINSSZrr */
+  0x11e7, /* VPADDBYrm */
+  0x11e8, /* VPADDBYrr */
 /* Table4547 */
-  0xccf, /* VDIVSSZrm */
-  0xcd0, /* VDIVSSZrr */
+  0x1215, /* VPADDWYrm */
+  0x1216, /* VPADDWYrr */
 /* Table4549 */
-  0xf08, /* VMAXSSZrm */
-  0xf09, /* VMAXSSZrr */
+  0x11eb, /* VPADDDYrm */
+  0x11ec, /* VPADDDYrr */
 /* Table4551 */
-  0xcac, /* VCVTTSS2USIZrm */
-  0xcad, /* VCVTTSS2USIZrr */
+  0x0, /*  */
+  0x46c, /* KANDQrr */
 /* Table4553 */
-  0xc84, /* VCVTSS2USIZrm */
-  0xc85, /* VCVTSS2USIZrr */
+  0x0, /*  */
+  0x46a, /* KANDNQrr */
 /* Table4555 */
-  0xcb5, /* VCVTUSI2SSZrm */
-  0xcb6, /* VCVTUSI2SSZrr */
+  0x0, /*  */
+  0x488, /* KORQrr */
 /* Table4557 */
-  0xc0c, /* VCMPSSZrm */
-  0xc0e, /* VCMPSSZrr */
+  0x0, /*  */
+  0x494, /* KXNORQrr */
 /* Table4559 */
-  0xc68, /* VCVTSI2SDZrm */
-  0xc69, /* VCVTSI2SDZrr */
+  0x0, /*  */
+  0x498, /* KXORQrr */
 /* Table4561 */
-  0xc9a, /* VCVTTSD2SIZrm */
-  0xc9b, /* VCVTTSD2SIZrr */
+  0x0, /*  */
+  0x467, /* KANDDrr */
 /* Table4563 */
-  0xc5a, /* VCVTSD2SIZrm */
-  0xc5b, /* VCVTSD2SIZrr */
+  0x0, /*  */
+  0x469, /* KANDNDrr */
 /* Table4565 */
-  0xca0, /* VCVTTSD2USIZrm */
-  0xca1, /* VCVTTSD2USIZrr */
+  0x0, /*  */
+  0x487, /* KORDrr */
 /* Table4567 */
-  0xc64, /* VCVTSD2USIZrm */
-  0xc65, /* VCVTSD2USIZrr */
+  0x0, /*  */
+  0x493, /* KXNORDrr */
 /* Table4569 */
-  0xcb3, /* VCVTUSI2SDZrm */
-  0xcb4, /* VCVTUSI2SDZrr */
+  0x0, /*  */
+  0x497, /* KXORDrr */
 /* Table4571 */
-  0xf60, /* VMOVDI2PDIZrm */
-  0xf61, /* VMOVDI2PDIZrr */
+  0x114d, /* VMOVUPSZ128rm */
+  0x1150, /* VMOVUPSZ128rr */
 /* Table4573 */
-  0xfa2, /* VMOVPDI2DIZmr */
-  0xfa3, /* VMOVPDI2DIZrr */
+  0x114b, /* VMOVUPSZ128mr */
+  0x0, /*  */
 /* Table4575 */
-  0xc74, /* VCVTSI642SSZrm */
-  0xc75, /* VCVTSI642SSZrr */
+  0x0, /*  */
+  0x10c9, /* VMOVHLPSZrr */
 /* Table4577 */
-  0xca2, /* VCVTTSS2SI64Zrm */
-  0xca3, /* VCVTTSS2SI64Zrr */
+  0x0, /*  */
+  0x10cf, /* VMOVLHPSZrr */
 /* Table4579 */
-  0xc7a, /* VCVTSS2SI64Zrm */
-  0xc7b, /* VCVTSS2SI64Zrr */
+  0xfc2, /* VMOVAPSZ128rm */
+  0xfc5, /* VMOVAPSZ128rr */
 /* Table4581 */
-  0xcaa, /* VCVTTSS2USI64Zrm */
-  0xcab, /* VCVTTSS2USI64Zrr */
+  0xfc0, /* VMOVAPSZ128mr */
+  0x0, /*  */
 /* Table4583 */
-  0xc82, /* VCVTSS2USI64Zrm */
-  0xc83, /* VCVTSS2USI64Zrr */
+  0x10e9, /* VMOVNTPSZ128mr */
+  0x0, /*  */
 /* Table4585 */
-  0xcb9, /* VCVTUSI642SSZrm */
-  0xcba, /* VCVTUSI642SSZrr */
+  0x17ec, /* VUCOMISSZrm */
+  0x17ed, /* VUCOMISSZrr */
 /* Table4587 */
-  0xfed, /* VMOVZPQILo2PQIZrm */
-  0xfee, /* VMOVZPQILo2PQIZrr */
+  0xc27, /* VCOMISSZrm */
+  0xc28, /* VCOMISSZrr */
 /* Table4589 */
-  0xfae, /* VMOVSDZrm */
-  0xfaf, /* VMOVSDZrr */
+  0x1116, /* VMOVSSZrm */
+  0x1117, /* VMOVSSZrr */
 /* Table4591 */
-  0xfad, /* VMOVSDZmr */
-  0xfb0, /* VMOVSDZrr_REV */
+  0x1115, /* VMOVSSZmr */
+  0x1118, /* VMOVSSZrr_REV */
 /* Table4593 */
-  0xc72, /* VCVTSI642SDZrm */
-  0xc73, /* VCVTSI642SDZrr */
+  0xc7d, /* VCVTSI2SSZrm */
+  0xc7e, /* VCVTSI2SSZrr */
 /* Table4595 */
-  0xc96, /* VCVTTSD2SI64Zrm */
-  0xc97, /* VCVTTSD2SI64Zrr */
+  0xcb5, /* VCVTTSS2SIZrm */
+  0xcb6, /* VCVTTSS2SIZrr */
 /* Table4597 */
-  0xc56, /* VCVTSD2SI64Zrm */
-  0xc57, /* VCVTSD2SI64Zrr */
+  0xc8d, /* VCVTSS2SIZrm */
+  0xc8e, /* VCVTSS2SIZrr */
 /* Table4599 */
-  0x148e, /* VSQRTSDZm */
-  0x1490, /* VSQRTSDZr */
+  0x17b2, /* VSQRTSSZm */
+  0x17b4, /* VSQRTSSZr */
 /* Table4601 */
-  0xb95, /* VADDSDZrm */
-  0xb96, /* VADDSDZrr */
+  0xba2, /* VADDSSZrm */
+  0xba3, /* VADDSSZrr */
 /* Table4603 */
-  0x1010, /* VMULSDZrm */
-  0x1011, /* VMULSDZrr */
+  0x11a5, /* VMULSSZrm */
+  0x11a6, /* VMULSSZrr */
 /* Table4605 */
-  0xc5e, /* VCVTSD2SSZrm */
-  0xc5f, /* VCVTSD2SSZrr */
+  0xc85, /* VCVTSS2SDZrm */
+  0xc86, /* VCVTSS2SDZrr */
 /* Table4607 */
-  0x14ab, /* VSUBSDZrm */
-  0x14ac, /* VSUBSDZrr */
+  0x17da, /* VSUBSSZrm */
+  0x17db, /* VSUBSSZrr */
 /* Table4609 */
-  0xf2b, /* VMINSDZrm */
-  0xf2c, /* VMINSDZrr */
+  0xf84, /* VMINSSZrm */
+  0xf85, /* VMINSSZrr */
 /* Table4611 */
-  0xcc9, /* VDIVSDZrm */
-  0xcca, /* VDIVSDZrr */
+  0xcea, /* VDIVSSZrm */
+  0xceb, /* VDIVSSZrr */
 /* Table4613 */
-  0xf02, /* VMAXSDZrm */
-  0xf03, /* VMAXSDZrr */
+  0xf4f, /* VMAXSSZrm */
+  0xf50, /* VMAXSSZrr */
 /* Table4615 */
-  0xc9e, /* VCVTTSD2USI64Zrm */
-  0xc9f, /* VCVTTSD2USI64Zrr */
+  0x1060, /* VMOVDQU32Z128rm */
+  0x1063, /* VMOVDQU32Z128rr */
 /* Table4617 */
-  0xc62, /* VCVTSD2USI64Zrm */
-  0xc63, /* VCVTSD2USI64Zrr */
+  0xcbb, /* VCVTTSS2USIZrm */
+  0xcbc, /* VCVTTSS2USIZrr */
 /* Table4619 */
-  0xcb7, /* VCVTUSI642SDZrm */
-  0xcb8, /* VCVTUSI642SDZrr */
+  0xc93, /* VCVTSS2USIZrm */
+  0xc94, /* VCVTSS2USIZrr */
 /* Table4621 */
-  0xc04, /* VCMPSDZrm */
-  0xc06, /* VCMPSDZrr */
+  0xcc4, /* VCVTUSI2SSZrm */
+  0xcc5, /* VCVTUSI2SSZrr */
 /* Table4623 */
-  0x14bf, /* VUCOMISDZrm */
-  0x14c0, /* VUCOMISDZrr */
+  0x105e, /* VMOVDQU32Z128mr */
+  0x0, /*  */
 /* Table4625 */
-  0xc14, /* VCOMISDZrm */
-  0xc15, /* VCOMISDZrr */
+  0xc1b, /* VCMPSSZrm */
+  0xc1d, /* VCMPSSZrr */
 /* Table4627 */
-  0xfab, /* VMOVQI2PQIZrm */
-  0xf3b, /* VMOV64toPQIZrr */
+  0xc77, /* VCVTSI2SDZrm */
+  0xc78, /* VCVTSI2SDZrr */
 /* Table4629 */
-  0xfb6, /* VMOVSDto64Zmr */
-  0xfa9, /* VMOVPQIto64Zrr */
+  0xca9, /* VCVTTSD2SIZrm */
+  0xcaa, /* VCVTTSD2SIZrr */
 /* Table4631 */
-  0xfa8, /* VMOVPQIto64Zmr */
-  0x0, /*  */
+  0xc69, /* VCVTSD2SIZrm */
+  0xc6a, /* VCVTSD2SIZrr */
 /* Table4633 */
-  0xfe5, /* VMOVUPSZrm */
-  0xfe7, /* VMOVUPSZrr */
+  0x10a2, /* VMOVDQU8Z128rm */
+  0x10a5, /* VMOVDQU8Z128rr */
 /* Table4635 */
-  0xfe4, /* VMOVUPSZmr */
-  0x0, /*  */
+  0xcaf, /* VCVTTSD2USIZrm */
+  0xcb0, /* VCVTTSD2USIZrr */
 /* Table4637 */
-  0x14db, /* VUNPCKLPSZrm */
-  0x14dc, /* VUNPCKLPSZrr */
+  0xc73, /* VCVTSD2USIZrm */
+  0xc74, /* VCVTSD2USIZrr */
 /* Table4639 */
-  0x14cf, /* VUNPCKHPSZrm */
-  0x14d0, /* VUNPCKHPSZrr */
+  0xcc2, /* VCVTUSI2SDZrm */
+  0xcc3, /* VCVTUSI2SDZrr */
 /* Table4641 */
-  0xf52, /* VMOVAPSZrm */
-  0xf54, /* VMOVAPSZrr */
-/* Table4643 */
-  0xf51, /* VMOVAPSZmr */
+  0x10a0, /* VMOVDQU8Z128mr */
   0x0, /*  */
+/* Table4643 */
+  0x12e3, /* VPCMPGTBZ128rm */
+  0x12e5, /* VPCMPGTBZ128rr */
 /* Table4645 */
-  0x148a, /* VSQRTPSZrm */
-  0x148b, /* VSQRTPSZrr */
+  0x131f, /* VPCMPGTWZ128rm */
+  0x1321, /* VPCMPGTWZ128rr */
 /* Table4647 */
-  0xb90, /* VADDPSZrm */
-  0xb92, /* VADDPSZrr */
+  0x12f3, /* VPCMPGTDZ128rm */
+  0x12f7, /* VPCMPGTDZ128rr */
 /* Table4649 */
-  0x100b, /* VMULPSZrm */
-  0x100d, /* VMULPSZrr */
+  0xfeb, /* VMOVDI2PDIZrm */
+  0xfec, /* VMOVDI2PDIZrr */
 /* Table4651 */
-  0xc49, /* VCVTPS2PDZrm */
-  0xc4a, /* VCVTPS2PDZrr */
+  0xff5, /* VMOVDQA32Z128rm */
+  0xff8, /* VMOVDQA32Z128rr */
 /* Table4653 */
-  0xc24, /* VCVTDQ2PSZrm */
-  0xc25, /* VCVTDQ2PSZrr */
+  0x128f, /* VPCMPEQBZ128rm */
+  0x1291, /* VPCMPEQBZ128rr */
 /* Table4655 */
-  0x14a6, /* VSUBPSZrm */
-  0x14a8, /* VSUBPSZrr */
+  0x12cb, /* VPCMPEQWZ128rm */
+  0x12cd, /* VPCMPEQWZ128rr */
 /* Table4657 */
-  0xf26, /* VMINPSZrm */
-  0xf28, /* VMINPSZrr */
+  0x129f, /* VPCMPEQDZ128rm */
+  0x12a3, /* VPCMPEQDZ128rr */
 /* Table4659 */
-  0xcc4, /* VDIVPSZrm */
-  0xcc6, /* VDIVPSZrr */
+  0x10ed, /* VMOVPDI2DIZmr */
+  0x10ee, /* VMOVPDI2DIZrr */
 /* Table4661 */
-  0xefd, /* VMAXPSZrm */
-  0xeff, /* VMAXPSZrr */
+  0xff3, /* VMOVDQA32Z128mr */
+  0x0, /*  */
 /* Table4663 */
-  0xc94, /* VCVTTPS2UDQZrm */
-  0xc95, /* VCVTTPS2UDQZrr */
+  0x10df, /* VMOVNTDQZ128mr */
+  0x0, /*  */
 /* Table4665 */
-  0xc53, /* VCVTPS2UDQZrm */
-  0xc54, /* VCVTPS2UDQZrr */
+  0xc83, /* VCVTSI642SSZrm */
+  0xc84, /* VCVTSI642SSZrr */
 /* Table4667 */
-  0xbfb, /* VCMPPSZrmi */
-  0xbfd, /* VCMPPSZrri */
+  0xcb1, /* VCVTTSS2SI64Zrm */
+  0xcb2, /* VCVTTSS2SI64Zrr */
 /* Table4669 */
-  0x147a, /* VSHUFPSZrmi */
-  0x147b, /* VSHUFPSZrri */
+  0xc89, /* VCVTSS2SI64Zrm */
+  0xc8a, /* VCVTSS2SI64Zrr */
 /* Table4671 */
-  0xfc2, /* VMOVSLDUPZrm */
-  0xfc3, /* VMOVSLDUPZrr */
+  0x1081, /* VMOVDQU64Z128rm */
+  0x1084, /* VMOVDQU64Z128rr */
 /* Table4673 */
-  0xfbc, /* VMOVSHDUPZrm */
-  0xfbd, /* VMOVSHDUPZrr */
+  0xcb9, /* VCVTTSS2USI64Zrm */
+  0xcba, /* VCVTTSS2USI64Zrr */
 /* Table4675 */
-  0xc90, /* VCVTTPS2DQZrm */
-  0xc91, /* VCVTTPS2DQZrr */
+  0xc91, /* VCVTSS2USI64Zrm */
+  0xc92, /* VCVTSS2USI64Zrr */
 /* Table4677 */
-  0xf77, /* VMOVDQU32rm */
-  0xf79, /* VMOVDQU32rr */
+  0xcc8, /* VCVTUSI642SSZrm */
+  0xcc9, /* VCVTUSI642SSZrr */
 /* Table4679 */
-  0xcae, /* VCVTUDQ2PDZrm */
-  0xcaf, /* VCVTUDQ2PDZrr */
+  0x1170, /* VMOVZPQILo2PQIZrm */
+  0x1171, /* VMOVZPQILo2PQIZrr */
 /* Table4681 */
-  0xf76, /* VMOVDQU32mr */
+  0x107f, /* VMOVDQU64Z128mr */
   0x0, /*  */
 /* Table4683 */
-  0xc1e, /* VCVTDQ2PDZrm */
-  0xc1f, /* VCVTDQ2PDZrr */
+  0x10f9, /* VMOVSDZrm */
+  0x10fa, /* VMOVSDZrr */
 /* Table4685 */
-  0xcb0, /* VCVTUDQ2PSZrm */
-  0xcb1, /* VCVTUDQ2PSZrr */
+  0x10f8, /* VMOVSDZmr */
+  0x10fb, /* VMOVSDZrr_REV */
 /* Table4687 */
-  0x1482, /* VSQRTPDZrm */
-  0x1483, /* VSQRTPDZrr */
+  0xc81, /* VCVTSI642SDZrm */
+  0xc82, /* VCVTSI642SDZrr */
 /* Table4689 */
-  0xc42, /* VCVTPS2DQZrm */
-  0xc43, /* VCVTPS2DQZrr */
+  0xca5, /* VCVTTSD2SI64Zrm */
+  0xca6, /* VCVTTSD2SI64Zrr */
 /* Table4691 */
-  0x1402, /* VPUNPCKLDQZrm */
-  0x1403, /* VPUNPCKLDQZrr */
+  0xc65, /* VCVTSD2SI64Zrm */
+  0xc66, /* VCVTSD2SI64Zrr */
 /* Table4693 */
-  0x10ec, /* VPCMPGTDZrm */
-  0x10ed, /* VPCMPGTDZrr */
+  0x17ab, /* VSQRTSDZm */
+  0x17ad, /* VSQRTSDZr */
 /* Table4695 */
-  0x13ee, /* VPUNPCKHDQZrm */
-  0x13ef, /* VPUNPCKHDQZrr */
+  0xb9c, /* VADDSDZrm */
+  0xb9d, /* VADDSDZrr */
 /* Table4697 */
-  0xf69, /* VMOVDQA32rm */
-  0xf6a, /* VMOVDQA32rr */
+  0x119f, /* VMULSDZrm */
+  0x11a0, /* VMULSDZrr */
 /* Table4699 */
-  0x131a, /* VPSHUFDZmi */
-  0x131b, /* VPSHUFDZri */
+  0xc6d, /* VCVTSD2SSZrm */
+  0xc6e, /* VCVTSD2SSZrr */
 /* Table4701 */
-  0x0, /*  */
-  0x0, /*  */
-  0x138b, /* VPSRLDZmi */
-  0x0, /*  */
-  0x1365, /* VPSRADZmi */
-  0x0, /*  */
-  0x1337, /* VPSLLDZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x138d, /* VPSRLDZri */
-  0x0, /*  */
-  0x1367, /* VPSRADZri */
-  0x0, /*  */
-  0x1339, /* VPSLLDZri */
-  0x0, /*  */
+  0x17d4, /* VSUBSDZrm */
+  0x17d5, /* VSUBSDZrr */
+/* Table4703 */
+  0xf7e, /* VMINSDZrm */
+  0xf7f, /* VMINSDZrr */
+/* Table4705 */
+  0xce4, /* VDIVSDZrm */
+  0xce5, /* VDIVSDZrr */
+/* Table4707 */
+  0xf49, /* VMAXSDZrm */
+  0xf4a, /* VMAXSDZrr */
+/* Table4709 */
+  0x103f, /* VMOVDQU16Z128rm */
+  0x1042, /* VMOVDQU16Z128rr */
+/* Table4711 */
+  0xcad, /* VCVTTSD2USI64Zrm */
+  0xcae, /* VCVTTSD2USI64Zrr */
+/* Table4713 */
+  0xc71, /* VCVTSD2USI64Zrm */
+  0xc72, /* VCVTSD2USI64Zrr */
+/* Table4715 */
+  0xcc6, /* VCVTUSI642SDZrm */
+  0xcc7, /* VCVTUSI642SDZrr */
 /* Table4717 */
-  0x10d0, /* VPCMPEQDZrm */
-  0x10d1, /* VPCMPEQDZrr */
+  0x103d, /* VMOVDQU16Z128mr */
+  0x0, /*  */
 /* Table4719 */
-  0xf68, /* VMOVDQA32mr */
-  0x0, /*  */
+  0xc13, /* VCMPSDZrm */
+  0xc15, /* VCMPSDZrr */
 /* Table4721 */
-  0x138f, /* VPSRLDZrm */
-  0x1391, /* VPSRLDZrr */
+  0x1124, /* VMOVUPDZ128rm */
+  0x1127, /* VMOVUPDZ128rr */
 /* Table4723 */
-  0x1074, /* VPANDDZrm */
-  0x1076, /* VPANDDZrr */
-/* Table4725 */
-  0x1077, /* VPANDNDZrm */
-  0x1079, /* VPANDNDZrr */
-/* Table4727 */
-  0x1369, /* VPSRADZrm */
-  0x136b, /* VPSRADZrr */
-/* Table4729 */
-  0x12d3, /* VPORDZrm */
-  0x12d5, /* VPORDZrr */
-/* Table4731 */
-  0x1410, /* VPXORDZrm */
-  0x1412, /* VPXORDZrr */
-/* Table4733 */
-  0x133b, /* VPSLLDZrm */
-  0x133d, /* VPSLLDZrr */
-/* Table4735 */
-  0x13bc, /* VPSUBDZrm */
-  0x13be, /* VPSUBDZrr */
-/* Table4737 */
-  0x1050, /* VPADDDZrm */
-  0x1052, /* VPADDDZrr */
-/* Table4739 */
-  0xc8c, /* VCVTTPD2UDQZrm */
-  0xc8d, /* VCVTTPD2UDQZrr */
-/* Table4741 */
-  0xc37, /* VCVTPD2UDQZrm */
-  0xc38, /* VCVTPD2UDQZrr */
-/* Table4743 */
-  0xf7d, /* VMOVDQU64rm */
-  0xf7f, /* VMOVDQU64rr */
-/* Table4745 */
-  0xf7c, /* VMOVDQU64mr */
+  0x1122, /* VMOVUPDZ128mr */
   0x0, /*  */
+/* Table4725 */
+  0xf99, /* VMOVAPDZ128rm */
+  0xf9c, /* VMOVAPDZ128rr */
+/* Table4727 */
+  0xf97, /* VMOVAPDZ128mr */
+  0x0, /*  */
+/* Table4729 */
+  0x10e4, /* VMOVNTPDZ128mr */
+  0x0, /*  */
+/* Table4731 */
+  0x17e8, /* VUCOMISDZrm */
+  0x17e9, /* VUCOMISDZrr */
+/* Table4733 */
+  0xc23, /* VCOMISDZrm */
+  0xc24, /* VCOMISDZrr */
+/* Table4735 */
+  0x10f6, /* VMOVQI2PQIZrm */
+  0xf8e, /* VMOV64toPQIZrr */
+/* Table4737 */
+  0x1016, /* VMOVDQA64Z128rm */
+  0x1019, /* VMOVDQA64Z128rr */
+/* Table4739 */
+  0x1101, /* VMOVSDto64Zmr */
+  0x10f4, /* VMOVPQIto64Zrr */
+/* Table4741 */
+  0x1014, /* VMOVDQA64Z128mr */
+  0x0, /*  */
+/* Table4743 */
+  0x10f3, /* VMOVPQIto64Zmr */
+  0x0, /*  */
+/* Table4745 */
+  0x1158, /* VMOVUPSZ256rm */
+  0x115b, /* VMOVUPSZ256rr */
 /* Table4747 */
-  0xc2c, /* VCVTPD2DQZrm */
-  0xc2d, /* VCVTPD2DQZrr */
+  0x1156, /* VMOVUPSZ256mr */
+  0x0, /*  */
 /* Table4749 */
-  0xfd8, /* VMOVUPDZrm */
-  0xfda, /* VMOVUPDZrr */
+  0xfcd, /* VMOVAPSZ256rm */
+  0xfd0, /* VMOVAPSZ256rr */
 /* Table4751 */
-  0xfd7, /* VMOVUPDZmr */
+  0xfcb, /* VMOVAPSZ256mr */
   0x0, /*  */
 /* Table4753 */
-  0xf5c, /* VMOVDDUPZrm */
-  0xf5d, /* VMOVDDUPZrr */
+  0x10ea, /* VMOVNTPSZ256mr */
+  0x0, /*  */
 /* Table4755 */
-  0x14d5, /* VUNPCKLPDZrm */
-  0x14d6, /* VUNPCKLPDZrr */
+  0x106b, /* VMOVDQU32Z256rm */
+  0x106e, /* VMOVDQU32Z256rr */
 /* Table4757 */
-  0x14c9, /* VUNPCKHPDZrm */
-  0x14ca, /* VUNPCKHPDZrr */
+  0x1069, /* VMOVDQU32Z256mr */
+  0x0, /*  */
 /* Table4759 */
-  0xf45, /* VMOVAPDZrm */
-  0xf47, /* VMOVAPDZrr */
+  0x10ad, /* VMOVDQU8Z256rm */
+  0x10b0, /* VMOVDQU8Z256rr */
 /* Table4761 */
-  0xf44, /* VMOVAPDZmr */
+  0x10ab, /* VMOVDQU8Z256mr */
   0x0, /*  */
 /* Table4763 */
-  0xb89, /* VADDPDZrm */
-  0xb8b, /* VADDPDZrr */
+  0x12e7, /* VPCMPGTBZ256rm */
+  0x12e9, /* VPCMPGTBZ256rr */
 /* Table4765 */
-  0x1004, /* VMULPDZrm */
-  0x1006, /* VMULPDZrr */
+  0x1323, /* VPCMPGTWZ256rm */
+  0x1325, /* VPCMPGTWZ256rr */
 /* Table4767 */
-  0xc33, /* VCVTPD2PSZrm */
-  0xc34, /* VCVTPD2PSZrr */
+  0x12f9, /* VPCMPGTDZ256rm */
+  0x12fd, /* VPCMPGTDZ256rr */
 /* Table4769 */
-  0x149f, /* VSUBPDZrm */
-  0x14a1, /* VSUBPDZrr */
+  0x1000, /* VMOVDQA32Z256rm */
+  0x1003, /* VMOVDQA32Z256rr */
 /* Table4771 */
-  0xf1f, /* VMINPDZrm */
-  0xf21, /* VMINPDZrr */
+  0x1293, /* VPCMPEQBZ256rm */
+  0x1295, /* VPCMPEQBZ256rr */
 /* Table4773 */
-  0xcbd, /* VDIVPDZrm */
-  0xcbf, /* VDIVPDZrr */
+  0x12cf, /* VPCMPEQWZ256rm */
+  0x12d1, /* VPCMPEQWZ256rr */
 /* Table4775 */
-  0xef6, /* VMAXPDZrm */
-  0xef8, /* VMAXPDZrr */
+  0x12a5, /* VPCMPEQDZ256rm */
+  0x12a9, /* VPCMPEQDZ256rr */
 /* Table4777 */
-  0x1408, /* VPUNPCKLQDQZrm */
-  0x1409, /* VPUNPCKLQDQZrr */
+  0xffe, /* VMOVDQA32Z256mr */
+  0x0, /*  */
 /* Table4779 */
-  0x13f4, /* VPUNPCKHQDQZrm */
-  0x13f5, /* VPUNPCKHQDQZrr */
+  0x10e0, /* VMOVNTDQZ256mr */
+  0x0, /*  */
 /* Table4781 */
-  0xf6c, /* VMOVDQA64rm */
-  0xf6d, /* VMOVDQA64rr */
+  0x108c, /* VMOVDQU64Z256rm */
+  0x108f, /* VMOVDQU64Z256rr */
 /* Table4783 */
+  0x108a, /* VMOVDQU64Z256mr */
   0x0, /*  */
+/* Table4785 */
+  0x104a, /* VMOVDQU16Z256rm */
+  0x104d, /* VMOVDQU16Z256rr */
+/* Table4787 */
+  0x1048, /* VMOVDQU16Z256mr */
   0x0, /*  */
+/* Table4789 */
+  0x112f, /* VMOVUPDZ256rm */
+  0x1132, /* VMOVUPDZ256rr */
+/* Table4791 */
+  0x112d, /* VMOVUPDZ256mr */
   0x0, /*  */
+/* Table4793 */
+  0xfa4, /* VMOVAPDZ256rm */
+  0xfa7, /* VMOVAPDZ256rr */
+/* Table4795 */
+  0xfa2, /* VMOVAPDZ256mr */
   0x0, /*  */
-  0x1370, /* VPSRAQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1372, /* VPSRAQZri */
-  0x0, /*  */
-  0x0, /*  */
+/* Table4797 */
+  0x10e5, /* VMOVNTPDZ256mr */
   0x0, /*  */
 /* Table4799 */
+  0x1021, /* VMOVDQA64Z256rm */
+  0x1024, /* VMOVDQA64Z256rr */
+/* Table4801 */
+  0x101f, /* VMOVDQA64Z256mr */
   0x0, /*  */
+/* Table4803 */
+  0x1163, /* VMOVUPSZrm */
+  0x1166, /* VMOVUPSZrr */
+/* Table4805 */
+  0x1161, /* VMOVUPSZmr */
   0x0, /*  */
-  0x1399, /* VPSRLQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1345, /* VPSLLQZmi */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x139b, /* VPSRLQZri */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1347, /* VPSLLQZri */
+/* Table4807 */
+  0x1804, /* VUNPCKLPSZrm */
+  0x1805, /* VUNPCKLPSZrr */
+/* Table4809 */
+  0x17f8, /* VUNPCKHPSZrm */
+  0x17f9, /* VUNPCKHPSZrr */
+/* Table4811 */
+  0xfd8, /* VMOVAPSZrm */
+  0xfdb, /* VMOVAPSZrr */
+/* Table4813 */
+  0xfd6, /* VMOVAPSZmr */
   0x0, /*  */
 /* Table4815 */
-  0xf6b, /* VMOVDQA64mr */
+  0x10eb, /* VMOVNTPSZmr */
   0x0, /*  */
 /* Table4817 */
-  0xbee, /* VCMPPDZrmi */
-  0xbf0, /* VCMPPDZrri */
+  0x17a7, /* VSQRTPSZrm */
+  0x17a8, /* VSQRTPSZrr */
 /* Table4819 */
-  0x1474, /* VSHUFPDZrmi */
-  0x1475, /* VSHUFPDZrri */
+  0xb91, /* VADDPSZrm */
+  0xb97, /* VADDPSZrr */
 /* Table4821 */
-  0x139d, /* VPSRLQZrm */
-  0x139f, /* VPSRLQZrr */
+  0x1194, /* VMULPSZrm */
+  0x119a, /* VMULPSZrr */
 /* Table4823 */
-  0x1057, /* VPADDQZrm */
-  0x1059, /* VPADDQZrr */
+  0xc58, /* VCVTPS2PDZrm */
+  0xc59, /* VCVTPS2PDZrr */
 /* Table4825 */
-  0x1081, /* VPANDQZrm */
-  0x1083, /* VPANDQZrr */
+  0xc33, /* VCVTDQ2PSZrm */
+  0xc34, /* VCVTDQ2PSZrr */
 /* Table4827 */
-  0x107a, /* VPANDNQZrm */
-  0x107c, /* VPANDNQZrr */
+  0x17c9, /* VSUBPSZrm */
+  0x17cf, /* VSUBPSZrr */
 /* Table4829 */
-  0x1374, /* VPSRAQZrm */
-  0x1376, /* VPSRAQZrr */
+  0xf73, /* VMINPSZrm */
+  0xf79, /* VMINPSZrr */
 /* Table4831 */
-  0xc89, /* VCVTTPD2DQZrm */
-  0xc8a, /* VCVTTPD2DQZrr */
+  0xcd9, /* VDIVPSZrm */
+  0xcdf, /* VDIVPSZrr */
 /* Table4833 */
-  0x12d6, /* VPORQZrm */
-  0x12d8, /* VPORQZrr */
+  0xf3e, /* VMAXPSZrm */
+  0xf44, /* VMAXPSZrr */
 /* Table4835 */
-  0x1413, /* VPXORQZrm */
-  0x1415, /* VPXORQZrr */
+  0xca3, /* VCVTTPS2UDQZrm */
+  0xca4, /* VCVTTPS2UDQZrr */
 /* Table4837 */
-  0x1349, /* VPSLLQZrm */
-  0x134b, /* VPSLLQZrr */
+  0xc62, /* VCVTPS2UDQZrm */
+  0xc63, /* VCVTPS2UDQZrr */
 /* Table4839 */
-  0x12cf, /* VPMULUDQZrm */
-  0x12d0, /* VPMULUDQZrr */
+  0xc0a, /* VCMPPSZrmi */
+  0xc0c, /* VCMPPSZrri */
 /* Table4841 */
-  0x13c3, /* VPSUBQZrm */
-  0x13c5, /* VPSUBQZrr */
+  0x179b, /* VSHUFPSZrmi */
+  0x179c, /* VSHUFPSZrri */
 /* Table4843 */
-  0x0, /*  */
-  0xfce, /* VMOVSSZrrk */
+  0x110d, /* VMOVSLDUPZrm */
+  0x110e, /* VMOVSLDUPZrr */
 /* Table4845 */
-  0x0, /*  */
-  0xfb1, /* VMOVSDZrrk */
+  0x1107, /* VMOVSHDUPZrm */
+  0x1108, /* VMOVSHDUPZrr */
 /* Table4847 */
-  0xfe6, /* VMOVUPSZrmk */
-  0xfe8, /* VMOVUPSZrrk */
+  0xc9f, /* VCVTTPS2DQZrm */
+  0xca0, /* VCVTTPS2DQZrr */
 /* Table4849 */
-  0xf53, /* VMOVAPSZrmk */
-  0xf55, /* VMOVAPSZrrk */
+  0x1076, /* VMOVDQU32Zrm */
+  0x1079, /* VMOVDQU32Zrr */
 /* Table4851 */
-  0xf78, /* VMOVDQU32rmk */
-  0xf7a, /* VMOVDQU32rrk */
+  0xcbd, /* VCVTUDQ2PDZrm */
+  0xcbe, /* VCVTUDQ2PDZrr */
 /* Table4853 */
+  0x1074, /* VMOVDQU32Zmr */
   0x0, /*  */
+/* Table4855 */
+  0xc2d, /* VCVTDQ2PDZrm */
+  0xc2e, /* VCVTDQ2PDZrr */
+/* Table4857 */
+  0x10b8, /* VMOVDQU8Zrm */
+  0x10bb, /* VMOVDQU8Zrr */
+/* Table4859 */
+  0xcbf, /* VCVTUDQ2PSZrm */
+  0xcc0, /* VCVTUDQ2PSZrr */
+/* Table4861 */
+  0x10b6, /* VMOVDQU8Zmr */
   0x0, /*  */
-  0x138c, /* VPSRLDZmik */
-  0x0, /*  */
-  0x1366, /* VPSRADZmik */
-  0x0, /*  */
-  0x1338, /* VPSLLDZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x138e, /* VPSRLDZrik */
-  0x0, /*  */
-  0x1368, /* VPSRADZrik */
-  0x0, /*  */
-  0x133a, /* VPSLLDZrik */
-  0x0, /*  */
+/* Table4863 */
+  0x17a1, /* VSQRTPDZrm */
+  0x17a2, /* VSQRTPDZrr */
+/* Table4865 */
+  0xc51, /* VCVTPS2DQZrm */
+  0xc52, /* VCVTPS2DQZrr */
+/* Table4867 */
+  0x170f, /* VPUNPCKLDQZrm */
+  0x1710, /* VPUNPCKLDQZrr */
 /* Table4869 */
-  0x1390, /* VPSRLDZrmk */
-  0x1392, /* VPSRLDZrrk */
+  0x12eb, /* VPCMPGTBZrm */
+  0x12ed, /* VPCMPGTBZrr */
 /* Table4871 */
-  0x136a, /* VPSRADZrmk */
-  0x136c, /* VPSRADZrrk */
+  0x1327, /* VPCMPGTWZrm */
+  0x1329, /* VPCMPGTWZrr */
 /* Table4873 */
-  0x133c, /* VPSLLDZrmk */
-  0x133e, /* VPSLLDZrrk */
+  0x12ff, /* VPCMPGTDZrm */
+  0x1303, /* VPCMPGTDZrr */
 /* Table4875 */
-  0xf7e, /* VMOVDQU64rmk */
-  0xf80, /* VMOVDQU64rrk */
+  0x16fb, /* VPUNPCKHDQZrm */
+  0x16fc, /* VPUNPCKHDQZrr */
 /* Table4877 */
-  0xfd9, /* VMOVUPDZrmk */
-  0xfdb, /* VMOVUPDZrrk */
+  0x100b, /* VMOVDQA32Zrm */
+  0x100e, /* VMOVDQA32Zrr */
 /* Table4879 */
-  0xf46, /* VMOVAPDZrmk */
-  0xf48, /* VMOVAPDZrrk */
+  0x161b, /* VPSHUFDZmi */
+  0x161c, /* VPSHUFDZri */
 /* Table4881 */
   0x0, /*  */
   0x0, /*  */
+  0x168c, /* VPSRLDZmi */
   0x0, /*  */
+  0x1666, /* VPSRADZmi */
   0x0, /*  */
-  0x1371, /* VPSRAQZmik */
+  0x1638, /* VPSLLDZmi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x168e, /* VPSRLDZri */
   0x0, /*  */
+  0x1668, /* VPSRADZri */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1373, /* VPSRAQZrik */
-  0x0, /*  */
-  0x0, /*  */
+  0x163a, /* VPSLLDZri */
   0x0, /*  */
 /* Table4897 */
+  0x1297, /* VPCMPEQBZrm */
+  0x1299, /* VPCMPEQBZrr */
+/* Table4899 */
+  0x12d3, /* VPCMPEQWZrm */
+  0x12d5, /* VPCMPEQWZrr */
+/* Table4901 */
+  0x12ab, /* VPCMPEQDZrm */
+  0x12af, /* VPCMPEQDZrr */
+/* Table4903 */
+  0x1009, /* VMOVDQA32Zmr */
   0x0, /*  */
-  0x0, /*  */
-  0x139a, /* VPSRLQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1346, /* VPSLLQZmik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x139c, /* VPSRLQZrik */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x1348, /* VPSLLQZrik */
-  0x0, /*  */
+/* Table4905 */
+  0x1690, /* VPSRLDZrm */
+  0x1692, /* VPSRLDZrr */
+/* Table4907 */
+  0x121d, /* VPANDDZrm */
+  0x1223, /* VPANDDZrr */
+/* Table4909 */
+  0x1226, /* VPANDNDZrm */
+  0x122c, /* VPANDNDZrr */
+/* Table4911 */
+  0x166a, /* VPSRADZrm */
+  0x166c, /* VPSRADZrr */
 /* Table4913 */
-  0x139e, /* VPSRLQZrmk */
-  0x13a0, /* VPSRLQZrrk */
+  0x10e1, /* VMOVNTDQZmr */
+  0x0, /*  */
 /* Table4915 */
-  0x1375, /* VPSRAQZrmk */
-  0x1377, /* VPSRAQZrrk */
+  0x15c8, /* VPORDZrm */
+  0x15ce, /* VPORDZrr */
 /* Table4917 */
-  0x134a, /* VPSLLQZrmk */
-  0x134c, /* VPSLLQZrrk */
+  0x171d, /* VPXORDZrm */
+  0x1723, /* VPXORDZrr */
 /* Table4919 */
-  0xb91, /* VADDPSZrmb */
-  0x0, /*  */
+  0x163c, /* VPSLLDZrm */
+  0x163e, /* VPSLLDZrr */
 /* Table4921 */
-  0x100c, /* VMULPSZrmb */
-  0x0, /*  */
+  0x16bd, /* VPSUBDZrm */
+  0x16c3, /* VPSUBDZrr */
 /* Table4923 */
-  0x0, /*  */
-  0xc26, /* VCVTDQ2PSZrrb */
+  0x11ed, /* VPADDDZrm */
+  0x11f3, /* VPADDDZrr */
 /* Table4925 */
-  0x14a7, /* VSUBPSZrmb */
-  0x0, /*  */
+  0xc9b, /* VCVTTPD2UDQZrm */
+  0xc9c, /* VCVTTPD2UDQZrr */
 /* Table4927 */
-  0xf27, /* VMINPSZrmb */
-  0x0, /*  */
+  0xc46, /* VCVTPD2UDQZrm */
+  0xc47, /* VCVTPD2UDQZrr */
 /* Table4929 */
-  0xcc5, /* VDIVPSZrmb */
-  0x0, /*  */
+  0x1097, /* VMOVDQU64Zrm */
+  0x109a, /* VMOVDQU64Zrr */
 /* Table4931 */
-  0xefe, /* VMAXPSZrmb */
+  0x1095, /* VMOVDQU64Zmr */
   0x0, /*  */
 /* Table4933 */
-  0x0, /*  */
-  0xc55, /* VCVTPS2UDQZrrb */
+  0x1055, /* VMOVDQU16Zrm */
+  0x1058, /* VMOVDQU16Zrr */
 /* Table4935 */
+  0x1053, /* VMOVDQU16Zmr */
   0x0, /*  */
-  0xbff, /* VCMPPSZrrib */
 /* Table4937 */
-  0x0, /*  */
-  0xcb2, /* VCVTUDQ2PSZrrb */
+  0xc3b, /* VCVTPD2DQZrm */
+  0xc3c, /* VCVTPD2DQZrr */
 /* Table4939 */
-  0x0, /*  */
-  0xc44, /* VCVTPS2DQZrrb */
+  0x113a, /* VMOVUPDZrm */
+  0x113d, /* VMOVUPDZrr */
 /* Table4941 */
-  0x1075, /* VPANDDZrmb */
+  0x1138, /* VMOVUPDZmr */
   0x0, /*  */
 /* Table4943 */
-  0x1078, /* VPANDNDZrmb */
-  0x0, /*  */
+  0xfe7, /* VMOVDDUPZrm */
+  0xfe8, /* VMOVDDUPZrr */
 /* Table4945 */
-  0x12d4, /* VPORDZrmb */
-  0x0, /*  */
+  0x17fe, /* VUNPCKLPDZrm */
+  0x17ff, /* VUNPCKLPDZrr */
 /* Table4947 */
-  0x1411, /* VPXORDZrmb */
-  0x0, /*  */
+  0x17f2, /* VUNPCKHPDZrm */
+  0x17f3, /* VUNPCKHPDZrr */
 /* Table4949 */
-  0x13bd, /* VPSUBDZrmb */
-  0x0, /*  */
+  0xfaf, /* VMOVAPDZrm */
+  0xfb2, /* VMOVAPDZrr */
 /* Table4951 */
-  0x1051, /* VPADDDZrmb */
+  0xfad, /* VMOVAPDZmr */
   0x0, /*  */
 /* Table4953 */
+  0x10e6, /* VMOVNTPDZmr */
   0x0, /*  */
-  0xc39, /* VCVTPD2UDQZrrb */
 /* Table4955 */
-  0x0, /*  */
-  0xc2e, /* VCVTPD2DQZrrb */
+  0xb84, /* VADDPDZrm */
+  0xb8a, /* VADDPDZrr */
 /* Table4957 */
-  0xb8a, /* VADDPDZrmb */
-  0x0, /*  */
+  0x1187, /* VMULPDZrm */
+  0x118d, /* VMULPDZrr */
 /* Table4959 */
-  0x1005, /* VMULPDZrmb */
-  0x0, /*  */
+  0xc42, /* VCVTPD2PSZrm */
+  0xc43, /* VCVTPD2PSZrr */
 /* Table4961 */
-  0x0, /*  */
-  0xc35, /* VCVTPD2PSZrrb */
+  0x17bc, /* VSUBPDZrm */
+  0x17c2, /* VSUBPDZrr */
 /* Table4963 */
-  0x14a0, /* VSUBPDZrmb */
-  0x0, /*  */
+  0xf66, /* VMINPDZrm */
+  0xf6c, /* VMINPDZrr */
 /* Table4965 */
-  0xf20, /* VMINPDZrmb */
-  0x0, /*  */
+  0xccc, /* VDIVPDZrm */
+  0xcd2, /* VDIVPDZrr */
 /* Table4967 */
-  0xcbe, /* VDIVPDZrmb */
-  0x0, /*  */
+  0xf31, /* VMAXPDZrm */
+  0xf37, /* VMAXPDZrr */
 /* Table4969 */
-  0xef7, /* VMAXPDZrmb */
-  0x0, /*  */
+  0x1715, /* VPUNPCKLQDQZrm */
+  0x1716, /* VPUNPCKLQDQZrr */
 /* Table4971 */
-  0x0, /*  */
-  0xbf2, /* VCMPPDZrrib */
+  0x1701, /* VPUNPCKHQDQZrm */
+  0x1702, /* VPUNPCKHQDQZrr */
 /* Table4973 */
-  0x1058, /* VPADDQZrmb */
-  0x0, /*  */
+  0x102c, /* VMOVDQA64Zrm */
+  0x102f, /* VMOVDQA64Zrr */
 /* Table4975 */
-  0x1082, /* VPANDQZrmb */
   0x0, /*  */
-/* Table4977 */
-  0x107b, /* VPANDNQZrmb */
   0x0, /*  */
-/* Table4979 */
-  0x12d7, /* VPORQZrmb */
   0x0, /*  */
-/* Table4981 */
-  0x1414, /* VPXORQZrmb */
   0x0, /*  */
-/* Table4983 */
-  0x13c4, /* VPSUBQZrmb */
+  0x1671, /* VPSRAQZmi */
   0x0, /*  */
-/* Table4985 */
   0x0, /*  */
-  0xf7b, /* VMOVDQU32rrkz */
-/* Table4987 */
   0x0, /*  */
-  0xf81, /* VMOVDQU64rrkz */
-/* Table4989 */
-  0x5e9, /* MMX_PSHUFBrm64 */
-  0x5ea, /* MMX_PSHUFBrr64 */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1673, /* VPSRAQZri */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table4991 */
-  0x5c2, /* MMX_PHADDWrm64 */
-  0x5c3, /* MMX_PHADDWrr64 */
-/* Table4993 */
-  0x5c4, /* MMX_PHADDrm64 */
-  0x5c5, /* MMX_PHADDrr64 */
-/* Table4995 */
-  0x5c0, /* MMX_PHADDSWrm64 */
-  0x5c1, /* MMX_PHADDSWrr64 */
-/* Table4997 */
-  0x5ce, /* MMX_PMADDUBSWrm64 */
-  0x5cf, /* MMX_PMADDUBSWrr64 */
-/* Table4999 */
-  0x5ca, /* MMX_PHSUBWrm64 */
-  0x5cb, /* MMX_PHSUBWrr64 */
-/* Table5001 */
-  0x5c6, /* MMX_PHSUBDrm64 */
-  0x5c7, /* MMX_PHSUBDrr64 */
-/* Table5003 */
-  0x5c8, /* MMX_PHSUBSWrm64 */
-  0x5c9, /* MMX_PHSUBSWrr64 */
-/* Table5005 */
-  0x5ed, /* MMX_PSIGNBrm64 */
-  0x5ee, /* MMX_PSIGNBrr64 */
+  0x0, /*  */
+  0x0, /*  */
+  0x169a, /* VPSRLQZmi */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1646, /* VPSLLQZmi */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x169c, /* VPSRLQZri */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1648, /* VPSLLQZri */
+  0x0, /*  */
 /* Table5007 */
-  0x5f1, /* MMX_PSIGNWrm64 */
-  0x5f2, /* MMX_PSIGNWrr64 */
+  0x102a, /* VMOVDQA64Zmr */
+  0x0, /*  */
 /* Table5009 */
-  0x5ef, /* MMX_PSIGNDrm64 */
-  0x5f0, /* MMX_PSIGNDrr64 */
+  0xbfd, /* VCMPPDZrmi */
+  0xbff, /* VCMPPDZrri */
 /* Table5011 */
-  0x5db, /* MMX_PMULHRSWrm64 */
-  0x5dc, /* MMX_PMULHRSWrr64 */
+  0x1795, /* VSHUFPDZrmi */
+  0x1796, /* VSHUFPDZrri */
 /* Table5013 */
-  0x58d, /* MMX_PABSBrm64 */
-  0x58e, /* MMX_PABSBrr64 */
+  0x169e, /* VPSRLQZrm */
+  0x16a0, /* VPSRLQZrr */
 /* Table5015 */
-  0x591, /* MMX_PABSWrm64 */
-  0x592, /* MMX_PABSWrr64 */
+  0x11fa, /* VPADDQZrm */
+  0x1200, /* VPADDQZrr */
 /* Table5017 */
-  0x58f, /* MMX_PABSDrm64 */
-  0x590, /* MMX_PABSDrr64 */
+  0x123c, /* VPANDQZrm */
+  0x1242, /* VPANDQZrr */
 /* Table5019 */
-  0xa2d, /* SHA1NEXTErm */
-  0xa2e, /* SHA1NEXTErr */
+  0x122f, /* VPANDNQZrm */
+  0x1235, /* VPANDNQZrr */
 /* Table5021 */
-  0xa29, /* SHA1MSG1rm */
-  0xa2a, /* SHA1MSG1rr */
+  0x1675, /* VPSRAQZrm */
+  0x1677, /* VPSRAQZrr */
 /* Table5023 */
-  0xa2b, /* SHA1MSG2rm */
-  0xa2c, /* SHA1MSG2rr */
+  0xc98, /* VCVTTPD2DQZrm */
+  0xc99, /* VCVTTPD2DQZrr */
 /* Table5025 */
-  0xa35, /* SHA256RNDS2rm */
-  0xa36, /* SHA256RNDS2rr */
+  0x15d1, /* VPORQZrm */
+  0x15d7, /* VPORQZrr */
 /* Table5027 */
-  0xa31, /* SHA256MSG1rm */
-  0xa32, /* SHA256MSG1rr */
+  0x1726, /* VPXORQZrm */
+  0x172c, /* VPXORQZrr */
 /* Table5029 */
-  0xa33, /* SHA256MSG2rm */
-  0xa34, /* SHA256MSG2rr */
+  0x164a, /* VPSLLQZrm */
+  0x164c, /* VPSLLQZrr */
 /* Table5031 */
-  0x685, /* MOVBE32rm */
-  0x0, /*  */
+  0x15bd, /* VPMULUDQZrm */
+  0x15c3, /* VPMULUDQZrr */
 /* Table5033 */
-  0x684, /* MOVBE32mr */
-  0x0, /*  */
+  0x16ca, /* VPSUBQZrm */
+  0x16d0, /* VPSUBQZrr */
 /* Table5035 */
-  0x88a, /* PSHUFBrm */
-  0x88b, /* PSHUFBrr */
+  0x114e, /* VMOVUPSZ128rmk */
+  0x1152, /* VMOVUPSZ128rrk */
 /* Table5037 */
-  0x805, /* PHADDWrm */
-  0x806, /* PHADDWrr */
+  0x114c, /* VMOVUPSZ128mrk */
+  0x0, /*  */
 /* Table5039 */
-  0x801, /* PHADDDrm */
-  0x802, /* PHADDDrr */
+  0xfc3, /* VMOVAPSZ128rmk */
+  0xfc7, /* VMOVAPSZ128rrk */
 /* Table5041 */
-  0x803, /* PHADDSWrm128 */
-  0x804, /* PHADDSWrr128 */
+  0xfc1, /* VMOVAPSZ128mrk */
+  0x0, /*  */
 /* Table5043 */
-  0x81b, /* PMADDUBSWrm128 */
-  0x81c, /* PMADDUBSWrr128 */
+  0x0, /*  */
+  0x1119, /* VMOVSSZrrk */
 /* Table5045 */
-  0x80d, /* PHSUBWrm */
-  0x80e, /* PHSUBWrr */
+  0x1061, /* VMOVDQU32Z128rmk */
+  0x1065, /* VMOVDQU32Z128rrk */
 /* Table5047 */
-  0x809, /* PHSUBDrm */
-  0x80a, /* PHSUBDrr */
+  0x105f, /* VMOVDQU32Z128mrk */
+  0x0, /*  */
 /* Table5049 */
-  0x80b, /* PHSUBSWrm128 */
-  0x80c, /* PHSUBSWrr128 */
+  0x10a3, /* VMOVDQU8Z128rmk */
+  0x10a7, /* VMOVDQU8Z128rrk */
 /* Table5051 */
-  0x892, /* PSIGNBrm */
-  0x893, /* PSIGNBrr */
+  0x10a1, /* VMOVDQU8Z128mrk */
+  0x0, /*  */
 /* Table5053 */
-  0x896, /* PSIGNWrm */
-  0x897, /* PSIGNWrr */
+  0x12e4, /* VPCMPGTBZ128rmk */
+  0x12e6, /* VPCMPGTBZ128rrk */
 /* Table5055 */
-  0x894, /* PSIGNDrm */
-  0x895, /* PSIGNDrr */
+  0x1320, /* VPCMPGTWZ128rmk */
+  0x1322, /* VPCMPGTWZ128rrk */
 /* Table5057 */
-  0x852, /* PMULHRSWrm128 */
-  0x853, /* PMULHRSWrr128 */
+  0x12f6, /* VPCMPGTDZ128rmk */
+  0x12f8, /* VPCMPGTDZ128rrk */
 /* Table5059 */
-  0x7a4, /* PBLENDVBrm0 */
-  0x7a5, /* PBLENDVBrr0 */
+  0xff6, /* VMOVDQA32Z128rmk */
+  0xffa, /* VMOVDQA32Z128rrk */
 /* Table5061 */
-  0x12b, /* BLENDVPSrm0 */
-  0x12c, /* BLENDVPSrr0 */
+  0x1290, /* VPCMPEQBZ128rmk */
+  0x1292, /* VPCMPEQBZ128rrk */
 /* Table5063 */
-  0x129, /* BLENDVPDrm0 */
-  0x12a, /* BLENDVPDrr0 */
+  0x12cc, /* VPCMPEQWZ128rmk */
+  0x12ce, /* VPCMPEQWZ128rrk */
 /* Table5065 */
-  0x8c4, /* PTESTrm */
-  0x8c5, /* PTESTrr */
+  0x12a2, /* VPCMPEQDZ128rmk */
+  0x12a4, /* VPCMPEQDZ128rrk */
 /* Table5067 */
-  0x779, /* PABSBrm128 */
-  0x77a, /* PABSBrr128 */
+  0xff4, /* VMOVDQA32Z128mrk */
+  0x0, /*  */
 /* Table5069 */
-  0x77d, /* PABSWrm128 */
-  0x77e, /* PABSWrr128 */
+  0x1082, /* VMOVDQU64Z128rmk */
+  0x1086, /* VMOVDQU64Z128rrk */
 /* Table5071 */
-  0x77b, /* PABSDrm128 */
-  0x77c, /* PABSDrr128 */
+  0x1080, /* VMOVDQU64Z128mrk */
+  0x0, /*  */
 /* Table5073 */
-  0x83c, /* PMOVSXBWrm */
-  0x83d, /* PMOVSXBWrr */
+  0x0, /*  */
+  0x10fc, /* VMOVSDZrrk */
 /* Table5075 */
-  0x838, /* PMOVSXBDrm */
-  0x839, /* PMOVSXBDrr */
+  0x1040, /* VMOVDQU16Z128rmk */
+  0x1044, /* VMOVDQU16Z128rrk */
 /* Table5077 */
-  0x83a, /* PMOVSXBQrm */
-  0x83b, /* PMOVSXBQrr */
+  0x103e, /* VMOVDQU16Z128mrk */
+  0x0, /*  */
 /* Table5079 */
-  0x840, /* PMOVSXWDrm */
-  0x841, /* PMOVSXWDrr */
+  0x1125, /* VMOVUPDZ128rmk */
+  0x1129, /* VMOVUPDZ128rrk */
 /* Table5081 */
-  0x842, /* PMOVSXWQrm */
-  0x843, /* PMOVSXWQrr */
+  0x1123, /* VMOVUPDZ128mrk */
+  0x0, /*  */
 /* Table5083 */
-  0x83e, /* PMOVSXDQrm */
-  0x83f, /* PMOVSXDQrr */
+  0xf9a, /* VMOVAPDZ128rmk */
+  0xf9e, /* VMOVAPDZ128rrk */
 /* Table5085 */
-  0x850, /* PMULDQrm */
-  0x851, /* PMULDQrr */
+  0xf98, /* VMOVAPDZ128mrk */
+  0x0, /*  */
 /* Table5087 */
-  0x7ae, /* PCMPEQQrm */
-  0x7af, /* PCMPEQQrr */
+  0x1017, /* VMOVDQA64Z128rmk */
+  0x101b, /* VMOVDQA64Z128rrk */
 /* Table5089 */
-  0x6a2, /* MOVNTDQArm */
+  0x1015, /* VMOVDQA64Z128mrk */
   0x0, /*  */
 /* Table5091 */
-  0x783, /* PACKUSDWrm */
-  0x784, /* PACKUSDWrr */
+  0x1159, /* VMOVUPSZ256rmk */
+  0x115d, /* VMOVUPSZ256rrk */
 /* Table5093 */
-  0x848, /* PMOVZXBWrm */
-  0x849, /* PMOVZXBWrr */
-/* Table5095 */
-  0x844, /* PMOVZXBDrm */
-  0x845, /* PMOVZXBDrr */
-/* Table5097 */
-  0x846, /* PMOVZXBQrm */
-  0x847, /* PMOVZXBQrr */
-/* Table5099 */
-  0x84c, /* PMOVZXWDrm */
-  0x84d, /* PMOVZXWDrr */
-/* Table5101 */
-  0x84e, /* PMOVZXWQrm */
-  0x84f, /* PMOVZXWQrr */
-/* Table5103 */
-  0x84a, /* PMOVZXDQrm */
-  0x84b, /* PMOVZXDQrr */
-/* Table5105 */
-  0x7be, /* PCMPGTQrm */
-  0x7bf, /* PCMPGTQrr */
-/* Table5107 */
-  0x82b, /* PMINSBrm */
-  0x82c, /* PMINSBrr */
-/* Table5109 */
-  0x82d, /* PMINSDrm */
-  0x82e, /* PMINSDrr */
-/* Table5111 */
-  0x835, /* PMINUWrm */
-  0x836, /* PMINUWrr */
-/* Table5113 */
-  0x833, /* PMINUDrm */
-  0x834, /* PMINUDrr */
-/* Table5115 */
-  0x81f, /* PMAXSBrm */
-  0x820, /* PMAXSBrr */
-/* Table5117 */
-  0x821, /* PMAXSDrm */
-  0x822, /* PMAXSDrr */
-/* Table5119 */
-  0x829, /* PMAXUWrm */
-  0x82a, /* PMAXUWrr */
-/* Table5121 */
-  0x827, /* PMAXUDrm */
-  0x828, /* PMAXUDrr */
-/* Table5123 */
-  0x85a, /* PMULLDrm */
-  0x85b, /* PMULLDrr */
-/* Table5125 */
-  0x807, /* PHMINPOSUWrm128 */
-  0x808, /* PHMINPOSUWrr128 */
-/* Table5127 */
-  0x3c8, /* INVEPT32 */
+  0x1157, /* VMOVUPSZ256mrk */
   0x0, /*  */
+/* Table5095 */
+  0xfce, /* VMOVAPSZ256rmk */
+  0xfd2, /* VMOVAPSZ256rrk */
+/* Table5097 */
+  0xfcc, /* VMOVAPSZ256mrk */
+  0x0, /*  */
+/* Table5099 */
+  0x106c, /* VMOVDQU32Z256rmk */
+  0x1070, /* VMOVDQU32Z256rrk */
+/* Table5101 */
+  0x106a, /* VMOVDQU32Z256mrk */
+  0x0, /*  */
+/* Table5103 */
+  0x10ae, /* VMOVDQU8Z256rmk */
+  0x10b2, /* VMOVDQU8Z256rrk */
+/* Table5105 */
+  0x10ac, /* VMOVDQU8Z256mrk */
+  0x0, /*  */
+/* Table5107 */
+  0x12e8, /* VPCMPGTBZ256rmk */
+  0x12ea, /* VPCMPGTBZ256rrk */
+/* Table5109 */
+  0x1324, /* VPCMPGTWZ256rmk */
+  0x1326, /* VPCMPGTWZ256rrk */
+/* Table5111 */
+  0x12fc, /* VPCMPGTDZ256rmk */
+  0x12fe, /* VPCMPGTDZ256rrk */
+/* Table5113 */
+  0x1001, /* VMOVDQA32Z256rmk */
+  0x1005, /* VMOVDQA32Z256rrk */
+/* Table5115 */
+  0x1294, /* VPCMPEQBZ256rmk */
+  0x1296, /* VPCMPEQBZ256rrk */
+/* Table5117 */
+  0x12d0, /* VPCMPEQWZ256rmk */
+  0x12d2, /* VPCMPEQWZ256rrk */
+/* Table5119 */
+  0x12a8, /* VPCMPEQDZ256rmk */
+  0x12aa, /* VPCMPEQDZ256rrk */
+/* Table5121 */
+  0xfff, /* VMOVDQA32Z256mrk */
+  0x0, /*  */
+/* Table5123 */
+  0x108d, /* VMOVDQU64Z256rmk */
+  0x1091, /* VMOVDQU64Z256rrk */
+/* Table5125 */
+  0x108b, /* VMOVDQU64Z256mrk */
+  0x0, /*  */
+/* Table5127 */
+  0x104b, /* VMOVDQU16Z256rmk */
+  0x104f, /* VMOVDQU16Z256rrk */
 /* Table5129 */
-  0x3cf, /* INVVPID32 */
+  0x1049, /* VMOVDQU16Z256mrk */
   0x0, /*  */
 /* Table5131 */
-  0x3cd, /* INVPCID32 */
-  0x0, /*  */
+  0x1130, /* VMOVUPDZ256rmk */
+  0x1134, /* VMOVUPDZ256rrk */
 /* Table5133 */
-  0xa6, /* AESIMCrm */
-  0xa7, /* AESIMCrr */
-/* Table5135 */
-  0xa4, /* AESENCrm */
-  0xa5, /* AESENCrr */
-/* Table5137 */
-  0xa2, /* AESENCLASTrm */
-  0xa3, /* AESENCLASTrr */
-/* Table5139 */
-  0xa0, /* AESDECrm */
-  0xa1, /* AESDECrr */
-/* Table5141 */
-  0x9e, /* AESDECLASTrm */
-  0x9f, /* AESDECLASTrr */
-/* Table5143 */
-  0x683, /* MOVBE16rm */
+  0x112e, /* VMOVUPDZ256mrk */
   0x0, /*  */
+/* Table5135 */
+  0xfa5, /* VMOVAPDZ256rmk */
+  0xfa9, /* VMOVAPDZ256rrk */
+/* Table5137 */
+  0xfa3, /* VMOVAPDZ256mrk */
+  0x0, /*  */
+/* Table5139 */
+  0x1022, /* VMOVDQA64Z256rmk */
+  0x1026, /* VMOVDQA64Z256rrk */
+/* Table5141 */
+  0x1020, /* VMOVDQA64Z256mrk */
+  0x0, /*  */
+/* Table5143 */
+  0x1164, /* VMOVUPSZrmk */
+  0x1168, /* VMOVUPSZrrk */
 /* Table5145 */
-  0x682, /* MOVBE16mr */
+  0x1162, /* VMOVUPSZmrk */
   0x0, /*  */
 /* Table5147 */
-  0x41, /* ADCX32rm */
-  0x42, /* ADCX32rr */
+  0xfd9, /* VMOVAPSZrmk */
+  0xfdd, /* VMOVAPSZrrk */
 /* Table5149 */
-  0x27f, /* CRC32r32m8 */
-  0x282, /* CRC32r32r8 */
+  0xfd7, /* VMOVAPSZmrk */
+  0x0, /*  */
 /* Table5151 */
-  0x27e, /* CRC32r32m32 */
-  0x281, /* CRC32r32r32 */
+  0xb95, /* VADDPSZrmk */
+  0xb98, /* VADDPSZrrk */
 /* Table5153 */
-  0x9a, /* ADOX32rm */
-  0x9b, /* ADOX32rr */
+  0x1198, /* VMULPSZrmk */
+  0x119b, /* VMULPSZrrk */
 /* Table5155 */
-  0x27d, /* CRC32r32m16 */
-  0x280, /* CRC32r32r16 */
+  0x17cd, /* VSUBPSZrmk */
+  0x17d0, /* VSUBPSZrrk */
 /* Table5157 */
-  0x687, /* MOVBE64rm */
-  0x0, /*  */
+  0xf77, /* VMINPSZrmk */
+  0xf7a, /* VMINPSZrrk */
 /* Table5159 */
-  0x686, /* MOVBE64mr */
-  0x0, /*  */
+  0xcdd, /* VDIVPSZrmk */
+  0xce0, /* VDIVPSZrrk */
 /* Table5161 */
-  0x3c9, /* INVEPT64 */
-  0x0, /*  */
+  0xf42, /* VMAXPSZrmk */
+  0xf45, /* VMAXPSZrrk */
 /* Table5163 */
-  0x3d0, /* INVVPID64 */
-  0x0, /*  */
+  0x1077, /* VMOVDQU32Zrmk */
+  0x107b, /* VMOVDQU32Zrrk */
 /* Table5165 */
-  0x3ce, /* INVPCID64 */
+  0x1075, /* VMOVDQU32Zmrk */
   0x0, /*  */
 /* Table5167 */
-  0x9c, /* ADOX64rm */
-  0x9d, /* ADOX64rr */
+  0x10b9, /* VMOVDQU8Zrmk */
+  0x10bd, /* VMOVDQU8Zrrk */
 /* Table5169 */
-  0x284, /* CRC32r64m8 */
-  0x286, /* CRC32r64r8 */
+  0x10b7, /* VMOVDQU8Zmrk */
+  0x0, /*  */
 /* Table5171 */
-  0x283, /* CRC32r64m64 */
-  0x285, /* CRC32r64r64 */
+  0x12ec, /* VPCMPGTBZrmk */
+  0x12ee, /* VPCMPGTBZrrk */
 /* Table5173 */
-  0x43, /* ADCX64rm */
-  0x44, /* ADCX64rr */
+  0x1328, /* VPCMPGTWZrmk */
+  0x132a, /* VPCMPGTWZrrk */
 /* Table5175 */
-  0xcd, /* ANDN32rm */
-  0xce, /* ANDN32rr */
+  0x1302, /* VPCMPGTDZrmk */
+  0x1304, /* VPCMPGTDZrrk */
 /* Table5177 */
+  0x100c, /* VMOVDQA32Zrmk */
+  0x1010, /* VMOVDQA32Zrrk */
+/* Table5179 */
   0x0, /*  */
-  0x13d, /* BLSR32rm */
-  0x139, /* BLSMSK32rm */
-  0x131, /* BLSI32rm */
+  0x0, /*  */
+  0x168d, /* VPSRLDZmik */
+  0x0, /*  */
+  0x1667, /* VPSRADZmik */
+  0x0, /*  */
+  0x1639, /* VPSLLDZmik */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x168f, /* VPSRLDZrik */
   0x0, /*  */
+  0x1669, /* VPSRADZrik */
   0x0, /*  */
-  0x13e, /* BLSR32rr */
-  0x13a, /* BLSMSK32rr */
-  0x132, /* BLSI32rr */
+  0x163b, /* VPSLLDZrik */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-/* Table5193 */
-  0x181, /* BZHI32rm */
-  0x182, /* BZHI32rr */
 /* Table5195 */
-  0x109, /* BEXTR32rm */
-  0x10a, /* BEXTR32rr */
+  0x1298, /* VPCMPEQBZrmk */
+  0x129a, /* VPCMPEQBZrrk */
 /* Table5197 */
-  0x7ce, /* PEXT32rm */
-  0x7cf, /* PEXT32rr */
+  0x12d4, /* VPCMPEQWZrmk */
+  0x12d6, /* VPCMPEQWZrrk */
 /* Table5199 */
-  0x9d5, /* SARX32rm */
-  0x9d6, /* SARX32rr */
+  0x12ae, /* VPCMPEQDZrmk */
+  0x12b0, /* VPCMPEQDZrrk */
 /* Table5201 */
-  0x7ca, /* PDEP32rm */
-  0x7cb, /* PDEP32rr */
+  0x100a, /* VMOVDQA32Zmrk */
+  0x0, /*  */
 /* Table5203 */
-  0x6ff, /* MULX32rm */
-  0x700, /* MULX32rr */
+  0x1691, /* VPSRLDZrmk */
+  0x1693, /* VPSRLDZrrk */
 /* Table5205 */
-  0xa83, /* SHRX32rm */
-  0xa84, /* SHRX32rr */
+  0x1221, /* VPANDDZrmk */
+  0x1224, /* VPANDDZrrk */
 /* Table5207 */
-  0x1316, /* VPSHUFBrm */
-  0x1317, /* VPSHUFBrr */
+  0x122a, /* VPANDNDZrmk */
+  0x122d, /* VPANDNDZrrk */
 /* Table5209 */
-  0x11af, /* VPHADDWrm */
-  0x11b0, /* VPHADDWrr */
+  0x166b, /* VPSRADZrmk */
+  0x166d, /* VPSRADZrrk */
 /* Table5211 */
-  0x1197, /* VPHADDDrm */
-  0x1198, /* VPHADDDrr */
+  0x15cc, /* VPORDZrmk */
+  0x15cf, /* VPORDZrrk */
 /* Table5213 */
-  0x1199, /* VPHADDSWrm128 */
-  0x119b, /* VPHADDSWrr128 */
+  0x1721, /* VPXORDZrmk */
+  0x1724, /* VPXORDZrrk */
 /* Table5215 */
-  0x11e5, /* VPMADDUBSWrm128 */
-  0x11e7, /* VPMADDUBSWrr128 */
+  0x163d, /* VPSLLDZrmk */
+  0x163f, /* VPSLLDZrrk */
 /* Table5217 */
-  0x11c3, /* VPHSUBWrm */
-  0x11c4, /* VPHSUBWrr */
+  0x16c1, /* VPSUBDZrmk */
+  0x16c4, /* VPSUBDZrrk */
 /* Table5219 */
-  0x11b9, /* VPHSUBDrm */
-  0x11ba, /* VPHSUBDrr */
+  0x11f1, /* VPADDDZrmk */
+  0x11f4, /* VPADDDZrrk */
 /* Table5221 */
-  0x11bb, /* VPHSUBSWrm128 */
-  0x11bd, /* VPHSUBSWrr128 */
+  0x1098, /* VMOVDQU64Zrmk */
+  0x109c, /* VMOVDQU64Zrrk */
 /* Table5223 */
-  0x1328, /* VPSIGNBrm */
-  0x1329, /* VPSIGNBrr */
+  0x1096, /* VMOVDQU64Zmrk */
+  0x0, /*  */
 /* Table5225 */
-  0x1330, /* VPSIGNWrm */
-  0x1331, /* VPSIGNWrr */
+  0x1056, /* VMOVDQU16Zrmk */
+  0x105a, /* VMOVDQU16Zrrk */
 /* Table5227 */
-  0x132c, /* VPSIGNDrm */
-  0x132d, /* VPSIGNDrr */
+  0x1054, /* VMOVDQU16Zmrk */
+  0x0, /*  */
 /* Table5229 */
-  0x12b6, /* VPMULHRSWrm128 */
-  0x12b8, /* VPMULHRSWrr128 */
+  0x113b, /* VMOVUPDZrmk */
+  0x113f, /* VMOVUPDZrrk */
 /* Table5231 */
-  0x115e, /* VPERMILPSrm */
-  0x115f, /* VPERMILPSrr */
+  0x1139, /* VMOVUPDZmrk */
+  0x0, /*  */
 /* Table5233 */
-  0x1154, /* VPERMILPDrm */
-  0x1155, /* VPERMILPDrr */
+  0xfb0, /* VMOVAPDZrmk */
+  0xfb4, /* VMOVAPDZrrk */
 /* Table5235 */
-  0x14bd, /* VTESTPSrm */
-  0x14be, /* VTESTPSrr */
+  0xfae, /* VMOVAPDZmrk */
+  0x0, /*  */
 /* Table5237 */
-  0x14b9, /* VTESTPDrm */
-  0x14ba, /* VTESTPDrr */
+  0xb88, /* VADDPDZrmk */
+  0xb8b, /* VADDPDZrrk */
 /* Table5239 */
-  0xc3e, /* VCVTPH2PSrm */
-  0xc3f, /* VCVTPH2PSrr */
+  0x118b, /* VMULPDZrmk */
+  0x118e, /* VMULPDZrrk */
 /* Table5241 */
-  0x13e6, /* VPTESTrm */
-  0x13e7, /* VPTESTrr */
+  0x17c0, /* VSUBPDZrmk */
+  0x17c3, /* VSUBPDZrrk */
 /* Table5243 */
-  0xbe8, /* VBROADCASTSSrm */
-  0xbe9, /* VBROADCASTSSrr */
+  0xf6a, /* VMINPDZrmk */
+  0xf6d, /* VMINPDZrrk */
 /* Table5245 */
-  0x102a, /* VPABSBrm128 */
-  0x102c, /* VPABSBrr128 */
+  0xcd0, /* VDIVPDZrmk */
+  0xcd3, /* VDIVPDZrrk */
 /* Table5247 */
-  0x1036, /* VPABSWrm128 */
-  0x1038, /* VPABSWrr128 */
+  0xf35, /* VMAXPDZrmk */
+  0xf38, /* VMAXPDZrrk */
 /* Table5249 */
-  0x102f, /* VPABSDrm128 */
-  0x1032, /* VPABSDrr128 */
+  0x102d, /* VMOVDQA64Zrmk */
+  0x1031, /* VMOVDQA64Zrrk */
 /* Table5251 */
-  0x126b, /* VPMOVSXBWrm */
-  0x126c, /* VPMOVSXBWrr */
-/* Table5253 */
-  0x1261, /* VPMOVSXBDrm */
-  0x1262, /* VPMOVSXBDrr */
-/* Table5255 */
-  0x1267, /* VPMOVSXBQrm */
-  0x1268, /* VPMOVSXBQrr */
-/* Table5257 */
-  0x1277, /* VPMOVSXWDrm */
-  0x1278, /* VPMOVSXWDrr */
-/* Table5259 */
-  0x127d, /* VPMOVSXWQrm */
-  0x127e, /* VPMOVSXWQrr */
-/* Table5261 */
-  0x1271, /* VPMOVSXDQrm */
-  0x1272, /* VPMOVSXDQrr */
-/* Table5263 */
-  0x12b4, /* VPMULDQrm */
-  0x12b5, /* VPMULDQrr */
-/* Table5265 */
-  0x10d8, /* VPCMPEQQrm */
-  0x10d9, /* VPCMPEQQrr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1672, /* VPSRAQZmik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1674, /* VPSRAQZrik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table5267 */
-  0xf9b, /* VMOVNTDQArm */
   0x0, /*  */
-/* Table5269 */
-  0x1044, /* VPACKUSDWrm */
-  0x1045, /* VPACKUSDWrr */
-/* Table5271 */
-  0xee7, /* VMASKMOVPSrm */
   0x0, /*  */
-/* Table5273 */
-  0xee3, /* VMASKMOVPDrm */
+  0x169b, /* VPSRLQZmik */
   0x0, /*  */
-/* Table5275 */
-  0xee6, /* VMASKMOVPSmr */
   0x0, /*  */
-/* Table5277 */
-  0xee2, /* VMASKMOVPDmr */
   0x0, /*  */
-/* Table5279 */
-  0x129c, /* VPMOVZXBWrm */
-  0x129d, /* VPMOVZXBWrr */
-/* Table5281 */
-  0x1292, /* VPMOVZXBDrm */
-  0x1293, /* VPMOVZXBDrr */
+  0x1647, /* VPSLLQZmik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x169d, /* VPSRLQZrik */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x1649, /* VPSLLQZrik */
+  0x0, /*  */
 /* Table5283 */
-  0x1298, /* VPMOVZXBQrm */
-  0x1299, /* VPMOVZXBQrr */
+  0x102b, /* VMOVDQA64Zmrk */
+  0x0, /*  */
 /* Table5285 */
-  0x12a8, /* VPMOVZXWDrm */
-  0x12a9, /* VPMOVZXWDrr */
+  0x169f, /* VPSRLQZrmk */
+  0x16a1, /* VPSRLQZrrk */
 /* Table5287 */
-  0x12ae, /* VPMOVZXWQrm */
-  0x12af, /* VPMOVZXWQrr */
+  0x11fe, /* VPADDQZrmk */
+  0x1201, /* VPADDQZrrk */
 /* Table5289 */
-  0x12a2, /* VPMOVZXDQrm */
-  0x12a3, /* VPMOVZXDQrr */
+  0x1240, /* VPANDQZrmk */
+  0x1243, /* VPANDQZrrk */
 /* Table5291 */
-  0x10f4, /* VPCMPGTQrm */
-  0x10f5, /* VPCMPGTQrr */
+  0x1233, /* VPANDNQZrmk */
+  0x1236, /* VPANDNQZrrk */
 /* Table5293 */
-  0x121b, /* VPMINSBrm */
-  0x121c, /* VPMINSBrr */
+  0x1676, /* VPSRAQZrmk */
+  0x1678, /* VPSRAQZrrk */
 /* Table5295 */
-  0x1222, /* VPMINSDrm */
-  0x1223, /* VPMINSDrr */
+  0x15d5, /* VPORQZrmk */
+  0x15d8, /* VPORQZrrk */
 /* Table5297 */
-  0x123b, /* VPMINUWrm */
-  0x123c, /* VPMINUWrr */
+  0x172a, /* VPXORQZrmk */
+  0x172d, /* VPXORQZrrk */
 /* Table5299 */
-  0x1234, /* VPMINUDrm */
-  0x1235, /* VPMINUDrr */
+  0x164b, /* VPSLLQZrmk */
+  0x164d, /* VPSLLQZrrk */
 /* Table5301 */
-  0x11f7, /* VPMAXSBrm */
-  0x11f8, /* VPMAXSBrr */
+  0x15c1, /* VPMULUDQZrmk */
+  0x15c4, /* VPMULUDQZrrk */
 /* Table5303 */
-  0x11fe, /* VPMAXSDrm */
-  0x11ff, /* VPMAXSDrr */
+  0x16ce, /* VPSUBQZrmk */
+  0x16d1, /* VPSUBQZrrk */
 /* Table5305 */
-  0x1217, /* VPMAXUWrm */
-  0x1218, /* VPMAXUWrr */
+  0x12f4, /* VPCMPGTDZ128rmb */
+  0x0, /*  */
 /* Table5307 */
-  0x1210, /* VPMAXUDrm */
-  0x1211, /* VPMAXUDrr */
+  0x12a0, /* VPCMPEQDZ128rmb */
+  0x0, /*  */
 /* Table5309 */
-  0x12c7, /* VPMULLDrm */
-  0x12c8, /* VPMULLDrr */
+  0x12fa, /* VPCMPGTDZ256rmb */
+  0x0, /*  */
 /* Table5311 */
-  0x11b1, /* VPHMINPOSUWrm128 */
-  0x11b2, /* VPHMINPOSUWrr128 */
+  0x12a6, /* VPCMPEQDZ256rmb */
+  0x0, /*  */
 /* Table5313 */
-  0x13a8, /* VPSRLVDrm */
-  0x13a9, /* VPSRLVDrr */
+  0xb92, /* VADDPSZrmb */
+  0x0, /*  */
 /* Table5315 */
-  0x137c, /* VPSRAVDrm */
-  0x137d, /* VPSRAVDrr */
+  0x1195, /* VMULPSZrmb */
+  0x0, /*  */
 /* Table5317 */
-  0x1354, /* VPSLLVDrm */
-  0x1355, /* VPSLLVDrr */
+  0x0, /*  */
+  0xc35, /* VCVTDQ2PSZrrb */
 /* Table5319 */
-  0x10ac, /* VPBROADCASTDrm */
-  0x10ad, /* VPBROADCASTDrr */
+  0x17ca, /* VSUBPSZrmb */
+  0x0, /*  */
 /* Table5321 */
-  0x10b8, /* VPBROADCASTQrm */
-  0x10b9, /* VPBROADCASTQrr */
+  0xf74, /* VMINPSZrmb */
+  0x0, /*  */
 /* Table5323 */
-  0x10a2, /* VPBROADCASTBrm */
-  0x10a3, /* VPBROADCASTBrr */
+  0xcda, /* VDIVPSZrmb */
+  0x0, /*  */
 /* Table5325 */
-  0x10bc, /* VPBROADCASTWrm */
-  0x10bd, /* VPBROADCASTWrr */
+  0xf3f, /* VMAXPSZrmb */
+  0x0, /*  */
 /* Table5327 */
-  0x11f0, /* VPMASKMOVDrm */
   0x0, /*  */
+  0xc64, /* VCVTPS2UDQZrrb */
 /* Table5329 */
-  0x11ef, /* VPMASKMOVDmr */
   0x0, /*  */
+  0xc0e, /* VCMPPSZrrib */
 /* Table5331 */
-  0x1183, /* VPGATHERDDrm */
   0x0, /*  */
+  0xcc1, /* VCVTUDQ2PSZrrb */
 /* Table5333 */
-  0x1189, /* VPGATHERQDrm */
   0x0, /*  */
+  0xc53, /* VCVTPS2DQZrrb */
 /* Table5335 */
-  0xeb4, /* VGATHERDPSrm */
+  0x1300, /* VPCMPGTDZrmb */
   0x0, /*  */
 /* Table5337 */
-  0xeba, /* VGATHERQPSrm */
+  0x12ac, /* VPCMPEQDZrmb */
   0x0, /*  */
 /* Table5339 */
-  0xd65, /* VFMADDSUBPSr132m */
-  0xd67, /* VFMADDSUBPSr132r */
+  0x121e, /* VPANDDZrmb */
+  0x0, /*  */
 /* Table5341 */
-  0xda1, /* VFMSUBADDPSr132m */
-  0xda3, /* VFMSUBADDPSr132r */
+  0x1227, /* VPANDNDZrmb */
+  0x0, /*  */
 /* Table5343 */
-  0xd15, /* VFMADDPSr132m */
-  0xd17, /* VFMADDPSr132r */
+  0x15c9, /* VPORDZrmb */
+  0x0, /*  */
 /* Table5345 */
-  0xd39, /* VFMADDSSr132m */
-  0xd3a, /* VFMADDSSr132r */
+  0x171e, /* VPXORDZrmb */
+  0x0, /*  */
 /* Table5347 */
-  0xdc9, /* VFMSUBPSr132m */
-  0xdcb, /* VFMSUBPSr132r */
+  0x16be, /* VPSUBDZrmb */
+  0x0, /*  */
 /* Table5349 */
-  0xded, /* VFMSUBSSr132m */
-  0xdee, /* VFMSUBSSr132r */
+  0x11ee, /* VPADDDZrmb */
+  0x0, /*  */
 /* Table5351 */
-  0xe19, /* VFNMADDPSr132m */
-  0xe1b, /* VFNMADDPSr132r */
+  0x0, /*  */
+  0xc48, /* VCVTPD2UDQZrrb */
 /* Table5353 */
-  0xe3d, /* VFNMADDSSr132m */
-  0xe3e, /* VFNMADDSSr132r */
+  0x0, /*  */
+  0xc3d, /* VCVTPD2DQZrrb */
 /* Table5355 */
-  0xe69, /* VFNMSUBPSr132m */
-  0xe6b, /* VFNMSUBPSr132r */
+  0xb85, /* VADDPDZrmb */
+  0x0, /*  */
 /* Table5357 */
-  0xe8d, /* VFNMSUBSSr132m */
-  0xe8e, /* VFNMSUBSSr132r */
+  0x1188, /* VMULPDZrmb */
+  0x0, /*  */
 /* Table5359 */
-  0xd69, /* VFMADDSUBPSr213m */
-  0xd6b, /* VFMADDSUBPSr213r */
+  0x0, /*  */
+  0xc44, /* VCVTPD2PSZrrb */
 /* Table5361 */
-  0xda5, /* VFMSUBADDPSr213m */
-  0xda7, /* VFMSUBADDPSr213r */
+  0x17bd, /* VSUBPDZrmb */
+  0x0, /*  */
 /* Table5363 */
-  0xd19, /* VFMADDPSr213m */
-  0xd1b, /* VFMADDPSr213r */
+  0xf67, /* VMINPDZrmb */
+  0x0, /*  */
 /* Table5365 */
-  0xd3b, /* VFMADDSSr213m */
-  0xd3c, /* VFMADDSSr213r */
+  0xccd, /* VDIVPDZrmb */
+  0x0, /*  */
 /* Table5367 */
-  0xdcd, /* VFMSUBPSr213m */
-  0xdcf, /* VFMSUBPSr213r */
+  0xf32, /* VMAXPDZrmb */
+  0x0, /*  */
 /* Table5369 */
-  0xdef, /* VFMSUBSSr213m */
-  0xdf0, /* VFMSUBSSr213r */
+  0x0, /*  */
+  0xc01, /* VCMPPDZrrib */
 /* Table5371 */
-  0xe1d, /* VFNMADDPSr213m */
-  0xe1f, /* VFNMADDPSr213r */
+  0x11fb, /* VPADDQZrmb */
+  0x0, /*  */
 /* Table5373 */
-  0xe3f, /* VFNMADDSSr213m */
-  0xe40, /* VFNMADDSSr213r */
+  0x123d, /* VPANDQZrmb */
+  0x0, /*  */
 /* Table5375 */
-  0xe6d, /* VFNMSUBPSr213m */
-  0xe6f, /* VFNMSUBPSr213r */
+  0x1230, /* VPANDNQZrmb */
+  0x0, /*  */
 /* Table5377 */
-  0xe8f, /* VFNMSUBSSr213m */
-  0xe90, /* VFNMSUBSSr213r */
+  0x15d2, /* VPORQZrmb */
+  0x0, /*  */
 /* Table5379 */
-  0xd6d, /* VFMADDSUBPSr231m */
-  0xd6f, /* VFMADDSUBPSr231r */
+  0x1727, /* VPXORQZrmb */
+  0x0, /*  */
 /* Table5381 */
-  0xda9, /* VFMSUBADDPSr231m */
-  0xdab, /* VFMSUBADDPSr231r */
+  0x15be, /* VPMULUDQZrmb */
+  0x0, /*  */
 /* Table5383 */
-  0xd1d, /* VFMADDPSr231m */
-  0xd1f, /* VFMADDPSr231r */
+  0x16cb, /* VPSUBQZrmb */
+  0x0, /*  */
 /* Table5385 */
-  0xd3d, /* VFMADDSSr231m */
-  0xd3e, /* VFMADDSSr231r */
+  0x12f5, /* VPCMPGTDZ128rmbk */
+  0x0, /*  */
 /* Table5387 */
-  0xdd1, /* VFMSUBPSr231m */
-  0xdd3, /* VFMSUBPSr231r */
+  0x12a1, /* VPCMPEQDZ128rmbk */
+  0x0, /*  */
 /* Table5389 */
-  0xdf1, /* VFMSUBSSr231m */
-  0xdf2, /* VFMSUBSSr231r */
+  0x12fb, /* VPCMPGTDZ256rmbk */
+  0x0, /*  */
 /* Table5391 */
-  0xe21, /* VFNMADDPSr231m */
-  0xe23, /* VFNMADDPSr231r */
+  0x12a7, /* VPCMPEQDZ256rmbk */
+  0x0, /*  */
 /* Table5393 */
-  0xe41, /* VFNMADDSSr231m */
-  0xe42, /* VFNMADDSSr231r */
+  0xb93, /* VADDPSZrmbk */
+  0x0, /*  */
 /* Table5395 */
-  0xe71, /* VFNMSUBPSr231m */
-  0xe73, /* VFNMSUBPSr231r */
+  0x1196, /* VMULPSZrmbk */
+  0x0, /*  */
 /* Table5397 */
-  0xe91, /* VFNMSUBSSr231m */
-  0xe92, /* VFNMSUBSSr231r */
+  0x17cb, /* VSUBPSZrmbk */
+  0x0, /*  */
 /* Table5399 */
-  0xbb1, /* VAESIMCrm */
-  0xbb2, /* VAESIMCrr */
+  0xf75, /* VMINPSZrmbk */
+  0x0, /*  */
 /* Table5401 */
-  0xbaf, /* VAESENCrm */
-  0xbb0, /* VAESENCrr */
+  0xcdb, /* VDIVPSZrmbk */
+  0x0, /*  */
 /* Table5403 */
-  0xbad, /* VAESENCLASTrm */
-  0xbae, /* VAESENCLASTrr */
+  0xf40, /* VMAXPSZrmbk */
+  0x0, /*  */
 /* Table5405 */
-  0xbab, /* VAESDECrm */
-  0xbac, /* VAESDECrr */
+  0x1301, /* VPCMPGTDZrmbk */
+  0x0, /*  */
 /* Table5407 */
-  0xba9, /* VAESDECLASTrm */
-  0xbaa, /* VAESDECLASTrr */
+  0x12ad, /* VPCMPEQDZrmbk */
+  0x0, /*  */
 /* Table5409 */
-  0xa5b, /* SHLX32rm */
-  0xa5c, /* SHLX32rr */
+  0x121f, /* VPANDDZrmbk */
+  0x0, /*  */
 /* Table5411 */
-  0xcf, /* ANDN64rm */
-  0xd0, /* ANDN64rr */
+  0x1228, /* VPANDNDZrmbk */
+  0x0, /*  */
 /* Table5413 */
+  0x15ca, /* VPORDZrmbk */
   0x0, /*  */
-  0x13f, /* BLSR64rm */
-  0x13b, /* BLSMSK64rm */
-  0x133, /* BLSI64rm */
+/* Table5415 */
+  0x171f, /* VPXORDZrmbk */
   0x0, /*  */
+/* Table5417 */
+  0x16bf, /* VPSUBDZrmbk */
   0x0, /*  */
+/* Table5419 */
+  0x11ef, /* VPADDDZrmbk */
   0x0, /*  */
+/* Table5421 */
+  0xb86, /* VADDPDZrmbk */
   0x0, /*  */
+/* Table5423 */
+  0x1189, /* VMULPDZrmbk */
   0x0, /*  */
-  0x140, /* BLSR64rr */
-  0x13c, /* BLSMSK64rr */
-  0x134, /* BLSI64rr */
+/* Table5425 */
+  0x17be, /* VSUBPDZrmbk */
   0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
+/* Table5427 */
+  0xf68, /* VMINPDZrmbk */
   0x0, /*  */
 /* Table5429 */
-  0x183, /* BZHI64rm */
-  0x184, /* BZHI64rr */
+  0xcce, /* VDIVPDZrmbk */
+  0x0, /*  */
 /* Table5431 */
-  0x10b, /* BEXTR64rm */
-  0x10c, /* BEXTR64rr */
+  0xf33, /* VMAXPDZrmbk */
+  0x0, /*  */
 /* Table5433 */
-  0x7d0, /* PEXT64rm */
-  0x7d1, /* PEXT64rr */
+  0x11fc, /* VPADDQZrmbk */
+  0x0, /*  */
 /* Table5435 */
-  0x9d7, /* SARX64rm */
-  0x9d8, /* SARX64rr */
+  0x123e, /* VPANDQZrmbk */
+  0x0, /*  */
 /* Table5437 */
-  0x7cc, /* PDEP64rm */
-  0x7cd, /* PDEP64rr */
+  0x1231, /* VPANDNQZrmbk */
+  0x0, /*  */
 /* Table5439 */
-  0x701, /* MULX64rm */
-  0x702, /* MULX64rr */
+  0x15d3, /* VPORQZrmbk */
+  0x0, /*  */
 /* Table5441 */
-  0xa85, /* SHRX64rm */
-  0xa86, /* SHRX64rr */
+  0x1728, /* VPXORQZrmbk */
+  0x0, /*  */
 /* Table5443 */
-  0x13ae, /* VPSRLVQrm */
-  0x13af, /* VPSRLVQrr */
+  0x15bf, /* VPMULUDQZrmbk */
+  0x0, /*  */
 /* Table5445 */
-  0x135a, /* VPSLLVQrm */
-  0x135b, /* VPSLLVQrr */
+  0x16cc, /* VPSUBQZrmbk */
+  0x0, /*  */
 /* Table5447 */
-  0x11f4, /* VPMASKMOVQrm */
+  0xb94, /* VADDPSZrmbkz */
   0x0, /*  */
 /* Table5449 */
-  0x11f3, /* VPMASKMOVQmr */
+  0x1197, /* VMULPSZrmbkz */
   0x0, /*  */
 /* Table5451 */
-  0x1186, /* VPGATHERDQrm */
+  0x17cc, /* VSUBPSZrmbkz */
   0x0, /*  */
 /* Table5453 */
-  0x118c, /* VPGATHERQQrm */
+  0xf76, /* VMINPSZrmbkz */
   0x0, /*  */
 /* Table5455 */
-  0xeb1, /* VGATHERDPDrm */
+  0xcdc, /* VDIVPSZrmbkz */
   0x0, /*  */
 /* Table5457 */
-  0xeb7, /* VGATHERQPDrm */
+  0xf41, /* VMAXPSZrmbkz */
   0x0, /*  */
 /* Table5459 */
-  0xd51, /* VFMADDSUBPDr132m */
-  0xd53, /* VFMADDSUBPDr132r */
+  0x1220, /* VPANDDZrmbkz */
+  0x0, /*  */
 /* Table5461 */
-  0xd8d, /* VFMSUBADDPDr132m */
-  0xd8f, /* VFMSUBADDPDr132r */
+  0x1229, /* VPANDNDZrmbkz */
+  0x0, /*  */
 /* Table5463 */
-  0xd01, /* VFMADDPDr132m */
-  0xd03, /* VFMADDPDr132r */
+  0x15cb, /* VPORDZrmbkz */
+  0x0, /*  */
 /* Table5465 */
-  0xd2a, /* VFMADDSDr132m */
-  0xd2b, /* VFMADDSDr132r */
+  0x1720, /* VPXORDZrmbkz */
+  0x0, /*  */
 /* Table5467 */
-  0xdb5, /* VFMSUBPDr132m */
-  0xdb7, /* VFMSUBPDr132r */
+  0x16c0, /* VPSUBDZrmbkz */
+  0x0, /*  */
 /* Table5469 */
-  0xdde, /* VFMSUBSDr132m */
-  0xddf, /* VFMSUBSDr132r */
+  0x11f0, /* VPADDDZrmbkz */
+  0x0, /*  */
 /* Table5471 */
-  0xe05, /* VFNMADDPDr132m */
-  0xe07, /* VFNMADDPDr132r */
+  0xb87, /* VADDPDZrmbkz */
+  0x0, /*  */
 /* Table5473 */
-  0xe2e, /* VFNMADDSDr132m */
-  0xe2f, /* VFNMADDSDr132r */
+  0x118a, /* VMULPDZrmbkz */
+  0x0, /*  */
 /* Table5475 */
-  0xe55, /* VFNMSUBPDr132m */
-  0xe57, /* VFNMSUBPDr132r */
+  0x17bf, /* VSUBPDZrmbkz */
+  0x0, /*  */
 /* Table5477 */
-  0xe7e, /* VFNMSUBSDr132m */
-  0xe7f, /* VFNMSUBSDr132r */
+  0xf69, /* VMINPDZrmbkz */
+  0x0, /*  */
 /* Table5479 */
-  0xd55, /* VFMADDSUBPDr213m */
-  0xd57, /* VFMADDSUBPDr213r */
+  0xccf, /* VDIVPDZrmbkz */
+  0x0, /*  */
 /* Table5481 */
-  0xd91, /* VFMSUBADDPDr213m */
-  0xd93, /* VFMSUBADDPDr213r */
+  0xf34, /* VMAXPDZrmbkz */
+  0x0, /*  */
 /* Table5483 */
-  0xd05, /* VFMADDPDr213m */
-  0xd07, /* VFMADDPDr213r */
+  0x11fd, /* VPADDQZrmbkz */
+  0x0, /*  */
 /* Table5485 */
-  0xd2c, /* VFMADDSDr213m */
-  0xd2d, /* VFMADDSDr213r */
+  0x123f, /* VPANDQZrmbkz */
+  0x0, /*  */
 /* Table5487 */
-  0xdb9, /* VFMSUBPDr213m */
-  0xdbb, /* VFMSUBPDr213r */
+  0x1232, /* VPANDNQZrmbkz */
+  0x0, /*  */
 /* Table5489 */
-  0xde0, /* VFMSUBSDr213m */
-  0xde1, /* VFMSUBSDr213r */
+  0x15d4, /* VPORQZrmbkz */
+  0x0, /*  */
 /* Table5491 */
-  0xe09, /* VFNMADDPDr213m */
-  0xe0b, /* VFNMADDPDr213r */
+  0x1729, /* VPXORQZrmbkz */
+  0x0, /*  */
 /* Table5493 */
-  0xe30, /* VFNMADDSDr213m */
-  0xe31, /* VFNMADDSDr213r */
+  0x15c0, /* VPMULUDQZrmbkz */
+  0x0, /*  */
 /* Table5495 */
-  0xe59, /* VFNMSUBPDr213m */
-  0xe5b, /* VFNMSUBPDr213r */
+  0x16cd, /* VPSUBQZrmbkz */
+  0x0, /*  */
 /* Table5497 */
-  0xe80, /* VFNMSUBSDr213m */
-  0xe81, /* VFNMSUBSDr213r */
+  0x114f, /* VMOVUPSZ128rmkz */
+  0x1154, /* VMOVUPSZ128rrkz */
 /* Table5499 */
-  0xd59, /* VFMADDSUBPDr231m */
-  0xd5b, /* VFMADDSUBPDr231r */
+  0xfc4, /* VMOVAPSZ128rmkz */
+  0xfc9, /* VMOVAPSZ128rrkz */
 /* Table5501 */
-  0xd95, /* VFMSUBADDPDr231m */
-  0xd97, /* VFMSUBADDPDr231r */
+  0x1062, /* VMOVDQU32Z128rmkz */
+  0x1067, /* VMOVDQU32Z128rrkz */
 /* Table5503 */
-  0xd09, /* VFMADDPDr231m */
-  0xd0b, /* VFMADDPDr231r */
+  0x10a4, /* VMOVDQU8Z128rmkz */
+  0x10a9, /* VMOVDQU8Z128rrkz */
 /* Table5505 */
-  0xd2e, /* VFMADDSDr231m */
-  0xd2f, /* VFMADDSDr231r */
+  0xff7, /* VMOVDQA32Z128rmkz */
+  0xffc, /* VMOVDQA32Z128rrkz */
 /* Table5507 */
-  0xdbd, /* VFMSUBPDr231m */
-  0xdbf, /* VFMSUBPDr231r */
+  0x1083, /* VMOVDQU64Z128rmkz */
+  0x1088, /* VMOVDQU64Z128rrkz */
 /* Table5509 */
-  0xde2, /* VFMSUBSDr231m */
-  0xde3, /* VFMSUBSDr231r */
+  0x1041, /* VMOVDQU16Z128rmkz */
+  0x1046, /* VMOVDQU16Z128rrkz */
 /* Table5511 */
-  0xe0d, /* VFNMADDPDr231m */
-  0xe0f, /* VFNMADDPDr231r */
+  0x1126, /* VMOVUPDZ128rmkz */
+  0x112b, /* VMOVUPDZ128rrkz */
 /* Table5513 */
-  0xe32, /* VFNMADDSDr231m */
-  0xe33, /* VFNMADDSDr231r */
+  0xf9b, /* VMOVAPDZ128rmkz */
+  0xfa0, /* VMOVAPDZ128rrkz */
 /* Table5515 */
-  0xe5d, /* VFNMSUBPDr231m */
-  0xe5f, /* VFNMSUBPDr231r */
+  0x1018, /* VMOVDQA64Z128rmkz */
+  0x101d, /* VMOVDQA64Z128rrkz */
 /* Table5517 */
-  0xe82, /* VFNMSUBSDr231m */
-  0xe83, /* VFNMSUBSDr231r */
+  0x115a, /* VMOVUPSZ256rmkz */
+  0x115f, /* VMOVUPSZ256rrkz */
 /* Table5519 */
-  0xa5d, /* SHLX64rm */
-  0xa5e, /* SHLX64rr */
+  0xfcf, /* VMOVAPSZ256rmkz */
+  0xfd4, /* VMOVAPSZ256rrkz */
 /* Table5521 */
-  0x1314, /* VPSHUFBYrm */
-  0x1315, /* VPSHUFBYrr */
+  0x106d, /* VMOVDQU32Z256rmkz */
+  0x1072, /* VMOVDQU32Z256rrkz */
 /* Table5523 */
-  0x11ad, /* VPHADDWYrm */
-  0x11ae, /* VPHADDWYrr */
+  0x10af, /* VMOVDQU8Z256rmkz */
+  0x10b4, /* VMOVDQU8Z256rrkz */
 /* Table5525 */
-  0x1195, /* VPHADDDYrm */
-  0x1196, /* VPHADDDYrr */
+  0x1002, /* VMOVDQA32Z256rmkz */
+  0x1007, /* VMOVDQA32Z256rrkz */
 /* Table5527 */
-  0x119a, /* VPHADDSWrm256 */
-  0x119c, /* VPHADDSWrr256 */
+  0x108e, /* VMOVDQU64Z256rmkz */
+  0x1093, /* VMOVDQU64Z256rrkz */
 /* Table5529 */
-  0x11e6, /* VPMADDUBSWrm256 */
-  0x11e8, /* VPMADDUBSWrr256 */
+  0x104c, /* VMOVDQU16Z256rmkz */
+  0x1051, /* VMOVDQU16Z256rrkz */
 /* Table5531 */
-  0x11c1, /* VPHSUBWYrm */
-  0x11c2, /* VPHSUBWYrr */
+  0x1131, /* VMOVUPDZ256rmkz */
+  0x1136, /* VMOVUPDZ256rrkz */
 /* Table5533 */
-  0x11b7, /* VPHSUBDYrm */
-  0x11b8, /* VPHSUBDYrr */
+  0xfa6, /* VMOVAPDZ256rmkz */
+  0xfab, /* VMOVAPDZ256rrkz */
 /* Table5535 */
-  0x11bc, /* VPHSUBSWrm256 */
-  0x11be, /* VPHSUBSWrr256 */
+  0x1023, /* VMOVDQA64Z256rmkz */
+  0x1028, /* VMOVDQA64Z256rrkz */
 /* Table5537 */
-  0x1326, /* VPSIGNBYrm */
-  0x1327, /* VPSIGNBYrr */
+  0x1165, /* VMOVUPSZrmkz */
+  0x116a, /* VMOVUPSZrrkz */
 /* Table5539 */
-  0x132e, /* VPSIGNWYrm */
-  0x132f, /* VPSIGNWYrr */
+  0xfda, /* VMOVAPSZrmkz */
+  0xfdf, /* VMOVAPSZrrkz */
 /* Table5541 */
-  0x132a, /* VPSIGNDYrm */
-  0x132b, /* VPSIGNDYrr */
+  0xb96, /* VADDPSZrmkz */
+  0xb99, /* VADDPSZrrkz */
 /* Table5543 */
-  0x12b7, /* VPMULHRSWrm256 */
-  0x12b9, /* VPMULHRSWrr256 */
+  0x1199, /* VMULPSZrmkz */
+  0x119c, /* VMULPSZrrkz */
 /* Table5545 */
-  0x1158, /* VPERMILPSYrm */
-  0x1159, /* VPERMILPSYrr */
+  0x17ce, /* VSUBPSZrmkz */
+  0x17d1, /* VSUBPSZrrkz */
 /* Table5547 */
-  0x114e, /* VPERMILPDYrm */
-  0x114f, /* VPERMILPDYrr */
+  0xf78, /* VMINPSZrmkz */
+  0xf7b, /* VMINPSZrrkz */
 /* Table5549 */
-  0x14bb, /* VTESTPSYrm */
-  0x14bc, /* VTESTPSYrr */
+  0xcde, /* VDIVPSZrmkz */
+  0xce1, /* VDIVPSZrrkz */
 /* Table5551 */
-  0x14b7, /* VTESTPDYrm */
-  0x14b8, /* VTESTPDYrr */
+  0xf43, /* VMAXPSZrmkz */
+  0xf46, /* VMAXPSZrrkz */
 /* Table5553 */
-  0xc3a, /* VCVTPH2PSYrm */
-  0xc3b, /* VCVTPH2PSYrr */
+  0x1078, /* VMOVDQU32Zrmkz */
+  0x107d, /* VMOVDQU32Zrrkz */
 /* Table5555 */
-  0x1166, /* VPERMPSYrm */
-  0x1167, /* VPERMPSYrr */
+  0x10ba, /* VMOVDQU8Zrmkz */
+  0x10bf, /* VMOVDQU8Zrrkz */
 /* Table5557 */
-  0x13e4, /* VPTESTYrm */
-  0x13e5, /* VPTESTYrr */
+  0x100d, /* VMOVDQA32Zrmkz */
+  0x1012, /* VMOVDQA32Zrrkz */
 /* Table5559 */
-  0xbe4, /* VBROADCASTSSYrm */
-  0xbe5, /* VBROADCASTSSYrr */
+  0x1222, /* VPANDDZrmkz */
+  0x1225, /* VPANDDZrrkz */
 /* Table5561 */
-  0xbe0, /* VBROADCASTSDYrm */
-  0xbe1, /* VBROADCASTSDYrr */
+  0x122b, /* VPANDNDZrmkz */
+  0x122e, /* VPANDNDZrrkz */
 /* Table5563 */
-  0xbde, /* VBROADCASTF128 */
-  0x0, /*  */
+  0x15cd, /* VPORDZrmkz */
+  0x15d0, /* VPORDZrrkz */
 /* Table5565 */
-  0x102b, /* VPABSBrm256 */
-  0x102d, /* VPABSBrr256 */
+  0x1722, /* VPXORDZrmkz */
+  0x1725, /* VPXORDZrrkz */
 /* Table5567 */
-  0x1037, /* VPABSWrm256 */
-  0x1039, /* VPABSWrr256 */
+  0x16c2, /* VPSUBDZrmkz */
+  0x16c5, /* VPSUBDZrrkz */
 /* Table5569 */
-  0x1030, /* VPABSDrm256 */
-  0x1033, /* VPABSDrr256 */
+  0x11f2, /* VPADDDZrmkz */
+  0x11f5, /* VPADDDZrrkz */
 /* Table5571 */
-  0x1269, /* VPMOVSXBWYrm */
-  0x126a, /* VPMOVSXBWYrr */
+  0x1099, /* VMOVDQU64Zrmkz */
+  0x109e, /* VMOVDQU64Zrrkz */
 /* Table5573 */
-  0x125d, /* VPMOVSXBDYrm */
-  0x125e, /* VPMOVSXBDYrr */
+  0x1057, /* VMOVDQU16Zrmkz */
+  0x105c, /* VMOVDQU16Zrrkz */
 /* Table5575 */
-  0x1263, /* VPMOVSXBQYrm */
-  0x1264, /* VPMOVSXBQYrr */
+  0x113c, /* VMOVUPDZrmkz */
+  0x1141, /* VMOVUPDZrrkz */
 /* Table5577 */
-  0x1273, /* VPMOVSXWDYrm */
-  0x1274, /* VPMOVSXWDYrr */
+  0xfb1, /* VMOVAPDZrmkz */
+  0xfb6, /* VMOVAPDZrrkz */
 /* Table5579 */
-  0x1279, /* VPMOVSXWQYrm */
-  0x127a, /* VPMOVSXWQYrr */
+  0xb89, /* VADDPDZrmkz */
+  0xb8c, /* VADDPDZrrkz */
 /* Table5581 */
-  0x126d, /* VPMOVSXDQYrm */
-  0x126e, /* VPMOVSXDQYrr */
+  0x118c, /* VMULPDZrmkz */
+  0x118f, /* VMULPDZrrkz */
 /* Table5583 */
-  0x12b0, /* VPMULDQYrm */
-  0x12b1, /* VPMULDQYrr */
+  0x17c1, /* VSUBPDZrmkz */
+  0x17c4, /* VSUBPDZrrkz */
 /* Table5585 */
-  0x10d4, /* VPCMPEQQYrm */
-  0x10d5, /* VPCMPEQQYrr */
+  0xf6b, /* VMINPDZrmkz */
+  0xf6e, /* VMINPDZrrkz */
 /* Table5587 */
-  0xf9a, /* VMOVNTDQAYrm */
-  0x0, /*  */
+  0xcd1, /* VDIVPDZrmkz */
+  0xcd4, /* VDIVPDZrrkz */
 /* Table5589 */
-  0x1042, /* VPACKUSDWYrm */
-  0x1043, /* VPACKUSDWYrr */
+  0xf36, /* VMAXPDZrmkz */
+  0xf39, /* VMAXPDZrrkz */
 /* Table5591 */
-  0xee5, /* VMASKMOVPSYrm */
-  0x0, /*  */
+  0x102e, /* VMOVDQA64Zrmkz */
+  0x1033, /* VMOVDQA64Zrrkz */
 /* Table5593 */
-  0xee1, /* VMASKMOVPDYrm */
-  0x0, /*  */
+  0x11ff, /* VPADDQZrmkz */
+  0x1202, /* VPADDQZrrkz */
 /* Table5595 */
-  0xee4, /* VMASKMOVPSYmr */
-  0x0, /*  */
+  0x1241, /* VPANDQZrmkz */
+  0x1244, /* VPANDQZrrkz */
 /* Table5597 */
-  0xee0, /* VMASKMOVPDYmr */
-  0x0, /*  */
+  0x1234, /* VPANDNQZrmkz */
+  0x1237, /* VPANDNQZrrkz */
 /* Table5599 */
-  0x129a, /* VPMOVZXBWYrm */
-  0x129b, /* VPMOVZXBWYrr */
+  0x15d6, /* VPORQZrmkz */
+  0x15d9, /* VPORQZrrkz */
 /* Table5601 */
-  0x128e, /* VPMOVZXBDYrm */
-  0x128f, /* VPMOVZXBDYrr */
+  0x172b, /* VPXORQZrmkz */
+  0x172e, /* VPXORQZrrkz */
 /* Table5603 */
-  0x1294, /* VPMOVZXBQYrm */
-  0x1295, /* VPMOVZXBQYrr */
+  0x15c2, /* VPMULUDQZrmkz */
+  0x15c5, /* VPMULUDQZrrkz */
 /* Table5605 */
-  0x12a4, /* VPMOVZXWDYrm */
-  0x12a5, /* VPMOVZXWDYrr */
+  0x16cf, /* VPSUBQZrmkz */
+  0x16d2, /* VPSUBQZrrkz */
 /* Table5607 */
-  0x12aa, /* VPMOVZXWQYrm */
-  0x12ab, /* VPMOVZXWQYrr */
+  0x5dd, /* MMX_PSHUFBrm64 */
+  0x5de, /* MMX_PSHUFBrr64 */
 /* Table5609 */
-  0x129e, /* VPMOVZXDQYrm */
-  0x129f, /* VPMOVZXDQYrr */
+  0x5b6, /* MMX_PHADDWrm64 */
+  0x5b7, /* MMX_PHADDWrr64 */
 /* Table5611 */
-  0x1134, /* VPERMDYrm */
-  0x1135, /* VPERMDYrr */
+  0x5b8, /* MMX_PHADDrm64 */
+  0x5b9, /* MMX_PHADDrr64 */
 /* Table5613 */
-  0x10f0, /* VPCMPGTQYrm */
-  0x10f1, /* VPCMPGTQYrr */
+  0x5b4, /* MMX_PHADDSWrm64 */
+  0x5b5, /* MMX_PHADDSWrr64 */
 /* Table5615 */
-  0x1219, /* VPMINSBYrm */
-  0x121a, /* VPMINSBYrr */
+  0x5c2, /* MMX_PMADDUBSWrm64 */
+  0x5c3, /* MMX_PMADDUBSWrr64 */
 /* Table5617 */
-  0x121d, /* VPMINSDYrm */
-  0x121e, /* VPMINSDYrr */
+  0x5be, /* MMX_PHSUBWrm64 */
+  0x5bf, /* MMX_PHSUBWrr64 */
 /* Table5619 */
-  0x1239, /* VPMINUWYrm */
-  0x123a, /* VPMINUWYrr */
+  0x5ba, /* MMX_PHSUBDrm64 */
+  0x5bb, /* MMX_PHSUBDrr64 */
 /* Table5621 */
-  0x122f, /* VPMINUDYrm */
-  0x1230, /* VPMINUDYrr */
+  0x5bc, /* MMX_PHSUBSWrm64 */
+  0x5bd, /* MMX_PHSUBSWrr64 */
 /* Table5623 */
-  0x11f5, /* VPMAXSBYrm */
-  0x11f6, /* VPMAXSBYrr */
+  0x5e1, /* MMX_PSIGNBrm64 */
+  0x5e2, /* MMX_PSIGNBrr64 */
 /* Table5625 */
-  0x11f9, /* VPMAXSDYrm */
-  0x11fa, /* VPMAXSDYrr */
+  0x5e5, /* MMX_PSIGNWrm64 */
+  0x5e6, /* MMX_PSIGNWrr64 */
 /* Table5627 */
-  0x1215, /* VPMAXUWYrm */
-  0x1216, /* VPMAXUWYrr */
+  0x5e3, /* MMX_PSIGNDrm64 */
+  0x5e4, /* MMX_PSIGNDrr64 */
 /* Table5629 */
-  0x120b, /* VPMAXUDYrm */
-  0x120c, /* VPMAXUDYrr */
+  0x5cf, /* MMX_PMULHRSWrm64 */
+  0x5d0, /* MMX_PMULHRSWrr64 */
 /* Table5631 */
-  0x12c2, /* VPMULLDYrm */
-  0x12c3, /* VPMULLDYrr */
+  0x581, /* MMX_PABSBrm64 */
+  0x582, /* MMX_PABSBrr64 */
 /* Table5633 */
-  0x13a4, /* VPSRLVDYrm */
-  0x13a5, /* VPSRLVDYrr */
+  0x585, /* MMX_PABSWrm64 */
+  0x586, /* MMX_PABSWrr64 */
 /* Table5635 */
-  0x1378, /* VPSRAVDYrm */
-  0x1379, /* VPSRAVDYrr */
+  0x583, /* MMX_PABSDrm64 */
+  0x584, /* MMX_PABSDrr64 */
 /* Table5637 */
-  0x1350, /* VPSLLVDYrm */
-  0x1351, /* VPSLLVDYrr */
+  0xa29, /* SHA1NEXTErm */
+  0xa2a, /* SHA1NEXTErr */
 /* Table5639 */
-  0x10a4, /* VPBROADCASTDYrm */
-  0x10a5, /* VPBROADCASTDYrr */
+  0xa25, /* SHA1MSG1rm */
+  0xa26, /* SHA1MSG1rr */
 /* Table5641 */
-  0x10b0, /* VPBROADCASTQYrm */
-  0x10b1, /* VPBROADCASTQYrr */
+  0xa27, /* SHA1MSG2rm */
+  0xa28, /* SHA1MSG2rr */
 /* Table5643 */
-  0xbdf, /* VBROADCASTI128 */
-  0x0, /*  */
+  0xa31, /* SHA256RNDS2rm */
+  0xa32, /* SHA256RNDS2rr */
 /* Table5645 */
-  0x10a0, /* VPBROADCASTBYrm */
-  0x10a1, /* VPBROADCASTBYrr */
+  0xa2d, /* SHA256MSG1rm */
+  0xa2e, /* SHA256MSG1rr */
 /* Table5647 */
-  0x10ba, /* VPBROADCASTWYrm */
-  0x10bb, /* VPBROADCASTWYrr */
+  0xa2f, /* SHA256MSG2rm */
+  0xa30, /* SHA256MSG2rr */
 /* Table5649 */
-  0x11ee, /* VPMASKMOVDYrm */
+  0x679, /* MOVBE32rm */
   0x0, /*  */
 /* Table5651 */
-  0x11ed, /* VPMASKMOVDYmr */
+  0x678, /* MOVBE32mr */
   0x0, /*  */
 /* Table5653 */
-  0x1181, /* VPGATHERDDYrm */
-  0x0, /*  */
+  0x87e, /* PSHUFBrm */
+  0x87f, /* PSHUFBrr */
 /* Table5655 */
-  0x1187, /* VPGATHERQDYrm */
-  0x0, /*  */
+  0x7f9, /* PHADDWrm */
+  0x7fa, /* PHADDWrr */
 /* Table5657 */
-  0xeb2, /* VGATHERDPSYrm */
-  0x0, /*  */
+  0x7f5, /* PHADDDrm */
+  0x7f6, /* PHADDDrr */
 /* Table5659 */
-  0xeb8, /* VGATHERQPSYrm */
-  0x0, /*  */
+  0x7f7, /* PHADDSWrm128 */
+  0x7f8, /* PHADDSWrr128 */
 /* Table5661 */
-  0xd66, /* VFMADDSUBPSr132mY */
-  0xd68, /* VFMADDSUBPSr132rY */
+  0x80f, /* PMADDUBSWrm128 */
+  0x810, /* PMADDUBSWrr128 */
 /* Table5663 */
-  0xda2, /* VFMSUBADDPSr132mY */
-  0xda4, /* VFMSUBADDPSr132rY */
+  0x801, /* PHSUBWrm */
+  0x802, /* PHSUBWrr */
 /* Table5665 */
-  0xd16, /* VFMADDPSr132mY */
-  0xd18, /* VFMADDPSr132rY */
+  0x7fd, /* PHSUBDrm */
+  0x7fe, /* PHSUBDrr */
 /* Table5667 */
-  0xdca, /* VFMSUBPSr132mY */
-  0xdcc, /* VFMSUBPSr132rY */
+  0x7ff, /* PHSUBSWrm128 */
+  0x800, /* PHSUBSWrr128 */
 /* Table5669 */
-  0xe1a, /* VFNMADDPSr132mY */
-  0xe1c, /* VFNMADDPSr132rY */
+  0x886, /* PSIGNBrm */
+  0x887, /* PSIGNBrr */
 /* Table5671 */
-  0xe6a, /* VFNMSUBPSr132mY */
-  0xe6c, /* VFNMSUBPSr132rY */
+  0x88a, /* PSIGNWrm */
+  0x88b, /* PSIGNWrr */
 /* Table5673 */
-  0xd6a, /* VFMADDSUBPSr213mY */
-  0xd6c, /* VFMADDSUBPSr213rY */
+  0x888, /* PSIGNDrm */
+  0x889, /* PSIGNDrr */
 /* Table5675 */
-  0xda6, /* VFMSUBADDPSr213mY */
-  0xda8, /* VFMSUBADDPSr213rY */
+  0x846, /* PMULHRSWrm128 */
+  0x847, /* PMULHRSWrr128 */
 /* Table5677 */
-  0xd1a, /* VFMADDPSr213mY */
-  0xd1c, /* VFMADDPSr213rY */
+  0x798, /* PBLENDVBrm0 */
+  0x799, /* PBLENDVBrr0 */
 /* Table5679 */
-  0xdce, /* VFMSUBPSr213mY */
-  0xdd0, /* VFMSUBPSr213rY */
+  0x101, /* BLENDVPSrm0 */
+  0x102, /* BLENDVPSrr0 */
 /* Table5681 */
-  0xe1e, /* VFNMADDPSr213mY */
-  0xe20, /* VFNMADDPSr213rY */
+  0xff, /* BLENDVPDrm0 */
+  0x100, /* BLENDVPDrr0 */
 /* Table5683 */
-  0xe6e, /* VFNMSUBPSr213mY */
-  0xe70, /* VFNMSUBPSr213rY */
+  0x8b8, /* PTESTrm */
+  0x8b9, /* PTESTrr */
 /* Table5685 */
-  0xd6e, /* VFMADDSUBPSr231mY */
-  0xd70, /* VFMADDSUBPSr231rY */
+  0x76d, /* PABSBrm128 */
+  0x76e, /* PABSBrr128 */
 /* Table5687 */
-  0xdaa, /* VFMSUBADDPSr231mY */
-  0xdac, /* VFMSUBADDPSr231rY */
+  0x771, /* PABSWrm128 */
+  0x772, /* PABSWrr128 */
 /* Table5689 */
-  0xd1e, /* VFMADDPSr231mY */
-  0xd20, /* VFMADDPSr231rY */
+  0x76f, /* PABSDrm128 */
+  0x770, /* PABSDrr128 */
 /* Table5691 */
-  0xdd2, /* VFMSUBPSr231mY */
-  0xdd4, /* VFMSUBPSr231rY */
+  0x830, /* PMOVSXBWrm */
+  0x831, /* PMOVSXBWrr */
 /* Table5693 */
-  0xe22, /* VFNMADDPSr231mY */
-  0xe24, /* VFNMADDPSr231rY */
+  0x82c, /* PMOVSXBDrm */
+  0x82d, /* PMOVSXBDrr */
 /* Table5695 */
-  0xe72, /* VFNMSUBPSr231mY */
-  0xe74, /* VFNMSUBPSr231rY */
+  0x82e, /* PMOVSXBQrm */
+  0x82f, /* PMOVSXBQrr */
 /* Table5697 */
-  0x13aa, /* VPSRLVQYrm */
-  0x13ab, /* VPSRLVQYrr */
+  0x834, /* PMOVSXWDrm */
+  0x835, /* PMOVSXWDrr */
 /* Table5699 */
-  0x1356, /* VPSLLVQYrm */
-  0x1357, /* VPSLLVQYrr */
+  0x836, /* PMOVSXWQrm */
+  0x837, /* PMOVSXWQrr */
 /* Table5701 */
-  0x11f2, /* VPMASKMOVQYrm */
-  0x0, /*  */
+  0x832, /* PMOVSXDQrm */
+  0x833, /* PMOVSXDQrr */
 /* Table5703 */
-  0x11f1, /* VPMASKMOVQYmr */
-  0x0, /*  */
+  0x844, /* PMULDQrm */
+  0x845, /* PMULDQrr */
 /* Table5705 */
-  0x1184, /* VPGATHERDQYrm */
-  0x0, /*  */
+  0x7a2, /* PCMPEQQrm */
+  0x7a3, /* PCMPEQQrr */
 /* Table5707 */
-  0x118a, /* VPGATHERQQYrm */
+  0x696, /* MOVNTDQArm */
   0x0, /*  */
 /* Table5709 */
-  0xeaf, /* VGATHERDPDYrm */
-  0x0, /*  */
+  0x777, /* PACKUSDWrm */
+  0x778, /* PACKUSDWrr */
 /* Table5711 */
-  0xeb5, /* VGATHERQPDYrm */
-  0x0, /*  */
+  0x83c, /* PMOVZXBWrm */
+  0x83d, /* PMOVZXBWrr */
 /* Table5713 */
-  0xd52, /* VFMADDSUBPDr132mY */
-  0xd54, /* VFMADDSUBPDr132rY */
+  0x838, /* PMOVZXBDrm */
+  0x839, /* PMOVZXBDrr */
 /* Table5715 */
-  0xd8e, /* VFMSUBADDPDr132mY */
-  0xd90, /* VFMSUBADDPDr132rY */
+  0x83a, /* PMOVZXBQrm */
+  0x83b, /* PMOVZXBQrr */
 /* Table5717 */
-  0xd02, /* VFMADDPDr132mY */
-  0xd04, /* VFMADDPDr132rY */
+  0x840, /* PMOVZXWDrm */
+  0x841, /* PMOVZXWDrr */
 /* Table5719 */
-  0xdb6, /* VFMSUBPDr132mY */
-  0xdb8, /* VFMSUBPDr132rY */
+  0x842, /* PMOVZXWQrm */
+  0x843, /* PMOVZXWQrr */
 /* Table5721 */
-  0xe06, /* VFNMADDPDr132mY */
-  0xe08, /* VFNMADDPDr132rY */
+  0x83e, /* PMOVZXDQrm */
+  0x83f, /* PMOVZXDQrr */
 /* Table5723 */
-  0xe56, /* VFNMSUBPDr132mY */
-  0xe58, /* VFNMSUBPDr132rY */
+  0x7b2, /* PCMPGTQrm */
+  0x7b3, /* PCMPGTQrr */
 /* Table5725 */
-  0xd56, /* VFMADDSUBPDr213mY */
-  0xd58, /* VFMADDSUBPDr213rY */
+  0x81f, /* PMINSBrm */
+  0x820, /* PMINSBrr */
 /* Table5727 */
-  0xd92, /* VFMSUBADDPDr213mY */
-  0xd94, /* VFMSUBADDPDr213rY */
+  0x821, /* PMINSDrm */
+  0x822, /* PMINSDrr */
 /* Table5729 */
-  0xd06, /* VFMADDPDr213mY */
-  0xd08, /* VFMADDPDr213rY */
+  0x829, /* PMINUWrm */
+  0x82a, /* PMINUWrr */
 /* Table5731 */
-  0xdba, /* VFMSUBPDr213mY */
-  0xdbc, /* VFMSUBPDr213rY */
+  0x827, /* PMINUDrm */
+  0x828, /* PMINUDrr */
 /* Table5733 */
-  0xe0a, /* VFNMADDPDr213mY */
-  0xe0c, /* VFNMADDPDr213rY */
+  0x813, /* PMAXSBrm */
+  0x814, /* PMAXSBrr */
 /* Table5735 */
-  0xe5a, /* VFNMSUBPDr213mY */
-  0xe5c, /* VFNMSUBPDr213rY */
+  0x815, /* PMAXSDrm */
+  0x816, /* PMAXSDrr */
 /* Table5737 */
-  0xd5a, /* VFMADDSUBPDr231mY */
-  0xd5c, /* VFMADDSUBPDr231rY */
+  0x81d, /* PMAXUWrm */
+  0x81e, /* PMAXUWrr */
 /* Table5739 */
-  0xd96, /* VFMSUBADDPDr231mY */
-  0xd98, /* VFMSUBADDPDr231rY */
+  0x81b, /* PMAXUDrm */
+  0x81c, /* PMAXUDrr */
 /* Table5741 */
-  0xd0a, /* VFMADDPDr231mY */
-  0xd0c, /* VFMADDPDr231rY */
+  0x84e, /* PMULLDrm */
+  0x84f, /* PMULLDrr */
 /* Table5743 */
-  0xdbe, /* VFMSUBPDr231mY */
-  0xdc0, /* VFMSUBPDr231rY */
+  0x7fb, /* PHMINPOSUWrm128 */
+  0x7fc, /* PHMINPOSUWrr128 */
 /* Table5745 */
-  0xe0e, /* VFNMADDPDr231mY */
-  0xe10, /* VFNMADDPDr231rY */
+  0x39a, /* INVEPT32 */
+  0x0, /*  */
 /* Table5747 */
-  0xe5e, /* VFNMSUBPDr231mY */
-  0xe60, /* VFNMSUBPDr231rY */
+  0x3a1, /* INVVPID32 */
+  0x0, /*  */
 /* Table5749 */
-  0x1420, /* VRCP14SSrm */
-  0x1421, /* VRCP14SSrr */
+  0x39f, /* INVPCID32 */
+  0x0, /*  */
 /* Table5751 */
-  0x1455, /* VRSQRT14SSrm */
-  0x1456, /* VRSQRT14SSrr */
+  0xa7, /* AESIMCrm */
+  0xa8, /* AESIMCrr */
 /* Table5753 */
-  0xd37, /* VFMADDSSZm */
-  0xd38, /* VFMADDSSZr */
+  0xa5, /* AESENCrm */
+  0xa6, /* AESENCrr */
 /* Table5755 */
-  0xdeb, /* VFMSUBSSZm */
-  0xdec, /* VFMSUBSSZr */
+  0xa3, /* AESENCLASTrm */
+  0xa4, /* AESENCLASTrr */
 /* Table5757 */
-  0xe3b, /* VFNMADDSSZm */
-  0xe3c, /* VFNMADDSSZr */
+  0xa1, /* AESDECrm */
+  0xa2, /* AESDECrr */
 /* Table5759 */
-  0xe8b, /* VFNMSUBSSZm */
-  0xe8c, /* VFNMSUBSSZr */
+  0x9f, /* AESDECLASTrm */
+  0xa0, /* AESDECLASTrr */
 /* Table5761 */
-  0x142b, /* VRCP28SSrm */
-  0x142c, /* VRCP28SSrr */
+  0x677, /* MOVBE16rm */
+  0x0, /*  */
 /* Table5763 */
-  0x1460, /* VRSQRT28SSrm */
-  0x1461, /* VRSQRT28SSrr */
+  0x676, /* MOVBE16mr */
+  0x0, /*  */
 /* Table5765 */
-  0x141e, /* VRCP14SDrm */
-  0x141f, /* VRCP14SDrr */
+  0x42, /* ADCX32rm */
+  0x43, /* ADCX32rr */
 /* Table5767 */
-  0x1453, /* VRSQRT14SDrm */
-  0x1454, /* VRSQRT14SDrr */
+  0x255, /* CRC32r32m8 */
+  0x258, /* CRC32r32r8 */
 /* Table5769 */
-  0xd28, /* VFMADDSDZm */
-  0xd29, /* VFMADDSDZr */
+  0x254, /* CRC32r32m32 */
+  0x257, /* CRC32r32r32 */
 /* Table5771 */
-  0xddc, /* VFMSUBSDZm */
-  0xddd, /* VFMSUBSDZr */
+  0x9b, /* ADOX32rm */
+  0x9c, /* ADOX32rr */
 /* Table5773 */
-  0xe2c, /* VFNMADDSDZm */
-  0xe2d, /* VFNMADDSDZr */
+  0x253, /* CRC32r32m16 */
+  0x256, /* CRC32r32r16 */
 /* Table5775 */
-  0xe7c, /* VFNMSUBSDZm */
-  0xe7d, /* VFNMSUBSDZr */
+  0x67b, /* MOVBE64rm */
+  0x0, /*  */
 /* Table5777 */
-  0x1428, /* VRCP28SDrm */
-  0x1429, /* VRCP28SDrr */
+  0x67a, /* MOVBE64mr */
+  0x0, /*  */
 /* Table5779 */
-  0x145d, /* VRSQRT28SDrm */
-  0x145e, /* VRSQRT28SDrr */
+  0x39b, /* INVEPT64 */
+  0x0, /*  */
 /* Table5781 */
-  0x1280, /* VPMOVUSDBmr */
-  0x1281, /* VPMOVUSDBrr */
+  0x3a2, /* INVVPID64 */
+  0x0, /*  */
 /* Table5783 */
-  0x1286, /* VPMOVUSQBmr */
-  0x1287, /* VPMOVUSQBrr */
+  0x3a0, /* INVPCID64 */
+  0x0, /*  */
 /* Table5785 */
-  0x1283, /* VPMOVUSDWmr */
-  0x1284, /* VPMOVUSDWrr */
+  0x9d, /* ADOX64rm */
+  0x9e, /* ADOX64rr */
 /* Table5787 */
-  0x128c, /* VPMOVUSQWmr */
-  0x128d, /* VPMOVUSQWrr */
+  0x25a, /* CRC32r64m8 */
+  0x25c, /* CRC32r64r8 */
 /* Table5789 */
-  0x1289, /* VPMOVUSQDmr */
-  0x128a, /* VPMOVUSQDrr */
+  0x259, /* CRC32r64m64 */
+  0x25b, /* CRC32r64r64 */
 /* Table5791 */
-  0x124f, /* VPMOVSDBmr */
-  0x1250, /* VPMOVSDBrr */
+  0x44, /* ADCX64rm */
+  0x45, /* ADCX64rr */
 /* Table5793 */
-  0x1255, /* VPMOVSQBmr */
-  0x1256, /* VPMOVSQBrr */
+  0xce, /* ANDN32rm */
+  0xcf, /* ANDN32rr */
 /* Table5795 */
-  0x1252, /* VPMOVSDWmr */
-  0x1253, /* VPMOVSDWrr */
-/* Table5797 */
-  0x125b, /* VPMOVSQWmr */
-  0x125c, /* VPMOVSQWrr */
-/* Table5799 */
-  0x1258, /* VPMOVSQDmr */
-  0x1259, /* VPMOVSQDrr */
-/* Table5801 */
-  0x13e0, /* VPTESTNMDZrm */
-  0x13e1, /* VPTESTNMDZrr */
-/* Table5803 */
-  0x123e, /* VPMOVDBmr */
-  0x123f, /* VPMOVDBrr */
-/* Table5805 */
-  0x1246, /* VPMOVQBmr */
-  0x1247, /* VPMOVQBrr */
-/* Table5807 */
-  0x1241, /* VPMOVDWmr */
-  0x1242, /* VPMOVDWrr */
-/* Table5809 */
-  0x124c, /* VPMOVQWmr */
-  0x124d, /* VPMOVQWrr */
+  0x0, /*  */
+  0x113, /* BLSR32rm */
+  0x10f, /* BLSMSK32rm */
+  0x107, /* BLSI32rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x114, /* BLSR32rr */
+  0x110, /* BLSMSK32rr */
+  0x108, /* BLSI32rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
 /* Table5811 */
-  0x1249, /* VPMOVQDmr */
-  0x124a, /* VPMOVQDrr */
+  0x157, /* BZHI32rm */
+  0x158, /* BZHI32rr */
 /* Table5813 */
-  0x0, /*  */
-  0x10af, /* VPBROADCASTMW2Drr */
+  0xdf, /* BEXTR32rm */
+  0xe0, /* BEXTR32rr */
 /* Table5815 */
-  0xc3c, /* VCVTPH2PSZrm */
-  0xc3d, /* VCVTPH2PSZrr */
+  0x7c2, /* PEXT32rm */
+  0x7c3, /* PEXT32rr */
 /* Table5817 */
-  0x1168, /* VPERMPSZrm */
-  0x1169, /* VPERMPSZrr */
+  0x9c9, /* SARX32rm */
+  0x9ca, /* SARX32rr */
 /* Table5819 */
-  0xbe6, /* VBROADCASTSSZrm */
-  0xbe7, /* VBROADCASTSSZrr */
+  0x7be, /* PDEP32rm */
+  0x7bf, /* PDEP32rr */
 /* Table5821 */
-  0x102e, /* VPABSDrm */
-  0x1031, /* VPABSDrr */
+  0x6f3, /* MULX32rm */
+  0x6f4, /* MULX32rr */
 /* Table5823 */
-  0x125f, /* VPMOVSXBDZrm */
-  0x1260, /* VPMOVSXBDZrr */
+  0xa7f, /* SHRX32rm */
+  0xa80, /* SHRX32rr */
 /* Table5825 */
-  0x1265, /* VPMOVSXBQZrm */
-  0x1266, /* VPMOVSXBQZrr */
+  0x1617, /* VPSHUFBrm */
+  0x1618, /* VPSHUFBrr */
 /* Table5827 */
-  0x1275, /* VPMOVSXWDZrm */
-  0x1276, /* VPMOVSXWDZrr */
+  0x1408, /* VPHADDWrm */
+  0x1409, /* VPHADDWrr */
 /* Table5829 */
-  0x127b, /* VPMOVSXWQZrm */
-  0x127c, /* VPMOVSXWQZrr */
+  0x13f0, /* VPHADDDrm */
+  0x13f1, /* VPHADDDrr */
 /* Table5831 */
-  0x126f, /* VPMOVSXDQZrm */
-  0x1270, /* VPMOVSXDQZrr */
+  0x13f2, /* VPHADDSWrm128 */
+  0x13f4, /* VPHADDSWrr128 */
 /* Table5833 */
-  0x13dc, /* VPTESTMDZrm */
-  0x13dd, /* VPTESTMDZrr */
+  0x1450, /* VPMADDUBSWrm128 */
+  0x1452, /* VPMADDUBSWrr128 */
 /* Table5835 */
-  0x1290, /* VPMOVZXBDZrm */
-  0x1291, /* VPMOVZXBDZrr */
+  0x141c, /* VPHSUBWrm */
+  0x141d, /* VPHSUBWrr */
 /* Table5837 */
-  0x1296, /* VPMOVZXBQZrm */
-  0x1297, /* VPMOVZXBQZrr */
+  0x1412, /* VPHSUBDrm */
+  0x1413, /* VPHSUBDrr */
 /* Table5839 */
-  0x12a6, /* VPMOVZXWDZrm */
-  0x12a7, /* VPMOVZXWDZrr */
+  0x1414, /* VPHSUBSWrm128 */
+  0x1416, /* VPHSUBSWrr128 */
 /* Table5841 */
-  0x12ac, /* VPMOVZXWQZrm */
-  0x12ad, /* VPMOVZXWQZrr */
+  0x1629, /* VPSIGNBrm */
+  0x162a, /* VPSIGNBrr */
 /* Table5843 */
-  0x12a0, /* VPMOVZXDQZrm */
-  0x12a1, /* VPMOVZXDQZrr */
+  0x1631, /* VPSIGNWrm */
+  0x1632, /* VPSIGNWrr */
 /* Table5845 */
-  0x1136, /* VPERMDZrm */
-  0x1137, /* VPERMDZrr */
+  0x162d, /* VPSIGNDrm */
+  0x162e, /* VPSIGNDrr */
 /* Table5847 */
-  0x121f, /* VPMINSDZrm */
-  0x1221, /* VPMINSDZrr */
+  0x159e, /* VPMULHRSWrm128 */
+  0x15a0, /* VPMULHRSWrr128 */
 /* Table5849 */
-  0x1231, /* VPMINUDZrm */
-  0x1233, /* VPMINUDZrr */
+  0x13a7, /* VPERMILPSrm */
+  0x13a8, /* VPERMILPSrr */
 /* Table5851 */
-  0x11fb, /* VPMAXSDZrm */
-  0x11fd, /* VPMAXSDZrr */
+  0x139d, /* VPERMILPDrm */
+  0x139e, /* VPERMILPDrr */
 /* Table5853 */
-  0x120d, /* VPMAXUDZrm */
-  0x120f, /* VPMAXUDZrr */
+  0x17e6, /* VTESTPSrm */
+  0x17e7, /* VTESTPSrr */
 /* Table5855 */
-  0x12c4, /* VPMULLDZrm */
-  0x12c6, /* VPMULLDZrr */
+  0x17e2, /* VTESTPDrm */
+  0x17e3, /* VTESTPDrr */
 /* Table5857 */
-  0x13a6, /* VPSRLVDZrm */
-  0x13a7, /* VPSRLVDZrr */
+  0xc4d, /* VCVTPH2PSrm */
+  0xc4e, /* VCVTPH2PSrr */
 /* Table5859 */
-  0x137a, /* VPSRAVDZrm */
-  0x137b, /* VPSRAVDZrr */
+  0x16f3, /* VPTESTrm */
+  0x16f4, /* VPTESTrr */
 /* Table5861 */
-  0x1352, /* VPSLLVDZrm */
-  0x1353, /* VPSLLVDZrr */
+  0xbf7, /* VBROADCASTSSrm */
+  0xbf8, /* VBROADCASTSSrr */
 /* Table5863 */
-  0x141c, /* VRCP14PSZm */
-  0x141d, /* VRCP14PSZr */
+  0x11b9, /* VPABSBrm128 */
+  0x11bb, /* VPABSBrr128 */
 /* Table5865 */
-  0x1451, /* VRSQRT14PSZm */
-  0x1452, /* VRSQRT14PSZr */
+  0x11d3, /* VPABSWrm128 */
+  0x11d5, /* VPABSWrr128 */
 /* Table5867 */
-  0x10a8, /* VPBROADCASTDZrm */
-  0x10a9, /* VPBROADCASTDZrr */
+  0x11c6, /* VPABSDrm128 */
+  0x11c8, /* VPABSDrr128 */
 /* Table5869 */
-  0x1138, /* VPERMI2Drm */
-  0x1139, /* VPERMI2Drr */
+  0x1522, /* VPMOVSXBWrm */
+  0x1523, /* VPMOVSXBWrr */
 /* Table5871 */
-  0x113c, /* VPERMI2PSrm */
-  0x113d, /* VPERMI2PSrr */
+  0x1514, /* VPMOVSXBDrm */
+  0x1515, /* VPMOVSXBDrr */
 /* Table5873 */
-  0x0, /*  */
-  0x10ab, /* VPBROADCASTDrZrr */
+  0x151e, /* VPMOVSXBQrm */
+  0x151f, /* VPMOVSXBQrr */
 /* Table5875 */
-  0x1170, /* VPERMT2Drm */
-  0x1171, /* VPERMT2Drr */
+  0x1536, /* VPMOVSXWDrm */
+  0x1537, /* VPMOVSXWDrr */
 /* Table5877 */
-  0x1174, /* VPERMT2PSrm */
-  0x1175, /* VPERMT2PSrr */
+  0x1540, /* VPMOVSXWQrm */
+  0x1541, /* VPMOVSXWQrr */
 /* Table5879 */
-  0xd41, /* VFMADDSUB132PSZm */
-  0x0, /*  */
+  0x152c, /* VPMOVSXDQrm */
+  0x152d, /* VPMOVSXDQrr */
 /* Table5881 */
-  0xd7d, /* VFMSUBADD132PSZm */
-  0x0, /*  */
+  0x159c, /* VPMULDQrm */
+  0x159d, /* VPMULDQrr */
 /* Table5883 */
-  0xcf1, /* VFMADD132PSZm */
-  0x0, /*  */
+  0x12c7, /* VPCMPEQQrm */
+  0x12c8, /* VPCMPEQQrr */
 /* Table5885 */
-  0xd73, /* VFMSUB132PSZm */
+  0x10dd, /* VMOVNTDQArm */
   0x0, /*  */
 /* Table5887 */
-  0xdf5, /* VFNMADD132PSZm */
-  0x0, /*  */
+  0x11e1, /* VPACKUSDWrm */
+  0x11e2, /* VPACKUSDWrr */
 /* Table5889 */
-  0xe45, /* VFNMSUB132PSZm */
+  0xf22, /* VMASKMOVPSrm */
   0x0, /*  */
 /* Table5891 */
-  0xd46, /* VFMADDSUB213PSZm */
-  0xd48, /* VFMADDSUB213PSZr */
+  0xf1e, /* VMASKMOVPDrm */
+  0x0, /*  */
 /* Table5893 */
-  0xd82, /* VFMSUBADD213PSZm */
-  0xd84, /* VFMSUBADD213PSZr */
+  0xf21, /* VMASKMOVPSmr */
+  0x0, /*  */
 /* Table5895 */
-  0xcf6, /* VFMADD213PSZm */
-  0xcf8, /* VFMADD213PSZr */
+  0xf1d, /* VMASKMOVPDmr */
+  0x0, /*  */
 /* Table5897 */
-  0xd78, /* VFMSUB213PSZm */
-  0xd7a, /* VFMSUB213PSZr */
+  0x1571, /* VPMOVZXBWrm */
+  0x1572, /* VPMOVZXBWrr */
 /* Table5899 */
-  0xdfa, /* VFNMADD213PSZm */
-  0xdfc, /* VFNMADD213PSZr */
+  0x1563, /* VPMOVZXBDrm */
+  0x1564, /* VPMOVZXBDrr */
 /* Table5901 */
-  0xe4a, /* VFNMSUB213PSZm */
-  0xe4c, /* VFNMSUB213PSZr */
+  0x156d, /* VPMOVZXBQrm */
+  0x156e, /* VPMOVZXBQrr */
 /* Table5903 */
-  0x111e, /* VPCONFLICTDrm */
-  0x1124, /* VPCONFLICTDrr */
+  0x1585, /* VPMOVZXWDrm */
+  0x1586, /* VPMOVZXWDrr */
 /* Table5905 */
-  0x1425, /* VRCP28PSZm */
-  0x1426, /* VRCP28PSZr */
+  0x158f, /* VPMOVZXWQrm */
+  0x1590, /* VPMOVZXWQrr */
 /* Table5907 */
-  0x145a, /* VRSQRT28PSZm */
-  0x145b, /* VRSQRT28PSZr */
+  0x157b, /* VPMOVZXDQrm */
+  0x157c, /* VPMOVZXDQrr */
 /* Table5909 */
-  0x13e2, /* VPTESTNMQZrm */
-  0x13e3, /* VPTESTNMQZrr */
+  0x131b, /* VPCMPGTQrm */
+  0x131c, /* VPCMPGTQrr */
 /* Table5911 */
-  0x0, /*  */
-  0x10ae, /* VPBROADCASTMB2Qrr */
+  0x149e, /* VPMINSBrm */
+  0x149f, /* VPMINSBrr */
 /* Table5913 */
-  0x1164, /* VPERMPDZrm */
-  0x1165, /* VPERMPDZrr */
+  0x14ab, /* VPMINSDrm */
+  0x14ac, /* VPMINSDrr */
 /* Table5915 */
-  0xbe2, /* VBROADCASTSDZrm */
-  0xbe3, /* VBROADCASTSDZrr */
+  0x14d6, /* VPMINUWrm */
+  0x14d7, /* VPMINUWrr */
 /* Table5917 */
-  0x1034, /* VPABSQrm */
-  0x1035, /* VPABSQrr */
+  0x14c9, /* VPMINUDrm */
+  0x14ca, /* VPMINUDrr */
 /* Table5919 */
-  0x13de, /* VPTESTMQZrm */
-  0x13df, /* VPTESTMQZrr */
+  0x1462, /* VPMAXSBrm */
+  0x1463, /* VPMAXSBrr */
 /* Table5921 */
-  0x12b2, /* VPMULDQZrm */
-  0x12b3, /* VPMULDQZrr */
+  0x146f, /* VPMAXSDrm */
+  0x1470, /* VPMAXSDrr */
 /* Table5923 */
-  0x10d6, /* VPCMPEQQZrm */
-  0x10d7, /* VPCMPEQQZrr */
+  0x149a, /* VPMAXUWrm */
+  0x149b, /* VPMAXUWrr */
 /* Table5925 */
-  0x116e, /* VPERMQZrm */
-  0x116f, /* VPERMQZrr */
+  0x148d, /* VPMAXUDrm */
+  0x148e, /* VPMAXUDrr */
 /* Table5927 */
-  0x10f2, /* VPCMPGTQZrm */
-  0x10f3, /* VPCMPGTQZrr */
+  0x15b5, /* VPMULLDrm */
+  0x15b6, /* VPMULLDrr */
 /* Table5929 */
-  0x1224, /* VPMINSQZrm */
-  0x1226, /* VPMINSQZrr */
+  0x140a, /* VPHMINPOSUWrm128 */
+  0x140b, /* VPHMINPOSUWrr128 */
 /* Table5931 */
-  0x1236, /* VPMINUQZrm */
-  0x1238, /* VPMINUQZrr */
+  0x16a9, /* VPSRLVDrm */
+  0x16aa, /* VPSRLVDrr */
 /* Table5933 */
-  0x1200, /* VPMAXSQZrm */
-  0x1202, /* VPMAXSQZrr */
+  0x167d, /* VPSRAVDrm */
+  0x167e, /* VPSRAVDrr */
 /* Table5935 */
-  0x1212, /* VPMAXUQZrm */
-  0x1214, /* VPMAXUQZrr */
+  0x1655, /* VPSLLVDrm */
+  0x1656, /* VPSLLVDrr */
 /* Table5937 */
-  0x13ac, /* VPSRLVQZrm */
-  0x13ad, /* VPSRLVQZrr */
+  0x126d, /* VPBROADCASTDrm */
+  0x126e, /* VPBROADCASTDrr */
 /* Table5939 */
-  0x137e, /* VPSRAVQZrm */
-  0x137f, /* VPSRAVQZrr */
+  0x1279, /* VPBROADCASTQrm */
+  0x127a, /* VPBROADCASTQrr */
 /* Table5941 */
-  0x1358, /* VPSLLVQZrm */
-  0x1359, /* VPSLLVQZrr */
+  0x1263, /* VPBROADCASTBrm */
+  0x1264, /* VPBROADCASTBrr */
 /* Table5943 */
-  0x141a, /* VRCP14PDZm */
-  0x141b, /* VRCP14PDZr */
+  0x127d, /* VPBROADCASTWrm */
+  0x127e, /* VPBROADCASTWrr */
 /* Table5945 */
-  0x144f, /* VRSQRT14PDZm */
-  0x1450, /* VRSQRT14PDZr */
+  0x145b, /* VPMASKMOVDrm */
+  0x0, /*  */
 /* Table5947 */
-  0x10b4, /* VPBROADCASTQZrm */
-  0x10b5, /* VPBROADCASTQZrr */
+  0x145a, /* VPMASKMOVDmr */
+  0x0, /*  */
 /* Table5949 */
-  0x113e, /* VPERMI2Qrm */
-  0x113f, /* VPERMI2Qrr */
+  0x13dc, /* VPGATHERDDrm */
+  0x0, /*  */
 /* Table5951 */
-  0x113a, /* VPERMI2PDrm */
-  0x113b, /* VPERMI2PDrr */
+  0x13e2, /* VPGATHERQDrm */
+  0x0, /*  */
 /* Table5953 */
+  0xee7, /* VGATHERDPSrm */
   0x0, /*  */
-  0x10b7, /* VPBROADCASTQrZrr */
 /* Table5955 */
-  0x1176, /* VPERMT2Qrm */
-  0x1177, /* VPERMT2Qrr */
+  0xef5, /* VGATHERQPSrm */
+  0x0, /*  */
 /* Table5957 */
-  0x1172, /* VPERMT2PDrm */
-  0x1173, /* VPERMT2PDrr */
+  0xd88, /* VFMADDSUBPSr132m */
+  0xd8a, /* VFMADDSUBPSr132r */
 /* Table5959 */
-  0xd3f, /* VFMADDSUB132PDZm */
-  0x0, /*  */
+  0xdcc, /* VFMSUBADDPSr132m */
+  0xdce, /* VFMSUBADDPSr132r */
 /* Table5961 */
-  0xd7b, /* VFMSUBADD132PDZm */
-  0x0, /*  */
+  0xd34, /* VFMADDPSr132m */
+  0xd36, /* VFMADDPSr132r */
 /* Table5963 */
-  0xcef, /* VFMADD132PDZm */
-  0x0, /*  */
+  0xd58, /* VFMADDSSr132m */
+  0xd59, /* VFMADDSSr132r */
 /* Table5965 */
-  0xd71, /* VFMSUB132PDZm */
-  0x0, /*  */
+  0xdf4, /* VFMSUBPSr132m */
+  0xdf6, /* VFMSUBPSr132r */
 /* Table5967 */
-  0xdf3, /* VFNMADD132PDZm */
-  0x0, /*  */
+  0xe18, /* VFMSUBSSr132m */
+  0xe19, /* VFMSUBSSr132r */
 /* Table5969 */
-  0xe43, /* VFNMSUB132PDZm */
-  0x0, /*  */
+  0xe48, /* VFNMADDPSr132m */
+  0xe4a, /* VFNMADDPSr132r */
 /* Table5971 */
-  0xd43, /* VFMADDSUB213PDZm */
-  0xd45, /* VFMADDSUB213PDZr */
+  0xe6c, /* VFNMADDSSr132m */
+  0xe6d, /* VFNMADDSSr132r */
 /* Table5973 */
-  0xd7f, /* VFMSUBADD213PDZm */
-  0xd81, /* VFMSUBADD213PDZr */
+  0xe9c, /* VFNMSUBPSr132m */
+  0xe9e, /* VFNMSUBPSr132r */
 /* Table5975 */
-  0xcf3, /* VFMADD213PDZm */
-  0xcf5, /* VFMADD213PDZr */
+  0xec0, /* VFNMSUBSSr132m */
+  0xec1, /* VFNMSUBSSr132r */
 /* Table5977 */
-  0xd75, /* VFMSUB213PDZm */
-  0xd77, /* VFMSUB213PDZr */
+  0xd8c, /* VFMADDSUBPSr213m */
+  0xd8e, /* VFMADDSUBPSr213r */
 /* Table5979 */
-  0xdf7, /* VFNMADD213PDZm */
-  0xdf9, /* VFNMADD213PDZr */
+  0xdd0, /* VFMSUBADDPSr213m */
+  0xdd2, /* VFMSUBADDPSr213r */
 /* Table5981 */
-  0xe47, /* VFNMSUB213PDZm */
-  0xe49, /* VFNMSUB213PDZr */
+  0xd38, /* VFMADDPSr213m */
+  0xd3a, /* VFMADDPSr213r */
 /* Table5983 */
-  0x1127, /* VPCONFLICTQrm */
-  0x112d, /* VPCONFLICTQrr */
+  0xd5a, /* VFMADDSSr213m */
+  0xd5b, /* VFMADDSSr213r */
 /* Table5985 */
-  0x1422, /* VRCP28PDZm */
-  0x1423, /* VRCP28PDZr */
+  0xdf8, /* VFMSUBPSr213m */
+  0xdfa, /* VFMSUBPSr213r */
 /* Table5987 */
-  0x1457, /* VRSQRT28PDZm */
-  0x1458, /* VRSQRT28PDZr */
+  0xe1a, /* VFMSUBSSr213m */
+  0xe1b, /* VFMSUBSSr213r */
 /* Table5989 */
-  0x1094, /* VPBLENDMDZrm */
-  0x1095, /* VPBLENDMDZrr */
+  0xe4c, /* VFNMADDPSr213m */
+  0xe4e, /* VFNMADDPSr213r */
 /* Table5991 */
-  0xbcc, /* VBLENDMPSZrm */
-  0xbcd, /* VBLENDMPSZrr */
+  0xe6e, /* VFNMADDSSr213m */
+  0xe6f, /* VFNMADDSSr213r */
 /* Table5993 */
-  0x1182, /* VPGATHERDDZrm */
-  0x0, /*  */
+  0xea0, /* VFNMSUBPSr213m */
+  0xea2, /* VFNMSUBPSr213r */
 /* Table5995 */
-  0x1188, /* VPGATHERQDZrm */
-  0x0, /*  */
+  0xec2, /* VFNMSUBSSr213m */
+  0xec3, /* VFNMSUBSSr213r */
 /* Table5997 */
-  0xeb3, /* VGATHERDPSZrm */
-  0x0, /*  */
+  0xd90, /* VFMADDSUBPSr231m */
+  0xd92, /* VFMADDSUBPSr231r */
 /* Table5999 */
-  0xeb9, /* VGATHERQPSZrm */
-  0x0, /*  */
+  0xdd4, /* VFMSUBADDPSr231m */
+  0xdd6, /* VFMSUBADDPSr231r */
 /* Table6001 */
-  0x12f8, /* VPSCATTERDDZmr */
-  0x0, /*  */
+  0xd3c, /* VFMADDPSr231m */
+  0xd3e, /* VFMADDPSr231r */
 /* Table6003 */
-  0x12fa, /* VPSCATTERQDZmr */
-  0x0, /*  */
+  0xd5c, /* VFMADDSSr231m */
+  0xd5d, /* VFMADDSSr231r */
 /* Table6005 */
-  0x146f, /* VSCATTERDPSZmr */
-  0x0, /*  */
+  0xdfc, /* VFMSUBPSr231m */
+  0xdfe, /* VFMSUBPSr231r */
 /* Table6007 */
-  0x1471, /* VSCATTERQPSZmr */
-  0x0, /*  */
+  0xe1c, /* VFMSUBSSr231m */
+  0xe1d, /* VFMSUBSSr231r */
 /* Table6009 */
-  0x1122, /* VPCONFLICTDrmk */
-  0x1125, /* VPCONFLICTDrrk */
+  0xe50, /* VFNMADDPSr231m */
+  0xe52, /* VFNMADDPSr231r */
 /* Table6011 */
-  0x1096, /* VPBLENDMQZrm */
-  0x1097, /* VPBLENDMQZrr */
+  0xe70, /* VFNMADDSSr231m */
+  0xe71, /* VFNMADDSSr231r */
 /* Table6013 */
-  0xbca, /* VBLENDMPDZrm */
-  0xbcb, /* VBLENDMPDZrr */
+  0xea4, /* VFNMSUBPSr231m */
+  0xea6, /* VFNMSUBPSr231r */
 /* Table6015 */
-  0x1185, /* VPGATHERDQZrm */
-  0x0, /*  */
+  0xec4, /* VFNMSUBSSr231m */
+  0xec5, /* VFNMSUBSSr231r */
 /* Table6017 */
-  0x118b, /* VPGATHERQQZrm */
-  0x0, /*  */
+  0xbb8, /* VAESIMCrm */
+  0xbb9, /* VAESIMCrr */
 /* Table6019 */
-  0xeb0, /* VGATHERDPDZrm */
-  0x0, /*  */
+  0xbb6, /* VAESENCrm */
+  0xbb7, /* VAESENCrr */
 /* Table6021 */
-  0xeb6, /* VGATHERQPDZrm */
-  0x0, /*  */
+  0xbb4, /* VAESENCLASTrm */
+  0xbb5, /* VAESENCLASTrr */
 /* Table6023 */
-  0x12f9, /* VPSCATTERDQZmr */
-  0x0, /*  */
+  0xbb2, /* VAESDECrm */
+  0xbb3, /* VAESDECrr */
 /* Table6025 */
-  0x12fb, /* VPSCATTERQQZmr */
-  0x0, /*  */
+  0xbb0, /* VAESDECLASTrm */
+  0xbb1, /* VAESDECLASTrr */
 /* Table6027 */
-  0x146e, /* VSCATTERDPDZmr */
-  0x0, /*  */
+  0xa57, /* SHLX32rm */
+  0xa58, /* SHLX32rr */
 /* Table6029 */
-  0x1470, /* VSCATTERQPDZmr */
-  0x0, /*  */
+  0xd0, /* ANDN64rm */
+  0xd1, /* ANDN64rr */
 /* Table6031 */
-  0x112b, /* VPCONFLICTQrmk */
-  0x112e, /* VPCONFLICTQrrk */
-/* Table6033 */
   0x0, /*  */
-  0x142d, /* VRCP28SSrrb */
-/* Table6035 */
+  0x115, /* BLSR64rm */
+  0x111, /* BLSMSK64rm */
+  0x109, /* BLSI64rm */
   0x0, /*  */
-  0x1462, /* VRSQRT28SSrrb */
-/* Table6037 */
   0x0, /*  */
-  0x142a, /* VRCP28SDrrb */
-/* Table6039 */
   0x0, /*  */
-  0x145f, /* VRSQRT28SDrrb */
-/* Table6041 */
-  0x1220, /* VPMINSDZrmb */
   0x0, /*  */
-/* Table6043 */
-  0x1232, /* VPMINUDZrmb */
   0x0, /*  */
-/* Table6045 */
-  0x11fc, /* VPMAXSDZrmb */
+  0x116, /* BLSR64rr */
+  0x112, /* BLSMSK64rr */
+  0x10a, /* BLSI64rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
 /* Table6047 */
-  0x120e, /* VPMAXUDZrmb */
-  0x0, /*  */
+  0x159, /* BZHI64rm */
+  0x15a, /* BZHI64rr */
 /* Table6049 */
-  0x12c5, /* VPMULLDZrmb */
-  0x0, /*  */
+  0xe1, /* BEXTR64rm */
+  0xe2, /* BEXTR64rr */
 /* Table6051 */
-  0xd42, /* VFMADDSUB132PSZmb */
-  0x0, /*  */
+  0x7c4, /* PEXT64rm */
+  0x7c5, /* PEXT64rr */
 /* Table6053 */
-  0xd7e, /* VFMSUBADD132PSZmb */
-  0x0, /*  */
+  0x9cb, /* SARX64rm */
+  0x9cc, /* SARX64rr */
 /* Table6055 */
-  0xcf2, /* VFMADD132PSZmb */
-  0x0, /*  */
+  0x7c0, /* PDEP64rm */
+  0x7c1, /* PDEP64rr */
 /* Table6057 */
-  0xd74, /* VFMSUB132PSZmb */
-  0x0, /*  */
+  0x6f5, /* MULX64rm */
+  0x6f6, /* MULX64rr */
 /* Table6059 */
-  0xdf6, /* VFNMADD132PSZmb */
-  0x0, /*  */
+  0xa81, /* SHRX64rm */
+  0xa82, /* SHRX64rr */
 /* Table6061 */
-  0xe46, /* VFNMSUB132PSZmb */
-  0x0, /*  */
+  0x16af, /* VPSRLVQrm */
+  0x16b0, /* VPSRLVQrr */
 /* Table6063 */
-  0xd47, /* VFMADDSUB213PSZmb */
-  0x0, /*  */
+  0x165b, /* VPSLLVQrm */
+  0x165c, /* VPSLLVQrr */
 /* Table6065 */
-  0xd83, /* VFMSUBADD213PSZmb */
+  0x145f, /* VPMASKMOVQrm */
   0x0, /*  */
 /* Table6067 */
-  0xcf7, /* VFMADD213PSZmb */
+  0x145e, /* VPMASKMOVQmr */
   0x0, /*  */
 /* Table6069 */
-  0xd79, /* VFMSUB213PSZmb */
+  0x13df, /* VPGATHERDQrm */
   0x0, /*  */
 /* Table6071 */
-  0xdfb, /* VFNMADD213PSZmb */
+  0x13e5, /* VPGATHERQQrm */
   0x0, /*  */
 /* Table6073 */
-  0xe4b, /* VFNMSUB213PSZmb */
+  0xee4, /* VGATHERDPDrm */
   0x0, /*  */
 /* Table6075 */
-  0x111f, /* VPCONFLICTDrmb */
+  0xef2, /* VGATHERQPDrm */
   0x0, /*  */
 /* Table6077 */
-  0x0, /*  */
-  0x1427, /* VRCP28PSZrb */
+  0xd74, /* VFMADDSUBPDr132m */
+  0xd76, /* VFMADDSUBPDr132r */
 /* Table6079 */
-  0x0, /*  */
-  0x145c, /* VRSQRT28PSZrb */
+  0xdb8, /* VFMSUBADDPDr132m */
+  0xdba, /* VFMSUBADDPDr132r */
 /* Table6081 */
-  0x1225, /* VPMINSQZrmb */
-  0x0, /*  */
+  0xd20, /* VFMADDPDr132m */
+  0xd22, /* VFMADDPDr132r */
 /* Table6083 */
-  0x1237, /* VPMINUQZrmb */
-  0x0, /*  */
+  0xd49, /* VFMADDSDr132m */
+  0xd4a, /* VFMADDSDr132r */
 /* Table6085 */
-  0x1201, /* VPMAXSQZrmb */
-  0x0, /*  */
+  0xde0, /* VFMSUBPDr132m */
+  0xde2, /* VFMSUBPDr132r */
 /* Table6087 */
-  0x1213, /* VPMAXUQZrmb */
-  0x0, /*  */
+  0xe09, /* VFMSUBSDr132m */
+  0xe0a, /* VFMSUBSDr132r */
 /* Table6089 */
-  0xd40, /* VFMADDSUB132PDZmb */
-  0x0, /*  */
+  0xe34, /* VFNMADDPDr132m */
+  0xe36, /* VFNMADDPDr132r */
 /* Table6091 */
-  0xd7c, /* VFMSUBADD132PDZmb */
-  0x0, /*  */
+  0xe5d, /* VFNMADDSDr132m */
+  0xe5e, /* VFNMADDSDr132r */
 /* Table6093 */
-  0xcf0, /* VFMADD132PDZmb */
-  0x0, /*  */
+  0xe88, /* VFNMSUBPDr132m */
+  0xe8a, /* VFNMSUBPDr132r */
 /* Table6095 */
-  0xd72, /* VFMSUB132PDZmb */
-  0x0, /*  */
+  0xeb1, /* VFNMSUBSDr132m */
+  0xeb2, /* VFNMSUBSDr132r */
 /* Table6097 */
-  0xdf4, /* VFNMADD132PDZmb */
-  0x0, /*  */
+  0xd78, /* VFMADDSUBPDr213m */
+  0xd7a, /* VFMADDSUBPDr213r */
 /* Table6099 */
-  0xe44, /* VFNMSUB132PDZmb */
-  0x0, /*  */
+  0xdbc, /* VFMSUBADDPDr213m */
+  0xdbe, /* VFMSUBADDPDr213r */
 /* Table6101 */
-  0xd44, /* VFMADDSUB213PDZmb */
-  0x0, /*  */
+  0xd24, /* VFMADDPDr213m */
+  0xd26, /* VFMADDPDr213r */
 /* Table6103 */
-  0xd80, /* VFMSUBADD213PDZmb */
-  0x0, /*  */
+  0xd4b, /* VFMADDSDr213m */
+  0xd4c, /* VFMADDSDr213r */
 /* Table6105 */
-  0xcf4, /* VFMADD213PDZmb */
-  0x0, /*  */
+  0xde4, /* VFMSUBPDr213m */
+  0xde6, /* VFMSUBPDr213r */
 /* Table6107 */
-  0xd76, /* VFMSUB213PDZmb */
-  0x0, /*  */
+  0xe0b, /* VFMSUBSDr213m */
+  0xe0c, /* VFMSUBSDr213r */
 /* Table6109 */
-  0xdf8, /* VFNMADD213PDZmb */
-  0x0, /*  */
+  0xe38, /* VFNMADDPDr213m */
+  0xe3a, /* VFNMADDPDr213r */
 /* Table6111 */
-  0xe48, /* VFNMSUB213PDZmb */
-  0x0, /*  */
+  0xe5f, /* VFNMADDSDr213m */
+  0xe60, /* VFNMADDSDr213r */
 /* Table6113 */
-  0x1128, /* VPCONFLICTQrmb */
-  0x0, /*  */
+  0xe8c, /* VFNMSUBPDr213m */
+  0xe8e, /* VFNMSUBPDr213r */
 /* Table6115 */
-  0x0, /*  */
-  0x1424, /* VRCP28PDZrb */
+  0xeb3, /* VFNMSUBSDr213m */
+  0xeb4, /* VFNMSUBSDr213r */
 /* Table6117 */
-  0x0, /*  */
-  0x1459, /* VRSQRT28PDZrb */
+  0xd7c, /* VFMADDSUBPDr231m */
+  0xd7e, /* VFMADDSUBPDr231r */
 /* Table6119 */
-  0x1120, /* VPCONFLICTDrmbk */
-  0x0, /*  */
+  0xdc0, /* VFMSUBADDPDr231m */
+  0xdc2, /* VFMSUBADDPDr231r */
 /* Table6121 */
-  0x1129, /* VPCONFLICTQrmbk */
-  0x0, /*  */
+  0xd28, /* VFMADDPDr231m */
+  0xd2a, /* VFMADDPDr231r */
 /* Table6123 */
-  0x1121, /* VPCONFLICTDrmbkz */
-  0x0, /*  */
+  0xd4d, /* VFMADDSDr231m */
+  0xd4e, /* VFMADDSDr231r */
 /* Table6125 */
-  0x112a, /* VPCONFLICTQrmbkz */
-  0x0, /*  */
+  0xde8, /* VFMSUBPDr231m */
+  0xdea, /* VFMSUBPDr231r */
 /* Table6127 */
-  0x0, /*  */
-  0x127f, /* VPMOVUSDBkrr */
+  0xe0d, /* VFMSUBSDr231m */
+  0xe0e, /* VFMSUBSDr231r */
 /* Table6129 */
-  0x0, /*  */
-  0x1285, /* VPMOVUSQBkrr */
+  0xe3c, /* VFNMADDPDr231m */
+  0xe3e, /* VFNMADDPDr231r */
 /* Table6131 */
-  0x0, /*  */
-  0x1282, /* VPMOVUSDWkrr */
+  0xe61, /* VFNMADDSDr231m */
+  0xe62, /* VFNMADDSDr231r */
 /* Table6133 */
-  0x0, /*  */
-  0x128b, /* VPMOVUSQWkrr */
+  0xe90, /* VFNMSUBPDr231m */
+  0xe92, /* VFNMSUBPDr231r */
 /* Table6135 */
-  0x0, /*  */
-  0x1288, /* VPMOVUSQDkrr */
+  0xeb5, /* VFNMSUBSDr231m */
+  0xeb6, /* VFNMSUBSDr231r */
 /* Table6137 */
-  0x0, /*  */
-  0x124e, /* VPMOVSDBkrr */
+  0xa59, /* SHLX64rm */
+  0xa5a, /* SHLX64rr */
 /* Table6139 */
-  0x0, /*  */
-  0x1254, /* VPMOVSQBkrr */
+  0x1615, /* VPSHUFBYrm */
+  0x1616, /* VPSHUFBYrr */
 /* Table6141 */
-  0x0, /*  */
-  0x1251, /* VPMOVSDWkrr */
+  0x1406, /* VPHADDWYrm */
+  0x1407, /* VPHADDWYrr */
 /* Table6143 */
-  0x0, /*  */
-  0x125a, /* VPMOVSQWkrr */
+  0x13ee, /* VPHADDDYrm */
+  0x13ef, /* VPHADDDYrr */
 /* Table6145 */
-  0x0, /*  */
-  0x1257, /* VPMOVSQDkrr */
+  0x13f3, /* VPHADDSWrm256 */
+  0x13f5, /* VPHADDSWrr256 */
 /* Table6147 */
-  0x0, /*  */
-  0x123d, /* VPMOVDBkrr */
+  0x1451, /* VPMADDUBSWrm256 */
+  0x1453, /* VPMADDUBSWrr256 */
 /* Table6149 */
-  0x0, /*  */
-  0x1245, /* VPMOVQBkrr */
+  0x141a, /* VPHSUBWYrm */
+  0x141b, /* VPHSUBWYrr */
 /* Table6151 */
-  0x0, /*  */
-  0x1240, /* VPMOVDWkrr */
+  0x1410, /* VPHSUBDYrm */
+  0x1411, /* VPHSUBDYrr */
 /* Table6153 */
-  0x0, /*  */
-  0x124b, /* VPMOVQWkrr */
+  0x1415, /* VPHSUBSWrm256 */
+  0x1417, /* VPHSUBSWrr256 */
 /* Table6155 */
-  0x0, /*  */
-  0x1248, /* VPMOVQDkrr */
+  0x1627, /* VPSIGNBYrm */
+  0x1628, /* VPSIGNBYrr */
 /* Table6157 */
-  0x10a6, /* VPBROADCASTDZkrm */
-  0x10a7, /* VPBROADCASTDZkrr */
+  0x162f, /* VPSIGNWYrm */
+  0x1630, /* VPSIGNWYrr */
 /* Table6159 */
-  0x0, /*  */
-  0x10aa, /* VPBROADCASTDrZkrr */
+  0x162b, /* VPSIGNDYrm */
+  0x162c, /* VPSIGNDYrr */
 /* Table6161 */
-  0x1123, /* VPCONFLICTDrmkz */
-  0x1126, /* VPCONFLICTDrrkz */
+  0x159f, /* VPMULHRSWrm256 */
+  0x15a1, /* VPMULHRSWrr256 */
 /* Table6163 */
-  0x10b2, /* VPBROADCASTQZkrm */
-  0x10b3, /* VPBROADCASTQZkrr */
+  0x13a1, /* VPERMILPSYrm */
+  0x13a2, /* VPERMILPSYrr */
 /* Table6165 */
-  0x0, /*  */
-  0x10b6, /* VPBROADCASTQrZkrr */
+  0x1397, /* VPERMILPDYrm */
+  0x1398, /* VPERMILPDYrr */
 /* Table6167 */
-  0x112c, /* VPCONFLICTQrmkz */
-  0x112f, /* VPCONFLICTQrrkz */
+  0x17e4, /* VTESTPSYrm */
+  0x17e5, /* VTESTPSYrr */
 /* Table6169 */
-  0x5a9, /* MMX_PALIGNR64irm */
-  0x5aa, /* MMX_PALIGNR64irr */
+  0x17e0, /* VTESTPDYrm */
+  0x17e1, /* VTESTPDYrr */
 /* Table6171 */
-  0xa2f, /* SHA1RNDS4rmi */
-  0xa30, /* SHA1RNDS4rri */
+  0xc49, /* VCVTPH2PSYrm */
+  0xc4a, /* VCVTPH2PSYrr */
 /* Table6173 */
-  0x992, /* ROUNDPSm */
-  0x993, /* ROUNDPSr */
+  0x13af, /* VPERMPSYrm */
+  0x13b0, /* VPERMPSYrr */
 /* Table6175 */
-  0x990, /* ROUNDPDm */
-  0x991, /* ROUNDPDr */
+  0x16f1, /* VPTESTYrm */
+  0x16f2, /* VPTESTYrr */
 /* Table6177 */
-  0x997, /* ROUNDSSm */
-  0x998, /* ROUNDSSr */
+  0xbf3, /* VBROADCASTSSYrm */
+  0xbf4, /* VBROADCASTSSYrr */
 /* Table6179 */
-  0x994, /* ROUNDSDm */
-  0x995, /* ROUNDSDr */
+  0xbef, /* VBROADCASTSDYrm */
+  0xbf0, /* VBROADCASTSDYrr */
 /* Table6181 */
-  0x127, /* BLENDPSrmi */
-  0x128, /* BLENDPSrri */
+  0xbe9, /* VBROADCASTF128 */
+  0x0, /*  */
 /* Table6183 */
-  0x125, /* BLENDPDrmi */
-  0x126, /* BLENDPDrri */
+  0x11ba, /* VPABSBrm256 */
+  0x11bc, /* VPABSBrr256 */
 /* Table6185 */
-  0x7a6, /* PBLENDWrmi */
-  0x7a7, /* PBLENDWrri */
+  0x11d4, /* VPABSWrm256 */
+  0x11d6, /* VPABSWrr256 */
 /* Table6187 */
-  0x797, /* PALIGNR128rm */
-  0x798, /* PALIGNR128rr */
+  0x11c7, /* VPABSDrm256 */
+  0x11c9, /* VPABSDrr256 */
 /* Table6189 */
-  0x7d2, /* PEXTRBmr */
-  0x7d3, /* PEXTRBrr */
+  0x1520, /* VPMOVSXBWYrm */
+  0x1521, /* VPMOVSXBWYrr */
 /* Table6191 */
-  0x7d8, /* PEXTRWmr */
-  0x7da, /* PEXTRWrr_REV */
+  0x150c, /* VPMOVSXBDYrm */
+  0x150d, /* VPMOVSXBDYrr */
 /* Table6193 */
-  0x7d4, /* PEXTRDmr */
-  0x7d5, /* PEXTRDrr */
+  0x1516, /* VPMOVSXBQYrm */
+  0x1517, /* VPMOVSXBQYrr */
 /* Table6195 */
-  0x310, /* EXTRACTPSmr */
-  0x311, /* EXTRACTPSrr */
+  0x152e, /* VPMOVSXWDYrm */
+  0x152f, /* VPMOVSXWDYrr */
 /* Table6197 */
-  0x813, /* PINSRBrm */
-  0x814, /* PINSRBrr */
+  0x1538, /* VPMOVSXWQYrm */
+  0x1539, /* VPMOVSXWQYrr */
 /* Table6199 */
-  0x3bf, /* INSERTPSrm */
-  0x3c0, /* INSERTPSrr */
+  0x1524, /* VPMOVSXDQYrm */
+  0x1525, /* VPMOVSXDQYrr */
 /* Table6201 */
-  0x815, /* PINSRDrm */
-  0x816, /* PINSRDrr */
+  0x1591, /* VPMULDQYrm */
+  0x1592, /* VPMULDQYrr */
 /* Table6203 */
-  0x304, /* DPPSrmi */
-  0x305, /* DPPSrri */
+  0x12b3, /* VPCMPEQQYrm */
+  0x12b4, /* VPCMPEQQYrr */
 /* Table6205 */
-  0x302, /* DPPDrmi */
-  0x303, /* DPPDrri */
+  0x10d9, /* VMOVNTDQAYrm */
+  0x0, /*  */
 /* Table6207 */
-  0x6e9, /* MPSADBWrmi */
-  0x6ea, /* MPSADBWrri */
+  0x11df, /* VPACKUSDWYrm */
+  0x11e0, /* VPACKUSDWYrr */
 /* Table6209 */
-  0x7a8, /* PCLMULQDQrm */
-  0x7a9, /* PCLMULQDQrr */
+  0xf20, /* VMASKMOVPSYrm */
+  0x0, /*  */
 /* Table6211 */
-  0x7b8, /* PCMPESTRM128rm */
-  0x7b9, /* PCMPESTRM128rr */
+  0xf1c, /* VMASKMOVPDYrm */
+  0x0, /*  */
 /* Table6213 */
-  0x7b4, /* PCMPESTRIrm */
-  0x7b5, /* PCMPESTRIrr */
+  0xf1f, /* VMASKMOVPSYmr */
+  0x0, /*  */
 /* Table6215 */
-  0x7c8, /* PCMPISTRM128rm */
-  0x7c9, /* PCMPISTRM128rr */
+  0xf1b, /* VMASKMOVPDYmr */
+  0x0, /*  */
 /* Table6217 */
-  0x7c4, /* PCMPISTRIrm */
-  0x7c5, /* PCMPISTRIrr */
+  0x156f, /* VPMOVZXBWYrm */
+  0x1570, /* VPMOVZXBWYrr */
 /* Table6219 */
-  0xa8, /* AESKEYGENASSIST128rm */
-  0xa9, /* AESKEYGENASSIST128rr */
+  0x155b, /* VPMOVZXBDYrm */
+  0x155c, /* VPMOVZXBDYrr */
 /* Table6221 */
-  0x7d6, /* PEXTRQmr */
-  0x7d7, /* PEXTRQrr */
+  0x1565, /* VPMOVZXBQYrm */
+  0x1566, /* VPMOVZXBQYrr */
 /* Table6223 */
-  0x817, /* PINSRQrm */
-  0x818, /* PINSRQrr */
+  0x157d, /* VPMOVZXWDYrm */
+  0x157e, /* VPMOVZXWDYrr */
 /* Table6225 */
-  0x98c, /* RORX32mi */
-  0x98d, /* RORX32ri */
+  0x1587, /* VPMOVZXWQYrm */
+  0x1588, /* VPMOVZXWQYrr */
 /* Table6227 */
-  0x1092, /* VPBLENDDrmi */
-  0x1093, /* VPBLENDDrri */
+  0x1573, /* VPMOVZXDQYrm */
+  0x1574, /* VPMOVZXDQYrr */
 /* Table6229 */
-  0x115c, /* VPERMILPSmi */
-  0x115d, /* VPERMILPSri */
+  0x136d, /* VPERMDYrm */
+  0x136e, /* VPERMDYrr */
 /* Table6231 */
-  0x1152, /* VPERMILPDmi */
-  0x1153, /* VPERMILPDri */
+  0x1307, /* VPCMPGTQYrm */
+  0x1308, /* VPCMPGTQYrr */
 /* Table6233 */
-  0x1443, /* VROUNDPSm */
-  0x1444, /* VROUNDPSr */
+  0x149c, /* VPMINSBYrm */
+  0x149d, /* VPMINSBYrr */
 /* Table6235 */
-  0x1441, /* VROUNDPDm */
-  0x1442, /* VROUNDPDr */
+  0x14a0, /* VPMINSDYrm */
+  0x14a1, /* VPMINSDYrr */
 /* Table6237 */
-  0x1448, /* VROUNDSSm */
-  0x1449, /* VROUNDSSr */
+  0x14d4, /* VPMINUWYrm */
+  0x14d5, /* VPMINUWYrr */
 /* Table6239 */
-  0x1445, /* VROUNDSDm */
-  0x1446, /* VROUNDSDr */
+  0x14be, /* VPMINUDYrm */
+  0x14bf, /* VPMINUDYrr */
 /* Table6241 */
-  0xbd4, /* VBLENDPSrmi */
-  0xbd5, /* VBLENDPSrri */
+  0x1460, /* VPMAXSBYrm */
+  0x1461, /* VPMAXSBYrr */
 /* Table6243 */
-  0xbd0, /* VBLENDPDrmi */
-  0xbd1, /* VBLENDPDrri */
+  0x1464, /* VPMAXSDYrm */
+  0x1465, /* VPMAXSDYrr */
 /* Table6245 */
-  0x109e, /* VPBLENDWrmi */
-  0x109f, /* VPBLENDWrri */
+  0x1498, /* VPMAXUWYrm */
+  0x1499, /* VPMAXUWYrr */
 /* Table6247 */
-  0x1070, /* VPALIGNR128rm */
-  0x1071, /* VPALIGNR128rr */
+  0x1482, /* VPMAXUDYrm */
+  0x1483, /* VPMAXUDYrr */
 /* Table6249 */
-  0x1178, /* VPEXTRBmr */
-  0x1179, /* VPEXTRBrr */
+  0x15aa, /* VPMULLDYrm */
+  0x15ab, /* VPMULLDYrr */
 /* Table6251 */
-  0x117e, /* VPEXTRWmr */
-  0x1180, /* VPEXTRWrr_REV */
+  0x16a5, /* VPSRLVDYrm */
+  0x16a6, /* VPSRLVDYrr */
 /* Table6253 */
-  0x117a, /* VPEXTRDmr */
-  0x117b, /* VPEXTRDrr */
+  0x1679, /* VPSRAVDYrm */
+  0x167a, /* VPSRAVDYrr */
 /* Table6255 */
-  0xceb, /* VEXTRACTPSmr */
-  0xcec, /* VEXTRACTPSrr */
+  0x1651, /* VPSLLVDYrm */
+  0x1652, /* VPSLLVDYrr */
 /* Table6257 */
-  0xc51, /* VCVTPS2PHmr */
-  0xc52, /* VCVTPS2PHrr */
+  0x1265, /* VPBROADCASTDYrm */
+  0x1266, /* VPBROADCASTDYrr */
 /* Table6259 */
-  0x11c5, /* VPINSRBrm */
-  0x11c6, /* VPINSRBrr */
+  0x1271, /* VPBROADCASTQYrm */
+  0x1272, /* VPBROADCASTQYrr */
 /* Table6261 */
-  0xed7, /* VINSERTPSrm */
-  0xed8, /* VINSERTPSrr */
+  0xbea, /* VBROADCASTI128 */
+  0x0, /*  */
 /* Table6263 */
-  0x11c7, /* VPINSRDrm */
-  0x11c8, /* VPINSRDrr */
+  0x1261, /* VPBROADCASTBYrm */
+  0x1262, /* VPBROADCASTBYrr */
 /* Table6265 */
-  0xcd9, /* VDPPSrmi */
-  0xcda, /* VDPPSrri */
+  0x127b, /* VPBROADCASTWYrm */
+  0x127c, /* VPBROADCASTWYrr */
 /* Table6267 */
-  0xcd5, /* VDPPDrmi */
-  0xcd6, /* VDPPDrri */
+  0x1459, /* VPMASKMOVDYrm */
+  0x0, /*  */
 /* Table6269 */
-  0xff5, /* VMPSADBWrmi */
-  0xff6, /* VMPSADBWrri */
+  0x1458, /* VPMASKMOVDYmr */
+  0x0, /*  */
 /* Table6271 */
-  0x10be, /* VPCLMULQDQrm */
-  0x10bf, /* VPCLMULQDQrr */
+  0x13da, /* VPGATHERDDYrm */
+  0x0, /*  */
 /* Table6273 */
-  0x1146, /* VPERMIL2PSmr */
-  0x114a, /* VPERMIL2PSrr */
+  0x13e0, /* VPGATHERQDYrm */
+  0x0, /*  */
 /* Table6275 */
-  0x1140, /* VPERMIL2PDmr */
-  0x1144, /* VPERMIL2PDrr */
+  0xee5, /* VGATHERDPSYrm */
+  0x0, /*  */
 /* Table6277 */
-  0xbdc, /* VBLENDVPSrm */
-  0xbdd, /* VBLENDVPSrr */
+  0xef3, /* VGATHERQPSYrm */
+  0x0, /*  */
 /* Table6279 */
-  0xbd8, /* VBLENDVPDrm */
-  0xbd9, /* VBLENDVPDrr */
+  0xd89, /* VFMADDSUBPSr132mY */
+  0xd8b, /* VFMADDSUBPSr132rY */
 /* Table6281 */
-  0x109a, /* VPBLENDVBrm */
-  0x109b, /* VPBLENDVBrr */
+  0xdcd, /* VFMSUBADDPSr132mY */
+  0xdcf, /* VFMSUBADDPSr132rY */
 /* Table6283 */
-  0xd5d, /* VFMADDSUBPS4mr */
-  0xd64, /* VFMADDSUBPS4rr_REV */
+  0xd35, /* VFMADDPSr132mY */
+  0xd37, /* VFMADDPSr132rY */
 /* Table6285 */
-  0xd49, /* VFMADDSUBPD4mr */
-  0xd50, /* VFMADDSUBPD4rr_REV */
+  0xdf5, /* VFMSUBPSr132mY */
+  0xdf7, /* VFMSUBPSr132rY */
 /* Table6287 */
-  0xd99, /* VFMSUBADDPS4mr */
-  0xda0, /* VFMSUBADDPS4rr_REV */
+  0xe49, /* VFNMADDPSr132mY */
+  0xe4b, /* VFNMADDPSr132rY */
 /* Table6289 */
-  0xd85, /* VFMSUBADDPD4mr */
-  0xd8c, /* VFMSUBADDPD4rr_REV */
+  0xe9d, /* VFNMSUBPSr132mY */
+  0xe9f, /* VFNMSUBPSr132rY */
 /* Table6291 */
-  0x10e4, /* VPCMPESTRM128rm */
-  0x10e5, /* VPCMPESTRM128rr */
+  0xd8d, /* VFMADDSUBPSr213mY */
+  0xd8f, /* VFMADDSUBPSr213rY */
 /* Table6293 */
-  0x10e0, /* VPCMPESTRIrm */
-  0x10e1, /* VPCMPESTRIrr */
+  0xdd1, /* VFMSUBADDPSr213mY */
+  0xdd3, /* VFMSUBADDPSr213rY */
 /* Table6295 */
-  0x1100, /* VPCMPISTRM128rm */
-  0x1101, /* VPCMPISTRM128rr */
+  0xd39, /* VFMADDPSr213mY */
+  0xd3b, /* VFMADDPSr213rY */
 /* Table6297 */
-  0x10fc, /* VPCMPISTRIrm */
-  0x10fd, /* VPCMPISTRIrr */
+  0xdf9, /* VFMSUBPSr213mY */
+  0xdfb, /* VFMSUBPSr213rY */
 /* Table6299 */
-  0xd0d, /* VFMADDPS4mr */
-  0xd14, /* VFMADDPS4rr_REV */
+  0xe4d, /* VFNMADDPSr213mY */
+  0xe4f, /* VFNMADDPSr213rY */
 /* Table6301 */
-  0xcf9, /* VFMADDPD4mr */
-  0xd00, /* VFMADDPD4rr_REV */
+  0xea1, /* VFNMSUBPSr213mY */
+  0xea3, /* VFNMSUBPSr213rY */
 /* Table6303 */
-  0xd30, /* VFMADDSS4mr */
-  0xd36, /* VFMADDSS4rr_REV */
+  0xd91, /* VFMADDSUBPSr231mY */
+  0xd93, /* VFMADDSUBPSr231rY */
 /* Table6305 */
-  0xd21, /* VFMADDSD4mr */
-  0xd27, /* VFMADDSD4rr_REV */
+  0xdd5, /* VFMSUBADDPSr231mY */
+  0xdd7, /* VFMSUBADDPSr231rY */
 /* Table6307 */
-  0xdc1, /* VFMSUBPS4mr */
-  0xdc8, /* VFMSUBPS4rr_REV */
+  0xd3d, /* VFMADDPSr231mY */
+  0xd3f, /* VFMADDPSr231rY */
 /* Table6309 */
-  0xdad, /* VFMSUBPD4mr */
-  0xdb4, /* VFMSUBPD4rr_REV */
+  0xdfd, /* VFMSUBPSr231mY */
+  0xdff, /* VFMSUBPSr231rY */
 /* Table6311 */
-  0xde4, /* VFMSUBSS4mr */
-  0xdea, /* VFMSUBSS4rr_REV */
+  0xe51, /* VFNMADDPSr231mY */
+  0xe53, /* VFNMADDPSr231rY */
 /* Table6313 */
-  0xdd5, /* VFMSUBSD4mr */
-  0xddb, /* VFMSUBSD4rr_REV */
+  0xea5, /* VFNMSUBPSr231mY */
+  0xea7, /* VFNMSUBPSr231rY */
 /* Table6315 */
-  0xe11, /* VFNMADDPS4mr */
-  0xe18, /* VFNMADDPS4rr_REV */
+  0x16ab, /* VPSRLVQYrm */
+  0x16ac, /* VPSRLVQYrr */
 /* Table6317 */
-  0xdfd, /* VFNMADDPD4mr */
-  0xe04, /* VFNMADDPD4rr_REV */
+  0x1657, /* VPSLLVQYrm */
+  0x1658, /* VPSLLVQYrr */
 /* Table6319 */
-  0xe34, /* VFNMADDSS4mr */
-  0xe3a, /* VFNMADDSS4rr_REV */
+  0x145d, /* VPMASKMOVQYrm */
+  0x0, /*  */
 /* Table6321 */
-  0xe25, /* VFNMADDSD4mr */
-  0xe2b, /* VFNMADDSD4rr_REV */
+  0x145c, /* VPMASKMOVQYmr */
+  0x0, /*  */
 /* Table6323 */
-  0xe61, /* VFNMSUBPS4mr */
-  0xe68, /* VFNMSUBPS4rr_REV */
+  0x13dd, /* VPGATHERDQYrm */
+  0x0, /*  */
 /* Table6325 */
-  0xe4d, /* VFNMSUBPD4mr */
-  0xe54, /* VFNMSUBPD4rr_REV */
+  0x13e3, /* VPGATHERQQYrm */
+  0x0, /*  */
 /* Table6327 */
-  0xe84, /* VFNMSUBSS4mr */
-  0xe8a, /* VFNMSUBSS4rr_REV */
+  0xee2, /* VGATHERDPDYrm */
+  0x0, /*  */
 /* Table6329 */
-  0xe75, /* VFNMSUBSD4mr */
-  0xe7b, /* VFNMSUBSD4rr_REV */
+  0xef0, /* VGATHERQPDYrm */
+  0x0, /*  */
 /* Table6331 */
-  0xbb3, /* VAESKEYGENASSIST128rm */
-  0xbb4, /* VAESKEYGENASSIST128rr */
+  0xd75, /* VFMADDSUBPDr132mY */
+  0xd77, /* VFMADDSUBPDr132rY */
 /* Table6333 */
-  0x98e, /* RORX64mi */
-  0x98f, /* RORX64ri */
+  0xdb9, /* VFMSUBADDPDr132mY */
+  0xdbb, /* VFMSUBADDPDr132rY */
 /* Table6335 */
-  0x117c, /* VPEXTRQmr */
-  0x117d, /* VPEXTRQrr */
+  0xd21, /* VFMADDPDr132mY */
+  0xd23, /* VFMADDPDr132rY */
 /* Table6337 */
-  0x11c9, /* VPINSRQrm */
-  0x11ca, /* VPINSRQrr */
+  0xde1, /* VFMSUBPDr132mY */
+  0xde3, /* VFMSUBPDr132rY */
 /* Table6339 */
-  0x0, /*  */
-  0x4a3, /* KSHIFTRWri */
+  0xe35, /* VFNMADDPDr132mY */
+  0xe37, /* VFNMADDPDr132rY */
 /* Table6341 */
-  0x0, /*  */
-  0x4a2, /* KSHIFTLWri */
+  0xe89, /* VFNMSUBPDr132mY */
+  0xe8b, /* VFNMSUBPDr132rY */
 /* Table6343 */
-  0x1148, /* VPERMIL2PSrm */
-  0x114a, /* VPERMIL2PSrr */
+  0xd79, /* VFMADDSUBPDr213mY */
+  0xd7b, /* VFMADDSUBPDr213rY */
 /* Table6345 */
-  0x1142, /* VPERMIL2PDrm */
-  0x1144, /* VPERMIL2PDrr */
+  0xdbd, /* VFMSUBADDPDr213mY */
+  0xdbf, /* VFMSUBADDPDr213rY */
 /* Table6347 */
-  0xd5f, /* VFMADDSUBPS4rm */
-  0xd61, /* VFMADDSUBPS4rr */
+  0xd25, /* VFMADDPDr213mY */
+  0xd27, /* VFMADDPDr213rY */
 /* Table6349 */
-  0xd4b, /* VFMADDSUBPD4rm */
-  0xd4d, /* VFMADDSUBPD4rr */
+  0xde5, /* VFMSUBPDr213mY */
+  0xde7, /* VFMSUBPDr213rY */
 /* Table6351 */
-  0xd9b, /* VFMSUBADDPS4rm */
-  0xd9d, /* VFMSUBADDPS4rr */
+  0xe39, /* VFNMADDPDr213mY */
+  0xe3b, /* VFNMADDPDr213rY */
 /* Table6353 */
-  0xd87, /* VFMSUBADDPD4rm */
-  0xd89, /* VFMSUBADDPD4rr */
+  0xe8d, /* VFNMSUBPDr213mY */
+  0xe8f, /* VFNMSUBPDr213rY */
 /* Table6355 */
-  0xd0f, /* VFMADDPS4rm */
-  0xd11, /* VFMADDPS4rr */
+  0xd7d, /* VFMADDSUBPDr231mY */
+  0xd7f, /* VFMADDSUBPDr231rY */
 /* Table6357 */
-  0xcfb, /* VFMADDPD4rm */
-  0xcfd, /* VFMADDPD4rr */
+  0xdc1, /* VFMSUBADDPDr231mY */
+  0xdc3, /* VFMSUBADDPDr231rY */
 /* Table6359 */
-  0xd32, /* VFMADDSS4rm */
-  0xd34, /* VFMADDSS4rr */
+  0xd29, /* VFMADDPDr231mY */
+  0xd2b, /* VFMADDPDr231rY */
 /* Table6361 */
-  0xd23, /* VFMADDSD4rm */
-  0xd25, /* VFMADDSD4rr */
+  0xde9, /* VFMSUBPDr231mY */
+  0xdeb, /* VFMSUBPDr231rY */
 /* Table6363 */
-  0xdc3, /* VFMSUBPS4rm */
-  0xdc5, /* VFMSUBPS4rr */
+  0xe3d, /* VFNMADDPDr231mY */
+  0xe3f, /* VFNMADDPDr231rY */
 /* Table6365 */
-  0xdaf, /* VFMSUBPD4rm */
-  0xdb1, /* VFMSUBPD4rr */
+  0xe91, /* VFNMSUBPDr231mY */
+  0xe93, /* VFNMSUBPDr231rY */
 /* Table6367 */
-  0xde6, /* VFMSUBSS4rm */
-  0xde8, /* VFMSUBSS4rr */
+  0x10da, /* VMOVNTDQAZ128rm */
+  0x0, /*  */
 /* Table6369 */
-  0xdd7, /* VFMSUBSD4rm */
-  0xdd9, /* VFMSUBSD4rr */
+  0x1739, /* VRCP14SSrm */
+  0x173a, /* VRCP14SSrr */
 /* Table6371 */
-  0xe13, /* VFNMADDPS4rm */
-  0xe15, /* VFNMADDPS4rr */
+  0x176e, /* VRSQRT14SSrm */
+  0x176f, /* VRSQRT14SSrr */
 /* Table6373 */
-  0xdff, /* VFNMADDPD4rm */
-  0xe01, /* VFNMADDPD4rr */
+  0xd56, /* VFMADDSSZm */
+  0xd57, /* VFMADDSSZr */
 /* Table6375 */
-  0xe36, /* VFNMADDSS4rm */
-  0xe38, /* VFNMADDSS4rr */
+  0xe16, /* VFMSUBSSZm */
+  0xe17, /* VFMSUBSSZr */
 /* Table6377 */
-  0xe27, /* VFNMADDSD4rm */
-  0xe29, /* VFNMADDSD4rr */
+  0xe6a, /* VFNMADDSSZm */
+  0xe6b, /* VFNMADDSSZr */
 /* Table6379 */
-  0xe63, /* VFNMSUBPS4rm */
-  0xe65, /* VFNMSUBPS4rr */
+  0xebe, /* VFNMSUBSSZm */
+  0xebf, /* VFNMSUBSSZr */
 /* Table6381 */
-  0xe4f, /* VFNMSUBPD4rm */
-  0xe51, /* VFNMSUBPD4rr */
+  0x1744, /* VRCP28SSrm */
+  0x1745, /* VRCP28SSrr */
 /* Table6383 */
-  0xe86, /* VFNMSUBSS4rm */
-  0xe88, /* VFNMSUBSS4rr */
+  0x1779, /* VRSQRT28SSrm */
+  0x177a, /* VRSQRT28SSrr */
 /* Table6385 */
-  0xe77, /* VFNMSUBSD4rm */
-  0xe79, /* VFNMSUBSD4rr */
+  0x12b5, /* VPCMPEQQZ128rm */
+  0x12b9, /* VPCMPEQQZ128rr */
 /* Table6387 */
-  0x1090, /* VPBLENDDYrmi */
-  0x1091, /* VPBLENDDYrri */
+  0x1309, /* VPCMPGTQZ128rm */
+  0x130d, /* VPCMPGTQZ128rr */
 /* Table6389 */
-  0x1156, /* VPERMILPSYmi */
-  0x1157, /* VPERMILPSYri */
+  0x1737, /* VRCP14SDrm */
+  0x1738, /* VRCP14SDrr */
 /* Table6391 */
-  0x114c, /* VPERMILPDYmi */
-  0x114d, /* VPERMILPDYri */
+  0x176c, /* VRSQRT14SDrm */
+  0x176d, /* VRSQRT14SDrr */
 /* Table6393 */
-  0x1130, /* VPERM2F128rm */
-  0x1131, /* VPERM2F128rr */
+  0xd47, /* VFMADDSDZm */
+  0xd48, /* VFMADDSDZr */
 /* Table6395 */
-  0x144d, /* VROUNDYPSm */
-  0x144e, /* VROUNDYPSr */
+  0xe07, /* VFMSUBSDZm */
+  0xe08, /* VFMSUBSDZr */
 /* Table6397 */
-  0x144b, /* VROUNDYPDm */
-  0x144c, /* VROUNDYPDr */
+  0xe5b, /* VFNMADDSDZm */
+  0xe5c, /* VFNMADDSDZr */
 /* Table6399 */
-  0xbd2, /* VBLENDPSYrmi */
-  0xbd3, /* VBLENDPSYrri */
+  0xeaf, /* VFNMSUBSDZm */
+  0xeb0, /* VFNMSUBSDZr */
 /* Table6401 */
-  0xbce, /* VBLENDPDYrmi */
-  0xbcf, /* VBLENDPDYrri */
+  0x1741, /* VRCP28SDrm */
+  0x1742, /* VRCP28SDrr */
 /* Table6403 */
-  0x109c, /* VPBLENDWYrmi */
-  0x109d, /* VPBLENDWYrri */
+  0x1776, /* VRSQRT28SDrm */
+  0x1777, /* VRSQRT28SDrr */
 /* Table6405 */
-  0x1072, /* VPALIGNR256rm */
-  0x1073, /* VPALIGNR256rr */
+  0x10db, /* VMOVNTDQAZ256rm */
+  0x0, /*  */
 /* Table6407 */
-  0xecb, /* VINSERTF128rm */
-  0xecc, /* VINSERTF128rr */
+  0x12bb, /* VPCMPEQQZ256rm */
+  0x12bf, /* VPCMPEQQZ256rr */
 /* Table6409 */
-  0xcdf, /* VEXTRACTF128mr */
-  0xce0, /* VEXTRACTF128rr */
+  0x130f, /* VPCMPGTQZ256rm */
+  0x1313, /* VPCMPGTQZ256rr */
 /* Table6411 */
-  0xc4d, /* VCVTPS2PHYmr */
-  0xc4e, /* VCVTPS2PHYrr */
+  0x1542, /* VPMOVUSDBmr */
+  0x1544, /* VPMOVUSDBrr */
 /* Table6413 */
-  0xed1, /* VINSERTI128rm */
-  0xed2, /* VINSERTI128rr */
+  0x154c, /* VPMOVUSQBmr */
+  0x154e, /* VPMOVUSQBrr */
 /* Table6415 */
-  0xce5, /* VEXTRACTI128mr */
-  0xce6, /* VEXTRACTI128rr */
+  0x1547, /* VPMOVUSDWmr */
+  0x1549, /* VPMOVUSDWrr */
 /* Table6417 */
-  0xcd7, /* VDPPSYrmi */
-  0xcd8, /* VDPPSYrri */
+  0x1556, /* VPMOVUSQWmr */
+  0x1558, /* VPMOVUSQWrr */
 /* Table6419 */
-  0xff3, /* VMPSADBWYrmi */
-  0xff4, /* VMPSADBWYrri */
+  0x1551, /* VPMOVUSQDmr */
+  0x1553, /* VPMOVUSQDrr */
 /* Table6421 */
-  0x1132, /* VPERM2I128rm */
-  0x1133, /* VPERM2I128rr */
+  0x14f3, /* VPMOVSDBmr */
+  0x14f5, /* VPMOVSDBrr */
 /* Table6423 */
-  0x1147, /* VPERMIL2PSmrY */
-  0x114b, /* VPERMIL2PSrrY */
+  0x14fd, /* VPMOVSQBmr */
+  0x14ff, /* VPMOVSQBrr */
 /* Table6425 */
-  0x1141, /* VPERMIL2PDmrY */
-  0x1145, /* VPERMIL2PDrrY */
+  0x14f8, /* VPMOVSDWmr */
+  0x14fa, /* VPMOVSDWrr */
 /* Table6427 */
-  0xbda, /* VBLENDVPSYrm */
-  0xbdb, /* VBLENDVPSYrr */
+  0x1507, /* VPMOVSQWmr */
+  0x1509, /* VPMOVSQWrr */
 /* Table6429 */
-  0xbd6, /* VBLENDVPDYrm */
-  0xbd7, /* VBLENDVPDYrr */
+  0x1502, /* VPMOVSQDmr */
+  0x1504, /* VPMOVSQDrr */
 /* Table6431 */
-  0x1098, /* VPBLENDVBYrm */
-  0x1099, /* VPBLENDVBYrr */
+  0x16ed, /* VPTESTNMDZrm */
+  0x16ee, /* VPTESTNMDZrr */
 /* Table6433 */
-  0xd5e, /* VFMADDSUBPS4mrY */
-  0xd63, /* VFMADDSUBPS4rrY_REV */
+  0x14d8, /* VPMOVDBmr */
+  0x14da, /* VPMOVDBrr */
 /* Table6435 */
-  0xd4a, /* VFMADDSUBPD4mrY */
-  0xd4f, /* VFMADDSUBPD4rrY_REV */
+  0x14e4, /* VPMOVQBmr */
+  0x14e6, /* VPMOVQBrr */
 /* Table6437 */
-  0xd9a, /* VFMSUBADDPS4mrY */
-  0xd9f, /* VFMSUBADDPS4rrY_REV */
+  0x14dd, /* VPMOVDWmr */
+  0x14df, /* VPMOVDWrr */
 /* Table6439 */
-  0xd86, /* VFMSUBADDPD4mrY */
-  0xd8b, /* VFMSUBADDPD4rrY_REV */
+  0x14ee, /* VPMOVQWmr */
+  0x14f0, /* VPMOVQWrr */
 /* Table6441 */
-  0xd0e, /* VFMADDPS4mrY */
-  0xd13, /* VFMADDPS4rrY_REV */
+  0x14e9, /* VPMOVQDmr */
+  0x14eb, /* VPMOVQDrr */
 /* Table6443 */
-  0xcfa, /* VFMADDPD4mrY */
-  0xcff, /* VFMADDPD4rrY_REV */
+  0x0, /*  */
+  0x1270, /* VPBROADCASTMW2Drr */
 /* Table6445 */
-  0xdc2, /* VFMSUBPS4mrY */
-  0xdc7, /* VFMSUBPS4rrY_REV */
+  0xc4b, /* VCVTPH2PSZrm */
+  0xc4c, /* VCVTPH2PSZrr */
 /* Table6447 */
-  0xdae, /* VFMSUBPD4mrY */
-  0xdb3, /* VFMSUBPD4rrY_REV */
+  0x13b1, /* VPERMPSZrm */
+  0x13b2, /* VPERMPSZrr */
 /* Table6449 */
-  0xe12, /* VFNMADDPS4mrY */
-  0xe17, /* VFNMADDPS4rrY_REV */
+  0xbf5, /* VBROADCASTSSZrm */
+  0xbf6, /* VBROADCASTSSZrr */
 /* Table6451 */
-  0xdfe, /* VFNMADDPD4mrY */
-  0xe03, /* VFNMADDPD4rrY_REV */
+  0x11bd, /* VPABSDZrm */
+  0x11c3, /* VPABSDZrr */
 /* Table6453 */
-  0xe62, /* VFNMSUBPS4mrY */
-  0xe67, /* VFNMSUBPS4rrY_REV */
+  0x150e, /* VPMOVSXBDZrm */
+  0x1511, /* VPMOVSXBDZrr */
 /* Table6455 */
-  0xe4e, /* VFNMSUBPD4mrY */
-  0xe53, /* VFNMSUBPD4rrY_REV */
+  0x1518, /* VPMOVSXBQZrm */
+  0x151b, /* VPMOVSXBQZrr */
 /* Table6457 */
-  0x116a, /* VPERMQYmi */
-  0x116b, /* VPERMQYri */
+  0x1530, /* VPMOVSXWDZrm */
+  0x1533, /* VPMOVSXWDZrr */
 /* Table6459 */
-  0x1160, /* VPERMPDYmi */
-  0x1161, /* VPERMPDYri */
+  0x153a, /* VPMOVSXWQZrm */
+  0x153d, /* VPMOVSXWQZrr */
 /* Table6461 */
-  0x1149, /* VPERMIL2PSrmY */
-  0x114b, /* VPERMIL2PSrrY */
+  0x1526, /* VPMOVSXDQZrm */
+  0x1529, /* VPMOVSXDQZrr */
 /* Table6463 */
-  0x1143, /* VPERMIL2PDrmY */
-  0x1145, /* VPERMIL2PDrrY */
+  0x16e9, /* VPTESTMDZrm */
+  0x16ea, /* VPTESTMDZrr */
 /* Table6465 */
-  0xd60, /* VFMADDSUBPS4rmY */
-  0xd62, /* VFMADDSUBPS4rrY */
+  0x10dc, /* VMOVNTDQAZrm */
+  0x0, /*  */
 /* Table6467 */
-  0xd4c, /* VFMADDSUBPD4rmY */
-  0xd4e, /* VFMADDSUBPD4rrY */
+  0x155d, /* VPMOVZXBDZrm */
+  0x1560, /* VPMOVZXBDZrr */
 /* Table6469 */
-  0xd9c, /* VFMSUBADDPS4rmY */
-  0xd9e, /* VFMSUBADDPS4rrY */
+  0x1567, /* VPMOVZXBQZrm */
+  0x156a, /* VPMOVZXBQZrr */
 /* Table6471 */
-  0xd88, /* VFMSUBADDPD4rmY */
-  0xd8a, /* VFMSUBADDPD4rrY */
+  0x157f, /* VPMOVZXWDZrm */
+  0x1582, /* VPMOVZXWDZrr */
 /* Table6473 */
-  0xd10, /* VFMADDPS4rmY */
-  0xd12, /* VFMADDPS4rrY */
+  0x1589, /* VPMOVZXWQZrm */
+  0x158c, /* VPMOVZXWQZrr */
 /* Table6475 */
-  0xcfc, /* VFMADDPD4rmY */
-  0xcfe, /* VFMADDPD4rrY */
+  0x1575, /* VPMOVZXDQZrm */
+  0x1578, /* VPMOVZXDQZrr */
 /* Table6477 */
-  0xdc4, /* VFMSUBPS4rmY */
-  0xdc6, /* VFMSUBPS4rrY */
+  0x136f, /* VPERMDZrm */
+  0x1370, /* VPERMDZrr */
 /* Table6479 */
-  0xdb0, /* VFMSUBPD4rmY */
-  0xdb2, /* VFMSUBPD4rrY */
+  0x14a2, /* VPMINSDZrm */
+  0x14a8, /* VPMINSDZrr */
 /* Table6481 */
-  0xe14, /* VFNMADDPS4rmY */
-  0xe16, /* VFNMADDPS4rrY */
+  0x14c0, /* VPMINUDZrm */
+  0x14c6, /* VPMINUDZrr */
 /* Table6483 */
-  0xe00, /* VFNMADDPD4rmY */
-  0xe02, /* VFNMADDPD4rrY */
+  0x1466, /* VPMAXSDZrm */
+  0x146c, /* VPMAXSDZrr */
 /* Table6485 */
-  0xe64, /* VFNMSUBPS4rmY */
-  0xe66, /* VFNMSUBPS4rrY */
+  0x1484, /* VPMAXUDZrm */
+  0x148a, /* VPMAXUDZrr */
 /* Table6487 */
-  0xe50, /* VFNMSUBPD4rmY */
-  0xe52, /* VFNMSUBPD4rrY */
+  0x15ac, /* VPMULLDZrm */
+  0x15b2, /* VPMULLDZrr */
 /* Table6489 */
-  0x143f, /* VRNDSCALESSm */
-  0x1440, /* VRNDSCALESSr */
+  0x1426, /* VPLZCNTDrm */
+  0x142c, /* VPLZCNTDrr */
 /* Table6491 */
-  0x143d, /* VRNDSCALESDm */
-  0x143e, /* VRNDSCALESDr */
+  0x16a7, /* VPSRLVDZrm */
+  0x16a8, /* VPSRLVDZrr */
 /* Table6493 */
-  0xced, /* VEXTRACTPSzmr */
-  0xcee, /* VEXTRACTPSzrr */
+  0x167b, /* VPSRAVDZrm */
+  0x167c, /* VPSRAVDZrr */
 /* Table6495 */
-  0xed9, /* VINSERTPSzrm */
-  0xeda, /* VINSERTPSzrr */
+  0x1653, /* VPSLLVDZrm */
+  0x1654, /* VPSLLVDZrr */
 /* Table6497 */
-  0xbb5, /* VALIGNDrmi */
-  0xbb6, /* VALIGNDrri */
+  0x1735, /* VRCP14PSZm */
+  0x1736, /* VRCP14PSZr */
 /* Table6499 */
-  0x115a, /* VPERMILPSZmi */
-  0x115b, /* VPERMILPSZri */
+  0x176a, /* VRSQRT14PSZm */
+  0x176b, /* VRSQRT14PSZr */
 /* Table6501 */
-  0x143b, /* VRNDSCALEPSZm */
-  0x143c, /* VRNDSCALEPSZr */
+  0x1269, /* VPBROADCASTDZrm */
+  0x126a, /* VPBROADCASTDZrr */
 /* Table6503 */
-  0xecd, /* VINSERTF32x4rm */
-  0xece, /* VINSERTF32x4rr */
+  0xbec, /* VBROADCASTI32X4rm */
+  0x0, /*  */
 /* Table6505 */
-  0xce1, /* VEXTRACTF32x4mr */
-  0xce2, /* VEXTRACTF32x4rr */
+  0x1371, /* VPERMI2Drm */
+  0x1374, /* VPERMI2Drr */
 /* Table6507 */
-  0xc4f, /* VCVTPS2PHZmr */
-  0xc50, /* VCVTPS2PHZrr */
+  0x137d, /* VPERMI2PSrm */
+  0x1380, /* VPERMI2PSrr */
 /* Table6509 */
-  0x1106, /* VPCMPUDZrmi */
-  0x1108, /* VPCMPUDZrri */
+  0x0, /*  */
+  0x126c, /* VPBROADCASTDrZrr */
 /* Table6511 */
-  0x10c6, /* VPCMPDZrmi */
-  0x10c8, /* VPCMPDZrri */
+  0x13b9, /* VPERMT2Drm */
+  0x13bc, /* VPERMT2Drr */
 /* Table6513 */
-  0xed3, /* VINSERTI32x4rm */
-  0xed4, /* VINSERTI32x4rr */
+  0x13c5, /* VPERMT2PSrm */
+  0x13c8, /* VPERMT2PSrr */
 /* Table6515 */
-  0xce7, /* VEXTRACTI32x4mr */
-  0xce8, /* VEXTRACTI32x4rr */
+  0xd60, /* VFMADDSUB132PSZm */
+  0x0, /*  */
 /* Table6517 */
-  0x116c, /* VPERMQZmi */
-  0x116d, /* VPERMQZri */
+  0xda4, /* VFMSUBADD132PSZm */
+  0x0, /*  */
 /* Table6519 */
-  0x1162, /* VPERMPDZmi */
-  0x1163, /* VPERMPDZri */
+  0xd0c, /* VFMADD132PSZm */
+  0x0, /*  */
 /* Table6521 */
-  0xbb7, /* VALIGNQrmi */
-  0xbb8, /* VALIGNQrri */
+  0xd96, /* VFMSUB132PSZm */
+  0x0, /*  */
 /* Table6523 */
-  0x1150, /* VPERMILPDZmi */
-  0x1151, /* VPERMILPDZri */
+  0xe20, /* VFNMADD132PSZm */
+  0x0, /*  */
 /* Table6525 */
-  0x1439, /* VRNDSCALEPDZm */
-  0x143a, /* VRNDSCALEPDZr */
+  0xe74, /* VFNMSUB132PSZm */
+  0x0, /*  */
 /* Table6527 */
-  0xecf, /* VINSERTF64x4rm */
-  0xed0, /* VINSERTF64x4rr */
+  0xd67, /* VFMADDSUB213PSZm */
+  0xd69, /* VFMADDSUB213PSZr */
 /* Table6529 */
-  0xce3, /* VEXTRACTF64x4mr */
-  0xce4, /* VEXTRACTF64x4rr */
+  0xdab, /* VFMSUBADD213PSZm */
+  0xdad, /* VFMSUBADD213PSZr */
 /* Table6531 */
-  0x110a, /* VPCMPUQZrmi */
-  0x110c, /* VPCMPUQZrri */
+  0xd13, /* VFMADD213PSZm */
+  0xd15, /* VFMADD213PSZr */
 /* Table6533 */
-  0x1102, /* VPCMPQZrmi */
-  0x1104, /* VPCMPQZrri */
+  0xd9d, /* VFMSUB213PSZm */
+  0xd9f, /* VFMSUB213PSZr */
 /* Table6535 */
-  0xed5, /* VINSERTI64x4rm */
-  0xed6, /* VINSERTI64x4rr */
+  0xe27, /* VFNMADD213PSZm */
+  0xe29, /* VFNMADD213PSZr */
 /* Table6537 */
-  0xce9, /* VEXTRACTI64x4mr */
-  0xcea, /* VEXTRACTI64x4rr */
+  0xe7b, /* VFNMSUB213PSZm */
+  0xe7d, /* VFNMSUB213PSZr */
 /* Table6539 */
-  0x11db, /* VPMACSSWWrm */
-  0x11dc, /* VPMACSSWWrr */
+  0x1357, /* VPCONFLICTDrm */
+  0x135d, /* VPCONFLICTDrr */
 /* Table6541 */
-  0x11d9, /* VPMACSSWDrm */
-  0x11da, /* VPMACSSWDrr */
+  0x173e, /* VRCP28PSZm */
+  0x173f, /* VRCP28PSZr */
 /* Table6543 */
-  0x11d7, /* VPMACSSDQLrm */
-  0x11d8, /* VPMACSSDQLrr */
+  0x1773, /* VRSQRT28PSZm */
+  0x1774, /* VRSQRT28PSZr */
 /* Table6545 */
-  0x11d3, /* VPMACSSDDrm */
-  0x11d4, /* VPMACSSDDrr */
+  0x16ef, /* VPTESTNMQZrm */
+  0x16f0, /* VPTESTNMQZrr */
 /* Table6547 */
-  0x11d5, /* VPMACSSDQHrm */
-  0x11d6, /* VPMACSSDQHrr */
+  0x0, /*  */
+  0x126f, /* VPBROADCASTMB2Qrr */
 /* Table6549 */
-  0x11df, /* VPMACSWWrm */
-  0x11e0, /* VPMACSWWrr */
+  0x13ad, /* VPERMPDZrm */
+  0x13ae, /* VPERMPDZrr */
 /* Table6551 */
-  0x11dd, /* VPMACSWDrm */
-  0x11de, /* VPMACSWDrr */
+  0xbf1, /* VBROADCASTSDZrm */
+  0xbf2, /* VBROADCASTSDZrr */
 /* Table6553 */
-  0x11d1, /* VPMACSDQLrm */
-  0x11d2, /* VPMACSDQLrr */
+  0x11ca, /* VPABSQZrm */
+  0x11d0, /* VPABSQZrr */
 /* Table6555 */
-  0x11cd, /* VPMACSDDrm */
-  0x11ce, /* VPMACSDDrr */
+  0x16eb, /* VPTESTMQZrm */
+  0x16ec, /* VPTESTMQZrr */
 /* Table6557 */
-  0x11cf, /* VPMACSDQHrm */
-  0x11d0, /* VPMACSDQHrr */
+  0x1593, /* VPMULDQZrm */
+  0x1599, /* VPMULDQZrr */
 /* Table6559 */
-  0x10c0, /* VPCMOVmr */
-  0x10c4, /* VPCMOVrr */
+  0x12c1, /* VPCMPEQQZrm */
+  0x12c5, /* VPCMPEQQZrr */
 /* Table6561 */
-  0x12dd, /* VPPERMmr */
-  0x12df, /* VPPERMrr */
+  0x13b7, /* VPERMQZrm */
+  0x13b8, /* VPERMQZrr */
 /* Table6563 */
-  0x11e1, /* VPMADCSSWDrm */
-  0x11e2, /* VPMADCSSWDrr */
+  0x1315, /* VPCMPGTQZrm */
+  0x1319, /* VPCMPGTQZrr */
 /* Table6565 */
-  0x11e3, /* VPMADCSWDrm */
-  0x11e4, /* VPMADCSWDrr */
+  0x14ad, /* VPMINSQZrm */
+  0x14b3, /* VPMINSQZrr */
 /* Table6567 */
-  0x12e0, /* VPROTBmi */
-  0x12e2, /* VPROTBri */
+  0x14cb, /* VPMINUQZrm */
+  0x14d1, /* VPMINUQZrr */
 /* Table6569 */
-  0x12ef, /* VPROTWmi */
-  0x12f1, /* VPROTWri */
+  0x1471, /* VPMAXSQZrm */
+  0x1477, /* VPMAXSQZrr */
 /* Table6571 */
-  0x12e5, /* VPROTDmi */
-  0x12e7, /* VPROTDri */
+  0x148f, /* VPMAXUQZrm */
+  0x1495, /* VPMAXUQZrr */
 /* Table6573 */
-  0x12ea, /* VPROTQmi */
-  0x12ec, /* VPROTQri */
+  0x142f, /* VPLZCNTQrm */
+  0x1435, /* VPLZCNTQrr */
 /* Table6575 */
-  0x110e, /* VPCOMBmi */
-  0x110f, /* VPCOMBri */
+  0x16ad, /* VPSRLVQZrm */
+  0x16ae, /* VPSRLVQZrr */
 /* Table6577 */
-  0x111c, /* VPCOMWmi */
-  0x111d, /* VPCOMWri */
+  0x167f, /* VPSRAVQZrm */
+  0x1680, /* VPSRAVQZrr */
 /* Table6579 */
-  0x1110, /* VPCOMDmi */
-  0x1111, /* VPCOMDri */
+  0x1659, /* VPSLLVQZrm */
+  0x165a, /* VPSLLVQZrr */
 /* Table6581 */
-  0x1112, /* VPCOMQmi */
-  0x1113, /* VPCOMQri */
+  0x1733, /* VRCP14PDZm */
+  0x1734, /* VRCP14PDZr */
 /* Table6583 */
-  0x1114, /* VPCOMUBmi */
-  0x1115, /* VPCOMUBri */
+  0x1768, /* VRSQRT14PDZm */
+  0x1769, /* VRSQRT14PDZr */
 /* Table6585 */
-  0x111a, /* VPCOMUWmi */
-  0x111b, /* VPCOMUWri */
+  0x1275, /* VPBROADCASTQZrm */
+  0x1276, /* VPBROADCASTQZrr */
 /* Table6587 */
-  0x1116, /* VPCOMUDmi */
-  0x1117, /* VPCOMUDri */
+  0xbee, /* VBROADCASTI64X4rm */
+  0x0, /*  */
 /* Table6589 */
-  0x1118, /* VPCOMUQmi */
-  0x1119, /* VPCOMUQri */
+  0x1383, /* VPERMI2Qrm */
+  0x1386, /* VPERMI2Qrr */
 /* Table6591 */
-  0x10c2, /* VPCMOVrm */
-  0x10c4, /* VPCMOVrr */
+  0x1377, /* VPERMI2PDrm */
+  0x137a, /* VPERMI2PDrr */
 /* Table6593 */
-  0x12de, /* VPPERMrm */
-  0x12df, /* VPPERMrr */
+  0x0, /*  */
+  0x1278, /* VPBROADCASTQrZrr */
 /* Table6595 */
-  0x10c1, /* VPCMOVmrY */
-  0x10c5, /* VPCMOVrrY */
+  0x13cb, /* VPERMT2Qrm */
+  0x13ce, /* VPERMT2Qrr */
 /* Table6597 */
-  0x10c3, /* VPCMOVrmY */
-  0x10c5, /* VPCMOVrrY */
+  0x13bf, /* VPERMT2PDrm */
+  0x13c2, /* VPERMT2PDrr */
 /* Table6599 */
+  0xd5e, /* VFMADDSUB132PDZm */
   0x0, /*  */
-  0x111, /* BLCFILL32rm */
-  0x12d, /* BLSFILL32rm */
-  0x121, /* BLCS32rm */
-  0xb6a, /* TZMSK32rm */
-  0x119, /* BLCIC32rm */
-  0x135, /* BLSIC32rm */
-  0xb2c, /* T1MSKC32rm */
+/* Table6601 */
+  0xda2, /* VFMSUBADD132PDZm */
   0x0, /*  */
-  0x112, /* BLCFILL32rr */
-  0x12e, /* BLSFILL32rr */
-  0x122, /* BLCS32rr */
-  0xb6b, /* TZMSK32rr */
-  0x11a, /* BLCIC32rr */
-  0x136, /* BLSIC32rr */
-  0xb2d, /* T1MSKC32rr */
+/* Table6603 */
+  0xd0a, /* VFMADD132PDZm */
+  0x0, /*  */
+/* Table6605 */
+  0xd94, /* VFMSUB132PDZm */
+  0x0, /*  */
+/* Table6607 */
+  0xe1e, /* VFNMADD132PDZm */
+  0x0, /*  */
+/* Table6609 */
+  0xe72, /* VFNMSUB132PDZm */
+  0x0, /*  */
+/* Table6611 */
+  0xd62, /* VFMADDSUB213PDZm */
+  0xd64, /* VFMADDSUB213PDZr */
+/* Table6613 */
+  0xda6, /* VFMSUBADD213PDZm */
+  0xda8, /* VFMSUBADD213PDZr */
 /* Table6615 */
-  0x0, /*  */
-  0x11d, /* BLCMSK32rm */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x115, /* BLCI32rm */
-  0x0, /*  */
-  0x0, /*  */
-  0x11e, /* BLCMSK32rr */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x116, /* BLCI32rr */
-  0x0, /*  */
+  0xd0e, /* VFMADD213PDZm */
+  0xd10, /* VFMADD213PDZr */
+/* Table6617 */
+  0xd98, /* VFMSUB213PDZm */
+  0xd9a, /* VFMSUB213PDZr */
+/* Table6619 */
+  0xe22, /* VFNMADD213PDZm */
+  0xe24, /* VFNMADD213PDZr */
+/* Table6621 */
+  0xe76, /* VFNMSUB213PDZm */
+  0xe78, /* VFNMSUB213PDZr */
+/* Table6623 */
+  0x1360, /* VPCONFLICTQrm */
+  0x1366, /* VPCONFLICTQrr */
+/* Table6625 */
+  0x173b, /* VRCP28PDZm */
+  0x173c, /* VRCP28PDZr */
+/* Table6627 */
+  0x1770, /* VRSQRT28PDZm */
+  0x1771, /* VRSQRT28PDZr */
+/* Table6629 */
+  0x12b8, /* VPCMPEQQZ128rmk */
+  0x12ba, /* VPCMPEQQZ128rrk */
 /* Table6631 */
-  0xe97, /* VFRCZPSrm */
-  0xe99, /* VFRCZPSrr */
+  0x130c, /* VPCMPGTQZ128rmk */
+  0x130e, /* VPCMPGTQZ128rrk */
 /* Table6633 */
-  0xe93, /* VFRCZPDrm */
-  0xe95, /* VFRCZPDrr */
+  0x12be, /* VPCMPEQQZ256rmk */
+  0x12c0, /* VPCMPEQQZ256rrk */
 /* Table6635 */
-  0xe9d, /* VFRCZSSrm */
-  0xe9e, /* VFRCZSSrr */
+  0x1312, /* VPCMPGTQZ256rmk */
+  0x1314, /* VPCMPGTQZ256rrk */
 /* Table6637 */
-  0xe9b, /* VFRCZSDrm */
-  0xe9c, /* VFRCZSDrr */
+  0x1543, /* VPMOVUSDBmrk */
+  0x1545, /* VPMOVUSDBrrk */
 /* Table6639 */
-  0x12e1, /* VPROTBmr */
-  0x12e4, /* VPROTBrr */
+  0x154d, /* VPMOVUSQBmrk */
+  0x154f, /* VPMOVUSQBrrk */
 /* Table6641 */
-  0x12f0, /* VPROTWmr */
-  0x12f3, /* VPROTWrr */
+  0x1548, /* VPMOVUSDWmrk */
+  0x154a, /* VPMOVUSDWrrk */
 /* Table6643 */
-  0x12e6, /* VPROTDmr */
-  0x12e9, /* VPROTDrr */
+  0x1557, /* VPMOVUSQWmrk */
+  0x1559, /* VPMOVUSQWrrk */
 /* Table6645 */
-  0x12eb, /* VPROTQmr */
-  0x12ee, /* VPROTQrr */
+  0x1552, /* VPMOVUSQDmrk */
+  0x1554, /* VPMOVUSQDrrk */
 /* Table6647 */
-  0x1308, /* VPSHLBmr */
-  0x130a, /* VPSHLBrr */
+  0x14f4, /* VPMOVSDBmrk */
+  0x14f6, /* VPMOVSDBrrk */
 /* Table6649 */
-  0x1311, /* VPSHLWmr */
-  0x1313, /* VPSHLWrr */
+  0x14fe, /* VPMOVSQBmrk */
+  0x1500, /* VPMOVSQBrrk */
 /* Table6651 */
-  0x130b, /* VPSHLDmr */
-  0x130d, /* VPSHLDrr */
+  0x14f9, /* VPMOVSDWmrk */
+  0x14fb, /* VPMOVSDWrrk */
 /* Table6653 */
-  0x130e, /* VPSHLQmr */
-  0x1310, /* VPSHLQrr */
+  0x1508, /* VPMOVSQWmrk */
+  0x150a, /* VPMOVSQWrrk */
 /* Table6655 */
-  0x12fc, /* VPSHABmr */
-  0x12fe, /* VPSHABrr */
+  0x1503, /* VPMOVSQDmrk */
+  0x1505, /* VPMOVSQDrrk */
 /* Table6657 */
-  0x1305, /* VPSHAWmr */
-  0x1307, /* VPSHAWrr */
+  0x14d9, /* VPMOVDBmrk */
+  0x14db, /* VPMOVDBrrk */
 /* Table6659 */
-  0x12ff, /* VPSHADmr */
-  0x1301, /* VPSHADrr */
+  0x14e5, /* VPMOVQBmrk */
+  0x14e7, /* VPMOVQBrrk */
 /* Table6661 */
-  0x1302, /* VPSHAQmr */
-  0x1304, /* VPSHAQrr */
+  0x14de, /* VPMOVDWmrk */
+  0x14e0, /* VPMOVDWrrk */
 /* Table6663 */
-  0x1191, /* VPHADDBWrm */
-  0x1192, /* VPHADDBWrr */
+  0x14ef, /* VPMOVQWmrk */
+  0x14f1, /* VPMOVQWrrk */
 /* Table6665 */
-  0x118d, /* VPHADDBDrm */
-  0x118e, /* VPHADDBDrr */
+  0x14ea, /* VPMOVQDmrk */
+  0x14ec, /* VPMOVQDrrk */
 /* Table6667 */
-  0x118f, /* VPHADDBQrm */
-  0x1190, /* VPHADDBQrr */
+  0x11c1, /* VPABSDZrmk */
+  0x11c4, /* VPABSDZrrk */
 /* Table6669 */
-  0x11a9, /* VPHADDWDrm */
-  0x11aa, /* VPHADDWDrr */
+  0x150f, /* VPMOVSXBDZrmk */
+  0x1512, /* VPMOVSXBDZrrk */
 /* Table6671 */
-  0x11ab, /* VPHADDWQrm */
-  0x11ac, /* VPHADDWQrr */
+  0x1519, /* VPMOVSXBQZrmk */
+  0x151c, /* VPMOVSXBQZrrk */
 /* Table6673 */
-  0x1193, /* VPHADDDQrm */
-  0x1194, /* VPHADDDQrr */
+  0x1531, /* VPMOVSXWDZrmk */
+  0x1534, /* VPMOVSXWDZrrk */
 /* Table6675 */
-  0x11a1, /* VPHADDUBWrm */
-  0x11a2, /* VPHADDUBWrr */
+  0x153b, /* VPMOVSXWQZrmk */
+  0x153e, /* VPMOVSXWQZrrk */
 /* Table6677 */
-  0x119d, /* VPHADDUBDrm */
-  0x119e, /* VPHADDUBDrr */
+  0x1527, /* VPMOVSXDQZrmk */
+  0x152a, /* VPMOVSXDQZrrk */
 /* Table6679 */
-  0x119f, /* VPHADDUBQrm */
-  0x11a0, /* VPHADDUBQrr */
+  0x155e, /* VPMOVZXBDZrmk */
+  0x1561, /* VPMOVZXBDZrrk */
 /* Table6681 */
-  0x11a5, /* VPHADDUWDrm */
-  0x11a6, /* VPHADDUWDrr */
+  0x1568, /* VPMOVZXBQZrmk */
+  0x156b, /* VPMOVZXBQZrrk */
 /* Table6683 */
-  0x11a7, /* VPHADDUWQrm */
-  0x11a8, /* VPHADDUWQrr */
+  0x1580, /* VPMOVZXWDZrmk */
+  0x1583, /* VPMOVZXWDZrrk */
 /* Table6685 */
-  0x11a3, /* VPHADDUDQrm */
-  0x11a4, /* VPHADDUDQrr */
+  0x158a, /* VPMOVZXWQZrmk */
+  0x158d, /* VPMOVZXWQZrrk */
 /* Table6687 */
-  0x11b3, /* VPHSUBBWrm */
-  0x11b4, /* VPHSUBBWrr */
+  0x1576, /* VPMOVZXDQZrmk */
+  0x1579, /* VPMOVZXDQZrrk */
 /* Table6689 */
-  0x11bf, /* VPHSUBWDrm */
-  0x11c0, /* VPHSUBWDrr */
+  0x14a6, /* VPMINSDZrmk */
+  0x14a9, /* VPMINSDZrrk */
 /* Table6691 */
-  0x11b5, /* VPHSUBDQrm */
-  0x11b6, /* VPHSUBDQrr */
+  0x14c4, /* VPMINUDZrmk */
+  0x14c7, /* VPMINUDZrrk */
 /* Table6693 */
-  0x0, /*  */
-  0x113, /* BLCFILL64rm */
-  0x12f, /* BLSFILL64rm */
-  0x123, /* BLCS64rm */
-  0xb6c, /* TZMSK64rm */
-  0x11b, /* BLCIC64rm */
-  0x137, /* BLSIC64rm */
-  0xb2e, /* T1MSKC64rm */
-  0x0, /*  */
-  0x114, /* BLCFILL64rr */
-  0x130, /* BLSFILL64rr */
-  0x124, /* BLCS64rr */
-  0xb6d, /* TZMSK64rr */
-  0x11c, /* BLCIC64rr */
-  0x138, /* BLSIC64rr */
-  0xb2f, /* T1MSKC64rr */
+  0x146a, /* VPMAXSDZrmk */
+  0x146d, /* VPMAXSDZrrk */
+/* Table6695 */
+  0x1488, /* VPMAXUDZrmk */
+  0x148b, /* VPMAXUDZrrk */
+/* Table6697 */
+  0x15b0, /* VPMULLDZrmk */
+  0x15b3, /* VPMULLDZrrk */
+/* Table6699 */
+  0x142a, /* VPLZCNTDrmk */
+  0x142d, /* VPLZCNTDrrk */
+/* Table6701 */
+  0x1255, /* VPBLENDMDZrm */
+  0x1256, /* VPBLENDMDZrr */
+/* Table6703 */
+  0xbd7, /* VBLENDMPSZrm */
+  0xbd8, /* VBLENDMPSZrr */
+/* Table6705 */
+  0x1372, /* VPERMI2Drmk */
+  0x1375, /* VPERMI2Drrk */
+/* Table6707 */
+  0x137e, /* VPERMI2PSrmk */
+  0x1381, /* VPERMI2PSrrk */
 /* Table6709 */
+  0x13ba, /* VPERMT2Drmk */
+  0x13bd, /* VPERMT2Drrk */
+/* Table6711 */
+  0x13c6, /* VPERMT2PSrmk */
+  0x13c9, /* VPERMT2PSrrk */
+/* Table6713 */
+  0x13db, /* VPGATHERDDZrm */
   0x0, /*  */
-  0x11f, /* BLCMSK64rm */
+/* Table6715 */
+  0x13e1, /* VPGATHERQDZrm */
   0x0, /*  */
+/* Table6717 */
+  0xee6, /* VGATHERDPSZrm */
   0x0, /*  */
+/* Table6719 */
+  0xef4, /* VGATHERQPSZrm */
   0x0, /*  */
+/* Table6721 */
+  0x15f9, /* VPSCATTERDDZmr */
   0x0, /*  */
-  0x117, /* BLCI64rm */
-  0x0, /*  */
-  0x0, /*  */
-  0x120, /* BLCMSK64rr */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x0, /*  */
-  0x118, /* BLCI64rr */
+/* Table6723 */
+  0x15fb, /* VPSCATTERQDZmr */
   0x0, /*  */
 /* Table6725 */
-  0x12e3, /* VPROTBrm */
-  0x12e4, /* VPROTBrr */
+  0x1788, /* VSCATTERDPSZmr */
+  0x0, /*  */
 /* Table6727 */
-  0x12f2, /* VPROTWrm */
-  0x12f3, /* VPROTWrr */
+  0x1792, /* VSCATTERQPSZmr */
+  0x0, /*  */
 /* Table6729 */
-  0x12e8, /* VPROTDrm */
-  0x12e9, /* VPROTDrr */
+  0x0, /*  */
+  0xd6a, /* VFMADDSUB213PSZrk */
 /* Table6731 */
-  0x12ed, /* VPROTQrm */
-  0x12ee, /* VPROTQrr */
+  0x0, /*  */
+  0xdae, /* VFMSUBADD213PSZrk */
 /* Table6733 */
-  0x1309, /* VPSHLBrm */
-  0x130a, /* VPSHLBrr */
+  0x0, /*  */
+  0xd16, /* VFMADD213PSZrk */
 /* Table6735 */
-  0x1312, /* VPSHLWrm */
-  0x1313, /* VPSHLWrr */
+  0x0, /*  */
+  0xda0, /* VFMSUB213PSZrk */
 /* Table6737 */
-  0x130c, /* VPSHLDrm */
-  0x130d, /* VPSHLDrr */
+  0x0, /*  */
+  0xe2a, /* VFNMADD213PSZrk */
 /* Table6739 */
-  0x130f, /* VPSHLQrm */
-  0x1310, /* VPSHLQrr */
+  0x0, /*  */
+  0xe7e, /* VFNMSUB213PSZrk */
 /* Table6741 */
-  0x12fd, /* VPSHABrm */
-  0x12fe, /* VPSHABrr */
+  0x135b, /* VPCONFLICTDrmk */
+  0x135e, /* VPCONFLICTDrrk */
 /* Table6743 */
-  0x1306, /* VPSHAWrm */
-  0x1307, /* VPSHAWrr */
-/* Table6745 */
-  0x1300, /* VPSHADrm */
-  0x1301, /* VPSHADrr */
-/* Table6747 */
-  0x1303, /* VPSHAQrm */
-  0x1304, /* VPSHAQrr */
-/* Table6749 */
-  0xe98, /* VFRCZPSrmY */
-  0xe9a, /* VFRCZPSrrY */
-/* Table6751 */
-  0xe94, /* VFRCZPDrmY */
-  0xe96, /* VFRCZPDrrY */
-/* Table6753 */
-  0x10d, /* BEXTRI32mi */
-  0x10e, /* BEXTRI32ri */
-/* Table6755 */
-  0x10f, /* BEXTRI64mi */
-  0x110, /* BEXTRI64ri */
-/* Table6757 */
-  0x882, /* PREFETCH */
+  0x0, /*  */
+  0xee9, /* VGATHERPF0DPSm */
+  0xeed, /* VGATHERPF1DPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x178a, /* VSCATTERPF0DPSm */
+  0x178e, /* VSCATTERPF1DPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+/* Table6759 */
+  0x0, /*  */
+  0xeeb, /* VGATHERPF0QPSm */
+  0xeef, /* VGATHERPF1QPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x178c, /* VSCATTERPF0QPSm */
+  0x1790, /* VSCATTERPF1QPSm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+/* Table6775 */
+  0x11ce, /* VPABSQZrmk */
+  0x11d1, /* VPABSQZrrk */
+/* Table6777 */
+  0x1597, /* VPMULDQZrmk */
+  0x159a, /* VPMULDQZrrk */
+/* Table6779 */
+  0x12c4, /* VPCMPEQQZrmk */
+  0x12c6, /* VPCMPEQQZrrk */
+/* Table6781 */
+  0x1318, /* VPCMPGTQZrmk */
+  0x131a, /* VPCMPGTQZrrk */
+/* Table6783 */
+  0x14b1, /* VPMINSQZrmk */
+  0x14b4, /* VPMINSQZrrk */
+/* Table6785 */
+  0x14cf, /* VPMINUQZrmk */
+  0x14d2, /* VPMINUQZrrk */
+/* Table6787 */
+  0x1475, /* VPMAXSQZrmk */
+  0x1478, /* VPMAXSQZrrk */
+/* Table6789 */
+  0x1493, /* VPMAXUQZrmk */
+  0x1496, /* VPMAXUQZrrk */
+/* Table6791 */
+  0x1433, /* VPLZCNTQrmk */
+  0x1436, /* VPLZCNTQrrk */
+/* Table6793 */
+  0x1257, /* VPBLENDMQZrm */
+  0x1258, /* VPBLENDMQZrr */
+/* Table6795 */
+  0xbd5, /* VBLENDMPDZrm */
+  0xbd6, /* VBLENDMPDZrr */
+/* Table6797 */
+  0x1384, /* VPERMI2Qrmk */
+  0x1387, /* VPERMI2Qrrk */
+/* Table6799 */
+  0x1378, /* VPERMI2PDrmk */
+  0x137b, /* VPERMI2PDrrk */
+/* Table6801 */
+  0x13cc, /* VPERMT2Qrmk */
+  0x13cf, /* VPERMT2Qrrk */
+/* Table6803 */
+  0x13c0, /* VPERMT2PDrmk */
+  0x13c3, /* VPERMT2PDrrk */
+/* Table6805 */
+  0x13de, /* VPGATHERDQZrm */
+  0x0, /*  */
+/* Table6807 */
+  0x13e4, /* VPGATHERQQZrm */
+  0x0, /*  */
+/* Table6809 */
+  0xee3, /* VGATHERDPDZrm */
+  0x0, /*  */
+/* Table6811 */
+  0xef1, /* VGATHERQPDZrm */
+  0x0, /*  */
+/* Table6813 */
+  0x15fa, /* VPSCATTERDQZmr */
+  0x0, /*  */
+/* Table6815 */
+  0x15fc, /* VPSCATTERQQZmr */
+  0x0, /*  */
+/* Table6817 */
+  0x1787, /* VSCATTERDPDZmr */
+  0x0, /*  */
+/* Table6819 */
+  0x1791, /* VSCATTERQPDZmr */
+  0x0, /*  */
+/* Table6821 */
+  0x0, /*  */
+  0xd65, /* VFMADDSUB213PDZrk */
+/* Table6823 */
+  0x0, /*  */
+  0xda9, /* VFMSUBADD213PDZrk */
+/* Table6825 */
+  0x0, /*  */
+  0xd11, /* VFMADD213PDZrk */
+/* Table6827 */
+  0x0, /*  */
+  0xd9b, /* VFMSUB213PDZrk */
+/* Table6829 */
+  0x0, /*  */
+  0xe25, /* VFNMADD213PDZrk */
+/* Table6831 */
+  0x0, /*  */
+  0xe79, /* VFNMSUB213PDZrk */
+/* Table6833 */
+  0x1364, /* VPCONFLICTQrmk */
+  0x1367, /* VPCONFLICTQrrk */
+/* Table6835 */
+  0x0, /*  */
+  0xee8, /* VGATHERPF0DPDm */
+  0xeec, /* VGATHERPF1DPDm */
+  0x0, /*  */
+  0x0, /*  */
+  0x1789, /* VSCATTERPF0DPDm */
+  0x178d, /* VSCATTERPF1DPDm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+/* Table6851 */
+  0x0, /*  */
+  0xeea, /* VGATHERPF0QPDm */
+  0xeee, /* VGATHERPF1QPDm */
+  0x0, /*  */
+  0x0, /*  */
+  0x178b, /* VSCATTERPF0QPDm */
+  0x178f, /* VSCATTERPF1QPDm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+/* Table6867 */
+  0x0, /*  */
+  0x1746, /* VRCP28SSrrb */
+/* Table6869 */
+  0x0, /*  */
+  0x177b, /* VRSQRT28SSrrb */
+/* Table6871 */
+  0x12b6, /* VPCMPEQQZ128rmb */
+  0x0, /*  */
+/* Table6873 */
+  0x130a, /* VPCMPGTQZ128rmb */
+  0x0, /*  */
+/* Table6875 */
+  0x0, /*  */
+  0x1743, /* VRCP28SDrrb */
+/* Table6877 */
+  0x0, /*  */
+  0x1778, /* VRSQRT28SDrrb */
+/* Table6879 */
+  0x12bc, /* VPCMPEQQZ256rmb */
+  0x0, /*  */
+/* Table6881 */
+  0x1310, /* VPCMPGTQZ256rmb */
+  0x0, /*  */
+/* Table6883 */
+  0x11be, /* VPABSDZrmb */
+  0x0, /*  */
+/* Table6885 */
+  0x14a3, /* VPMINSDZrmb */
+  0x0, /*  */
+/* Table6887 */
+  0x14c1, /* VPMINUDZrmb */
+  0x0, /*  */
+/* Table6889 */
+  0x1467, /* VPMAXSDZrmb */
+  0x0, /*  */
+/* Table6891 */
+  0x1485, /* VPMAXUDZrmb */
+  0x0, /*  */
+/* Table6893 */
+  0x15ad, /* VPMULLDZrmb */
+  0x0, /*  */
+/* Table6895 */
+  0x1427, /* VPLZCNTDrmb */
+  0x0, /*  */
+/* Table6897 */
+  0xd61, /* VFMADDSUB132PSZmb */
+  0x0, /*  */
+/* Table6899 */
+  0xda5, /* VFMSUBADD132PSZmb */
+  0x0, /*  */
+/* Table6901 */
+  0xd0d, /* VFMADD132PSZmb */
+  0x0, /*  */
+/* Table6903 */
+  0xd97, /* VFMSUB132PSZmb */
+  0x0, /*  */
+/* Table6905 */
+  0xe21, /* VFNMADD132PSZmb */
+  0x0, /*  */
+/* Table6907 */
+  0xe75, /* VFNMSUB132PSZmb */
+  0x0, /*  */
+/* Table6909 */
+  0xd68, /* VFMADDSUB213PSZmb */
+  0x0, /*  */
+/* Table6911 */
+  0xdac, /* VFMSUBADD213PSZmb */
+  0x0, /*  */
+/* Table6913 */
+  0xd14, /* VFMADD213PSZmb */
+  0x0, /*  */
+/* Table6915 */
+  0xd9e, /* VFMSUB213PSZmb */
+  0x0, /*  */
+/* Table6917 */
+  0xe28, /* VFNMADD213PSZmb */
+  0x0, /*  */
+/* Table6919 */
+  0xe7c, /* VFNMSUB213PSZmb */
+  0x0, /*  */
+/* Table6921 */
+  0x1358, /* VPCONFLICTDrmb */
+  0x0, /*  */
+/* Table6923 */
+  0x0, /*  */
+  0x1740, /* VRCP28PSZrb */
+/* Table6925 */
+  0x0, /*  */
+  0x1775, /* VRSQRT28PSZrb */
+/* Table6927 */
+  0x11cb, /* VPABSQZrmb */
+  0x0, /*  */
+/* Table6929 */
+  0x1594, /* VPMULDQZrmb */
+  0x0, /*  */
+/* Table6931 */
+  0x12c2, /* VPCMPEQQZrmb */
+  0x0, /*  */
+/* Table6933 */
+  0x1316, /* VPCMPGTQZrmb */
+  0x0, /*  */
+/* Table6935 */
+  0x14ae, /* VPMINSQZrmb */
+  0x0, /*  */
+/* Table6937 */
+  0x14cc, /* VPMINUQZrmb */
+  0x0, /*  */
+/* Table6939 */
+  0x1472, /* VPMAXSQZrmb */
+  0x0, /*  */
+/* Table6941 */
+  0x1490, /* VPMAXUQZrmb */
+  0x0, /*  */
+/* Table6943 */
+  0x1430, /* VPLZCNTQrmb */
+  0x0, /*  */
+/* Table6945 */
+  0xd5f, /* VFMADDSUB132PDZmb */
+  0x0, /*  */
+/* Table6947 */
+  0xda3, /* VFMSUBADD132PDZmb */
+  0x0, /*  */
+/* Table6949 */
+  0xd0b, /* VFMADD132PDZmb */
+  0x0, /*  */
+/* Table6951 */
+  0xd95, /* VFMSUB132PDZmb */
+  0x0, /*  */
+/* Table6953 */
+  0xe1f, /* VFNMADD132PDZmb */
+  0x0, /*  */
+/* Table6955 */
+  0xe73, /* VFNMSUB132PDZmb */
+  0x0, /*  */
+/* Table6957 */
+  0xd63, /* VFMADDSUB213PDZmb */
+  0x0, /*  */
+/* Table6959 */
+  0xda7, /* VFMSUBADD213PDZmb */
+  0x0, /*  */
+/* Table6961 */
+  0xd0f, /* VFMADD213PDZmb */
+  0x0, /*  */
+/* Table6963 */
+  0xd99, /* VFMSUB213PDZmb */
+  0x0, /*  */
+/* Table6965 */
+  0xe23, /* VFNMADD213PDZmb */
+  0x0, /*  */
+/* Table6967 */
+  0xe77, /* VFNMSUB213PDZmb */
+  0x0, /*  */
+/* Table6969 */
+  0x1361, /* VPCONFLICTQrmb */
+  0x0, /*  */
+/* Table6971 */
+  0x0, /*  */
+  0x173d, /* VRCP28PDZrb */
+/* Table6973 */
+  0x0, /*  */
+  0x1772, /* VRSQRT28PDZrb */
+/* Table6975 */
+  0x12b7, /* VPCMPEQQZ128rmbk */
+  0x0, /*  */
+/* Table6977 */
+  0x130b, /* VPCMPGTQZ128rmbk */
+  0x0, /*  */
+/* Table6979 */
+  0x12bd, /* VPCMPEQQZ256rmbk */
+  0x0, /*  */
+/* Table6981 */
+  0x1311, /* VPCMPGTQZ256rmbk */
+  0x0, /*  */
+/* Table6983 */
+  0x11bf, /* VPABSDZrmbk */
+  0x0, /*  */
+/* Table6985 */
+  0x14a4, /* VPMINSDZrmbk */
+  0x0, /*  */
+/* Table6987 */
+  0x14c2, /* VPMINUDZrmbk */
+  0x0, /*  */
+/* Table6989 */
+  0x1468, /* VPMAXSDZrmbk */
+  0x0, /*  */
+/* Table6991 */
+  0x1486, /* VPMAXUDZrmbk */
+  0x0, /*  */
+/* Table6993 */
+  0x15ae, /* VPMULLDZrmbk */
+  0x0, /*  */
+/* Table6995 */
+  0x1428, /* VPLZCNTDrmbk */
+  0x0, /*  */
+/* Table6997 */
+  0x1359, /* VPCONFLICTDrmbk */
+  0x0, /*  */
+/* Table6999 */
+  0x11cc, /* VPABSQZrmbk */
+  0x0, /*  */
+/* Table7001 */
+  0x1595, /* VPMULDQZrmbk */
+  0x0, /*  */
+/* Table7003 */
+  0x12c3, /* VPCMPEQQZrmbk */
+  0x0, /*  */
+/* Table7005 */
+  0x1317, /* VPCMPGTQZrmbk */
+  0x0, /*  */
+/* Table7007 */
+  0x14af, /* VPMINSQZrmbk */
+  0x0, /*  */
+/* Table7009 */
+  0x14cd, /* VPMINUQZrmbk */
+  0x0, /*  */
+/* Table7011 */
+  0x1473, /* VPMAXSQZrmbk */
+  0x0, /*  */
+/* Table7013 */
+  0x1491, /* VPMAXUQZrmbk */
+  0x0, /*  */
+/* Table7015 */
+  0x1431, /* VPLZCNTQrmbk */
+  0x0, /*  */
+/* Table7017 */
+  0x1362, /* VPCONFLICTQrmbk */
+  0x0, /*  */
+/* Table7019 */
+  0x11c0, /* VPABSDZrmbkz */
+  0x0, /*  */
+/* Table7021 */
+  0x14a5, /* VPMINSDZrmbkz */
+  0x0, /*  */
+/* Table7023 */
+  0x14c3, /* VPMINUDZrmbkz */
+  0x0, /*  */
+/* Table7025 */
+  0x1469, /* VPMAXSDZrmbkz */
+  0x0, /*  */
+/* Table7027 */
+  0x1487, /* VPMAXUDZrmbkz */
+  0x0, /*  */
+/* Table7029 */
+  0x15af, /* VPMULLDZrmbkz */
+  0x0, /*  */
+/* Table7031 */
+  0x1429, /* VPLZCNTDrmbkz */
+  0x0, /*  */
+/* Table7033 */
+  0x135a, /* VPCONFLICTDrmbkz */
+  0x0, /*  */
+/* Table7035 */
+  0x11cd, /* VPABSQZrmbkz */
+  0x0, /*  */
+/* Table7037 */
+  0x1596, /* VPMULDQZrmbkz */
+  0x0, /*  */
+/* Table7039 */
+  0x14b0, /* VPMINSQZrmbkz */
+  0x0, /*  */
+/* Table7041 */
+  0x14ce, /* VPMINUQZrmbkz */
+  0x0, /*  */
+/* Table7043 */
+  0x1474, /* VPMAXSQZrmbkz */
+  0x0, /*  */
+/* Table7045 */
+  0x1492, /* VPMAXUQZrmbkz */
+  0x0, /*  */
+/* Table7047 */
+  0x1432, /* VPLZCNTQrmbkz */
+  0x0, /*  */
+/* Table7049 */
+  0x1363, /* VPCONFLICTQrmbkz */
+  0x0, /*  */
+/* Table7051 */
+  0x0, /*  */
+  0x1546, /* VPMOVUSDBrrkz */
+/* Table7053 */
+  0x0, /*  */
+  0x1550, /* VPMOVUSQBrrkz */
+/* Table7055 */
+  0x0, /*  */
+  0x154b, /* VPMOVUSDWrrkz */
+/* Table7057 */
+  0x0, /*  */
+  0x155a, /* VPMOVUSQWrrkz */
+/* Table7059 */
+  0x0, /*  */
+  0x1555, /* VPMOVUSQDrrkz */
+/* Table7061 */
+  0x0, /*  */
+  0x14f7, /* VPMOVSDBrrkz */
+/* Table7063 */
+  0x0, /*  */
+  0x1501, /* VPMOVSQBrrkz */
+/* Table7065 */
+  0x0, /*  */
+  0x14fc, /* VPMOVSDWrrkz */
+/* Table7067 */
+  0x0, /*  */
+  0x150b, /* VPMOVSQWrrkz */
+/* Table7069 */
+  0x0, /*  */
+  0x1506, /* VPMOVSQDrrkz */
+/* Table7071 */
+  0x0, /*  */
+  0x14dc, /* VPMOVDBrrkz */
+/* Table7073 */
+  0x0, /*  */
+  0x14e8, /* VPMOVQBrrkz */
+/* Table7075 */
+  0x0, /*  */
+  0x14e1, /* VPMOVDWrrkz */
+/* Table7077 */
+  0x0, /*  */
+  0x14f2, /* VPMOVQWrrkz */
+/* Table7079 */
+  0x0, /*  */
+  0x14ed, /* VPMOVQDrrkz */
+/* Table7081 */
+  0x11c2, /* VPABSDZrmkz */
+  0x11c5, /* VPABSDZrrkz */
+/* Table7083 */
+  0x1510, /* VPMOVSXBDZrmkz */
+  0x1513, /* VPMOVSXBDZrrkz */
+/* Table7085 */
+  0x151a, /* VPMOVSXBQZrmkz */
+  0x151d, /* VPMOVSXBQZrrkz */
+/* Table7087 */
+  0x1532, /* VPMOVSXWDZrmkz */
+  0x1535, /* VPMOVSXWDZrrkz */
+/* Table7089 */
+  0x153c, /* VPMOVSXWQZrmkz */
+  0x153f, /* VPMOVSXWQZrrkz */
+/* Table7091 */
+  0x1528, /* VPMOVSXDQZrmkz */
+  0x152b, /* VPMOVSXDQZrrkz */
+/* Table7093 */
+  0x155f, /* VPMOVZXBDZrmkz */
+  0x1562, /* VPMOVZXBDZrrkz */
+/* Table7095 */
+  0x1569, /* VPMOVZXBQZrmkz */
+  0x156c, /* VPMOVZXBQZrrkz */
+/* Table7097 */
+  0x1581, /* VPMOVZXWDZrmkz */
+  0x1584, /* VPMOVZXWDZrrkz */
+/* Table7099 */
+  0x158b, /* VPMOVZXWQZrmkz */
+  0x158e, /* VPMOVZXWQZrrkz */
+/* Table7101 */
+  0x1577, /* VPMOVZXDQZrmkz */
+  0x157a, /* VPMOVZXDQZrrkz */
+/* Table7103 */
+  0x14a7, /* VPMINSDZrmkz */
+  0x14aa, /* VPMINSDZrrkz */
+/* Table7105 */
+  0x14c5, /* VPMINUDZrmkz */
+  0x14c8, /* VPMINUDZrrkz */
+/* Table7107 */
+  0x146b, /* VPMAXSDZrmkz */
+  0x146e, /* VPMAXSDZrrkz */
+/* Table7109 */
+  0x1489, /* VPMAXUDZrmkz */
+  0x148c, /* VPMAXUDZrrkz */
+/* Table7111 */
+  0x15b1, /* VPMULLDZrmkz */
+  0x15b4, /* VPMULLDZrrkz */
+/* Table7113 */
+  0x142b, /* VPLZCNTDrmkz */
+  0x142e, /* VPLZCNTDrrkz */
+/* Table7115 */
+  0x1267, /* VPBROADCASTDZkrm */
+  0x1268, /* VPBROADCASTDZkrr */
+/* Table7117 */
+  0xbeb, /* VBROADCASTI32X4krm */
+  0x0, /*  */
+/* Table7119 */
+  0x1373, /* VPERMI2Drmkz */
+  0x1376, /* VPERMI2Drrkz */
+/* Table7121 */
+  0x137f, /* VPERMI2PSrmkz */
+  0x1382, /* VPERMI2PSrrkz */
+/* Table7123 */
+  0x0, /*  */
+  0x126b, /* VPBROADCASTDrZkrr */
+/* Table7125 */
+  0x13bb, /* VPERMT2Drmkz */
+  0x13be, /* VPERMT2Drrkz */
+/* Table7127 */
+  0x13c7, /* VPERMT2PSrmkz */
+  0x13ca, /* VPERMT2PSrrkz */
+/* Table7129 */
+  0x0, /*  */
+  0xd6b, /* VFMADDSUB213PSZrkz */
+/* Table7131 */
+  0x0, /*  */
+  0xdaf, /* VFMSUBADD213PSZrkz */
+/* Table7133 */
+  0x0, /*  */
+  0xd17, /* VFMADD213PSZrkz */
+/* Table7135 */
+  0x0, /*  */
+  0xda1, /* VFMSUB213PSZrkz */
+/* Table7137 */
+  0x0, /*  */
+  0xe2b, /* VFNMADD213PSZrkz */
+/* Table7139 */
+  0x0, /*  */
+  0xe7f, /* VFNMSUB213PSZrkz */
+/* Table7141 */
+  0x135c, /* VPCONFLICTDrmkz */
+  0x135f, /* VPCONFLICTDrrkz */
+/* Table7143 */
+  0x11cf, /* VPABSQZrmkz */
+  0x11d2, /* VPABSQZrrkz */
+/* Table7145 */
+  0x1598, /* VPMULDQZrmkz */
+  0x159b, /* VPMULDQZrrkz */
+/* Table7147 */
+  0x14b2, /* VPMINSQZrmkz */
+  0x14b5, /* VPMINSQZrrkz */
+/* Table7149 */
+  0x14d0, /* VPMINUQZrmkz */
+  0x14d3, /* VPMINUQZrrkz */
+/* Table7151 */
+  0x1476, /* VPMAXSQZrmkz */
+  0x1479, /* VPMAXSQZrrkz */
+/* Table7153 */
+  0x1494, /* VPMAXUQZrmkz */
+  0x1497, /* VPMAXUQZrrkz */
+/* Table7155 */
+  0x1434, /* VPLZCNTQrmkz */
+  0x1437, /* VPLZCNTQrrkz */
+/* Table7157 */
+  0x1273, /* VPBROADCASTQZkrm */
+  0x1274, /* VPBROADCASTQZkrr */
+/* Table7159 */
+  0xbed, /* VBROADCASTI64X4krm */
+  0x0, /*  */
+/* Table7161 */
+  0x1385, /* VPERMI2Qrmkz */
+  0x1388, /* VPERMI2Qrrkz */
+/* Table7163 */
+  0x1379, /* VPERMI2PDrmkz */
+  0x137c, /* VPERMI2PDrrkz */
+/* Table7165 */
+  0x0, /*  */
+  0x1277, /* VPBROADCASTQrZkrr */
+/* Table7167 */
+  0x13cd, /* VPERMT2Qrmkz */
+  0x13d0, /* VPERMT2Qrrkz */
+/* Table7169 */
+  0x13c1, /* VPERMT2PDrmkz */
+  0x13c4, /* VPERMT2PDrrkz */
+/* Table7171 */
+  0x0, /*  */
+  0xd66, /* VFMADDSUB213PDZrkz */
+/* Table7173 */
+  0x0, /*  */
+  0xdaa, /* VFMSUBADD213PDZrkz */
+/* Table7175 */
+  0x0, /*  */
+  0xd12, /* VFMADD213PDZrkz */
+/* Table7177 */
+  0x0, /*  */
+  0xd9c, /* VFMSUB213PDZrkz */
+/* Table7179 */
+  0x0, /*  */
+  0xe26, /* VFNMADD213PDZrkz */
+/* Table7181 */
+  0x0, /*  */
+  0xe7a, /* VFNMSUB213PDZrkz */
+/* Table7183 */
+  0x1365, /* VPCONFLICTQrmkz */
+  0x1368, /* VPCONFLICTQrrkz */
+/* Table7185 */
+  0x59d, /* MMX_PALIGNR64irm */
+  0x59e, /* MMX_PALIGNR64irr */
+/* Table7187 */
+  0xa2b, /* SHA1RNDS4rmi */
+  0xa2c, /* SHA1RNDS4rri */
+/* Table7189 */
+  0x986, /* ROUNDPSm */
+  0x987, /* ROUNDPSr */
+/* Table7191 */
+  0x984, /* ROUNDPDm */
+  0x985, /* ROUNDPDr */
+/* Table7193 */
+  0x98b, /* ROUNDSSm */
+  0x98c, /* ROUNDSSr */
+/* Table7195 */
+  0x988, /* ROUNDSDm */
+  0x989, /* ROUNDSDr */
+/* Table7197 */
+  0xfd, /* BLENDPSrmi */
+  0xfe, /* BLENDPSrri */
+/* Table7199 */
+  0xfb, /* BLENDPDrmi */
+  0xfc, /* BLENDPDrri */
+/* Table7201 */
+  0x79a, /* PBLENDWrmi */
+  0x79b, /* PBLENDWrri */
+/* Table7203 */
+  0x78b, /* PALIGNR128rm */
+  0x78c, /* PALIGNR128rr */
+/* Table7205 */
+  0x7c6, /* PEXTRBmr */
+  0x7c7, /* PEXTRBrr */
+/* Table7207 */
+  0x7cc, /* PEXTRWmr */
+  0x7ce, /* PEXTRWrr_REV */
+/* Table7209 */
+  0x7c8, /* PEXTRDmr */
+  0x7c9, /* PEXTRDrr */
+/* Table7211 */
+  0x2e5, /* EXTRACTPSmr */
+  0x2e6, /* EXTRACTPSrr */
+/* Table7213 */
+  0x807, /* PINSRBrm */
+  0x808, /* PINSRBrr */
+/* Table7215 */
+  0x38f, /* INSERTPSrm */
+  0x390, /* INSERTPSrr */
+/* Table7217 */
+  0x809, /* PINSRDrm */
+  0x80a, /* PINSRDrr */
+/* Table7219 */
+  0x2d9, /* DPPSrmi */
+  0x2da, /* DPPSrri */
+/* Table7221 */
+  0x2d7, /* DPPDrmi */
+  0x2d8, /* DPPDrri */
+/* Table7223 */
+  0x6dd, /* MPSADBWrmi */
+  0x6de, /* MPSADBWrri */
+/* Table7225 */
+  0x79c, /* PCLMULQDQrm */
+  0x79d, /* PCLMULQDQrr */
+/* Table7227 */
+  0x7ac, /* PCMPESTRM128rm */
+  0x7ad, /* PCMPESTRM128rr */
+/* Table7229 */
+  0x7a8, /* PCMPESTRIrm */
+  0x7a9, /* PCMPESTRIrr */
+/* Table7231 */
+  0x7bc, /* PCMPISTRM128rm */
+  0x7bd, /* PCMPISTRM128rr */
+/* Table7233 */
+  0x7b8, /* PCMPISTRIrm */
+  0x7b9, /* PCMPISTRIrr */
+/* Table7235 */
+  0xa9, /* AESKEYGENASSIST128rm */
+  0xaa, /* AESKEYGENASSIST128rr */
+/* Table7237 */
+  0x7ca, /* PEXTRQmr */
+  0x7cb, /* PEXTRQrr */
+/* Table7239 */
+  0x80b, /* PINSRQrm */
+  0x80c, /* PINSRQrr */
+/* Table7241 */
+  0x980, /* RORX32mi */
+  0x981, /* RORX32ri */
+/* Table7243 */
+  0x1253, /* VPBLENDDrmi */
+  0x1254, /* VPBLENDDrri */
+/* Table7245 */
+  0x13a5, /* VPERMILPSmi */
+  0x13a6, /* VPERMILPSri */
+/* Table7247 */
+  0x139b, /* VPERMILPDmi */
+  0x139c, /* VPERMILPDri */
+/* Table7249 */
+  0x175c, /* VROUNDPSm */
+  0x175d, /* VROUNDPSr */
+/* Table7251 */
+  0x175a, /* VROUNDPDm */
+  0x175b, /* VROUNDPDr */
+/* Table7253 */
+  0x1761, /* VROUNDSSm */
+  0x1762, /* VROUNDSSr */
+/* Table7255 */
+  0x175e, /* VROUNDSDm */
+  0x175f, /* VROUNDSDr */
+/* Table7257 */
+  0xbdf, /* VBLENDPSrmi */
+  0xbe0, /* VBLENDPSrri */
+/* Table7259 */
+  0xbdb, /* VBLENDPDrmi */
+  0xbdc, /* VBLENDPDrri */
+/* Table7261 */
+  0x125f, /* VPBLENDWrmi */
+  0x1260, /* VPBLENDWrri */
+/* Table7263 */
+  0x1219, /* VPALIGNR128rm */
+  0x121a, /* VPALIGNR128rr */
+/* Table7265 */
+  0x13d1, /* VPEXTRBmr */
+  0x13d2, /* VPEXTRBrr */
+/* Table7267 */
+  0x13d7, /* VPEXTRWmr */
+  0x13d9, /* VPEXTRWrr_REV */
+/* Table7269 */
+  0x13d3, /* VPEXTRDmr */
+  0x13d4, /* VPEXTRDrr */
+/* Table7271 */
+  0xd06, /* VEXTRACTPSmr */
+  0xd07, /* VEXTRACTPSrr */
+/* Table7273 */
+  0xc60, /* VCVTPS2PHmr */
+  0xc61, /* VCVTPS2PHrr */
+/* Table7275 */
+  0x141e, /* VPINSRBrm */
+  0x141f, /* VPINSRBrr */
+/* Table7277 */
+  0xf12, /* VINSERTPSrm */
+  0xf13, /* VINSERTPSrr */
+/* Table7279 */
+  0x1420, /* VPINSRDrm */
+  0x1421, /* VPINSRDrr */
+/* Table7281 */
+  0xcf4, /* VDPPSrmi */
+  0xcf5, /* VDPPSrri */
+/* Table7283 */
+  0xcf0, /* VDPPDrmi */
+  0xcf1, /* VDPPDrri */
+/* Table7285 */
+  0x1178, /* VMPSADBWrmi */
+  0x1179, /* VMPSADBWrri */
+/* Table7287 */
+  0x127f, /* VPCLMULQDQrm */
+  0x1280, /* VPCLMULQDQrr */
+/* Table7289 */
+  0x138f, /* VPERMIL2PSmr */
+  0x1393, /* VPERMIL2PSrr */
+/* Table7291 */
+  0x1389, /* VPERMIL2PDmr */
+  0x138d, /* VPERMIL2PDrr */
+/* Table7293 */
+  0xbe7, /* VBLENDVPSrm */
+  0xbe8, /* VBLENDVPSrr */
+/* Table7295 */
+  0xbe3, /* VBLENDVPDrm */
+  0xbe4, /* VBLENDVPDrr */
+/* Table7297 */
+  0x125b, /* VPBLENDVBrm */
+  0x125c, /* VPBLENDVBrr */
+/* Table7299 */
+  0xd80, /* VFMADDSUBPS4mr */
+  0xd87, /* VFMADDSUBPS4rr_REV */
+/* Table7301 */
+  0xd6c, /* VFMADDSUBPD4mr */
+  0xd73, /* VFMADDSUBPD4rr_REV */
+/* Table7303 */
+  0xdc4, /* VFMSUBADDPS4mr */
+  0xdcb, /* VFMSUBADDPS4rr_REV */
+/* Table7305 */
+  0xdb0, /* VFMSUBADDPD4mr */
+  0xdb7, /* VFMSUBADDPD4rr_REV */
+/* Table7307 */
+  0x12df, /* VPCMPESTRM128rm */
+  0x12e0, /* VPCMPESTRM128rr */
+/* Table7309 */
+  0x12db, /* VPCMPESTRIrm */
+  0x12dc, /* VPCMPESTRIrr */
+/* Table7311 */
+  0x1333, /* VPCMPISTRM128rm */
+  0x1334, /* VPCMPISTRM128rr */
+/* Table7313 */
+  0x132f, /* VPCMPISTRIrm */
+  0x1330, /* VPCMPISTRIrr */
+/* Table7315 */
+  0xd2c, /* VFMADDPS4mr */
+  0xd33, /* VFMADDPS4rr_REV */
+/* Table7317 */
+  0xd18, /* VFMADDPD4mr */
+  0xd1f, /* VFMADDPD4rr_REV */
+/* Table7319 */
+  0xd4f, /* VFMADDSS4mr */
+  0xd55, /* VFMADDSS4rr_REV */
+/* Table7321 */
+  0xd40, /* VFMADDSD4mr */
+  0xd46, /* VFMADDSD4rr_REV */
+/* Table7323 */
+  0xdec, /* VFMSUBPS4mr */
+  0xdf3, /* VFMSUBPS4rr_REV */
+/* Table7325 */
+  0xdd8, /* VFMSUBPD4mr */
+  0xddf, /* VFMSUBPD4rr_REV */
+/* Table7327 */
+  0xe0f, /* VFMSUBSS4mr */
+  0xe15, /* VFMSUBSS4rr_REV */
+/* Table7329 */
+  0xe00, /* VFMSUBSD4mr */
+  0xe06, /* VFMSUBSD4rr_REV */
+/* Table7331 */
+  0xe40, /* VFNMADDPS4mr */
+  0xe47, /* VFNMADDPS4rr_REV */
+/* Table7333 */
+  0xe2c, /* VFNMADDPD4mr */
+  0xe33, /* VFNMADDPD4rr_REV */
+/* Table7335 */
+  0xe63, /* VFNMADDSS4mr */
+  0xe69, /* VFNMADDSS4rr_REV */
+/* Table7337 */
+  0xe54, /* VFNMADDSD4mr */
+  0xe5a, /* VFNMADDSD4rr_REV */
+/* Table7339 */
+  0xe94, /* VFNMSUBPS4mr */
+  0xe9b, /* VFNMSUBPS4rr_REV */
+/* Table7341 */
+  0xe80, /* VFNMSUBPD4mr */
+  0xe87, /* VFNMSUBPD4rr_REV */
+/* Table7343 */
+  0xeb7, /* VFNMSUBSS4mr */
+  0xebd, /* VFNMSUBSS4rr_REV */
+/* Table7345 */
+  0xea8, /* VFNMSUBSD4mr */
+  0xeae, /* VFNMSUBSD4rr_REV */
+/* Table7347 */
+  0xbba, /* VAESKEYGENASSIST128rm */
+  0xbbb, /* VAESKEYGENASSIST128rr */
+/* Table7349 */
+  0x982, /* RORX64mi */
+  0x983, /* RORX64ri */
+/* Table7351 */
+  0x13d5, /* VPEXTRQmr */
+  0x13d6, /* VPEXTRQrr */
+/* Table7353 */
+  0x1422, /* VPINSRQrm */
+  0x1423, /* VPINSRQrr */
+/* Table7355 */
+  0x0, /*  */
+  0x490, /* KSHIFTRWri */
+/* Table7357 */
+  0x0, /*  */
+  0x48f, /* KSHIFTLWri */
+/* Table7359 */
+  0x1391, /* VPERMIL2PSrm */
+  0x1393, /* VPERMIL2PSrr */
+/* Table7361 */
+  0x138b, /* VPERMIL2PDrm */
+  0x138d, /* VPERMIL2PDrr */
+/* Table7363 */
+  0xd82, /* VFMADDSUBPS4rm */
+  0xd84, /* VFMADDSUBPS4rr */
+/* Table7365 */
+  0xd6e, /* VFMADDSUBPD4rm */
+  0xd70, /* VFMADDSUBPD4rr */
+/* Table7367 */
+  0xdc6, /* VFMSUBADDPS4rm */
+  0xdc8, /* VFMSUBADDPS4rr */
+/* Table7369 */
+  0xdb2, /* VFMSUBADDPD4rm */
+  0xdb4, /* VFMSUBADDPD4rr */
+/* Table7371 */
+  0xd2e, /* VFMADDPS4rm */
+  0xd30, /* VFMADDPS4rr */
+/* Table7373 */
+  0xd1a, /* VFMADDPD4rm */
+  0xd1c, /* VFMADDPD4rr */
+/* Table7375 */
+  0xd51, /* VFMADDSS4rm */
+  0xd53, /* VFMADDSS4rr */
+/* Table7377 */
+  0xd42, /* VFMADDSD4rm */
+  0xd44, /* VFMADDSD4rr */
+/* Table7379 */
+  0xdee, /* VFMSUBPS4rm */
+  0xdf0, /* VFMSUBPS4rr */
+/* Table7381 */
+  0xdda, /* VFMSUBPD4rm */
+  0xddc, /* VFMSUBPD4rr */
+/* Table7383 */
+  0xe11, /* VFMSUBSS4rm */
+  0xe13, /* VFMSUBSS4rr */
+/* Table7385 */
+  0xe02, /* VFMSUBSD4rm */
+  0xe04, /* VFMSUBSD4rr */
+/* Table7387 */
+  0xe42, /* VFNMADDPS4rm */
+  0xe44, /* VFNMADDPS4rr */
+/* Table7389 */
+  0xe2e, /* VFNMADDPD4rm */
+  0xe30, /* VFNMADDPD4rr */
+/* Table7391 */
+  0xe65, /* VFNMADDSS4rm */
+  0xe67, /* VFNMADDSS4rr */
+/* Table7393 */
+  0xe56, /* VFNMADDSD4rm */
+  0xe58, /* VFNMADDSD4rr */
+/* Table7395 */
+  0xe96, /* VFNMSUBPS4rm */
+  0xe98, /* VFNMSUBPS4rr */
+/* Table7397 */
+  0xe82, /* VFNMSUBPD4rm */
+  0xe84, /* VFNMSUBPD4rr */
+/* Table7399 */
+  0xeb9, /* VFNMSUBSS4rm */
+  0xebb, /* VFNMSUBSS4rr */
+/* Table7401 */
+  0xeaa, /* VFNMSUBSD4rm */
+  0xeac, /* VFNMSUBSD4rr */
+/* Table7403 */
+  0x1251, /* VPBLENDDYrmi */
+  0x1252, /* VPBLENDDYrri */
+/* Table7405 */
+  0x139f, /* VPERMILPSYmi */
+  0x13a0, /* VPERMILPSYri */
+/* Table7407 */
+  0x1395, /* VPERMILPDYmi */
+  0x1396, /* VPERMILPDYri */
+/* Table7409 */
+  0x1369, /* VPERM2F128rm */
+  0x136a, /* VPERM2F128rr */
+/* Table7411 */
+  0x1766, /* VROUNDYPSm */
+  0x1767, /* VROUNDYPSr */
+/* Table7413 */
+  0x1764, /* VROUNDYPDm */
+  0x1765, /* VROUNDYPDr */
+/* Table7415 */
+  0xbdd, /* VBLENDPSYrmi */
+  0xbde, /* VBLENDPSYrri */
+/* Table7417 */
+  0xbd9, /* VBLENDPDYrmi */
+  0xbda, /* VBLENDPDYrri */
+/* Table7419 */
+  0x125d, /* VPBLENDWYrmi */
+  0x125e, /* VPBLENDWYrri */
+/* Table7421 */
+  0x121b, /* VPALIGNR256rm */
+  0x121c, /* VPALIGNR256rr */
+/* Table7423 */
+  0xf06, /* VINSERTF128rm */
+  0xf07, /* VINSERTF128rr */
+/* Table7425 */
+  0xcfa, /* VEXTRACTF128mr */
+  0xcfb, /* VEXTRACTF128rr */
+/* Table7427 */
+  0xc5c, /* VCVTPS2PHYmr */
+  0xc5d, /* VCVTPS2PHYrr */
+/* Table7429 */
+  0xf0c, /* VINSERTI128rm */
+  0xf0d, /* VINSERTI128rr */
+/* Table7431 */
+  0xd00, /* VEXTRACTI128mr */
+  0xd01, /* VEXTRACTI128rr */
+/* Table7433 */
+  0xcf2, /* VDPPSYrmi */
+  0xcf3, /* VDPPSYrri */
+/* Table7435 */
+  0x1176, /* VMPSADBWYrmi */
+  0x1177, /* VMPSADBWYrri */
+/* Table7437 */
+  0x136b, /* VPERM2I128rm */
+  0x136c, /* VPERM2I128rr */
+/* Table7439 */
+  0x1390, /* VPERMIL2PSmrY */
+  0x1394, /* VPERMIL2PSrrY */
+/* Table7441 */
+  0x138a, /* VPERMIL2PDmrY */
+  0x138e, /* VPERMIL2PDrrY */
+/* Table7443 */
+  0xbe5, /* VBLENDVPSYrm */
+  0xbe6, /* VBLENDVPSYrr */
+/* Table7445 */
+  0xbe1, /* VBLENDVPDYrm */
+  0xbe2, /* VBLENDVPDYrr */
+/* Table7447 */
+  0x1259, /* VPBLENDVBYrm */
+  0x125a, /* VPBLENDVBYrr */
+/* Table7449 */
+  0xd81, /* VFMADDSUBPS4mrY */
+  0xd86, /* VFMADDSUBPS4rrY_REV */
+/* Table7451 */
+  0xd6d, /* VFMADDSUBPD4mrY */
+  0xd72, /* VFMADDSUBPD4rrY_REV */
+/* Table7453 */
+  0xdc5, /* VFMSUBADDPS4mrY */
+  0xdca, /* VFMSUBADDPS4rrY_REV */
+/* Table7455 */
+  0xdb1, /* VFMSUBADDPD4mrY */
+  0xdb6, /* VFMSUBADDPD4rrY_REV */
+/* Table7457 */
+  0xd2d, /* VFMADDPS4mrY */
+  0xd32, /* VFMADDPS4rrY_REV */
+/* Table7459 */
+  0xd19, /* VFMADDPD4mrY */
+  0xd1e, /* VFMADDPD4rrY_REV */
+/* Table7461 */
+  0xded, /* VFMSUBPS4mrY */
+  0xdf2, /* VFMSUBPS4rrY_REV */
+/* Table7463 */
+  0xdd9, /* VFMSUBPD4mrY */
+  0xdde, /* VFMSUBPD4rrY_REV */
+/* Table7465 */
+  0xe41, /* VFNMADDPS4mrY */
+  0xe46, /* VFNMADDPS4rrY_REV */
+/* Table7467 */
+  0xe2d, /* VFNMADDPD4mrY */
+  0xe32, /* VFNMADDPD4rrY_REV */
+/* Table7469 */
+  0xe95, /* VFNMSUBPS4mrY */
+  0xe9a, /* VFNMSUBPS4rrY_REV */
+/* Table7471 */
+  0xe81, /* VFNMSUBPD4mrY */
+  0xe86, /* VFNMSUBPD4rrY_REV */
+/* Table7473 */
+  0x13b3, /* VPERMQYmi */
+  0x13b4, /* VPERMQYri */
+/* Table7475 */
+  0x13a9, /* VPERMPDYmi */
+  0x13aa, /* VPERMPDYri */
+/* Table7477 */
+  0x1392, /* VPERMIL2PSrmY */
+  0x1394, /* VPERMIL2PSrrY */
+/* Table7479 */
+  0x138c, /* VPERMIL2PDrmY */
+  0x138e, /* VPERMIL2PDrrY */
+/* Table7481 */
+  0xd83, /* VFMADDSUBPS4rmY */
+  0xd85, /* VFMADDSUBPS4rrY */
+/* Table7483 */
+  0xd6f, /* VFMADDSUBPD4rmY */
+  0xd71, /* VFMADDSUBPD4rrY */
+/* Table7485 */
+  0xdc7, /* VFMSUBADDPS4rmY */
+  0xdc9, /* VFMSUBADDPS4rrY */
+/* Table7487 */
+  0xdb3, /* VFMSUBADDPD4rmY */
+  0xdb5, /* VFMSUBADDPD4rrY */
+/* Table7489 */
+  0xd2f, /* VFMADDPS4rmY */
+  0xd31, /* VFMADDPS4rrY */
+/* Table7491 */
+  0xd1b, /* VFMADDPD4rmY */
+  0xd1d, /* VFMADDPD4rrY */
+/* Table7493 */
+  0xdef, /* VFMSUBPS4rmY */
+  0xdf1, /* VFMSUBPS4rrY */
+/* Table7495 */
+  0xddb, /* VFMSUBPD4rmY */
+  0xddd, /* VFMSUBPD4rrY */
+/* Table7497 */
+  0xe43, /* VFNMADDPS4rmY */
+  0xe45, /* VFNMADDPS4rrY */
+/* Table7499 */
+  0xe2f, /* VFNMADDPD4rmY */
+  0xe31, /* VFNMADDPD4rrY */
+/* Table7501 */
+  0xe97, /* VFNMSUBPS4rmY */
+  0xe99, /* VFNMSUBPS4rrY */
+/* Table7503 */
+  0xe83, /* VFNMSUBPD4rmY */
+  0xe85, /* VFNMSUBPD4rrY */
+/* Table7505 */
+  0x1758, /* VRNDSCALESSm */
+  0x1759, /* VRNDSCALESSr */
+/* Table7507 */
+  0x1756, /* VRNDSCALESDm */
+  0x1757, /* VRNDSCALESDr */
+/* Table7509 */
+  0xd08, /* VEXTRACTPSzmr */
+  0xd09, /* VEXTRACTPSzrr */
+/* Table7511 */
+  0xf14, /* VINSERTPSzrm */
+  0xf15, /* VINSERTPSzrr */
+/* Table7513 */
+  0xbbc, /* VALIGNDrmi */
+  0xbbd, /* VALIGNDrri */
+/* Table7515 */
+  0x13a3, /* VPERMILPSZmi */
+  0x13a4, /* VPERMILPSZri */
+/* Table7517 */
+  0x1754, /* VRNDSCALEPSZm */
+  0x1755, /* VRNDSCALEPSZr */
+/* Table7519 */
+  0xf08, /* VINSERTF32x4rm */
+  0xf09, /* VINSERTF32x4rr */
+/* Table7521 */
+  0xcfc, /* VEXTRACTF32x4mr */
+  0xcfd, /* VEXTRACTF32x4rr */
+/* Table7523 */
+  0xc5e, /* VCVTPS2PHZmr */
+  0xc5f, /* VCVTPS2PHZrr */
+/* Table7525 */
+  0x133b, /* VPCMPUDZrmi */
+  0x133e, /* VPCMPUDZrri */
+/* Table7527 */
+  0x1287, /* VPCMPDZrmi */
+  0x128a, /* VPCMPDZrri */
+/* Table7529 */
+  0xf0e, /* VINSERTI32x4rm */
+  0xf0f, /* VINSERTI32x4rr */
+/* Table7531 */
+  0xd02, /* VEXTRACTI32x4mr */
+  0xd03, /* VEXTRACTI32x4rr */
+/* Table7533 */
+  0x13b5, /* VPERMQZmi */
+  0x13b6, /* VPERMQZri */
+/* Table7535 */
+  0x13ab, /* VPERMPDZmi */
+  0x13ac, /* VPERMPDZri */
+/* Table7537 */
+  0xbc0, /* VALIGNQrmi */
+  0xbc1, /* VALIGNQrri */
+/* Table7539 */
+  0x1399, /* VPERMILPDZmi */
+  0x139a, /* VPERMILPDZri */
+/* Table7541 */
+  0x1752, /* VRNDSCALEPDZm */
+  0x1753, /* VRNDSCALEPDZr */
+/* Table7543 */
+  0xf0a, /* VINSERTF64x4rm */
+  0xf0b, /* VINSERTF64x4rr */
+/* Table7545 */
+  0xcfe, /* VEXTRACTF64x4mr */
+  0xcff, /* VEXTRACTF64x4rr */
+/* Table7547 */
+  0x1341, /* VPCMPUQZrmi */
+  0x1344, /* VPCMPUQZrri */
+/* Table7549 */
+  0x1335, /* VPCMPQZrmi */
+  0x1338, /* VPCMPQZrri */
+/* Table7551 */
+  0xf10, /* VINSERTI64x4rm */
+  0xf11, /* VINSERTI64x4rr */
+/* Table7553 */
+  0xd04, /* VEXTRACTI64x4mr */
+  0xd05, /* VEXTRACTI64x4rr */
+/* Table7555 */
+  0x0, /*  */
+  0xbbe, /* VALIGNDrrik */
+/* Table7557 */
+  0x0, /*  */
+  0xbc2, /* VALIGNQrrik */
+/* Table7559 */
+  0x0, /*  */
+  0xbbf, /* VALIGNDrrikz */
+/* Table7561 */
+  0x0, /*  */
+  0xbc3, /* VALIGNQrrikz */
+/* Table7563 */
+  0x1446, /* VPMACSSWWrm */
+  0x1447, /* VPMACSSWWrr */
+/* Table7565 */
+  0x1444, /* VPMACSSWDrm */
+  0x1445, /* VPMACSSWDrr */
+/* Table7567 */
+  0x1442, /* VPMACSSDQLrm */
+  0x1443, /* VPMACSSDQLrr */
+/* Table7569 */
+  0x143e, /* VPMACSSDDrm */
+  0x143f, /* VPMACSSDDrr */
+/* Table7571 */
+  0x1440, /* VPMACSSDQHrm */
+  0x1441, /* VPMACSSDQHrr */
+/* Table7573 */
+  0x144a, /* VPMACSWWrm */
+  0x144b, /* VPMACSWWrr */
+/* Table7575 */
+  0x1448, /* VPMACSWDrm */
+  0x1449, /* VPMACSWDrr */
+/* Table7577 */
+  0x143c, /* VPMACSDQLrm */
+  0x143d, /* VPMACSDQLrr */
+/* Table7579 */
+  0x1438, /* VPMACSDDrm */
+  0x1439, /* VPMACSDDrr */
+/* Table7581 */
+  0x143a, /* VPMACSDQHrm */
+  0x143b, /* VPMACSDQHrr */
+/* Table7583 */
+  0x1281, /* VPCMOVmr */
+  0x1285, /* VPCMOVrr */
+/* Table7585 */
+  0x15de, /* VPPERMmr */
+  0x15e0, /* VPPERMrr */
+/* Table7587 */
+  0x144c, /* VPMADCSSWDrm */
+  0x144d, /* VPMADCSSWDrr */
+/* Table7589 */
+  0x144e, /* VPMADCSWDrm */
+  0x144f, /* VPMADCSWDrr */
+/* Table7591 */
+  0x15e1, /* VPROTBmi */
+  0x15e3, /* VPROTBri */
+/* Table7593 */
+  0x15f0, /* VPROTWmi */
+  0x15f2, /* VPROTWri */
+/* Table7595 */
+  0x15e6, /* VPROTDmi */
+  0x15e8, /* VPROTDri */
+/* Table7597 */
+  0x15eb, /* VPROTQmi */
+  0x15ed, /* VPROTQri */
+/* Table7599 */
+  0x1347, /* VPCOMBmi */
+  0x1348, /* VPCOMBri */
+/* Table7601 */
+  0x1355, /* VPCOMWmi */
+  0x1356, /* VPCOMWri */
+/* Table7603 */
+  0x1349, /* VPCOMDmi */
+  0x134a, /* VPCOMDri */
+/* Table7605 */
+  0x134b, /* VPCOMQmi */
+  0x134c, /* VPCOMQri */
+/* Table7607 */
+  0x134d, /* VPCOMUBmi */
+  0x134e, /* VPCOMUBri */
+/* Table7609 */
+  0x1353, /* VPCOMUWmi */
+  0x1354, /* VPCOMUWri */
+/* Table7611 */
+  0x134f, /* VPCOMUDmi */
+  0x1350, /* VPCOMUDri */
+/* Table7613 */
+  0x1351, /* VPCOMUQmi */
+  0x1352, /* VPCOMUQri */
+/* Table7615 */
+  0x1283, /* VPCMOVrm */
+  0x1285, /* VPCMOVrr */
+/* Table7617 */
+  0x15df, /* VPPERMrm */
+  0x15e0, /* VPPERMrr */
+/* Table7619 */
+  0x1282, /* VPCMOVmrY */
+  0x1286, /* VPCMOVrrY */
+/* Table7621 */
+  0x1284, /* VPCMOVrmY */
+  0x1286, /* VPCMOVrrY */
+/* Table7623 */
+  0x0, /*  */
+  0xe7, /* BLCFILL32rm */
+  0x103, /* BLSFILL32rm */
+  0xf7, /* BLCS32rm */
+  0xb65, /* TZMSK32rm */
+  0xef, /* BLCIC32rm */
+  0x10b, /* BLSIC32rm */
+  0xb27, /* T1MSKC32rm */
+  0x0, /*  */
+  0xe8, /* BLCFILL32rr */
+  0x104, /* BLSFILL32rr */
+  0xf8, /* BLCS32rr */
+  0xb66, /* TZMSK32rr */
+  0xf0, /* BLCIC32rr */
+  0x10c, /* BLSIC32rr */
+  0xb28, /* T1MSKC32rr */
+/* Table7639 */
+  0x0, /*  */
+  0xf3, /* BLCMSK32rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xeb, /* BLCI32rm */
+  0x0, /*  */
+  0x0, /*  */
+  0xf4, /* BLCMSK32rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xec, /* BLCI32rr */
+  0x0, /*  */
+/* Table7655 */
+  0xeca, /* VFRCZPSrm */
+  0xecc, /* VFRCZPSrr */
+/* Table7657 */
+  0xec6, /* VFRCZPDrm */
+  0xec8, /* VFRCZPDrr */
+/* Table7659 */
+  0xed0, /* VFRCZSSrm */
+  0xed1, /* VFRCZSSrr */
+/* Table7661 */
+  0xece, /* VFRCZSDrm */
+  0xecf, /* VFRCZSDrr */
+/* Table7663 */
+  0x15e2, /* VPROTBmr */
+  0x15e5, /* VPROTBrr */
+/* Table7665 */
+  0x15f1, /* VPROTWmr */
+  0x15f4, /* VPROTWrr */
+/* Table7667 */
+  0x15e7, /* VPROTDmr */
+  0x15ea, /* VPROTDrr */
+/* Table7669 */
+  0x15ec, /* VPROTQmr */
+  0x15ef, /* VPROTQrr */
+/* Table7671 */
+  0x1609, /* VPSHLBmr */
+  0x160b, /* VPSHLBrr */
+/* Table7673 */
+  0x1612, /* VPSHLWmr */
+  0x1614, /* VPSHLWrr */
+/* Table7675 */
+  0x160c, /* VPSHLDmr */
+  0x160e, /* VPSHLDrr */
+/* Table7677 */
+  0x160f, /* VPSHLQmr */
+  0x1611, /* VPSHLQrr */
+/* Table7679 */
+  0x15fd, /* VPSHABmr */
+  0x15ff, /* VPSHABrr */
+/* Table7681 */
+  0x1606, /* VPSHAWmr */
+  0x1608, /* VPSHAWrr */
+/* Table7683 */
+  0x1600, /* VPSHADmr */
+  0x1602, /* VPSHADrr */
+/* Table7685 */
+  0x1603, /* VPSHAQmr */
+  0x1605, /* VPSHAQrr */
+/* Table7687 */
+  0x13ea, /* VPHADDBWrm */
+  0x13eb, /* VPHADDBWrr */
+/* Table7689 */
+  0x13e6, /* VPHADDBDrm */
+  0x13e7, /* VPHADDBDrr */
+/* Table7691 */
+  0x13e8, /* VPHADDBQrm */
+  0x13e9, /* VPHADDBQrr */
+/* Table7693 */
+  0x1402, /* VPHADDWDrm */
+  0x1403, /* VPHADDWDrr */
+/* Table7695 */
+  0x1404, /* VPHADDWQrm */
+  0x1405, /* VPHADDWQrr */
+/* Table7697 */
+  0x13ec, /* VPHADDDQrm */
+  0x13ed, /* VPHADDDQrr */
+/* Table7699 */
+  0x13fa, /* VPHADDUBWrm */
+  0x13fb, /* VPHADDUBWrr */
+/* Table7701 */
+  0x13f6, /* VPHADDUBDrm */
+  0x13f7, /* VPHADDUBDrr */
+/* Table7703 */
+  0x13f8, /* VPHADDUBQrm */
+  0x13f9, /* VPHADDUBQrr */
+/* Table7705 */
+  0x13fe, /* VPHADDUWDrm */
+  0x13ff, /* VPHADDUWDrr */
+/* Table7707 */
+  0x1400, /* VPHADDUWQrm */
+  0x1401, /* VPHADDUWQrr */
+/* Table7709 */
+  0x13fc, /* VPHADDUDQrm */
+  0x13fd, /* VPHADDUDQrr */
+/* Table7711 */
+  0x140c, /* VPHSUBBWrm */
+  0x140d, /* VPHSUBBWrr */
+/* Table7713 */
+  0x1418, /* VPHSUBWDrm */
+  0x1419, /* VPHSUBWDrr */
+/* Table7715 */
+  0x140e, /* VPHSUBDQrm */
+  0x140f, /* VPHSUBDQrr */
+/* Table7717 */
+  0x0, /*  */
+  0xe9, /* BLCFILL64rm */
+  0x105, /* BLSFILL64rm */
+  0xf9, /* BLCS64rm */
+  0xb67, /* TZMSK64rm */
+  0xf1, /* BLCIC64rm */
+  0x10d, /* BLSIC64rm */
+  0xb29, /* T1MSKC64rm */
+  0x0, /*  */
+  0xea, /* BLCFILL64rr */
+  0x106, /* BLSFILL64rr */
+  0xfa, /* BLCS64rr */
+  0xb68, /* TZMSK64rr */
+  0xf2, /* BLCIC64rr */
+  0x10e, /* BLSIC64rr */
+  0xb2a, /* T1MSKC64rr */
+/* Table7733 */
+  0x0, /*  */
+  0xf5, /* BLCMSK64rm */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xed, /* BLCI64rm */
+  0x0, /*  */
+  0x0, /*  */
+  0xf6, /* BLCMSK64rr */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0x0, /*  */
+  0xee, /* BLCI64rr */
+  0x0, /*  */
+/* Table7749 */
+  0x15e4, /* VPROTBrm */
+  0x15e5, /* VPROTBrr */
+/* Table7751 */
+  0x15f3, /* VPROTWrm */
+  0x15f4, /* VPROTWrr */
+/* Table7753 */
+  0x15e9, /* VPROTDrm */
+  0x15ea, /* VPROTDrr */
+/* Table7755 */
+  0x15ee, /* VPROTQrm */
+  0x15ef, /* VPROTQrr */
+/* Table7757 */
+  0x160a, /* VPSHLBrm */
+  0x160b, /* VPSHLBrr */
+/* Table7759 */
+  0x1613, /* VPSHLWrm */
+  0x1614, /* VPSHLWrr */
+/* Table7761 */
+  0x160d, /* VPSHLDrm */
+  0x160e, /* VPSHLDrr */
+/* Table7763 */
+  0x1610, /* VPSHLQrm */
+  0x1611, /* VPSHLQrr */
+/* Table7765 */
+  0x15fe, /* VPSHABrm */
+  0x15ff, /* VPSHABrr */
+/* Table7767 */
+  0x1607, /* VPSHAWrm */
+  0x1608, /* VPSHAWrr */
+/* Table7769 */
+  0x1601, /* VPSHADrm */
+  0x1602, /* VPSHADrr */
+/* Table7771 */
+  0x1604, /* VPSHAQrm */
+  0x1605, /* VPSHAQrr */
+/* Table7773 */
+  0xecb, /* VFRCZPSrmY */
+  0xecd, /* VFRCZPSrrY */
+/* Table7775 */
+  0xec7, /* VFRCZPDrmY */
+  0xec9, /* VFRCZPDrrY */
+/* Table7777 */
+  0xe3, /* BEXTRI32mi */
+  0xe4, /* BEXTRI32ri */
+/* Table7779 */
+  0xe5, /* BEXTRI64mi */
+  0xe6, /* BEXTRI64ri */
+/* Table7781 */
+  0x876, /* PREFETCH */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -52121,80 +59285,80 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table6773 */
-  0x327, /* FEMMS */
-/* Table6774 */
-  0x811, /* PI2FWrm */
-  0x812, /* PI2FWrr */
-/* Table6776 */
-  0x80f, /* PI2FDrm */
-  0x810, /* PI2FDrr */
-/* Table6778 */
-  0x7dd, /* PF2IWrm */
-  0x7de, /* PF2IWrr */
-/* Table6780 */
-  0x7db, /* PF2IDrm */
-  0x7dc, /* PF2IDrr */
-/* Table6782 */
-  0x7ef, /* PFNACCrm */
-  0x7f0, /* PFNACCrr */
-/* Table6784 */
-  0x7f1, /* PFPNACCrm */
-  0x7f2, /* PFPNACCrr */
-/* Table6786 */
-  0x7e5, /* PFCMPGErm */
-  0x7e6, /* PFCMPGErr */
-/* Table6788 */
-  0x7eb, /* PFMINrm */
-  0x7ec, /* PFMINrr */
-/* Table6790 */
-  0x7f7, /* PFRCPrm */
-  0x7f8, /* PFRCPrr */
-/* Table6792 */
-  0x7fb, /* PFRSQRTrm */
-  0x7fc, /* PFRSQRTrr */
-/* Table6794 */
-  0x7ff, /* PFSUBrm */
-  0x800, /* PFSUBrr */
-/* Table6796 */
-  0x7e1, /* PFADDrm */
-  0x7e2, /* PFADDrr */
-/* Table6798 */
-  0x7e7, /* PFCMPGTrm */
-  0x7e8, /* PFCMPGTrr */
-/* Table6800 */
-  0x7e9, /* PFMAXrm */
-  0x7ea, /* PFMAXrr */
-/* Table6802 */
-  0x7f3, /* PFRCPIT1rm */
-  0x7f4, /* PFRCPIT1rr */
-/* Table6804 */
-  0x7f9, /* PFRSQIT1rm */
-  0x7fa, /* PFRSQIT1rr */
-/* Table6806 */
-  0x7fd, /* PFSUBRrm */
-  0x7fe, /* PFSUBRrr */
-/* Table6808 */
-  0x7df, /* PFACCrm */
-  0x7e0, /* PFACCrr */
-/* Table6810 */
-  0x7e3, /* PFCMPEQrm */
-  0x7e4, /* PFCMPEQrr */
-/* Table6812 */
-  0x7ed, /* PFMULrm */
-  0x7ee, /* PFMULrr */
-/* Table6814 */
-  0x7f5, /* PFRCPIT2rm */
-  0x7f6, /* PFRCPIT2rr */
-/* Table6816 */
-  0x854, /* PMULHRWrm */
-  0x855, /* PMULHRWrr */
-/* Table6818 */
-  0x8c2, /* PSWAPDrm */
-  0x8c3, /* PSWAPDrr */
-/* Table6820 */
-  0x7a0, /* PAVGUSBrm */
-  0x7a1, /* PAVGUSBrr */
+/* Table7797 */
+  0x2fc, /* FEMMS */
+/* Table7798 */
+  0x805, /* PI2FWrm */
+  0x806, /* PI2FWrr */
+/* Table7800 */
+  0x803, /* PI2FDrm */
+  0x804, /* PI2FDrr */
+/* Table7802 */
+  0x7d1, /* PF2IWrm */
+  0x7d2, /* PF2IWrr */
+/* Table7804 */
+  0x7cf, /* PF2IDrm */
+  0x7d0, /* PF2IDrr */
+/* Table7806 */
+  0x7e3, /* PFNACCrm */
+  0x7e4, /* PFNACCrr */
+/* Table7808 */
+  0x7e5, /* PFPNACCrm */
+  0x7e6, /* PFPNACCrr */
+/* Table7810 */
+  0x7d9, /* PFCMPGErm */
+  0x7da, /* PFCMPGErr */
+/* Table7812 */
+  0x7df, /* PFMINrm */
+  0x7e0, /* PFMINrr */
+/* Table7814 */
+  0x7eb, /* PFRCPrm */
+  0x7ec, /* PFRCPrr */
+/* Table7816 */
+  0x7ef, /* PFRSQRTrm */
+  0x7f0, /* PFRSQRTrr */
+/* Table7818 */
+  0x7f3, /* PFSUBrm */
+  0x7f4, /* PFSUBrr */
+/* Table7820 */
+  0x7d5, /* PFADDrm */
+  0x7d6, /* PFADDrr */
+/* Table7822 */
+  0x7db, /* PFCMPGTrm */
+  0x7dc, /* PFCMPGTrr */
+/* Table7824 */
+  0x7dd, /* PFMAXrm */
+  0x7de, /* PFMAXrr */
+/* Table7826 */
+  0x7e7, /* PFRCPIT1rm */
+  0x7e8, /* PFRCPIT1rr */
+/* Table7828 */
+  0x7ed, /* PFRSQIT1rm */
+  0x7ee, /* PFRSQIT1rr */
+/* Table7830 */
+  0x7f1, /* PFSUBRrm */
+  0x7f2, /* PFSUBRrr */
+/* Table7832 */
+  0x7d3, /* PFACCrm */
+  0x7d4, /* PFACCrr */
+/* Table7834 */
+  0x7d7, /* PFCMPEQrm */
+  0x7d8, /* PFCMPEQrr */
+/* Table7836 */
+  0x7e1, /* PFMULrm */
+  0x7e2, /* PFMULrr */
+/* Table7838 */
+  0x7e9, /* PFRCPIT2rm */
+  0x7ea, /* PFRCPIT2rr */
+/* Table7840 */
+  0x848, /* PMULHRWrm */
+  0x849, /* PMULHRWrr */
+/* Table7842 */
+  0x8b6, /* PSWAPDrm */
+  0x8b7, /* PSWAPDrr */
+/* Table7844 */
+  0x794, /* PAVGUSBrm */
+  0x795, /* PAVGUSBrr */
   0x0
 };
 
@@ -52393,329 +59557,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -52725,762 +59889,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -53678,9 +60842,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -53690,37 +60854,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -53730,37 +60894,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -53770,37 +60934,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -53850,7 +61014,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -53890,82 +61054,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -53988,19 +61152,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -54010,132 +61174,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -54145,407 +61309,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -54560,212 +61724,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -54780,7 +61944,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1074 /* Table1074 */
+    1068 /* Table1068 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -54790,7 +61954,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1076 /* Table1076 */
+    1070 /* Table1070 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -54800,17 +61964,17 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1078 /* Table1078 */
+    1072 /* Table1072 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1079 /* Table1079 */
+    1073 /* Table1073 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1080 /* Table1080 */
+    1074 /* Table1074 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
@@ -54820,7 +61984,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -54830,7 +61994,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1083 /* Table1083 */
+    1077 /* Table1077 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -54840,12 +62004,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1085 /* Table1085 */
+    1079 /* Table1079 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1086 /* Table1086 */
+    1080 /* Table1080 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -54860,7 +62024,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1087 /* Table1087 */
+    1081 /* Table1081 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -54870,7 +62034,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1089 /* Table1089 */
+    1083 /* Table1083 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -54880,17 +62044,17 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1091 /* Table1091 */
+    1085 /* Table1085 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1092 /* Table1092 */
+    1086 /* Table1086 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1093 /* Table1093 */
+    1087 /* Table1087 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
@@ -54900,7 +62064,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1094 /* Table1094 */
+    1088 /* Table1088 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -54910,7 +62074,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1096 /* Table1096 */
+    1090 /* Table1090 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -54920,17 +62084,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1098 /* Table1098 */
+    1092 /* Table1092 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1099 /* Table1099 */
+    1093 /* Table1093 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1100 /* Table1100 */
+    1094 /* Table1094 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
@@ -54940,7 +62104,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1101 /* Table1101 */
+    1095 /* Table1095 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -54950,7 +62114,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1103 /* Table1103 */
+    1097 /* Table1097 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -54960,332 +62124,332 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1105 /* Table1105 */
+    1099 /* Table1099 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1106 /* Table1106 */
+    1100 /* Table1100 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1108 /* Table1108 */
+    1102 /* Table1102 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1110 /* Table1110 */
+    1104 /* Table1104 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1111 /* Table1111 */
+    1105 /* Table1105 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1113 /* Table1113 */
+    1107 /* Table1107 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1115 /* Table1115 */
+    1109 /* Table1109 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1116 /* Table1116 */
+    1110 /* Table1110 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1118 /* Table1118 */
+    1112 /* Table1112 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1120 /* Table1120 */
+    1114 /* Table1114 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1121 /* Table1121 */
+    1115 /* Table1115 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1122 /* Table1122 */
+    1116 /* Table1116 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1125 /* Table1125 */
+    1119 /* Table1119 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1126 /* Table1126 */
+    1120 /* Table1120 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1127 /* Table1127 */
+    1121 /* Table1121 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -55295,762 +62459,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1129 /* Table1129 */
+    1123 /* Table1123 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1130 /* Table1130 */
+    1124 /* Table1124 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1132 /* Table1132 */
+    1126 /* Table1126 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1135 /* Table1135 */
+    1129 /* Table1129 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1136 /* Table1136 */
+    1130 /* Table1130 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1137 /* Table1137 */
+    1131 /* Table1131 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1153 /* Table1153 */
+    1147 /* Table1147 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1169 /* Table1169 */
+    1163 /* Table1163 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1171 /* Table1171 */
+    1165 /* Table1165 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1173 /* Table1173 */
+    1167 /* Table1167 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1175 /* Table1175 */
+    1169 /* Table1169 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1177 /* Table1177 */
+    1171 /* Table1171 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1179 /* Table1179 */
+    1173 /* Table1173 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1181 /* Table1181 */
+    1175 /* Table1175 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1183 /* Table1183 */
+    1177 /* Table1177 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1200 /* Table1200 */
+    1194 /* Table1194 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1201 /* Table1201 */
+    1195 /* Table1195 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1202 /* Table1202 */
+    1196 /* Table1196 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1203 /* Table1203 */
+    1197 /* Table1197 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1204 /* Table1204 */
+    1198 /* Table1198 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1206 /* Table1206 */
+    1200 /* Table1200 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1208 /* Table1208 */
+    1202 /* Table1202 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1230 /* Table1230 */
+    1224 /* Table1224 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1232 /* Table1232 */
+    1226 /* Table1226 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1234 /* Table1234 */
+    1228 /* Table1228 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1236 /* Table1236 */
+    1230 /* Table1230 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1327 /* Table1327 */
+    1321 /* Table1321 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1343 /* Table1343 */
+    1337 /* Table1337 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1348 /* Table1348 */
+    1342 /* Table1342 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1350 /* Table1350 */
+    1344 /* Table1344 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1366 /* Table1366 */
+    1360 /* Table1360 */
    }
   }
  }
@@ -56248,329 +63412,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -56580,762 +63744,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1382 /* Table1382 */
+    1376 /* Table1376 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -57533,329 +64697,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -57865,762 +65029,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -58818,329 +65982,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -59150,762 +66314,762 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1383 /* Table1383 */
+    1377 /* Table1377 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    448 /* Table448 */
+    442 /* Table442 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    447 /* Table447 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    519 /* Table519 */
+    513 /* Table513 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    934 /* Table934 */
+    928 /* Table928 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    939 /* Table939 */
+    933 /* Table933 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1007 /* Table1007 */
+    1001 /* Table1001 */
    }
   }
  }
@@ -59920,7 +67084,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -59930,7 +67094,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -59940,7 +67104,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -59960,7 +67124,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -59970,7 +67134,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -59980,7 +67144,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -60000,7 +67164,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -60010,7 +67174,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -60020,7 +67184,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -60040,7 +67204,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -60050,7 +67214,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -60060,7 +67224,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -60080,7 +67244,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -60090,7 +67254,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -60100,12 +67264,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -60115,37 +67279,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -60155,37 +67319,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -60195,37 +67359,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -60275,7 +67439,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -60315,82 +67479,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -60410,22 +67574,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -60435,132 +67599,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -60570,407 +67734,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -60985,212 +68149,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1627 /* Table1627 */
+    1621 /* Table1621 */
    }
   }
  }
@@ -61205,7 +68369,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1074 /* Table1074 */
+    1068 /* Table1068 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -61215,7 +68379,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1076 /* Table1076 */
+    1070 /* Table1070 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -61225,7 +68389,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1078 /* Table1078 */
+    1072 /* Table1072 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -61245,7 +68409,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -61255,7 +68419,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1083 /* Table1083 */
+    1077 /* Table1077 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -61265,7 +68429,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1085 /* Table1085 */
+    1079 /* Table1079 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -61285,7 +68449,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1087 /* Table1087 */
+    1081 /* Table1081 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -61295,7 +68459,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1089 /* Table1089 */
+    1083 /* Table1083 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -61305,7 +68469,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1091 /* Table1091 */
+    1085 /* Table1085 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -61325,7 +68489,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1094 /* Table1094 */
+    1088 /* Table1088 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -61335,7 +68499,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1096 /* Table1096 */
+    1090 /* Table1090 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -61345,7 +68509,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1098 /* Table1098 */
+    1092 /* Table1092 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -61365,7 +68529,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1101 /* Table1101 */
+    1095 /* Table1095 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -61375,7 +68539,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1103 /* Table1103 */
+    1097 /* Table1097 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -61385,12 +68549,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1105 /* Table1105 */
+    1099 /* Table1099 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -61400,37 +68564,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1106 /* Table1106 */
+    1100 /* Table1100 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1108 /* Table1108 */
+    1102 /* Table1102 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1110 /* Table1110 */
+    1104 /* Table1104 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -61440,37 +68604,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1111 /* Table1111 */
+    1105 /* Table1105 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1113 /* Table1113 */
+    1107 /* Table1107 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1115 /* Table1115 */
+    1109 /* Table1109 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -61480,37 +68644,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1116 /* Table1116 */
+    1110 /* Table1110 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1118 /* Table1118 */
+    1112 /* Table1112 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1120 /* Table1120 */
+    1114 /* Table1114 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -61560,7 +68724,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -61600,82 +68764,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -61698,19 +68862,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -61720,132 +68884,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1643 /* Table1643 */
+    1637 /* Table1637 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1130 /* Table1130 */
+    1124 /* Table1124 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1135 /* Table1135 */
+    1129 /* Table1129 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1136 /* Table1136 */
+    1130 /* Table1130 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1137 /* Table1137 */
+    1131 /* Table1131 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -61855,407 +69019,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1153 /* Table1153 */
+    1147 /* Table1147 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1169 /* Table1169 */
+    1163 /* Table1163 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1171 /* Table1171 */
+    1165 /* Table1165 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1173 /* Table1173 */
+    1167 /* Table1167 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1175 /* Table1175 */
+    1169 /* Table1169 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1177 /* Table1177 */
+    1171 /* Table1171 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1179 /* Table1179 */
+    1173 /* Table1173 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1181 /* Table1181 */
+    1175 /* Table1175 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1183 /* Table1183 */
+    1177 /* Table1177 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1199 /* Table1199 */
+    1193 /* Table1193 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1200 /* Table1200 */
+    1194 /* Table1194 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1201 /* Table1201 */
+    1195 /* Table1195 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1202 /* Table1202 */
+    1196 /* Table1196 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1203 /* Table1203 */
+    1197 /* Table1197 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1204 /* Table1204 */
+    1198 /* Table1198 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1644 /* Table1644 */
+    1638 /* Table1638 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1645 /* Table1645 */
+    1639 /* Table1639 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1208 /* Table1208 */
+    1202 /* Table1202 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1230 /* Table1230 */
+    1224 /* Table1224 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1232 /* Table1232 */
+    1226 /* Table1226 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1234 /* Table1234 */
+    1228 /* Table1228 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1236 /* Table1236 */
+    1230 /* Table1230 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1327 /* Table1327 */
+    1321 /* Table1321 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -62270,212 +69434,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1343 /* Table1343 */
+    1337 /* Table1337 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1348 /* Table1348 */
+    1342 /* Table1342 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1350 /* Table1350 */
+    1344 /* Table1344 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1646 /* Table1646 */
+    1640 /* Table1640 */
    }
   }
  }
@@ -62673,9 +69837,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -62685,37 +69849,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -62725,37 +69889,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -62765,37 +69929,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -62845,7 +70009,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -62885,82 +70049,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -62983,19 +70147,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -63005,132 +70169,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -63140,407 +70304,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -63555,212 +70719,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -63958,9 +71122,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -63970,37 +71134,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -64010,37 +71174,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -64050,37 +71214,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -64130,7 +71294,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -64170,82 +71334,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -64268,19 +71432,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -64290,132 +71454,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -64425,407 +71589,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -64840,212 +72004,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -65243,9 +72407,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -65255,37 +72419,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -65295,37 +72459,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -65335,37 +72499,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -65415,7 +72579,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -65455,82 +72619,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -65553,19 +72717,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -65575,132 +72739,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -65710,407 +72874,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1028 /* Table1028 */
+    1022 /* Table1022 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1383 /* Table1383 */
+    1377 /* Table1377 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1046 /* Table1046 */
+    1040 /* Table1040 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1050 /* Table1050 */
+    1044 /* Table1044 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1052 /* Table1052 */
+    1046 /* Table1046 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    281 /* Table281 */
+    275 /* Table275 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    375 /* Table375 */
+    369 /* Table369 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    449 /* Table449 */
+    443 /* Table443 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    450 /* Table450 */
+    444 /* Table444 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    454 /* Table454 */
+    448 /* Table448 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    471 /* Table471 */
+    465 /* Table465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -66125,212 +73289,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    969 /* Table969 */
+    963 /* Table963 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1058 /* Table1058 */
+    1052 /* Table1052 */
    }
   }
  }
@@ -66345,7 +73509,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -66355,7 +73519,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -66365,7 +73529,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -66385,7 +73549,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -66395,7 +73559,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -66405,7 +73569,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -66425,7 +73589,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -66435,7 +73599,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -66445,7 +73609,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -66465,7 +73629,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -66475,7 +73639,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -66485,7 +73649,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -66505,7 +73669,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -66515,7 +73679,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -66525,12 +73689,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -66540,37 +73704,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -66580,37 +73744,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -66620,37 +73784,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -66700,7 +73864,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -66740,82 +73904,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -66835,22 +73999,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -66860,132 +74024,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -66995,407 +74159,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -67410,212 +74574,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1627 /* Table1627 */
+    1621 /* Table1621 */
    }
   }
  }
@@ -67630,7 +74794,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -67640,7 +74804,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -67650,7 +74814,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -67670,7 +74834,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -67680,7 +74844,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -67690,7 +74854,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -67710,7 +74874,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -67720,7 +74884,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -67730,7 +74894,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -67750,7 +74914,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -67760,7 +74924,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -67770,7 +74934,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -67790,7 +74954,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -67800,7 +74964,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -67810,12 +74974,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -67825,37 +74989,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -67865,37 +75029,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -67905,37 +75069,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -67985,7 +75149,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -68025,82 +75189,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1024 /* Table1024 */
+    1018 /* Table1018 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1025 /* Table1025 */
+    1019 /* Table1019 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -68120,22 +75284,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -68145,132 +75309,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1026 /* Table1026 */
+    1020 /* Table1020 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -68280,407 +75444,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1030 /* Table1030 */
+    1024 /* Table1024 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1047 /* Table1047 */
+    1041 /* Table1041 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1048 /* Table1048 */
+    1042 /* Table1042 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1053 /* Table1053 */
+    1047 /* Table1047 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1054 /* Table1054 */
+    1048 /* Table1048 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    299 /* Table299 */
+    293 /* Table293 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    301 /* Table301 */
+    295 /* Table295 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -68695,212 +75859,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    936 /* Table936 */
+    930 /* Table930 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    938 /* Table938 */
+    932 /* Table932 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1057 /* Table1057 */
+    1051 /* Table1051 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    941 /* Table941 */
+    935 /* Table935 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    944 /* Table944 */
+    938 /* Table938 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    946 /* Table946 */
+    940 /* Table940 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1627 /* Table1627 */
+    1621 /* Table1621 */
    }
   }
  }
@@ -68915,7 +76079,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1384 /* Table1384 */
+    1378 /* Table1378 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -68925,7 +76089,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1386 /* Table1386 */
+    1380 /* Table1380 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -68935,7 +76099,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1388 /* Table1388 */
+    1382 /* Table1382 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -68955,7 +76119,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1389 /* Table1389 */
+    1383 /* Table1383 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -68965,7 +76129,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1391 /* Table1391 */
+    1385 /* Table1385 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -68975,7 +76139,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1393 /* Table1393 */
+    1387 /* Table1387 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -68995,7 +76159,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1394 /* Table1394 */
+    1388 /* Table1388 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -69005,7 +76169,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1396 /* Table1396 */
+    1390 /* Table1390 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -69015,7 +76179,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1398 /* Table1398 */
+    1392 /* Table1392 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -69035,7 +76199,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1399 /* Table1399 */
+    1393 /* Table1393 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -69045,7 +76209,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1401 /* Table1401 */
+    1395 /* Table1395 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -69055,7 +76219,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1403 /* Table1403 */
+    1397 /* Table1397 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -69075,7 +76239,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1404 /* Table1404 */
+    1398 /* Table1398 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -69085,7 +76249,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1406 /* Table1406 */
+    1400 /* Table1400 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -69095,12 +76259,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1408 /* Table1408 */
+    1402 /* Table1402 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -69110,37 +76274,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1409 /* Table1409 */
+    1403 /* Table1403 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1411 /* Table1411 */
+    1405 /* Table1405 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1413 /* Table1413 */
+    1407 /* Table1407 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -69150,37 +76314,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1414 /* Table1414 */
+    1408 /* Table1408 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1416 /* Table1416 */
+    1410 /* Table1410 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1418 /* Table1418 */
+    1412 /* Table1412 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -69190,37 +76354,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1419 /* Table1419 */
+    1413 /* Table1413 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1421 /* Table1421 */
+    1415 /* Table1415 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1423 /* Table1423 */
+    1417 /* Table1417 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -69270,7 +76434,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1023 /* Table1023 */
+    1017 /* Table1017 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -69310,82 +76474,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1123 /* Table1123 */
+    1117 /* Table1117 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1124 /* Table1124 */
+    1118 /* Table1118 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -69405,22 +76569,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1424 /* Table1424 */
+    1418 /* Table1418 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -69430,132 +76594,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1643 /* Table1643 */
+    1637 /* Table1637 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1426 /* Table1426 */
+    1420 /* Table1420 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1027 /* Table1027 */
+    1021 /* Table1021 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1428 /* Table1428 */
+    1422 /* Table1422 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1135 /* Table1135 */
+    1129 /* Table1129 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1136 /* Table1136 */
+    1130 /* Table1130 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1430 /* Table1430 */
+    1424 /* Table1424 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -69565,407 +76729,407 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1446 /* Table1446 */
+    1440 /* Table1440 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1462 /* Table1462 */
+    1456 /* Table1456 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1464 /* Table1464 */
+    1458 /* Table1458 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1466 /* Table1466 */
+    1460 /* Table1460 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1468 /* Table1468 */
+    1462 /* Table1462 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1470 /* Table1470 */
+    1464 /* Table1464 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1472 /* Table1472 */
+    1466 /* Table1466 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1474 /* Table1474 */
+    1468 /* Table1468 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1183 /* Table1183 */
+    1177 /* Table1177 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1476 /* Table1476 */
+    1470 /* Table1470 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1477 /* Table1477 */
+    1471 /* Table1471 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1478 /* Table1478 */
+    1472 /* Table1472 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1202 /* Table1202 */
+    1196 /* Table1196 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1203 /* Table1203 */
+    1197 /* Table1197 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1204 /* Table1204 */
+    1198 /* Table1198 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1479 /* Table1479 */
+    1473 /* Table1473 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1051 /* Table1051 */
+    1045 /* Table1045 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1480 /* Table1480 */
+    1474 /* Table1474 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1481 /* Table1481 */
+    1475 /* Table1475 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1482 /* Table1482 */
+    1476 /* Table1476 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1483 /* Table1483 */
+    1477 /* Table1477 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1484 /* Table1484 */
+    1478 /* Table1478 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1485 /* Table1485 */
+    1479 /* Table1479 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1486 /* Table1486 */
+    1480 /* Table1480 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1487 /* Table1487 */
+    1481 /* Table1481 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    265 /* Table265 */
+    259 /* Table259 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1488 /* Table1488 */
+    1482 /* Table1482 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1230 /* Table1230 */
+    1224 /* Table1224 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1232 /* Table1232 */
+    1226 /* Table1226 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1234 /* Table1234 */
+    1228 /* Table1228 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    303 /* Table303 */
+    297 /* Table297 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    447 /* Table447 */
+    441 /* Table441 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1055 /* Table1055 */
+    1049 /* Table1049 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1576 /* Table1576 */
+    1570 /* Table1570 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1577 /* Table1577 */
+    1571 /* Table1571 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    451 /* Table451 */
+    445 /* Table445 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    452 /* Table452 */
+    446 /* Table446 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    453 /* Table453 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1578 /* Table1578 */
+    1572 /* Table1572 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    455 /* Table455 */
+    449 /* Table449 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1579 /* Table1579 */
+    1573 /* Table1573 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1595 /* Table1595 */
+    1589 /* Table1589 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -69980,212 +77144,212 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    521 /* Table521 */
+    515 /* Table515 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    522 /* Table522 */
+    516 /* Table516 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    523 /* Table523 */
+    517 /* Table517 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    611 /* Table611 */
+    605 /* Table605 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    771 /* Table771 */
+    765 /* Table765 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    859 /* Table859 */
+    853 /* Table853 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    931 /* Table931 */
+    925 /* Table925 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    932 /* Table932 */
+    926 /* Table926 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    933 /* Table933 */
+    927 /* Table927 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1056 /* Table1056 */
+    1050 /* Table1050 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    935 /* Table935 */
+    929 /* Table929 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1343 /* Table1343 */
+    1337 /* Table1337 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    937 /* Table937 */
+    931 /* Table931 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    942 /* Table942 */
+    936 /* Table936 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    943 /* Table943 */
+    937 /* Table937 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1348 /* Table1348 */
+    1342 /* Table1342 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    945 /* Table945 */
+    939 /* Table939 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    947 /* Table947 */
+    941 /* Table941 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    948 /* Table948 */
+    942 /* Table942 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    949 /* Table949 */
+    943 /* Table943 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    950 /* Table950 */
+    944 /* Table944 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    951 /* Table951 */
+    945 /* Table945 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    952 /* Table952 */
+    946 /* Table946 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    953 /* Table953 */
+    947 /* Table947 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1611 /* Table1611 */
+    1605 /* Table1605 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    985 /* Table985 */
+    979 /* Table979 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    986 /* Table986 */
+    980 /* Table980 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    987 /* Table987 */
+    981 /* Table981 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    988 /* Table988 */
+    982 /* Table982 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    989 /* Table989 */
+    983 /* Table983 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    990 /* Table990 */
+    984 /* Table984 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    991 /* Table991 */
+    985 /* Table985 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1663 /* Table1663 */
+    1657 /* Table1657 */
    }
   }
  }
@@ -71484,22 +78648,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -71509,27 +78673,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -71539,7 +78703,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -71549,7 +78713,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -71564,102 +78728,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -71684,72 +78848,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -71759,7 +78923,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -71804,222 +78968,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -72034,62 +79198,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2019 /* Table2019 */
+    2013 /* Table2013 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2021 /* Table2021 */
+    2015 /* Table2015 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -72114,292 +79278,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -72409,117 +79573,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -72529,27 +79693,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -72559,77 +79723,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -72639,47 +79803,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -72689,72 +79853,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -72769,22 +79933,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2471 /* Table2471 */
+    2465 /* Table2465 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -72794,27 +79958,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -72824,7 +79988,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -72834,7 +79998,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -72849,102 +80013,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -72969,72 +80133,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -73044,7 +80208,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -73089,222 +80253,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -73319,62 +80483,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -73399,292 +80563,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -73694,117 +80858,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -73814,27 +80978,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -73844,77 +81008,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -73924,47 +81088,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -73974,72 +81138,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -74054,22 +81218,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2562 /* Table2562 */
+    2556 /* Table2556 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2578 /* Table2578 */
+    2572 /* Table2572 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2650 /* Table2650 */
+    2644 /* Table2644 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2652 /* Table2652 */
+    2646 /* Table2646 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -74079,27 +81243,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -74109,7 +81273,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -74119,7 +81283,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -74134,102 +81298,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2654 /* Table2654 */
+    2648 /* Table2648 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2656 /* Table2656 */
+    2650 /* Table2650 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2658 /* Table2658 */
+    2652 /* Table2652 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2660 /* Table2660 */
+    2654 /* Table2654 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2662 /* Table2662 */
+    2656 /* Table2656 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2664 /* Table2664 */
+    2658 /* Table2658 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2666 /* Table2666 */
+    2660 /* Table2660 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2668 /* Table2668 */
+    2662 /* Table2662 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2670 /* Table2670 */
+    2664 /* Table2664 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2686 /* Table2686 */
+    2680 /* Table2680 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2688 /* Table2688 */
+    2682 /* Table2682 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2690 /* Table2690 */
+    2684 /* Table2684 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2692 /* Table2692 */
+    2686 /* Table2686 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2694 /* Table2694 */
+    2688 /* Table2688 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2696 /* Table2696 */
+    2690 /* Table2690 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2698 /* Table2698 */
+    2692 /* Table2692 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -74254,72 +81418,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2700 /* Table2700 */
+    2694 /* Table2694 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2702 /* Table2702 */
+    2696 /* Table2696 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2704 /* Table2704 */
+    2698 /* Table2698 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2706 /* Table2706 */
+    2700 /* Table2700 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2708 /* Table2708 */
+    2702 /* Table2702 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2710 /* Table2710 */
+    2704 /* Table2704 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2712 /* Table2712 */
+    2706 /* Table2706 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2714 /* Table2714 */
+    2708 /* Table2708 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -74329,7 +81493,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -74374,292 +81538,292 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2716 /* Table2716 */
+    2710 /* Table2710 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2718 /* Table2718 */
+    2712 /* Table2712 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2720 /* Table2720 */
+    2714 /* Table2714 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2722 /* Table2722 */
+    2716 /* Table2716 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2724 /* Table2724 */
+    2718 /* Table2718 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2726 /* Table2726 */
+    2720 /* Table2720 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2728 /* Table2728 */
+    2722 /* Table2722 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2730 /* Table2730 */
+    2724 /* Table2724 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2732 /* Table2732 */
+    2726 /* Table2726 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2734 /* Table2734 */
+    2728 /* Table2728 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2736 /* Table2736 */
+    2730 /* Table2730 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2738 /* Table2738 */
+    2732 /* Table2732 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2740 /* Table2740 */
+    2734 /* Table2734 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2742 /* Table2742 */
+    2736 /* Table2736 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2744 /* Table2744 */
+    2738 /* Table2738 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2746 /* Table2746 */
+    2740 /* Table2740 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2748 /* Table2748 */
+    2742 /* Table2742 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2750 /* Table2750 */
+    2744 /* Table2744 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2752 /* Table2752 */
+    2746 /* Table2746 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2754 /* Table2754 */
+    2748 /* Table2748 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2756 /* Table2756 */
+    2750 /* Table2750 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2758 /* Table2758 */
+    2752 /* Table2752 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2760 /* Table2760 */
+    2754 /* Table2754 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2762 /* Table2762 */
+    2756 /* Table2756 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2764 /* Table2764 */
+    2758 /* Table2758 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2766 /* Table2766 */
+    2760 /* Table2760 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2768 /* Table2768 */
+    2762 /* Table2762 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2770 /* Table2770 */
+    2764 /* Table2764 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2772 /* Table2772 */
+    2766 /* Table2766 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2774 /* Table2774 */
+    2768 /* Table2768 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2776 /* Table2776 */
+    2770 /* Table2770 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2778 /* Table2778 */
+    2772 /* Table2772 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2780 /* Table2780 */
+    2774 /* Table2774 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2782 /* Table2782 */
+    2776 /* Table2776 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2784 /* Table2784 */
+    2778 /* Table2778 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2786 /* Table2786 */
+    2780 /* Table2780 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2788 /* Table2788 */
+    2782 /* Table2782 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2790 /* Table2790 */
+    2784 /* Table2784 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2792 /* Table2792 */
+    2786 /* Table2786 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2794 /* Table2794 */
+    2788 /* Table2788 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2796 /* Table2796 */
+    2790 /* Table2790 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2798 /* Table2798 */
+    2792 /* Table2792 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2800 /* Table2800 */
+    2794 /* Table2794 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2802 /* Table2802 */
+    2796 /* Table2796 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2804 /* Table2804 */
+    2798 /* Table2798 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2806 /* Table2806 */
+    2800 /* Table2800 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2808 /* Table2808 */
+    2802 /* Table2802 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2810 /* Table2810 */
+    2804 /* Table2804 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2826 /* Table2826 */
+    2820 /* Table2820 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2842 /* Table2842 */
+    2836 /* Table2836 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2858 /* Table2858 */
+    2852 /* Table2852 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2860 /* Table2860 */
+    2854 /* Table2854 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2862 /* Table2862 */
+    2856 /* Table2856 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2864 /* Table2864 */
+    2858 /* Table2858 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2866 /* Table2866 */
+    2860 /* Table2860 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -74674,302 +81838,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2868 /* Table2868 */
+    2862 /* Table2862 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2870 /* Table2870 */
+    2864 /* Table2864 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2872 /* Table2872 */
+    2866 /* Table2866 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2874 /* Table2874 */
+    2868 /* Table2868 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2876 /* Table2876 */
+    2870 /* Table2870 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2877 /* Table2877 */
+    2871 /* Table2871 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2878 /* Table2878 */
+    2872 /* Table2872 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2879 /* Table2879 */
+    2873 /* Table2873 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2880 /* Table2880 */
+    2874 /* Table2874 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2881 /* Table2881 */
+    2875 /* Table2875 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2882 /* Table2882 */
+    2876 /* Table2876 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2883 /* Table2883 */
+    2877 /* Table2877 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2884 /* Table2884 */
+    2878 /* Table2878 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2885 /* Table2885 */
+    2879 /* Table2879 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2886 /* Table2886 */
+    2880 /* Table2880 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2887 /* Table2887 */
+    2881 /* Table2881 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2888 /* Table2888 */
+    2882 /* Table2882 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2889 /* Table2889 */
+    2883 /* Table2883 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2890 /* Table2890 */
+    2884 /* Table2884 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2891 /* Table2891 */
+    2885 /* Table2885 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2892 /* Table2892 */
+    2886 /* Table2886 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2893 /* Table2893 */
+    2887 /* Table2887 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2894 /* Table2894 */
+    2888 /* Table2888 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2896 /* Table2896 */
+    2890 /* Table2890 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2898 /* Table2898 */
+    2892 /* Table2892 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2900 /* Table2900 */
+    2894 /* Table2894 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2901 /* Table2901 */
+    2895 /* Table2895 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2902 /* Table2902 */
+    2896 /* Table2896 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2904 /* Table2904 */
+    2898 /* Table2898 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2906 /* Table2906 */
+    2900 /* Table2900 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2908 /* Table2908 */
+    2902 /* Table2902 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2910 /* Table2910 */
+    2904 /* Table2904 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2912 /* Table2912 */
+    2906 /* Table2906 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2914 /* Table2914 */
+    2908 /* Table2908 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2916 /* Table2916 */
+    2910 /* Table2910 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2918 /* Table2918 */
+    2912 /* Table2912 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2920 /* Table2920 */
+    2914 /* Table2914 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -74979,277 +82143,277 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2922 /* Table2922 */
+    2916 /* Table2916 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2938 /* Table2938 */
+    2932 /* Table2932 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2940 /* Table2940 */
+    2934 /* Table2934 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2942 /* Table2942 */
+    2936 /* Table2936 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2944 /* Table2944 */
+    2938 /* Table2938 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2946 /* Table2946 */
+    2940 /* Table2940 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2948 /* Table2948 */
+    2942 /* Table2942 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2950 /* Table2950 */
+    2944 /* Table2944 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2952 /* Table2952 */
+    2946 /* Table2946 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2954 /* Table2954 */
+    2948 /* Table2948 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2956 /* Table2956 */
+    2950 /* Table2950 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2972 /* Table2972 */
+    2966 /* Table2966 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2974 /* Table2974 */
+    2968 /* Table2968 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2976 /* Table2976 */
+    2970 /* Table2970 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2978 /* Table2978 */
+    2972 /* Table2972 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2980 /* Table2980 */
+    2974 /* Table2974 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2982 /* Table2982 */
+    2976 /* Table2976 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2984 /* Table2984 */
+    2978 /* Table2978 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2986 /* Table2986 */
+    2980 /* Table2980 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2988 /* Table2988 */
+    2982 /* Table2982 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2990 /* Table2990 */
+    2984 /* Table2984 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2992 /* Table2992 */
+    2986 /* Table2986 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2994 /* Table2994 */
+    2988 /* Table2988 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2996 /* Table2996 */
+    2990 /* Table2990 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2998 /* Table2998 */
+    2992 /* Table2992 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3000 /* Table3000 */
+    2994 /* Table2994 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3002 /* Table3002 */
+    2996 /* Table2996 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3004 /* Table3004 */
+    2998 /* Table2998 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3006 /* Table3006 */
+    3000 /* Table3000 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3008 /* Table3008 */
+    3002 /* Table3002 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3010 /* Table3010 */
+    3004 /* Table3004 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3012 /* Table3012 */
+    3006 /* Table3006 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3014 /* Table3014 */
+    3008 /* Table3008 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3016 /* Table3016 */
+    3010 /* Table3010 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3018 /* Table3018 */
+    3012 /* Table3012 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3020 /* Table3020 */
+    3014 /* Table3014 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3022 /* Table3022 */
+    3016 /* Table3016 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3024 /* Table3024 */
+    3018 /* Table3018 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3026 /* Table3026 */
+    3020 /* Table3020 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3028 /* Table3028 */
+    3022 /* Table3022 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3030 /* Table3030 */
+    3024 /* Table3024 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3032 /* Table3032 */
+    3026 /* Table3026 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3034 /* Table3034 */
+    3028 /* Table3028 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -75259,72 +82423,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3036 /* Table3036 */
+    3030 /* Table3030 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3038 /* Table3038 */
+    3032 /* Table3032 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3040 /* Table3040 */
+    3034 /* Table3034 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3042 /* Table3042 */
+    3036 /* Table3036 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3044 /* Table3044 */
+    3038 /* Table3038 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3046 /* Table3046 */
+    3040 /* Table3040 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3048 /* Table3048 */
+    3042 /* Table3042 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3050 /* Table3050 */
+    3044 /* Table3044 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3052 /* Table3052 */
+    3046 /* Table3046 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3054 /* Table3054 */
+    3048 /* Table3048 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3056 /* Table3056 */
+    3050 /* Table3050 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3058 /* Table3058 */
+    3052 /* Table3052 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3060 /* Table3060 */
+    3054 /* Table3054 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3062 /* Table3062 */
+    3056 /* Table3056 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -75339,22 +82503,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -75364,27 +82528,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -75394,7 +82558,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -75404,7 +82568,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -75419,102 +82583,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -75539,72 +82703,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -75614,7 +82778,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -75659,222 +82823,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -75889,62 +83053,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2019 /* Table2019 */
+    2013 /* Table2013 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2021 /* Table2021 */
+    2015 /* Table2015 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -75969,292 +83133,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -76264,117 +83428,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -76384,27 +83548,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -76414,77 +83578,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -76494,47 +83658,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -76544,72 +83708,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -76624,22 +83788,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -76649,27 +83813,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -76679,7 +83843,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -76689,7 +83853,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -76704,102 +83868,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3064 /* Table3064 */
+    3058 /* Table3058 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3066 /* Table3066 */
+    3060 /* Table3060 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3068 /* Table3068 */
+    3062 /* Table3062 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -76824,72 +83988,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3070 /* Table3070 */
+    3064 /* Table3064 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3072 /* Table3072 */
+    3066 /* Table3066 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3074 /* Table3074 */
+    3068 /* Table3068 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3076 /* Table3076 */
+    3070 /* Table3070 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -76899,7 +84063,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -76944,222 +84108,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3078 /* Table3078 */
+    3072 /* Table3072 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3080 /* Table3080 */
+    3074 /* Table3074 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3082 /* Table3082 */
+    3076 /* Table3076 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3084 /* Table3084 */
+    3078 /* Table3078 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3086 /* Table3086 */
+    3080 /* Table3080 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3088 /* Table3088 */
+    3082 /* Table3082 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3090 /* Table3090 */
+    3084 /* Table3084 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3092 /* Table3092 */
+    3086 /* Table3086 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -77174,62 +84338,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3094 /* Table3094 */
+    3088 /* Table3088 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3096 /* Table3096 */
+    3090 /* Table3090 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3098 /* Table3098 */
+    3092 /* Table3092 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -77244,302 +84408,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3100 /* Table3100 */
+    3094 /* Table3094 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3102 /* Table3102 */
+    3096 /* Table3096 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2023 /* Table2023 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -77549,352 +84713,352 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3104 /* Table3104 */
+    3098 /* Table3098 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3106 /* Table3106 */
+    3100 /* Table3100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3108 /* Table3108 */
+    3102 /* Table3102 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3110 /* Table3110 */
+    3104 /* Table3104 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3112 /* Table3112 */
+    3106 /* Table3106 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -77909,22 +85073,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1695 /* Table1695 */
+    1689 /* Table1689 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -77934,27 +85098,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -77964,7 +85128,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -77974,7 +85138,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -77989,102 +85153,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3114 /* Table3114 */
+    3108 /* Table3108 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3116 /* Table3116 */
+    3110 /* Table3110 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3118 /* Table3118 */
+    3112 /* Table3112 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3120 /* Table3120 */
+    3114 /* Table3114 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -78109,72 +85273,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3122 /* Table3122 */
+    3116 /* Table3116 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3124 /* Table3124 */
+    3118 /* Table3118 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3126 /* Table3126 */
+    3120 /* Table3120 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3128 /* Table3128 */
+    3122 /* Table3122 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -78184,7 +85348,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -78229,222 +85393,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3130 /* Table3130 */
+    3124 /* Table3124 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3132 /* Table3132 */
+    3126 /* Table3126 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3134 /* Table3134 */
+    3128 /* Table3128 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3136 /* Table3136 */
+    3130 /* Table3130 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3138 /* Table3138 */
+    3132 /* Table3132 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3140 /* Table3140 */
+    3134 /* Table3134 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3142 /* Table3142 */
+    3136 /* Table3136 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3144 /* Table3144 */
+    3138 /* Table3138 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3146 /* Table3146 */
+    3140 /* Table3140 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3148 /* Table3148 */
+    3142 /* Table3142 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3150 /* Table3150 */
+    3144 /* Table3144 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -78459,62 +85623,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3152 /* Table3152 */
+    3146 /* Table3146 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3154 /* Table3154 */
+    3148 /* Table3148 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2019 /* Table2019 */
+    2013 /* Table2013 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2021 /* Table2021 */
+    2015 /* Table2015 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -78539,412 +85703,412 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3156 /* Table3156 */
+    3150 /* Table3150 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3158 /* Table3158 */
+    3152 /* Table3152 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2076 /* Table2076 */
+    2070 /* Table2070 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2228 /* Table2228 */
+    2222 /* Table2222 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2229 /* Table2229 */
+    2223 /* Table2223 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3160 /* Table3160 */
+    3154 /* Table3154 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3162 /* Table3162 */
+    3156 /* Table3156 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3164 /* Table3164 */
+    3158 /* Table3158 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3166 /* Table3166 */
+    3160 /* Table3160 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3168 /* Table3168 */
+    3162 /* Table3162 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -78954,157 +86118,157 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3184 /* Table3184 */
+    3178 /* Table3178 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3186 /* Table3186 */
+    3180 /* Table3180 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -79114,72 +86278,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2455 /* Table2455 */
+    2449 /* Table2449 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -80114,7 +87278,7 @@
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3188 /* Table3188 */
+    3182 /* Table3182 */
    },
    /* 0xb9 */
    { /* ModRMDecision */
@@ -80134,12 +87298,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3190 /* Table3190 */
+    3184 /* Table3184 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3192 /* Table3192 */
+    3186 /* Table3186 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -80479,22 +87643,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3194 /* Table3194 */
+    3188 /* Table3188 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3210 /* Table3210 */
+    3204 /* Table3204 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3282 /* Table3282 */
+    3276 /* Table3276 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3284 /* Table3284 */
+    3278 /* Table3278 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -80504,27 +87668,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3286 /* Table3286 */
+    3280 /* Table3280 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -80534,7 +87698,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -80544,7 +87708,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -80559,102 +87723,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -80679,72 +87843,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3287 /* Table3287 */
+    3281 /* Table3281 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -80754,7 +87918,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -80799,222 +87963,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3288 /* Table3288 */
+    3282 /* Table3282 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3290 /* Table3290 */
+    3284 /* Table3284 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3292 /* Table3292 */
+    3286 /* Table3286 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3294 /* Table3294 */
+    3288 /* Table3288 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3296 /* Table3296 */
+    3290 /* Table3290 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3298 /* Table3298 */
+    3292 /* Table3292 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3300 /* Table3300 */
+    3294 /* Table3294 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3302 /* Table3302 */
+    3296 /* Table3296 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3304 /* Table3304 */
+    3298 /* Table3298 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3306 /* Table3306 */
+    3300 /* Table3300 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3308 /* Table3308 */
+    3302 /* Table3302 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3310 /* Table3310 */
+    3304 /* Table3304 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3312 /* Table3312 */
+    3306 /* Table3306 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3314 /* Table3314 */
+    3308 /* Table3308 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3316 /* Table3316 */
+    3310 /* Table3310 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3318 /* Table3318 */
+    3312 /* Table3312 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1904 /* Table1904 */
+    1898 /* Table1898 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1918 /* Table1918 */
+    1912 /* Table1912 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1920 /* Table1920 */
+    1914 /* Table1914 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1922 /* Table1922 */
+    1916 /* Table1916 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1924 /* Table1924 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1926 /* Table1926 */
+    1920 /* Table1920 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1928 /* Table1928 */
+    1922 /* Table1922 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1930 /* Table1930 */
+    1924 /* Table1924 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1932 /* Table1932 */
+    1926 /* Table1926 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -81029,62 +88193,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3320 /* Table3320 */
+    3314 /* Table3314 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1960 /* Table1960 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1962 /* Table1962 */
+    1956 /* Table1956 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -81109,292 +88273,292 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3322 /* Table3322 */
+    3316 /* Table3316 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2025 /* Table2025 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3324 /* Table3324 */
+    3318 /* Table3318 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3326 /* Table3326 */
+    3320 /* Table3320 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3328 /* Table3328 */
+    3322 /* Table3322 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3330 /* Table3330 */
+    3324 /* Table3324 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3332 /* Table3332 */
+    3326 /* Table3326 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3334 /* Table3334 */
+    3328 /* Table3328 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3336 /* Table3336 */
+    3330 /* Table3330 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3408 /* Table3408 */
+    3402 /* Table3402 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3410 /* Table3410 */
+    3404 /* Table3404 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3412 /* Table3412 */
+    3406 /* Table3406 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3414 /* Table3414 */
+    3408 /* Table3408 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3416 /* Table3416 */
+    3410 /* Table3410 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3418 /* Table3418 */
+    3412 /* Table3412 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3420 /* Table3420 */
+    3414 /* Table3414 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3422 /* Table3422 */
+    3416 /* Table3416 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -81404,117 +88568,117 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3424 /* Table3424 */
+    3418 /* Table3418 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3440 /* Table3440 */
+    3434 /* Table3434 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3442 /* Table3442 */
+    3436 /* Table3436 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3444 /* Table3444 */
+    3438 /* Table3438 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3446 /* Table3446 */
+    3440 /* Table3440 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3448 /* Table3448 */
+    3442 /* Table3442 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3450 /* Table3450 */
+    3444 /* Table3444 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3452 /* Table3452 */
+    3446 /* Table3446 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3454 /* Table3454 */
+    3448 /* Table3448 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -81524,27 +88688,27 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -81554,77 +88718,77 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* ModRMDecision */
@@ -81634,47 +88798,47 @@
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -81684,72 +88848,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -81764,22 +88928,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2562 /* Table2562 */
+    2556 /* Table2556 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3471 /* Table3471 */
+    3465 /* Table3465 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2650 /* Table2650 */
+    2644 /* Table2644 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2652 /* Table2652 */
+    2646 /* Table2646 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -81789,27 +88953,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -81819,7 +88983,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -81829,7 +88993,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -81844,102 +89008,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2654 /* Table2654 */
+    2648 /* Table2648 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2656 /* Table2656 */
+    2650 /* Table2650 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2658 /* Table2658 */
+    2652 /* Table2652 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2660 /* Table2660 */
+    2654 /* Table2654 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2662 /* Table2662 */
+    2656 /* Table2656 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2664 /* Table2664 */
+    2658 /* Table2658 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2666 /* Table2666 */
+    2660 /* Table2660 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2668 /* Table2668 */
+    2662 /* Table2662 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2670 /* Table2670 */
+    2664 /* Table2664 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2686 /* Table2686 */
+    2680 /* Table2680 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2688 /* Table2688 */
+    2682 /* Table2682 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2690 /* Table2690 */
+    2684 /* Table2684 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2692 /* Table2692 */
+    2686 /* Table2686 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2694 /* Table2694 */
+    2688 /* Table2688 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2696 /* Table2696 */
+    2690 /* Table2690 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2698 /* Table2698 */
+    2692 /* Table2692 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -81964,72 +89128,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2700 /* Table2700 */
+    2694 /* Table2694 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2702 /* Table2702 */
+    2696 /* Table2696 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2704 /* Table2704 */
+    2698 /* Table2698 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2706 /* Table2706 */
+    2700 /* Table2700 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2708 /* Table2708 */
+    2702 /* Table2702 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2710 /* Table2710 */
+    2704 /* Table2704 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2712 /* Table2712 */
+    2706 /* Table2706 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2714 /* Table2714 */
+    2708 /* Table2708 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -82039,7 +89203,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -82084,282 +89248,2852 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2716 /* Table2716 */
+    2710 /* Table2710 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2718 /* Table2718 */
+    2712 /* Table2712 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2720 /* Table2720 */
+    2714 /* Table2714 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2722 /* Table2722 */
+    2716 /* Table2716 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2724 /* Table2724 */
+    2718 /* Table2718 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2726 /* Table2726 */
+    2720 /* Table2720 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2728 /* Table2728 */
+    2722 /* Table2722 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2730 /* Table2730 */
+    2724 /* Table2724 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2732 /* Table2732 */
+    2726 /* Table2726 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2734 /* Table2734 */
+    2728 /* Table2728 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2736 /* Table2736 */
+    2730 /* Table2730 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2738 /* Table2738 */
+    2732 /* Table2732 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2740 /* Table2740 */
+    2734 /* Table2734 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2742 /* Table2742 */
+    2736 /* Table2736 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2744 /* Table2744 */
+    2738 /* Table2738 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2746 /* Table2746 */
+    2740 /* Table2740 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2748 /* Table2748 */
+    2742 /* Table2742 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2750 /* Table2750 */
+    2744 /* Table2744 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2752 /* Table2752 */
+    2746 /* Table2746 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2754 /* Table2754 */
+    2748 /* Table2748 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2756 /* Table2756 */
+    2750 /* Table2750 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2758 /* Table2758 */
+    2752 /* Table2752 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2760 /* Table2760 */
+    2754 /* Table2754 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2762 /* Table2762 */
+    2756 /* Table2756 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2764 /* Table2764 */
+    2758 /* Table2758 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2766 /* Table2766 */
+    2760 /* Table2760 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2768 /* Table2768 */
+    2762 /* Table2762 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2770 /* Table2770 */
+    2764 /* Table2764 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2772 /* Table2772 */
+    2766 /* Table2766 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2774 /* Table2774 */
+    2768 /* Table2768 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2776 /* Table2776 */
+    2770 /* Table2770 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2778 /* Table2778 */
+    2772 /* Table2772 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2780 /* Table2780 */
+    2774 /* Table2774 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2782 /* Table2782 */
+    2776 /* Table2776 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2784 /* Table2784 */
+    2778 /* Table2778 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2786 /* Table2786 */
+    2780 /* Table2780 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2788 /* Table2788 */
+    2782 /* Table2782 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2790 /* Table2790 */
+    2784 /* Table2784 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2792 /* Table2792 */
+    2786 /* Table2786 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2794 /* Table2794 */
+    2788 /* Table2788 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2796 /* Table2796 */
+    2790 /* Table2790 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2798 /* Table2798 */
+    2792 /* Table2792 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2800 /* Table2800 */
+    2794 /* Table2794 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2802 /* Table2802 */
+    2796 /* Table2796 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2804 /* Table2804 */
+    2798 /* Table2798 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2806 /* Table2806 */
+    2800 /* Table2800 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2808 /* Table2808 */
+    2802 /* Table2802 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2810 /* Table2810 */
+    2804 /* Table2804 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2826 /* Table2826 */
+    2820 /* Table2820 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2842 /* Table2842 */
+    2836 /* Table2836 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2858 /* Table2858 */
+    2852 /* Table2852 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2860 /* Table2860 */
+    2854 /* Table2854 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2862 /* Table2862 */
+    2856 /* Table2856 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
+   },
+   /* 0x78 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3537 /* Table3537 */
+   },
+   /* 0x79 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3539 /* Table3539 */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2862 /* Table2862 */
+   },
+   /* 0x7d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2864 /* Table2864 */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2866 /* Table2866 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2868 /* Table2868 */
+   },
+   /* 0x80 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2870 /* Table2870 */
+   },
+   /* 0x81 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2871 /* Table2871 */
+   },
+   /* 0x82 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2872 /* Table2872 */
+   },
+   /* 0x83 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2873 /* Table2873 */
+   },
+   /* 0x84 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2874 /* Table2874 */
+   },
+   /* 0x85 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2875 /* Table2875 */
+   },
+   /* 0x86 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2876 /* Table2876 */
+   },
+   /* 0x87 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2877 /* Table2877 */
+   },
+   /* 0x88 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2878 /* Table2878 */
+   },
+   /* 0x89 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2879 /* Table2879 */
+   },
+   /* 0x8a */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2880 /* Table2880 */
+   },
+   /* 0x8b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2881 /* Table2881 */
+   },
+   /* 0x8c */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2882 /* Table2882 */
+   },
+   /* 0x8d */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2883 /* Table2883 */
+   },
+   /* 0x8e */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2884 /* Table2884 */
+   },
+   /* 0x8f */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2885 /* Table2885 */
+   },
+   /* 0x90 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2037 /* Table2037 */
+   },
+   /* 0x91 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2039 /* Table2039 */
+   },
+   /* 0x92 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2041 /* Table2041 */
+   },
+   /* 0x93 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2043 /* Table2043 */
+   },
+   /* 0x94 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2045 /* Table2045 */
+   },
+   /* 0x95 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2047 /* Table2047 */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2049 /* Table2049 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2051 /* Table2051 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2053 /* Table2053 */
+   },
+   /* 0x99 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2055 /* Table2055 */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2057 /* Table2057 */
+   },
+   /* 0x9b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2059 /* Table2059 */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2061 /* Table2061 */
+   },
+   /* 0x9d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2063 /* Table2063 */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2065 /* Table2065 */
+   },
+   /* 0x9f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2067 /* Table2067 */
+   },
+   /* 0xa0 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2886 /* Table2886 */
+   },
+   /* 0xa1 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2887 /* Table2887 */
+   },
+   /* 0xa2 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2551 /* Table2551 */
+   },
+   /* 0xa3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2888 /* Table2888 */
+   },
+   /* 0xa4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2890 /* Table2890 */
+   },
+   /* 0xa5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2892 /* Table2892 */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2078 /* Table2078 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2150 /* Table2150 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2894 /* Table2894 */
+   },
+   /* 0xa9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2895 /* Table2895 */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2224 /* Table2224 */
+   },
+   /* 0xab */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2896 /* Table2896 */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2898 /* Table2898 */
+   },
+   /* 0xad */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2900 /* Table2900 */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2231 /* Table2231 */
+   },
+   /* 0xaf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2902 /* Table2902 */
+   },
+   /* 0xb0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2305 /* Table2305 */
+   },
+   /* 0xb1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2904 /* Table2904 */
+   },
+   /* 0xb2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2906 /* Table2906 */
+   },
+   /* 0xb3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2908 /* Table2908 */
+   },
+   /* 0xb4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2910 /* Table2910 */
+   },
+   /* 0xb5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2912 /* Table2912 */
+   },
+   /* 0xb6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2914 /* Table2914 */
+   },
+   /* 0xb7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2319 /* Table2319 */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2321 /* Table2321 */
+   },
+   /* 0xba */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2916 /* Table2916 */
+   },
+   /* 0xbb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2932 /* Table2932 */
+   },
+   /* 0xbc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2934 /* Table2934 */
+   },
+   /* 0xbd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2936 /* Table2936 */
+   },
+   /* 0xbe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2938 /* Table2938 */
+   },
+   /* 0xbf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2346 /* Table2346 */
+   },
+   /* 0xc0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2348 /* Table2348 */
+   },
+   /* 0xc1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2940 /* Table2940 */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2942 /* Table2942 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2354 /* Table2354 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2944 /* Table2944 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2946 /* Table2946 */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2948 /* Table2948 */
+   },
+   /* 0xc7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2950 /* Table2950 */
+   },
+   /* 0xc8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xc9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcb */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcd */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xce */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcf */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xd0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2966 /* Table2966 */
+   },
+   /* 0xd1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2968 /* Table2968 */
+   },
+   /* 0xd2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2970 /* Table2970 */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2972 /* Table2972 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2974 /* Table2974 */
+   },
+   /* 0xd5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2976 /* Table2976 */
+   },
+   /* 0xd6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2978 /* Table2978 */
+   },
+   /* 0xd7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2980 /* Table2980 */
+   },
+   /* 0xd8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2982 /* Table2982 */
+   },
+   /* 0xd9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2984 /* Table2984 */
+   },
+   /* 0xda */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2986 /* Table2986 */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2988 /* Table2988 */
+   },
+   /* 0xdc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2990 /* Table2990 */
+   },
+   /* 0xdd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2992 /* Table2992 */
+   },
+   /* 0xde */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2994 /* Table2994 */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2996 /* Table2996 */
+   },
+   /* 0xe0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2998 /* Table2998 */
+   },
+   /* 0xe1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3000 /* Table3000 */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3002 /* Table3002 */
+   },
+   /* 0xe3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3004 /* Table3004 */
+   },
+   /* 0xe4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3006 /* Table3006 */
+   },
+   /* 0xe5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3008 /* Table3008 */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3010 /* Table3010 */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3012 /* Table3012 */
+   },
+   /* 0xe8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3014 /* Table3014 */
+   },
+   /* 0xe9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3016 /* Table3016 */
+   },
+   /* 0xea */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3018 /* Table3018 */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3020 /* Table3020 */
+   },
+   /* 0xec */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3022 /* Table3022 */
+   },
+   /* 0xed */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3024 /* Table3024 */
+   },
+   /* 0xee */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3026 /* Table3026 */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3028 /* Table3028 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3030 /* Table3030 */
+   },
+   /* 0xf2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3032 /* Table3032 */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3034 /* Table3034 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3036 /* Table3036 */
+   },
+   /* 0xf5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3038 /* Table3038 */
+   },
+   /* 0xf6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3040 /* Table3040 */
+   },
+   /* 0xf7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3541 /* Table3541 */
+   },
+   /* 0xf8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3044 /* Table3044 */
+   },
+   /* 0xf9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3046 /* Table3046 */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3048 /* Table3048 */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3050 /* Table3050 */
+   },
+   /* 0xfc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3052 /* Table3052 */
+   },
+   /* 0xfd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3054 /* Table3054 */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3056 /* Table3056 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_64BIT_ADSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1673 /* Table1673 */
+   },
+   /* 0x01 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2465 /* Table2465 */
+   },
+   /* 0x02 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1761 /* Table1761 */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1763 /* Table1763 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1765 /* Table1765 */
+   },
+   /* 0x06 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1766 /* Table1766 */
+   },
+   /* 0x07 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1767 /* Table1767 */
+   },
+   /* 0x08 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1768 /* Table1768 */
+   },
+   /* 0x09 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1769 /* Table1769 */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1770 /* Table1770 */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1771 /* Table1771 */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1787 /* Table1787 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1789 /* Table1789 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1791 /* Table1791 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1793 /* Table1793 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1795 /* Table1795 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1797 /* Table1797 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1799 /* Table1799 */
+   },
+   /* 0x17 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1801 /* Table1801 */
+   },
+   /* 0x18 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1803 /* Table1803 */
+   },
+   /* 0x19 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1819 /* Table1819 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1821 /* Table1821 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1823 /* Table1823 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1825 /* Table1825 */
+   },
+   /* 0x1d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1827 /* Table1827 */
+   },
+   /* 0x1e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1829 /* Table1829 */
+   },
+   /* 0x1f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1831 /* Table1831 */
+   },
+   /* 0x20 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2537 /* Table2537 */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2539 /* Table2539 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2541 /* Table2541 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2543 /* Table2543 */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1841 /* Table1841 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1843 /* Table1843 */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1845 /* Table1845 */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1847 /* Table1847 */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1849 /* Table1849 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1851 /* Table1851 */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1853 /* Table1853 */
+   },
+   /* 0x2f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1855 /* Table1855 */
+   },
+   /* 0x30 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1857 /* Table1857 */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1858 /* Table1858 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1859 /* Table1859 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1860 /* Table1860 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1861 /* Table1861 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1862 /* Table1862 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1863 /* Table1863 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1864 /* Table1864 */
+   },
+   /* 0x41 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1866 /* Table1866 */
+   },
+   /* 0x42 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1868 /* Table1868 */
+   },
+   /* 0x43 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1870 /* Table1870 */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1872 /* Table1872 */
+   },
+   /* 0x45 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1874 /* Table1874 */
+   },
+   /* 0x46 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1876 /* Table1876 */
+   },
+   /* 0x47 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1878 /* Table1878 */
+   },
+   /* 0x48 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1880 /* Table1880 */
+   },
+   /* 0x49 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1882 /* Table1882 */
+   },
+   /* 0x4a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1884 /* Table1884 */
+   },
+   /* 0x4b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1886 /* Table1886 */
+   },
+   /* 0x4c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1888 /* Table1888 */
+   },
+   /* 0x4d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1890 /* Table1890 */
+   },
+   /* 0x4e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1892 /* Table1892 */
+   },
+   /* 0x4f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1894 /* Table1894 */
+   },
+   /* 0x50 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1896 /* Table1896 */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1898 /* Table1898 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1900 /* Table1900 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1902 /* Table1902 */
+   },
+   /* 0x54 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1904 /* Table1904 */
+   },
+   /* 0x55 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1906 /* Table1906 */
+   },
+   /* 0x56 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1908 /* Table1908 */
+   },
+   /* 0x57 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1910 /* Table1910 */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1912 /* Table1912 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1914 /* Table1914 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1916 /* Table1916 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1918 /* Table1918 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1920 /* Table1920 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1922 /* Table1922 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1924 /* Table1924 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1926 /* Table1926 */
+   },
+   /* 0x60 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1928 /* Table1928 */
+   },
+   /* 0x61 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1930 /* Table1930 */
+   },
+   /* 0x62 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1932 /* Table1932 */
+   },
+   /* 0x63 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1934 /* Table1934 */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1936 /* Table1936 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1938 /* Table1938 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1940 /* Table1940 */
+   },
+   /* 0x67 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1942 /* Table1942 */
+   },
+   /* 0x68 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1944 /* Table1944 */
+   },
+   /* 0x69 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1946 /* Table1946 */
+   },
+   /* 0x6a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1948 /* Table1948 */
+   },
+   /* 0x6b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1950 /* Table1950 */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1952 /* Table1952 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1954 /* Table1954 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1956 /* Table1956 */
+   },
+   /* 0x71 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1958 /* Table1958 */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1974 /* Table1974 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1990 /* Table1990 */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2006 /* Table2006 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2008 /* Table2008 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2010 /* Table2010 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2012 /* Table2012 */
+   },
+   /* 0x78 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2545 /* Table2545 */
+   },
+   /* 0x79 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2547 /* Table2547 */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2017 /* Table2017 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2019 /* Table2019 */
+   },
+   /* 0x80 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2021 /* Table2021 */
+   },
+   /* 0x81 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2022 /* Table2022 */
+   },
+   /* 0x82 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2023 /* Table2023 */
+   },
+   /* 0x83 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2024 /* Table2024 */
+   },
+   /* 0x84 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2025 /* Table2025 */
+   },
+   /* 0x85 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2026 /* Table2026 */
+   },
+   /* 0x86 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2027 /* Table2027 */
+   },
+   /* 0x87 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2028 /* Table2028 */
+   },
+   /* 0x88 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2029 /* Table2029 */
+   },
+   /* 0x89 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2030 /* Table2030 */
+   },
+   /* 0x8a */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2031 /* Table2031 */
+   },
+   /* 0x8b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2032 /* Table2032 */
+   },
+   /* 0x8c */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2033 /* Table2033 */
+   },
+   /* 0x8d */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2034 /* Table2034 */
+   },
+   /* 0x8e */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2035 /* Table2035 */
+   },
+   /* 0x8f */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2036 /* Table2036 */
+   },
+   /* 0x90 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2037 /* Table2037 */
+   },
+   /* 0x91 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2039 /* Table2039 */
+   },
+   /* 0x92 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2041 /* Table2041 */
+   },
+   /* 0x93 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2043 /* Table2043 */
+   },
+   /* 0x94 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2045 /* Table2045 */
+   },
+   /* 0x95 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2047 /* Table2047 */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2049 /* Table2049 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2051 /* Table2051 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2053 /* Table2053 */
+   },
+   /* 0x99 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2055 /* Table2055 */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2057 /* Table2057 */
+   },
+   /* 0x9b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2059 /* Table2059 */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2061 /* Table2061 */
+   },
+   /* 0x9d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2063 /* Table2063 */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2065 /* Table2065 */
+   },
+   /* 0x9f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2067 /* Table2067 */
+   },
+   /* 0xa0 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2549 /* Table2549 */
+   },
+   /* 0xa1 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2550 /* Table2550 */
+   },
+   /* 0xa2 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2551 /* Table2551 */
+   },
+   /* 0xa3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2072 /* Table2072 */
+   },
+   /* 0xa4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2074 /* Table2074 */
+   },
+   /* 0xa5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2076 /* Table2076 */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2078 /* Table2078 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2150 /* Table2150 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2552 /* Table2552 */
+   },
+   /* 0xa9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2553 /* Table2553 */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2224 /* Table2224 */
+   },
+   /* 0xab */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2225 /* Table2225 */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2227 /* Table2227 */
+   },
+   /* 0xad */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2229 /* Table2229 */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2231 /* Table2231 */
+   },
+   /* 0xaf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2303 /* Table2303 */
+   },
+   /* 0xb0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2305 /* Table2305 */
+   },
+   /* 0xb1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2307 /* Table2307 */
+   },
+   /* 0xb2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2309 /* Table2309 */
+   },
+   /* 0xb3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2311 /* Table2311 */
+   },
+   /* 0xb4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2313 /* Table2313 */
+   },
+   /* 0xb5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2315 /* Table2315 */
+   },
+   /* 0xb6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2317 /* Table2317 */
+   },
+   /* 0xb7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2319 /* Table2319 */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2321 /* Table2321 */
+   },
+   /* 0xba */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2322 /* Table2322 */
+   },
+   /* 0xbb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2338 /* Table2338 */
+   },
+   /* 0xbc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2340 /* Table2340 */
+   },
+   /* 0xbd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2342 /* Table2342 */
+   },
+   /* 0xbe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2344 /* Table2344 */
+   },
+   /* 0xbf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2346 /* Table2346 */
+   },
+   /* 0xc0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2348 /* Table2348 */
+   },
+   /* 0xc1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2350 /* Table2350 */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2352 /* Table2352 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2354 /* Table2354 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2356 /* Table2356 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2358 /* Table2358 */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2360 /* Table2360 */
+   },
+   /* 0xc7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2362 /* Table2362 */
+   },
+   /* 0xc8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xc9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcb */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcd */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xce */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xcf */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2378 /* Table2378 */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2379 /* Table2379 */
+   },
+   /* 0xd2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2381 /* Table2381 */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2383 /* Table2383 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2385 /* Table2385 */
+   },
+   /* 0xd5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2387 /* Table2387 */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2389 /* Table2389 */
+   },
+   /* 0xd8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2391 /* Table2391 */
+   },
+   /* 0xd9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2393 /* Table2393 */
+   },
+   /* 0xda */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2395 /* Table2395 */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2397 /* Table2397 */
+   },
+   /* 0xdc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2399 /* Table2399 */
+   },
+   /* 0xdd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2401 /* Table2401 */
+   },
+   /* 0xde */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2403 /* Table2403 */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2405 /* Table2405 */
+   },
+   /* 0xe0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2407 /* Table2407 */
+   },
+   /* 0xe1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2409 /* Table2409 */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2411 /* Table2411 */
+   },
+   /* 0xe3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2413 /* Table2413 */
+   },
+   /* 0xe4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2415 /* Table2415 */
+   },
+   /* 0xe5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2417 /* Table2417 */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2419 /* Table2419 */
+   },
+   /* 0xe8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2421 /* Table2421 */
+   },
+   /* 0xe9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2423 /* Table2423 */
+   },
+   /* 0xea */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2425 /* Table2425 */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2427 /* Table2427 */
+   },
+   /* 0xec */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2429 /* Table2429 */
+   },
+   /* 0xed */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2431 /* Table2431 */
+   },
+   /* 0xee */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2433 /* Table2433 */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2435 /* Table2435 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2437 /* Table2437 */
+   },
+   /* 0xf2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2439 /* Table2439 */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2441 /* Table2441 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2443 /* Table2443 */
+   },
+   /* 0xf5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2445 /* Table2445 */
+   },
+   /* 0xf6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2447 /* Table2447 */
+   },
+   /* 0xf7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2554 /* Table2554 */
+   },
+   /* 0xf8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2451 /* Table2451 */
+   },
+   /* 0xf9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2453 /* Table2453 */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2455 /* Table2455 */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2457 /* Table2457 */
+   },
+   /* 0xfc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2459 /* Table2459 */
+   },
+   /* 0xfd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2461 /* Table2461 */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2463 /* Table2463 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_64BIT_XD */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1673 /* Table1673 */
+   },
+   /* 0x01 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2465 /* Table2465 */
+   },
+   /* 0x02 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1761 /* Table1761 */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1763 /* Table1763 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1765 /* Table1765 */
+   },
+   /* 0x06 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1766 /* Table1766 */
+   },
+   /* 0x07 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1767 /* Table1767 */
+   },
+   /* 0x08 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1768 /* Table1768 */
+   },
+   /* 0x09 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1769 /* Table1769 */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1770 /* Table1770 */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1771 /* Table1771 */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3058 /* Table3058 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3060 /* Table3060 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3062 /* Table3062 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1793 /* Table1793 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1795 /* Table1795 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1797 /* Table1797 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1799 /* Table1799 */
+   },
+   /* 0x17 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1801 /* Table1801 */
+   },
+   /* 0x18 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1803 /* Table1803 */
+   },
+   /* 0x19 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1819 /* Table1819 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1821 /* Table1821 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1823 /* Table1823 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1825 /* Table1825 */
+   },
+   /* 0x1d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1827 /* Table1827 */
+   },
+   /* 0x1e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1829 /* Table1829 */
+   },
+   /* 0x1f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1831 /* Table1831 */
+   },
+   /* 0x20 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2537 /* Table2537 */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2539 /* Table2539 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2541 /* Table2541 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2543 /* Table2543 */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1841 /* Table1841 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1843 /* Table1843 */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3064 /* Table3064 */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3066 /* Table3066 */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3068 /* Table3068 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3070 /* Table3070 */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1853 /* Table1853 */
+   },
+   /* 0x2f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1855 /* Table1855 */
+   },
+   /* 0x30 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1857 /* Table1857 */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1858 /* Table1858 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1859 /* Table1859 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1860 /* Table1860 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1861 /* Table1861 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1862 /* Table1862 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1863 /* Table1863 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1864 /* Table1864 */
+   },
+   /* 0x41 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1866 /* Table1866 */
+   },
+   /* 0x42 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1868 /* Table1868 */
+   },
+   /* 0x43 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1870 /* Table1870 */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1872 /* Table1872 */
+   },
+   /* 0x45 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1874 /* Table1874 */
+   },
+   /* 0x46 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1876 /* Table1876 */
+   },
+   /* 0x47 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1878 /* Table1878 */
+   },
+   /* 0x48 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1880 /* Table1880 */
+   },
+   /* 0x49 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1882 /* Table1882 */
+   },
+   /* 0x4a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1884 /* Table1884 */
+   },
+   /* 0x4b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1886 /* Table1886 */
+   },
+   /* 0x4c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1888 /* Table1888 */
+   },
+   /* 0x4d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1890 /* Table1890 */
+   },
+   /* 0x4e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1892 /* Table1892 */
+   },
+   /* 0x4f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1894 /* Table1894 */
+   },
+   /* 0x50 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1896 /* Table1896 */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3072 /* Table3072 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1900 /* Table1900 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1902 /* Table1902 */
+   },
+   /* 0x54 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1904 /* Table1904 */
+   },
+   /* 0x55 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1906 /* Table1906 */
+   },
+   /* 0x56 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1908 /* Table1908 */
+   },
+   /* 0x57 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1910 /* Table1910 */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3074 /* Table3074 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3076 /* Table3076 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3078 /* Table3078 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1918 /* Table1918 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3080 /* Table3080 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3082 /* Table3082 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3084 /* Table3084 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3086 /* Table3086 */
+   },
+   /* 0x60 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1928 /* Table1928 */
+   },
+   /* 0x61 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1930 /* Table1930 */
+   },
+   /* 0x62 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1932 /* Table1932 */
+   },
+   /* 0x63 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1934 /* Table1934 */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1936 /* Table1936 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1938 /* Table1938 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1940 /* Table1940 */
+   },
+   /* 0x67 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1942 /* Table1942 */
+   },
+   /* 0x68 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1944 /* Table1944 */
+   },
+   /* 0x69 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1946 /* Table1946 */
+   },
+   /* 0x6a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1948 /* Table1948 */
+   },
+   /* 0x6b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1950 /* Table1950 */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1952 /* Table1952 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1954 /* Table1954 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3088 /* Table3088 */
+   },
+   /* 0x71 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1958 /* Table1958 */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1974 /* Table1974 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1990 /* Table1990 */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2006 /* Table2006 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2008 /* Table2008 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2010 /* Table2010 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
@@ -82384,1587 +92118,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2868 /* Table2868 */
+    3094 /* Table3094 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2870 /* Table2870 */
+    3096 /* Table3096 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2872 /* Table2872 */
+    2017 /* Table2017 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2874 /* Table2874 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2876 /* Table2876 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2877 /* Table2877 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2878 /* Table2878 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2879 /* Table2879 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2880 /* Table2880 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2881 /* Table2881 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2882 /* Table2882 */
-   },
-   /* 0x87 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2883 /* Table2883 */
-   },
-   /* 0x88 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2884 /* Table2884 */
-   },
-   /* 0x89 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2885 /* Table2885 */
-   },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2886 /* Table2886 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2887 /* Table2887 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2888 /* Table2888 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2889 /* Table2889 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2890 /* Table2890 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2891 /* Table2891 */
-   },
-   /* 0x90 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2043 /* Table2043 */
-   },
-   /* 0x91 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2045 /* Table2045 */
-   },
-   /* 0x92 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2047 /* Table2047 */
-   },
-   /* 0x93 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2049 /* Table2049 */
-   },
-   /* 0x94 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2051 /* Table2051 */
-   },
-   /* 0x95 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2053 /* Table2053 */
-   },
-   /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2055 /* Table2055 */
-   },
-   /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2057 /* Table2057 */
-   },
-   /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2059 /* Table2059 */
-   },
-   /* 0x99 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2061 /* Table2061 */
-   },
-   /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2063 /* Table2063 */
-   },
-   /* 0x9b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2065 /* Table2065 */
-   },
-   /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2067 /* Table2067 */
-   },
-   /* 0x9d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2069 /* Table2069 */
-   },
-   /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2071 /* Table2071 */
-   },
-   /* 0x9f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2073 /* Table2073 */
-   },
-   /* 0xa0 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2892 /* Table2892 */
-   },
-   /* 0xa1 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2893 /* Table2893 */
-   },
-   /* 0xa2 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2557 /* Table2557 */
-   },
-   /* 0xa3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2894 /* Table2894 */
-   },
-   /* 0xa4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2896 /* Table2896 */
-   },
-   /* 0xa5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2898 /* Table2898 */
-   },
-   /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2084 /* Table2084 */
-   },
-   /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2156 /* Table2156 */
-   },
-   /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2900 /* Table2900 */
-   },
-   /* 0xa9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2901 /* Table2901 */
-   },
-   /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2230 /* Table2230 */
-   },
-   /* 0xab */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2902 /* Table2902 */
-   },
-   /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2904 /* Table2904 */
-   },
-   /* 0xad */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2906 /* Table2906 */
-   },
-   /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2237 /* Table2237 */
-   },
-   /* 0xaf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2908 /* Table2908 */
-   },
-   /* 0xb0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2311 /* Table2311 */
-   },
-   /* 0xb1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2910 /* Table2910 */
-   },
-   /* 0xb2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2912 /* Table2912 */
-   },
-   /* 0xb3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2914 /* Table2914 */
-   },
-   /* 0xb4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2916 /* Table2916 */
-   },
-   /* 0xb5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2918 /* Table2918 */
-   },
-   /* 0xb6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2920 /* Table2920 */
-   },
-   /* 0xb7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2325 /* Table2325 */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2327 /* Table2327 */
-   },
-   /* 0xba */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2922 /* Table2922 */
-   },
-   /* 0xbb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2938 /* Table2938 */
-   },
-   /* 0xbc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2940 /* Table2940 */
-   },
-   /* 0xbd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2942 /* Table2942 */
-   },
-   /* 0xbe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2944 /* Table2944 */
-   },
-   /* 0xbf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2352 /* Table2352 */
-   },
-   /* 0xc0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2354 /* Table2354 */
-   },
-   /* 0xc1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2946 /* Table2946 */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2948 /* Table2948 */
-   },
-   /* 0xc3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2360 /* Table2360 */
-   },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2950 /* Table2950 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2952 /* Table2952 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2954 /* Table2954 */
-   },
-   /* 0xc7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2956 /* Table2956 */
-   },
-   /* 0xc8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xc9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcb */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcd */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xce */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcf */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xd0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2972 /* Table2972 */
-   },
-   /* 0xd1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2974 /* Table2974 */
-   },
-   /* 0xd2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2976 /* Table2976 */
-   },
-   /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2978 /* Table2978 */
-   },
-   /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2980 /* Table2980 */
-   },
-   /* 0xd5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2982 /* Table2982 */
-   },
-   /* 0xd6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2984 /* Table2984 */
-   },
-   /* 0xd7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2986 /* Table2986 */
-   },
-   /* 0xd8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2988 /* Table2988 */
-   },
-   /* 0xd9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2990 /* Table2990 */
-   },
-   /* 0xda */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2992 /* Table2992 */
-   },
-   /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2994 /* Table2994 */
-   },
-   /* 0xdc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2996 /* Table2996 */
-   },
-   /* 0xdd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2998 /* Table2998 */
-   },
-   /* 0xde */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3000 /* Table3000 */
-   },
-   /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3002 /* Table3002 */
-   },
-   /* 0xe0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3004 /* Table3004 */
-   },
-   /* 0xe1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3006 /* Table3006 */
-   },
-   /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3008 /* Table3008 */
-   },
-   /* 0xe3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3010 /* Table3010 */
-   },
-   /* 0xe4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3012 /* Table3012 */
-   },
-   /* 0xe5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3014 /* Table3014 */
-   },
-   /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3016 /* Table3016 */
-   },
-   /* 0xe7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3018 /* Table3018 */
-   },
-   /* 0xe8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3020 /* Table3020 */
-   },
-   /* 0xe9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3022 /* Table3022 */
-   },
-   /* 0xea */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3024 /* Table3024 */
-   },
-   /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3026 /* Table3026 */
-   },
-   /* 0xec */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3028 /* Table3028 */
-   },
-   /* 0xed */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3030 /* Table3030 */
-   },
-   /* 0xee */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3032 /* Table3032 */
-   },
-   /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3034 /* Table3034 */
-   },
-   /* 0xf0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3036 /* Table3036 */
-   },
-   /* 0xf2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3038 /* Table3038 */
-   },
-   /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3040 /* Table3040 */
-   },
-   /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3042 /* Table3042 */
-   },
-   /* 0xf5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3044 /* Table3044 */
-   },
-   /* 0xf6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3046 /* Table3046 */
-   },
-   /* 0xf7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3547 /* Table3547 */
-   },
-   /* 0xf8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3050 /* Table3050 */
-   },
-   /* 0xf9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3052 /* Table3052 */
-   },
-   /* 0xfa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3054 /* Table3054 */
-   },
-   /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3056 /* Table3056 */
-   },
-   /* 0xfc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3058 /* Table3058 */
-   },
-   /* 0xfd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3060 /* Table3060 */
-   },
-   /* 0xfe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3062 /* Table3062 */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_64BIT_ADSIZE */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1679 /* Table1679 */
-   },
-   /* 0x01 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2471 /* Table2471 */
-   },
-   /* 0x02 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1767 /* Table1767 */
-   },
-   /* 0x03 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1769 /* Table1769 */
-   },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1771 /* Table1771 */
-   },
-   /* 0x06 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1772 /* Table1772 */
-   },
-   /* 0x07 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1773 /* Table1773 */
-   },
-   /* 0x08 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1774 /* Table1774 */
-   },
-   /* 0x09 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1775 /* Table1775 */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1776 /* Table1776 */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1777 /* Table1777 */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1793 /* Table1793 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1795 /* Table1795 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1797 /* Table1797 */
-   },
-   /* 0x13 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1799 /* Table1799 */
-   },
-   /* 0x14 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1801 /* Table1801 */
-   },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1803 /* Table1803 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1805 /* Table1805 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1807 /* Table1807 */
-   },
-   /* 0x18 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1809 /* Table1809 */
-   },
-   /* 0x19 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1825 /* Table1825 */
-   },
-   /* 0x1a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1827 /* Table1827 */
-   },
-   /* 0x1b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1829 /* Table1829 */
-   },
-   /* 0x1c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1831 /* Table1831 */
-   },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1833 /* Table1833 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1835 /* Table1835 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1837 /* Table1837 */
-   },
-   /* 0x20 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2543 /* Table2543 */
-   },
-   /* 0x21 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2545 /* Table2545 */
-   },
-   /* 0x22 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2547 /* Table2547 */
-   },
-   /* 0x23 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2549 /* Table2549 */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1847 /* Table1847 */
-   },
-   /* 0x29 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1849 /* Table1849 */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1851 /* Table1851 */
-   },
-   /* 0x2b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1853 /* Table1853 */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1855 /* Table1855 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1857 /* Table1857 */
-   },
-   /* 0x2e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1859 /* Table1859 */
-   },
-   /* 0x2f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1861 /* Table1861 */
-   },
-   /* 0x30 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1863 /* Table1863 */
-   },
-   /* 0x31 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1864 /* Table1864 */
-   },
-   /* 0x32 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1865 /* Table1865 */
-   },
-   /* 0x33 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1866 /* Table1866 */
-   },
-   /* 0x34 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1867 /* Table1867 */
-   },
-   /* 0x35 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1868 /* Table1868 */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1869 /* Table1869 */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1870 /* Table1870 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1872 /* Table1872 */
-   },
-   /* 0x42 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1874 /* Table1874 */
-   },
-   /* 0x43 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1876 /* Table1876 */
-   },
-   /* 0x44 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1878 /* Table1878 */
-   },
-   /* 0x45 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1880 /* Table1880 */
-   },
-   /* 0x46 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1882 /* Table1882 */
-   },
-   /* 0x47 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1884 /* Table1884 */
-   },
-   /* 0x48 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1886 /* Table1886 */
-   },
-   /* 0x49 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1888 /* Table1888 */
-   },
-   /* 0x4a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1890 /* Table1890 */
-   },
-   /* 0x4b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1892 /* Table1892 */
-   },
-   /* 0x4c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1894 /* Table1894 */
-   },
-   /* 0x4d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1896 /* Table1896 */
-   },
-   /* 0x4e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1898 /* Table1898 */
-   },
-   /* 0x4f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1900 /* Table1900 */
-   },
-   /* 0x50 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1902 /* Table1902 */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1904 /* Table1904 */
-   },
-   /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1906 /* Table1906 */
-   },
-   /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1908 /* Table1908 */
-   },
-   /* 0x54 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1910 /* Table1910 */
-   },
-   /* 0x55 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1912 /* Table1912 */
-   },
-   /* 0x56 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1914 /* Table1914 */
-   },
-   /* 0x57 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1916 /* Table1916 */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1918 /* Table1918 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1920 /* Table1920 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1922 /* Table1922 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1924 /* Table1924 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1926 /* Table1926 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1928 /* Table1928 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1930 /* Table1930 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1932 /* Table1932 */
-   },
-   /* 0x60 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1934 /* Table1934 */
-   },
-   /* 0x61 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1936 /* Table1936 */
-   },
-   /* 0x62 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1938 /* Table1938 */
-   },
-   /* 0x63 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1940 /* Table1940 */
-   },
-   /* 0x64 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1942 /* Table1942 */
-   },
-   /* 0x65 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1944 /* Table1944 */
-   },
-   /* 0x66 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1946 /* Table1946 */
-   },
-   /* 0x67 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1948 /* Table1948 */
-   },
-   /* 0x68 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1950 /* Table1950 */
-   },
-   /* 0x69 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1952 /* Table1952 */
-   },
-   /* 0x6a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1954 /* Table1954 */
-   },
-   /* 0x6b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1956 /* Table1956 */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1958 /* Table1958 */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1960 /* Table1960 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1962 /* Table1962 */
-   },
-   /* 0x71 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1964 /* Table1964 */
-   },
-   /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1980 /* Table1980 */
-   },
-   /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1996 /* Table1996 */
-   },
-   /* 0x74 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2012 /* Table2012 */
-   },
-   /* 0x75 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2014 /* Table2014 */
-   },
-   /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2016 /* Table2016 */
-   },
-   /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2018 /* Table2018 */
-   },
-   /* 0x78 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2551 /* Table2551 */
-   },
-   /* 0x79 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2553 /* Table2553 */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2023 /* Table2023 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2025 /* Table2025 */
-   },
-   /* 0x80 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
     2027 /* Table2027 */
    },
-   /* 0x81 */
+   /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2028 /* Table2028 */
    },
-   /* 0x82 */
+   /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2029 /* Table2029 */
    },
-   /* 0x83 */
+   /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2030 /* Table2030 */
    },
-   /* 0x84 */
+   /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2031 /* Table2031 */
    },
-   /* 0x85 */
+   /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2032 /* Table2032 */
    },
-   /* 0x86 */
+   /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2033 /* Table2033 */
    },
-   /* 0x87 */
+   /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2034 /* Table2034 */
    },
-   /* 0x88 */
+   /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2035 /* Table2035 */
    },
-   /* 0x89 */
+   /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
     2036 /* Table2036 */
    },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2037 /* Table2037 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2038 /* Table2038 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2039 /* Table2039 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2040 /* Table2040 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2041 /* Table2041 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2042 /* Table2042 */
-   },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2237 /* Table2237 */
+    2231 /* Table2231 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -83974,1637 +92423,352 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2346 /* Table2346 */
+    2340 /* Table2340 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    3098 /* Table3098 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2354 /* Table2354 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2356 /* Table2356 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     2358 /* Table2358 */
    },
-   /* 0xc3 */
+   /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     2360 /* Table2360 */
    },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2362 /* Table2362 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2364 /* Table2364 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2366 /* Table2366 */
-   },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2368 /* Table2368 */
+    2362 /* Table2362 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2385 /* Table2385 */
-   },
-   /* 0xd2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2387 /* Table2387 */
-   },
-   /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2389 /* Table2389 */
-   },
-   /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2391 /* Table2391 */
-   },
-   /* 0xd5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2393 /* Table2393 */
-   },
-   /* 0xd6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xd7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2395 /* Table2395 */
-   },
-   /* 0xd8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2397 /* Table2397 */
-   },
-   /* 0xd9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2399 /* Table2399 */
-   },
-   /* 0xda */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2401 /* Table2401 */
-   },
-   /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2403 /* Table2403 */
-   },
-   /* 0xdc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2405 /* Table2405 */
-   },
-   /* 0xdd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2407 /* Table2407 */
-   },
-   /* 0xde */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2409 /* Table2409 */
-   },
-   /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2411 /* Table2411 */
-   },
-   /* 0xe0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2413 /* Table2413 */
-   },
-   /* 0xe1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2415 /* Table2415 */
-   },
-   /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2417 /* Table2417 */
-   },
-   /* 0xe3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2419 /* Table2419 */
-   },
-   /* 0xe4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2421 /* Table2421 */
-   },
-   /* 0xe5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2423 /* Table2423 */
-   },
-   /* 0xe6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xe7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2425 /* Table2425 */
-   },
-   /* 0xe8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2427 /* Table2427 */
-   },
-   /* 0xe9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2429 /* Table2429 */
-   },
-   /* 0xea */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2431 /* Table2431 */
-   },
-   /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2433 /* Table2433 */
-   },
-   /* 0xec */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2435 /* Table2435 */
-   },
-   /* 0xed */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2437 /* Table2437 */
-   },
-   /* 0xee */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2439 /* Table2439 */
-   },
-   /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2441 /* Table2441 */
-   },
-   /* 0xf0 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xf1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2443 /* Table2443 */
-   },
-   /* 0xf2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2445 /* Table2445 */
-   },
-   /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2447 /* Table2447 */
-   },
-   /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2449 /* Table2449 */
-   },
-   /* 0xf5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2451 /* Table2451 */
-   },
-   /* 0xf6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2453 /* Table2453 */
-   },
-   /* 0xf7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2560 /* Table2560 */
-   },
-   /* 0xf8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2457 /* Table2457 */
-   },
-   /* 0xf9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2459 /* Table2459 */
-   },
-   /* 0xfa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2461 /* Table2461 */
-   },
-   /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2463 /* Table2463 */
-   },
-   /* 0xfc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2465 /* Table2465 */
-   },
-   /* 0xfd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2467 /* Table2467 */
-   },
-   /* 0xfe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2469 /* Table2469 */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_64BIT_XD */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1679 /* Table1679 */
-   },
-   /* 0x01 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2471 /* Table2471 */
-   },
-   /* 0x02 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1767 /* Table1767 */
-   },
-   /* 0x03 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1769 /* Table1769 */
-   },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1771 /* Table1771 */
-   },
-   /* 0x06 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1772 /* Table1772 */
-   },
-   /* 0x07 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1773 /* Table1773 */
-   },
-   /* 0x08 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1774 /* Table1774 */
-   },
-   /* 0x09 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1775 /* Table1775 */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1776 /* Table1776 */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1777 /* Table1777 */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3064 /* Table3064 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3066 /* Table3066 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3068 /* Table3068 */
-   },
-   /* 0x13 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1799 /* Table1799 */
-   },
-   /* 0x14 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1801 /* Table1801 */
-   },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1803 /* Table1803 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1805 /* Table1805 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1807 /* Table1807 */
-   },
-   /* 0x18 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1809 /* Table1809 */
-   },
-   /* 0x19 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1825 /* Table1825 */
-   },
-   /* 0x1a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1827 /* Table1827 */
-   },
-   /* 0x1b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1829 /* Table1829 */
-   },
-   /* 0x1c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1831 /* Table1831 */
-   },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1833 /* Table1833 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1835 /* Table1835 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1837 /* Table1837 */
-   },
-   /* 0x20 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2543 /* Table2543 */
-   },
-   /* 0x21 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2545 /* Table2545 */
-   },
-   /* 0x22 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2547 /* Table2547 */
-   },
-   /* 0x23 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2549 /* Table2549 */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1847 /* Table1847 */
-   },
-   /* 0x29 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1849 /* Table1849 */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3070 /* Table3070 */
-   },
-   /* 0x2b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3072 /* Table3072 */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3074 /* Table3074 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3076 /* Table3076 */
-   },
-   /* 0x2e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1859 /* Table1859 */
-   },
-   /* 0x2f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1861 /* Table1861 */
-   },
-   /* 0x30 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1863 /* Table1863 */
-   },
-   /* 0x31 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1864 /* Table1864 */
-   },
-   /* 0x32 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1865 /* Table1865 */
-   },
-   /* 0x33 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1866 /* Table1866 */
-   },
-   /* 0x34 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1867 /* Table1867 */
-   },
-   /* 0x35 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1868 /* Table1868 */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1869 /* Table1869 */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1870 /* Table1870 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1872 /* Table1872 */
-   },
-   /* 0x42 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1874 /* Table1874 */
-   },
-   /* 0x43 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1876 /* Table1876 */
-   },
-   /* 0x44 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1878 /* Table1878 */
-   },
-   /* 0x45 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1880 /* Table1880 */
-   },
-   /* 0x46 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1882 /* Table1882 */
-   },
-   /* 0x47 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1884 /* Table1884 */
-   },
-   /* 0x48 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1886 /* Table1886 */
-   },
-   /* 0x49 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1888 /* Table1888 */
-   },
-   /* 0x4a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1890 /* Table1890 */
-   },
-   /* 0x4b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1892 /* Table1892 */
-   },
-   /* 0x4c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1894 /* Table1894 */
-   },
-   /* 0x4d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1896 /* Table1896 */
-   },
-   /* 0x4e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1898 /* Table1898 */
-   },
-   /* 0x4f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1900 /* Table1900 */
-   },
-   /* 0x50 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1902 /* Table1902 */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3078 /* Table3078 */
-   },
-   /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1906 /* Table1906 */
-   },
-   /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1908 /* Table1908 */
-   },
-   /* 0x54 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1910 /* Table1910 */
-   },
-   /* 0x55 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1912 /* Table1912 */
-   },
-   /* 0x56 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1914 /* Table1914 */
-   },
-   /* 0x57 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1916 /* Table1916 */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3080 /* Table3080 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3082 /* Table3082 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3084 /* Table3084 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1924 /* Table1924 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3086 /* Table3086 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3088 /* Table3088 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3090 /* Table3090 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3092 /* Table3092 */
-   },
-   /* 0x60 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1934 /* Table1934 */
-   },
-   /* 0x61 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1936 /* Table1936 */
-   },
-   /* 0x62 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1938 /* Table1938 */
-   },
-   /* 0x63 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1940 /* Table1940 */
-   },
-   /* 0x64 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1942 /* Table1942 */
-   },
-   /* 0x65 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1944 /* Table1944 */
-   },
-   /* 0x66 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1946 /* Table1946 */
-   },
-   /* 0x67 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1948 /* Table1948 */
-   },
-   /* 0x68 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1950 /* Table1950 */
-   },
-   /* 0x69 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1952 /* Table1952 */
-   },
-   /* 0x6a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1954 /* Table1954 */
-   },
-   /* 0x6b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1956 /* Table1956 */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1958 /* Table1958 */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1960 /* Table1960 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3094 /* Table3094 */
-   },
-   /* 0x71 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1964 /* Table1964 */
-   },
-   /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1980 /* Table1980 */
-   },
-   /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1996 /* Table1996 */
-   },
-   /* 0x74 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2012 /* Table2012 */
-   },
-   /* 0x75 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2014 /* Table2014 */
-   },
-   /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2016 /* Table2016 */
-   },
-   /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2018 /* Table2018 */
-   },
-   /* 0x78 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3549 /* Table3549 */
-   },
-   /* 0x79 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3551 /* Table3551 */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     3100 /* Table3100 */
    },
-   /* 0x7d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3102 /* Table3102 */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2023 /* Table2023 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2025 /* Table2025 */
-   },
-   /* 0x80 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2027 /* Table2027 */
-   },
-   /* 0x81 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2028 /* Table2028 */
-   },
-   /* 0x82 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2029 /* Table2029 */
-   },
-   /* 0x83 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2030 /* Table2030 */
-   },
-   /* 0x84 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2031 /* Table2031 */
-   },
-   /* 0x85 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2032 /* Table2032 */
-   },
-   /* 0x86 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2033 /* Table2033 */
-   },
-   /* 0x87 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2034 /* Table2034 */
-   },
-   /* 0x88 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2035 /* Table2035 */
-   },
-   /* 0x89 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2036 /* Table2036 */
-   },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2037 /* Table2037 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2038 /* Table2038 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2039 /* Table2039 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2040 /* Table2040 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2041 /* Table2041 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2042 /* Table2042 */
-   },
-   /* 0x90 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2043 /* Table2043 */
-   },
-   /* 0x91 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2045 /* Table2045 */
-   },
-   /* 0x92 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2047 /* Table2047 */
-   },
-   /* 0x93 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2049 /* Table2049 */
-   },
-   /* 0x94 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2051 /* Table2051 */
-   },
-   /* 0x95 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2053 /* Table2053 */
-   },
-   /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2055 /* Table2055 */
-   },
-   /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2057 /* Table2057 */
-   },
-   /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2059 /* Table2059 */
-   },
-   /* 0x99 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2061 /* Table2061 */
-   },
-   /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2063 /* Table2063 */
-   },
-   /* 0x9b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2065 /* Table2065 */
-   },
-   /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2067 /* Table2067 */
-   },
-   /* 0x9d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2069 /* Table2069 */
-   },
-   /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2071 /* Table2071 */
-   },
-   /* 0x9f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2073 /* Table2073 */
-   },
-   /* 0xa0 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2555 /* Table2555 */
-   },
-   /* 0xa1 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2556 /* Table2556 */
-   },
-   /* 0xa2 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2557 /* Table2557 */
-   },
-   /* 0xa3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2078 /* Table2078 */
-   },
-   /* 0xa4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2080 /* Table2080 */
-   },
-   /* 0xa5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2082 /* Table2082 */
-   },
-   /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2084 /* Table2084 */
-   },
-   /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2156 /* Table2156 */
-   },
-   /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2558 /* Table2558 */
-   },
-   /* 0xa9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2559 /* Table2559 */
-   },
-   /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2230 /* Table2230 */
-   },
-   /* 0xab */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2231 /* Table2231 */
-   },
-   /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2233 /* Table2233 */
-   },
-   /* 0xad */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2235 /* Table2235 */
-   },
-   /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2237 /* Table2237 */
-   },
-   /* 0xaf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2309 /* Table2309 */
-   },
-   /* 0xb0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2311 /* Table2311 */
-   },
-   /* 0xb1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2313 /* Table2313 */
-   },
-   /* 0xb2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2315 /* Table2315 */
-   },
-   /* 0xb3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2317 /* Table2317 */
-   },
-   /* 0xb4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2319 /* Table2319 */
-   },
-   /* 0xb5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2321 /* Table2321 */
-   },
-   /* 0xb6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2323 /* Table2323 */
-   },
-   /* 0xb7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2325 /* Table2325 */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2327 /* Table2327 */
-   },
-   /* 0xba */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2328 /* Table2328 */
-   },
-   /* 0xbb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2344 /* Table2344 */
-   },
-   /* 0xbc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2346 /* Table2346 */
-   },
-   /* 0xbd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2348 /* Table2348 */
-   },
-   /* 0xbe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2350 /* Table2350 */
-   },
-   /* 0xbf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2352 /* Table2352 */
-   },
-   /* 0xc0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2354 /* Table2354 */
-   },
-   /* 0xc1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2356 /* Table2356 */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3104 /* Table3104 */
-   },
-   /* 0xc3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2360 /* Table2360 */
-   },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2362 /* Table2362 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2364 /* Table2364 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2366 /* Table2366 */
-   },
-   /* 0xc7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2368 /* Table2368 */
-   },
-   /* 0xc8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xc9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcb */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcd */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xce */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xcf */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2384 /* Table2384 */
-   },
-   /* 0xd0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3106 /* Table3106 */
-   },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3108 /* Table3108 */
+    3102 /* Table3102 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3110 /* Table3110 */
+    3104 /* Table3104 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3112 /* Table3112 */
+    3106 /* Table3106 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -85619,22 +92783,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1679 /* Table1679 */
+    1673 /* Table1673 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2471 /* Table2471 */
+    2465 /* Table2465 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -85644,27 +92808,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1773 /* Table1773 */
+    1767 /* Table1767 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -85674,7 +92838,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -85684,7 +92848,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -85699,102 +92863,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3114 /* Table3114 */
+    3108 /* Table3108 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3116 /* Table3116 */
+    3110 /* Table3110 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3118 /* Table3118 */
+    3112 /* Table3112 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3120 /* Table3120 */
+    3114 /* Table3114 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -85819,72 +92983,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3122 /* Table3122 */
+    3116 /* Table3116 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3124 /* Table3124 */
+    3118 /* Table3118 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3126 /* Table3126 */
+    3120 /* Table3120 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3128 /* Table3128 */
+    3122 /* Table3122 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1868 /* Table1868 */
+    1862 /* Table1862 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -85894,7 +93058,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -85939,222 +93103,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1870 /* Table1870 */
+    1864 /* Table1864 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1872 /* Table1872 */
+    1866 /* Table1866 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1874 /* Table1874 */
+    1868 /* Table1868 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1876 /* Table1876 */
+    1870 /* Table1870 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1878 /* Table1878 */
+    1872 /* Table1872 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1880 /* Table1880 */
+    1874 /* Table1874 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1882 /* Table1882 */
+    1876 /* Table1876 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1884 /* Table1884 */
+    1878 /* Table1878 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1886 /* Table1886 */
+    1880 /* Table1880 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1888 /* Table1888 */
+    1882 /* Table1882 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1890 /* Table1890 */
+    1884 /* Table1884 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1892 /* Table1892 */
+    1886 /* Table1886 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1894 /* Table1894 */
+    1888 /* Table1888 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1896 /* Table1896 */
+    1890 /* Table1890 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1898 /* Table1898 */
+    1892 /* Table1892 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1900 /* Table1900 */
+    1894 /* Table1894 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3130 /* Table3130 */
+    3124 /* Table3124 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3132 /* Table3132 */
+    3126 /* Table3126 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3134 /* Table3134 */
+    3128 /* Table3128 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3136 /* Table3136 */
+    3130 /* Table3130 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3138 /* Table3138 */
+    3132 /* Table3132 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3140 /* Table3140 */
+    3134 /* Table3134 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3142 /* Table3142 */
+    3136 /* Table3136 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3144 /* Table3144 */
+    3138 /* Table3138 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3146 /* Table3146 */
+    3140 /* Table3140 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3148 /* Table3148 */
+    3142 /* Table3142 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3150 /* Table3150 */
+    3144 /* Table3144 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -86169,62 +93333,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1958 /* Table1958 */
+    1952 /* Table1952 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3152 /* Table3152 */
+    3146 /* Table3146 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3154 /* Table3154 */
+    3148 /* Table3148 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -86249,412 +93413,412 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3156 /* Table3156 */
+    3150 /* Table3150 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3158 /* Table3158 */
+    3152 /* Table3152 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2078 /* Table2078 */
+    2072 /* Table2072 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2080 /* Table2080 */
+    2074 /* Table2074 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2082 /* Table2082 */
+    2076 /* Table2076 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2231 /* Table2231 */
+    2225 /* Table2225 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2233 /* Table2233 */
+    2227 /* Table2227 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2235 /* Table2235 */
+    2229 /* Table2229 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3553 /* Table3553 */
+    3547 /* Table3547 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2309 /* Table2309 */
+    2303 /* Table2303 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2313 /* Table2313 */
+    2307 /* Table2307 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2315 /* Table2315 */
+    2309 /* Table2309 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2317 /* Table2317 */
+    2311 /* Table2311 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2319 /* Table2319 */
+    2313 /* Table2313 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2321 /* Table2321 */
+    2315 /* Table2315 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2323 /* Table2323 */
+    2317 /* Table2317 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2325 /* Table2325 */
+    2319 /* Table2319 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3160 /* Table3160 */
+    3154 /* Table3154 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2344 /* Table2344 */
+    2338 /* Table2338 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3162 /* Table3162 */
+    3156 /* Table3156 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3164 /* Table3164 */
+    3158 /* Table3158 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3166 /* Table3166 */
+    3160 /* Table3160 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3168 /* Table3168 */
+    3162 /* Table3162 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -86664,157 +93828,157 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3184 /* Table3184 */
+    3178 /* Table3178 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3186 /* Table3186 */
+    3180 /* Table3180 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -86824,72 +93988,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -87824,7 +94988,7 @@
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3188 /* Table3188 */
+    3182 /* Table3182 */
    },
    /* 0xb9 */
    { /* ModRMDecision */
@@ -87844,12 +95008,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3190 /* Table3190 */
+    3184 /* Table3184 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3192 /* Table3192 */
+    3186 /* Table3186 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -88189,22 +95353,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3194 /* Table3194 */
+    3188 /* Table3188 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3210 /* Table3210 */
+    3204 /* Table3204 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3282 /* Table3282 */
+    3276 /* Table3276 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3284 /* Table3284 */
+    3278 /* Table3278 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -88214,27 +95378,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3286 /* Table3286 */
+    3280 /* Table3280 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -88244,7 +95408,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -88254,7 +95418,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -88269,102 +95433,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3114 /* Table3114 */
+    3108 /* Table3108 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3116 /* Table3116 */
+    3110 /* Table3110 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3118 /* Table3118 */
+    3112 /* Table3112 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3120 /* Table3120 */
+    3114 /* Table3114 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -88389,72 +95553,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3625 /* Table3625 */
+    3619 /* Table3619 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3124 /* Table3124 */
+    3118 /* Table3118 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3627 /* Table3627 */
+    3621 /* Table3621 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3629 /* Table3629 */
+    3623 /* Table3623 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3287 /* Table3287 */
+    3281 /* Table3281 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -88464,7 +95628,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -88509,222 +95673,222 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3288 /* Table3288 */
+    3282 /* Table3282 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3290 /* Table3290 */
+    3284 /* Table3284 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3292 /* Table3292 */
+    3286 /* Table3286 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3294 /* Table3294 */
+    3288 /* Table3288 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3296 /* Table3296 */
+    3290 /* Table3290 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3298 /* Table3298 */
+    3292 /* Table3292 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3300 /* Table3300 */
+    3294 /* Table3294 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3302 /* Table3302 */
+    3296 /* Table3296 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3304 /* Table3304 */
+    3298 /* Table3298 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3306 /* Table3306 */
+    3300 /* Table3300 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3308 /* Table3308 */
+    3302 /* Table3302 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3310 /* Table3310 */
+    3304 /* Table3304 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3312 /* Table3312 */
+    3306 /* Table3306 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3314 /* Table3314 */
+    3308 /* Table3308 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3316 /* Table3316 */
+    3310 /* Table3310 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3318 /* Table3318 */
+    3312 /* Table3312 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1902 /* Table1902 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3130 /* Table3130 */
+    3124 /* Table3124 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3132 /* Table3132 */
+    3126 /* Table3126 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3134 /* Table3134 */
+    3128 /* Table3128 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1910 /* Table1910 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1912 /* Table1912 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1914 /* Table1914 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1916 /* Table1916 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3136 /* Table3136 */
+    3130 /* Table3130 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3138 /* Table3138 */
+    3132 /* Table3132 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3140 /* Table3140 */
+    3134 /* Table3134 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3142 /* Table3142 */
+    3136 /* Table3136 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3144 /* Table3144 */
+    3138 /* Table3138 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3146 /* Table3146 */
+    3140 /* Table3140 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3148 /* Table3148 */
+    3142 /* Table3142 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3150 /* Table3150 */
+    3144 /* Table3144 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1934 /* Table1934 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1936 /* Table1936 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1938 /* Table1938 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1940 /* Table1940 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1942 /* Table1942 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1944 /* Table1944 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1946 /* Table1946 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1948 /* Table1948 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1950 /* Table1950 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1952 /* Table1952 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1954 /* Table1954 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1956 /* Table1956 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
    { /* ModRMDecision */
@@ -88739,62 +95903,62 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3320 /* Table3320 */
+    3314 /* Table3314 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3152 /* Table3152 */
+    3146 /* Table3146 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3154 /* Table3154 */
+    3148 /* Table3148 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1964 /* Table1964 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1980 /* Table1980 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1996 /* Table1996 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2012 /* Table2012 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2014 /* Table2014 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2016 /* Table2016 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2551 /* Table2551 */
+    2545 /* Table2545 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2553 /* Table2553 */
+    2547 /* Table2547 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -88819,412 +95983,412 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3631 /* Table3631 */
+    3625 /* Table3625 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3158 /* Table3158 */
+    3152 /* Table3152 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2027 /* Table2027 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2028 /* Table2028 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2029 /* Table2029 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2030 /* Table2030 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2032 /* Table2032 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2034 /* Table2034 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2038 /* Table2038 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2040 /* Table2040 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2042 /* Table2042 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2555 /* Table2555 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2556 /* Table2556 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3324 /* Table3324 */
+    3318 /* Table3318 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3326 /* Table3326 */
+    3320 /* Table3320 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3328 /* Table3328 */
+    3322 /* Table3322 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2558 /* Table2558 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2559 /* Table2559 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3330 /* Table3330 */
+    3324 /* Table3324 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3332 /* Table3332 */
+    3326 /* Table3326 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3334 /* Table3334 */
+    3328 /* Table3328 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3633 /* Table3633 */
+    3627 /* Table3627 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3408 /* Table3408 */
+    3402 /* Table3402 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3410 /* Table3410 */
+    3404 /* Table3404 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3412 /* Table3412 */
+    3406 /* Table3406 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3414 /* Table3414 */
+    3408 /* Table3408 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3416 /* Table3416 */
+    3410 /* Table3410 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3418 /* Table3418 */
+    3412 /* Table3412 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3420 /* Table3420 */
+    3414 /* Table3414 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3422 /* Table3422 */
+    3416 /* Table3416 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3705 /* Table3705 */
+    3699 /* Table3699 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3424 /* Table3424 */
+    3418 /* Table3418 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3440 /* Table3440 */
+    3434 /* Table3434 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3707 /* Table3707 */
+    3701 /* Table3701 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3709 /* Table3709 */
+    3703 /* Table3703 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3446 /* Table3446 */
+    3440 /* Table3440 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3448 /* Table3448 */
+    3442 /* Table3442 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3450 /* Table3450 */
+    3444 /* Table3444 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3166 /* Table3166 */
+    3160 /* Table3160 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3452 /* Table3452 */
+    3446 /* Table3446 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3711 /* Table3711 */
+    3705 /* Table3705 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -89234,157 +96398,157 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2385 /* Table2385 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2387 /* Table2387 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2389 /* Table2389 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2391 /* Table2391 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2393 /* Table2393 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3184 /* Table3184 */
+    3178 /* Table3178 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2395 /* Table2395 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2397 /* Table2397 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2399 /* Table2399 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2401 /* Table2401 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2403 /* Table2403 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2405 /* Table2405 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2407 /* Table2407 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2409 /* Table2409 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2411 /* Table2411 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2413 /* Table2413 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2415 /* Table2415 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2417 /* Table2417 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2419 /* Table2419 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2421 /* Table2421 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2423 /* Table2423 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3186 /* Table3186 */
+    3180 /* Table3180 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2425 /* Table2425 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2427 /* Table2427 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2429 /* Table2429 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2431 /* Table2431 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2433 /* Table2433 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2435 /* Table2435 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2437 /* Table2437 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2439 /* Table2439 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2441 /* Table2441 */
+    2435 /* Table2435 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -89394,72 +96558,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2443 /* Table2443 */
+    2437 /* Table2437 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2445 /* Table2445 */
+    2439 /* Table2439 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2447 /* Table2447 */
+    2441 /* Table2441 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2449 /* Table2449 */
+    2443 /* Table2443 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2451 /* Table2451 */
+    2445 /* Table2445 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2453 /* Table2453 */
+    2447 /* Table2447 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2560 /* Table2560 */
+    2554 /* Table2554 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2457 /* Table2457 */
+    2451 /* Table2451 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2459 /* Table2459 */
+    2453 /* Table2453 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2461 /* Table2461 */
+    2455 /* Table2455 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2463 /* Table2463 */
+    2457 /* Table2457 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2465 /* Table2465 */
+    2459 /* Table2459 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2467 /* Table2467 */
+    2461 /* Table2461 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2469 /* Table2469 */
+    2463 /* Table2463 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -89474,22 +96638,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3194 /* Table3194 */
+    3188 /* Table3188 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3210 /* Table3210 */
+    3204 /* Table3204 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3282 /* Table3282 */
+    3276 /* Table3276 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3284 /* Table3284 */
+    3278 /* Table3278 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -89499,27 +96663,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1771 /* Table1771 */
+    1765 /* Table1765 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1772 /* Table1772 */
+    1766 /* Table1766 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3286 /* Table3286 */
+    3280 /* Table3280 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1774 /* Table1774 */
+    1768 /* Table1768 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1775 /* Table1775 */
+    1769 /* Table1769 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -89529,7 +96693,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1776 /* Table1776 */
+    1770 /* Table1770 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -89539,7 +96703,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1777 /* Table1777 */
+    1771 /* Table1771 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -89554,102 +96718,102 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3064 /* Table3064 */
+    3058 /* Table3058 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3066 /* Table3066 */
+    3060 /* Table3060 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3068 /* Table3068 */
+    3062 /* Table3062 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    1793 /* Table1793 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1795 /* Table1795 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1797 /* Table1797 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     1799 /* Table1799 */
    },
-   /* 0x14 */
+   /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1801 /* Table1801 */
    },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1803 /* Table1803 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1805 /* Table1805 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1807 /* Table1807 */
-   },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
+    1819 /* Table1819 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1821 /* Table1821 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1823 /* Table1823 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
     1825 /* Table1825 */
    },
-   /* 0x1a */
+   /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1827 /* Table1827 */
    },
-   /* 0x1b */
+   /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1829 /* Table1829 */
    },
-   /* 0x1c */
+   /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     1831 /* Table1831 */
    },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1833 /* Table1833 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1835 /* Table1835 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1837 /* Table1837 */
-   },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2543 /* Table2543 */
+    2537 /* Table2537 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2545 /* Table2545 */
+    2539 /* Table2539 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2547 /* Table2547 */
+    2541 /* Table2541 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2549 /* Table2549 */
+    2543 /* Table2543 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -89674,72 +96838,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3727 /* Table3727 */
+    3721 /* Table3721 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3072 /* Table3072 */
+    3066 /* Table3066 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3729 /* Table3729 */
+    3723 /* Table3723 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3731 /* Table3731 */
+    3725 /* Table3725 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1864 /* Table1864 */
+    1858 /* Table1858 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1866 /* Table1866 */
+    1860 /* Table1860 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3287 /* Table3287 */
+    3281 /* Table3281 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -89749,7 +96913,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -89794,1567 +96958,282 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3288 /* Table3288 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3290 /* Table3290 */
-   },
-   /* 0x42 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3292 /* Table3292 */
-   },
-   /* 0x43 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3294 /* Table3294 */
-   },
-   /* 0x44 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3296 /* Table3296 */
-   },
-   /* 0x45 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3298 /* Table3298 */
-   },
-   /* 0x46 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3300 /* Table3300 */
-   },
-   /* 0x47 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3302 /* Table3302 */
-   },
-   /* 0x48 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3304 /* Table3304 */
-   },
-   /* 0x49 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3306 /* Table3306 */
-   },
-   /* 0x4a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3308 /* Table3308 */
-   },
-   /* 0x4b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3310 /* Table3310 */
-   },
-   /* 0x4c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3312 /* Table3312 */
-   },
-   /* 0x4d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3314 /* Table3314 */
-   },
-   /* 0x4e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3316 /* Table3316 */
-   },
-   /* 0x4f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3318 /* Table3318 */
-   },
-   /* 0x50 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1902 /* Table1902 */
-   },
-   /* 0x51 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3078 /* Table3078 */
-   },
-   /* 0x52 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1906 /* Table1906 */
-   },
-   /* 0x53 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1908 /* Table1908 */
-   },
-   /* 0x54 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1910 /* Table1910 */
-   },
-   /* 0x55 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1912 /* Table1912 */
-   },
-   /* 0x56 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1914 /* Table1914 */
-   },
-   /* 0x57 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1916 /* Table1916 */
-   },
-   /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3080 /* Table3080 */
-   },
-   /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3082 /* Table3082 */
-   },
-   /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3084 /* Table3084 */
-   },
-   /* 0x5b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1924 /* Table1924 */
-   },
-   /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3086 /* Table3086 */
-   },
-   /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3088 /* Table3088 */
-   },
-   /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3090 /* Table3090 */
-   },
-   /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3092 /* Table3092 */
-   },
-   /* 0x60 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1934 /* Table1934 */
-   },
-   /* 0x61 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1936 /* Table1936 */
-   },
-   /* 0x62 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1938 /* Table1938 */
-   },
-   /* 0x63 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1940 /* Table1940 */
-   },
-   /* 0x64 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1942 /* Table1942 */
-   },
-   /* 0x65 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1944 /* Table1944 */
-   },
-   /* 0x66 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1946 /* Table1946 */
-   },
-   /* 0x67 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1948 /* Table1948 */
-   },
-   /* 0x68 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1950 /* Table1950 */
-   },
-   /* 0x69 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1952 /* Table1952 */
-   },
-   /* 0x6a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1954 /* Table1954 */
-   },
-   /* 0x6b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1956 /* Table1956 */
-   },
-   /* 0x6c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3320 /* Table3320 */
-   },
-   /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    1960 /* Table1960 */
-   },
-   /* 0x70 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3094 /* Table3094 */
-   },
-   /* 0x71 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1964 /* Table1964 */
-   },
-   /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1980 /* Table1980 */
-   },
-   /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1996 /* Table1996 */
-   },
-   /* 0x74 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2012 /* Table2012 */
-   },
-   /* 0x75 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2014 /* Table2014 */
-   },
-   /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2016 /* Table2016 */
-   },
-   /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2018 /* Table2018 */
-   },
-   /* 0x78 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3549 /* Table3549 */
-   },
-   /* 0x79 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3551 /* Table3551 */
-   },
-   /* 0x7a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x7c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3100 /* Table3100 */
-   },
-   /* 0x7d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3102 /* Table3102 */
-   },
-   /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3322 /* Table3322 */
-   },
-   /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2025 /* Table2025 */
-   },
-   /* 0x80 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2027 /* Table2027 */
-   },
-   /* 0x81 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2028 /* Table2028 */
-   },
-   /* 0x82 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2029 /* Table2029 */
-   },
-   /* 0x83 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2030 /* Table2030 */
-   },
-   /* 0x84 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2031 /* Table2031 */
-   },
-   /* 0x85 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2032 /* Table2032 */
-   },
-   /* 0x86 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2033 /* Table2033 */
-   },
-   /* 0x87 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2034 /* Table2034 */
-   },
-   /* 0x88 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2035 /* Table2035 */
-   },
-   /* 0x89 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2036 /* Table2036 */
-   },
-   /* 0x8a */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2037 /* Table2037 */
-   },
-   /* 0x8b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2038 /* Table2038 */
-   },
-   /* 0x8c */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2039 /* Table2039 */
-   },
-   /* 0x8d */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2040 /* Table2040 */
-   },
-   /* 0x8e */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2041 /* Table2041 */
-   },
-   /* 0x8f */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2042 /* Table2042 */
-   },
-   /* 0x90 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2043 /* Table2043 */
-   },
-   /* 0x91 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2045 /* Table2045 */
-   },
-   /* 0x92 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2047 /* Table2047 */
-   },
-   /* 0x93 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2049 /* Table2049 */
-   },
-   /* 0x94 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2051 /* Table2051 */
-   },
-   /* 0x95 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2053 /* Table2053 */
-   },
-   /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2055 /* Table2055 */
-   },
-   /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2057 /* Table2057 */
-   },
-   /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2059 /* Table2059 */
-   },
-   /* 0x99 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2061 /* Table2061 */
-   },
-   /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2063 /* Table2063 */
-   },
-   /* 0x9b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2065 /* Table2065 */
-   },
-   /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2067 /* Table2067 */
-   },
-   /* 0x9d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2069 /* Table2069 */
-   },
-   /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2071 /* Table2071 */
-   },
-   /* 0x9f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2073 /* Table2073 */
-   },
-   /* 0xa0 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2555 /* Table2555 */
-   },
-   /* 0xa1 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2556 /* Table2556 */
-   },
-   /* 0xa2 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2557 /* Table2557 */
-   },
-   /* 0xa3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3324 /* Table3324 */
-   },
-   /* 0xa4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3326 /* Table3326 */
-   },
-   /* 0xa5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3328 /* Table3328 */
-   },
-   /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2084 /* Table2084 */
-   },
-   /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    2156 /* Table2156 */
-   },
-   /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2558 /* Table2558 */
-   },
-   /* 0xa9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2559 /* Table2559 */
-   },
-   /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2230 /* Table2230 */
-   },
-   /* 0xab */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3330 /* Table3330 */
-   },
-   /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3332 /* Table3332 */
-   },
-   /* 0xad */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3334 /* Table3334 */
-   },
-   /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    3336 /* Table3336 */
-   },
-   /* 0xaf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3408 /* Table3408 */
-   },
-   /* 0xb0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2311 /* Table2311 */
-   },
-   /* 0xb1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3410 /* Table3410 */
-   },
-   /* 0xb2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3412 /* Table3412 */
-   },
-   /* 0xb3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3414 /* Table3414 */
-   },
-   /* 0xb4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3416 /* Table3416 */
-   },
-   /* 0xb5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3418 /* Table3418 */
-   },
-   /* 0xb6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3420 /* Table3420 */
-   },
-   /* 0xb7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3422 /* Table3422 */
-   },
-   /* 0xb8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0xb9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    2327 /* Table2327 */
-   },
-   /* 0xba */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    3424 /* Table3424 */
-   },
-   /* 0xbb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3440 /* Table3440 */
-   },
-   /* 0xbc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3442 /* Table3442 */
-   },
-   /* 0xbd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3444 /* Table3444 */
-   },
-   /* 0xbe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3446 /* Table3446 */
-   },
-   /* 0xbf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3448 /* Table3448 */
-   },
-   /* 0xc0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2354 /* Table2354 */
-   },
-   /* 0xc1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3450 /* Table3450 */
-   },
-   /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3104 /* Table3104 */
-   },
-   /* 0xc3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3452 /* Table3452 */
-   },
-   /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2362 /* Table2362 */
-   },
-   /* 0xc5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2364 /* Table2364 */
-   },
-   /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2366 /* Table2366 */
-   },
-   /* 0xc7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    3454 /* Table3454 */
-   },
-   /* 0xc8 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xc9 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcb */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcc */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcd */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xce */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xcf */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3470 /* Table3470 */
-   },
-   /* 0xd0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3106 /* Table3106 */
-   },
-   /* 0xd1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2385 /* Table2385 */
-   },
-   /* 0xd2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2387 /* Table2387 */
-   },
-   /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2389 /* Table2389 */
-   },
-   /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2391 /* Table2391 */
-   },
-   /* 0xd5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2393 /* Table2393 */
-   },
-   /* 0xd6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3108 /* Table3108 */
-   },
-   /* 0xd7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2395 /* Table2395 */
-   },
-   /* 0xd8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2397 /* Table2397 */
-   },
-   /* 0xd9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2399 /* Table2399 */
-   },
-   /* 0xda */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2401 /* Table2401 */
-   },
-   /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2403 /* Table2403 */
-   },
-   /* 0xdc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2405 /* Table2405 */
-   },
-   /* 0xdd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2407 /* Table2407 */
-   },
-   /* 0xde */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2409 /* Table2409 */
-   },
-   /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2411 /* Table2411 */
-   },
-   /* 0xe0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2413 /* Table2413 */
-   },
-   /* 0xe1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2415 /* Table2415 */
-   },
-   /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2417 /* Table2417 */
-   },
-   /* 0xe3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2419 /* Table2419 */
-   },
-   /* 0xe4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2421 /* Table2421 */
-   },
-   /* 0xe5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2423 /* Table2423 */
-   },
-   /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3110 /* Table3110 */
-   },
-   /* 0xe7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2425 /* Table2425 */
-   },
-   /* 0xe8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2427 /* Table2427 */
-   },
-   /* 0xe9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2429 /* Table2429 */
-   },
-   /* 0xea */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2431 /* Table2431 */
-   },
-   /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2433 /* Table2433 */
-   },
-   /* 0xec */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2435 /* Table2435 */
-   },
-   /* 0xed */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2437 /* Table2437 */
-   },
-   /* 0xee */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2439 /* Table2439 */
-   },
-   /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2441 /* Table2441 */
-   },
-   /* 0xf0 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3112 /* Table3112 */
-   },
-   /* 0xf1 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2443 /* Table2443 */
-   },
-   /* 0xf2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2445 /* Table2445 */
-   },
-   /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2447 /* Table2447 */
-   },
-   /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2449 /* Table2449 */
-   },
-   /* 0xf5 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2451 /* Table2451 */
-   },
-   /* 0xf6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2453 /* Table2453 */
-   },
-   /* 0xf7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2560 /* Table2560 */
-   },
-   /* 0xf8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2457 /* Table2457 */
-   },
-   /* 0xf9 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2459 /* Table2459 */
-   },
-   /* 0xfa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2461 /* Table2461 */
-   },
-   /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2463 /* Table2463 */
-   },
-   /* 0xfc */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2465 /* Table2465 */
-   },
-   /* 0xfd */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2467 /* Table2467 */
-   },
-   /* 0xfe */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2469 /* Table2469 */
-   },
-   /* 0xff */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   }
-  }
- }
-,  /* IC_64BIT_REXW_OPSIZE */
- { /* struct OpcodeDecision */
-  {
-   /* 0x00 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    3194 /* Table3194 */
-   },
-   /* 0x01 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITMISC,
-    3210 /* Table3210 */
-   },
-   /* 0x02 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
     3282 /* Table3282 */
    },
-   /* 0x03 */
+   /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
     3284 /* Table3284 */
    },
-   /* 0x04 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x05 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1771 /* Table1771 */
-   },
-   /* 0x06 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1772 /* Table1772 */
-   },
-   /* 0x07 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3286 /* Table3286 */
-   },
-   /* 0x08 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1774 /* Table1774 */
-   },
-   /* 0x09 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1775 /* Table1775 */
-   },
-   /* 0x0a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0b */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1776 /* Table1776 */
-   },
-   /* 0x0c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    1777 /* Table1777 */
-   },
-   /* 0x0e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x0f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2654 /* Table2654 */
-   },
-   /* 0x11 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2656 /* Table2656 */
-   },
-   /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2658 /* Table2658 */
-   },
-   /* 0x13 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2660 /* Table2660 */
-   },
-   /* 0x14 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2662 /* Table2662 */
-   },
-   /* 0x15 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2664 /* Table2664 */
-   },
-   /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2666 /* Table2666 */
-   },
-   /* 0x17 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2668 /* Table2668 */
-   },
-   /* 0x18 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    2670 /* Table2670 */
-   },
-   /* 0x19 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2686 /* Table2686 */
-   },
-   /* 0x1a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2688 /* Table2688 */
-   },
-   /* 0x1b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2690 /* Table2690 */
-   },
-   /* 0x1c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2692 /* Table2692 */
-   },
-   /* 0x1d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2694 /* Table2694 */
-   },
-   /* 0x1e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2696 /* Table2696 */
-   },
-   /* 0x1f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2698 /* Table2698 */
-   },
-   /* 0x20 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2543 /* Table2543 */
-   },
-   /* 0x21 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2545 /* Table2545 */
-   },
-   /* 0x22 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2547 /* Table2547 */
-   },
-   /* 0x23 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2549 /* Table2549 */
-   },
-   /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x26 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x27 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2700 /* Table2700 */
-   },
-   /* 0x29 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2702 /* Table2702 */
-   },
-   /* 0x2a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2704 /* Table2704 */
-   },
-   /* 0x2b */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2706 /* Table2706 */
-   },
-   /* 0x2c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2708 /* Table2708 */
-   },
-   /* 0x2d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2710 /* Table2710 */
-   },
-   /* 0x2e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2712 /* Table2712 */
-   },
-   /* 0x2f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2714 /* Table2714 */
-   },
-   /* 0x30 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1863 /* Table1863 */
-   },
-   /* 0x31 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1864 /* Table1864 */
-   },
-   /* 0x32 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1865 /* Table1865 */
-   },
-   /* 0x33 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1866 /* Table1866 */
-   },
-   /* 0x34 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1867 /* Table1867 */
-   },
-   /* 0x35 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    3287 /* Table3287 */
-   },
-   /* 0x36 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x37 */
-   { /* struct ModRMDecision */
-    MODRM_ONEENTRY,
-    1869 /* Table1869 */
-   },
-   /* 0x38 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
-   },
-   /* 0x40 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3288 /* Table3288 */
-   },
-   /* 0x41 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    3290 /* Table3290 */
-   },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3292 /* Table3292 */
+    3286 /* Table3286 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3294 /* Table3294 */
+    3288 /* Table3288 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3296 /* Table3296 */
+    3290 /* Table3290 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3298 /* Table3298 */
+    3292 /* Table3292 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3300 /* Table3300 */
+    3294 /* Table3294 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3302 /* Table3302 */
+    3296 /* Table3296 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3304 /* Table3304 */
+    3298 /* Table3298 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3306 /* Table3306 */
+    3300 /* Table3300 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3308 /* Table3308 */
+    3302 /* Table3302 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3310 /* Table3310 */
+    3304 /* Table3304 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3312 /* Table3312 */
+    3306 /* Table3306 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3314 /* Table3314 */
+    3308 /* Table3308 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3316 /* Table3316 */
+    3310 /* Table3310 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3318 /* Table3318 */
+    3312 /* Table3312 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2748 /* Table2748 */
+    1896 /* Table1896 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2750 /* Table2750 */
+    3072 /* Table3072 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1906 /* Table1906 */
+    1900 /* Table1900 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1908 /* Table1908 */
+    1902 /* Table1902 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2752 /* Table2752 */
+    1904 /* Table1904 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2754 /* Table2754 */
+    1906 /* Table1906 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2756 /* Table2756 */
+    1908 /* Table1908 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2758 /* Table2758 */
+    1910 /* Table1910 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2760 /* Table2760 */
+    3074 /* Table3074 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2762 /* Table2762 */
+    3076 /* Table3076 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2764 /* Table2764 */
+    3078 /* Table3078 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2766 /* Table2766 */
+    1918 /* Table1918 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2768 /* Table2768 */
+    3080 /* Table3080 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2770 /* Table2770 */
+    3082 /* Table3082 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2772 /* Table2772 */
+    3084 /* Table3084 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2774 /* Table2774 */
+    3086 /* Table3086 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2776 /* Table2776 */
+    1928 /* Table1928 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2778 /* Table2778 */
+    1930 /* Table1930 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2780 /* Table2780 */
+    1932 /* Table1932 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2782 /* Table2782 */
+    1934 /* Table1934 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2784 /* Table2784 */
+    1936 /* Table1936 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2786 /* Table2786 */
+    1938 /* Table1938 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2788 /* Table2788 */
+    1940 /* Table1940 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2790 /* Table2790 */
+    1942 /* Table1942 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2792 /* Table2792 */
+    1944 /* Table1944 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2794 /* Table2794 */
+    1946 /* Table1946 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2796 /* Table2796 */
+    1948 /* Table1948 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2798 /* Table2798 */
+    1950 /* Table1950 */
    },
    /* 0x6c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2800 /* Table2800 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    2802 /* Table2802 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3733 /* Table3733 */
+    3314 /* Table3314 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2806 /* Table2806 */
+    1954 /* Table1954 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2808 /* Table2808 */
+    3088 /* Table3088 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2810 /* Table2810 */
+    1958 /* Table1958 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2826 /* Table2826 */
+    1974 /* Table1974 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2842 /* Table2842 */
+    1990 /* Table1990 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2858 /* Table2858 */
+    2006 /* Table2006 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2860 /* Table2860 */
+    2008 /* Table2008 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2862 /* Table2862 */
+    2010 /* Table2010 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2018 /* Table2018 */
+    2012 /* Table2012 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
@@ -91379,302 +97258,302 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2868 /* Table2868 */
+    3094 /* Table3094 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2870 /* Table2870 */
+    3096 /* Table3096 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3735 /* Table3735 */
+    3316 /* Table3316 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2874 /* Table2874 */
+    2019 /* Table2019 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2876 /* Table2876 */
+    2021 /* Table2021 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2877 /* Table2877 */
+    2022 /* Table2022 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2878 /* Table2878 */
+    2023 /* Table2023 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2879 /* Table2879 */
+    2024 /* Table2024 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2880 /* Table2880 */
+    2025 /* Table2025 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2881 /* Table2881 */
+    2026 /* Table2026 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2882 /* Table2882 */
+    2027 /* Table2027 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2883 /* Table2883 */
+    2028 /* Table2028 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2884 /* Table2884 */
+    2029 /* Table2029 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2885 /* Table2885 */
+    2030 /* Table2030 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2886 /* Table2886 */
+    2031 /* Table2031 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2887 /* Table2887 */
+    2032 /* Table2032 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2888 /* Table2888 */
+    2033 /* Table2033 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2889 /* Table2889 */
+    2034 /* Table2034 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2890 /* Table2890 */
+    2035 /* Table2035 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2891 /* Table2891 */
+    2036 /* Table2036 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2892 /* Table2892 */
+    2549 /* Table2549 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2893 /* Table2893 */
+    2550 /* Table2550 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2557 /* Table2557 */
+    2551 /* Table2551 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3324 /* Table3324 */
+    3318 /* Table3318 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3326 /* Table3326 */
+    3320 /* Table3320 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3328 /* Table3328 */
+    3322 /* Table3322 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2084 /* Table2084 */
+    2078 /* Table2078 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2156 /* Table2156 */
+    2150 /* Table2150 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2900 /* Table2900 */
+    2552 /* Table2552 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2901 /* Table2901 */
+    2553 /* Table2553 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3330 /* Table3330 */
+    3324 /* Table3324 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3332 /* Table3332 */
+    3326 /* Table3326 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3334 /* Table3334 */
+    3328 /* Table3328 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    3336 /* Table3336 */
+    3330 /* Table3330 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3408 /* Table3408 */
+    3402 /* Table3402 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2311 /* Table2311 */
+    2305 /* Table2305 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3410 /* Table3410 */
+    3404 /* Table3404 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3412 /* Table3412 */
+    3406 /* Table3406 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3414 /* Table3414 */
+    3408 /* Table3408 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3416 /* Table3416 */
+    3410 /* Table3410 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3418 /* Table3418 */
+    3412 /* Table3412 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3420 /* Table3420 */
+    3414 /* Table3414 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3422 /* Table3422 */
+    3416 /* Table3416 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -91684,277 +97563,1562 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2327 /* Table2327 */
+    2321 /* Table2321 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3424 /* Table3424 */
+    3418 /* Table3418 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3440 /* Table3440 */
+    3434 /* Table3434 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3442 /* Table3442 */
+    3436 /* Table3436 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3444 /* Table3444 */
+    3438 /* Table3438 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3446 /* Table3446 */
+    3440 /* Table3440 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3448 /* Table3448 */
+    3442 /* Table3442 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3450 /* Table3450 */
+    3444 /* Table3444 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2948 /* Table2948 */
+    3098 /* Table3098 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3452 /* Table3452 */
+    3446 /* Table3446 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2950 /* Table2950 */
+    2356 /* Table2356 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2952 /* Table2952 */
+    2358 /* Table2358 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2954 /* Table2954 */
+    2360 /* Table2360 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3737 /* Table3737 */
+    3448 /* Table3448 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3470 /* Table3470 */
+    3464 /* Table3464 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2972 /* Table2972 */
+    3100 /* Table3100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2974 /* Table2974 */
+    2379 /* Table2379 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2976 /* Table2976 */
+    2381 /* Table2381 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2978 /* Table2978 */
+    2383 /* Table2383 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2980 /* Table2980 */
+    2385 /* Table2385 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2982 /* Table2982 */
+    2387 /* Table2387 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2984 /* Table2984 */
+    3102 /* Table3102 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2986 /* Table2986 */
+    2389 /* Table2389 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2988 /* Table2988 */
+    2391 /* Table2391 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2990 /* Table2990 */
+    2393 /* Table2393 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2992 /* Table2992 */
+    2395 /* Table2395 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2994 /* Table2994 */
+    2397 /* Table2397 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2996 /* Table2996 */
+    2399 /* Table2399 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2998 /* Table2998 */
+    2401 /* Table2401 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3000 /* Table3000 */
+    2403 /* Table2403 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3002 /* Table3002 */
+    2405 /* Table2405 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3004 /* Table3004 */
+    2407 /* Table2407 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3006 /* Table3006 */
+    2409 /* Table2409 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3008 /* Table3008 */
+    2411 /* Table2411 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3010 /* Table3010 */
+    2413 /* Table2413 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3012 /* Table3012 */
+    2415 /* Table2415 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3014 /* Table3014 */
+    2417 /* Table2417 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3016 /* Table3016 */
+    3104 /* Table3104 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3018 /* Table3018 */
+    2419 /* Table2419 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3020 /* Table3020 */
+    2421 /* Table2421 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3022 /* Table3022 */
+    2423 /* Table2423 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3024 /* Table3024 */
+    2425 /* Table2425 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3026 /* Table3026 */
+    2427 /* Table2427 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3028 /* Table3028 */
+    2429 /* Table2429 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3030 /* Table3030 */
+    2431 /* Table2431 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3032 /* Table3032 */
+    2433 /* Table2433 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3034 /* Table3034 */
+    2435 /* Table2435 */
+   },
+   /* 0xf0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3106 /* Table3106 */
+   },
+   /* 0xf1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2437 /* Table2437 */
+   },
+   /* 0xf2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2439 /* Table2439 */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2441 /* Table2441 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2443 /* Table2443 */
+   },
+   /* 0xf5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2445 /* Table2445 */
+   },
+   /* 0xf6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2447 /* Table2447 */
+   },
+   /* 0xf7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2554 /* Table2554 */
+   },
+   /* 0xf8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2451 /* Table2451 */
+   },
+   /* 0xf9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2453 /* Table2453 */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2455 /* Table2455 */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2457 /* Table2457 */
+   },
+   /* 0xfc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2459 /* Table2459 */
+   },
+   /* 0xfd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2461 /* Table2461 */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2463 /* Table2463 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_64BIT_REXW_OPSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    3188 /* Table3188 */
+   },
+   /* 0x01 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    3204 /* Table3204 */
+   },
+   /* 0x02 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3276 /* Table3276 */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3278 /* Table3278 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1765 /* Table1765 */
+   },
+   /* 0x06 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1766 /* Table1766 */
+   },
+   /* 0x07 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3280 /* Table3280 */
+   },
+   /* 0x08 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1768 /* Table1768 */
+   },
+   /* 0x09 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1769 /* Table1769 */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1770 /* Table1770 */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    1771 /* Table1771 */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2648 /* Table2648 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2650 /* Table2650 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2652 /* Table2652 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2654 /* Table2654 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2656 /* Table2656 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2658 /* Table2658 */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2660 /* Table2660 */
+   },
+   /* 0x17 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2662 /* Table2662 */
+   },
+   /* 0x18 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2664 /* Table2664 */
+   },
+   /* 0x19 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2680 /* Table2680 */
+   },
+   /* 0x1a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2682 /* Table2682 */
+   },
+   /* 0x1b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2684 /* Table2684 */
+   },
+   /* 0x1c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2686 /* Table2686 */
+   },
+   /* 0x1d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2688 /* Table2688 */
+   },
+   /* 0x1e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2690 /* Table2690 */
+   },
+   /* 0x1f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2692 /* Table2692 */
+   },
+   /* 0x20 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2537 /* Table2537 */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2539 /* Table2539 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2541 /* Table2541 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2543 /* Table2543 */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2694 /* Table2694 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2696 /* Table2696 */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2698 /* Table2698 */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2700 /* Table2700 */
+   },
+   /* 0x2c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2702 /* Table2702 */
+   },
+   /* 0x2d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2704 /* Table2704 */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2706 /* Table2706 */
+   },
+   /* 0x2f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2708 /* Table2708 */
+   },
+   /* 0x30 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1857 /* Table1857 */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1858 /* Table1858 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1859 /* Table1859 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1860 /* Table1860 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1861 /* Table1861 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3281 /* Table3281 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    1863 /* Table1863 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3282 /* Table3282 */
+   },
+   /* 0x41 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3284 /* Table3284 */
+   },
+   /* 0x42 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3286 /* Table3286 */
+   },
+   /* 0x43 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3288 /* Table3288 */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3290 /* Table3290 */
+   },
+   /* 0x45 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3292 /* Table3292 */
+   },
+   /* 0x46 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3294 /* Table3294 */
+   },
+   /* 0x47 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3296 /* Table3296 */
+   },
+   /* 0x48 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3298 /* Table3298 */
+   },
+   /* 0x49 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3300 /* Table3300 */
+   },
+   /* 0x4a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3302 /* Table3302 */
+   },
+   /* 0x4b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3304 /* Table3304 */
+   },
+   /* 0x4c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3306 /* Table3306 */
+   },
+   /* 0x4d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3308 /* Table3308 */
+   },
+   /* 0x4e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3310 /* Table3310 */
+   },
+   /* 0x4f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3312 /* Table3312 */
+   },
+   /* 0x50 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2742 /* Table2742 */
+   },
+   /* 0x51 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2744 /* Table2744 */
+   },
+   /* 0x52 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1900 /* Table1900 */
+   },
+   /* 0x53 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    1902 /* Table1902 */
+   },
+   /* 0x54 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2746 /* Table2746 */
+   },
+   /* 0x55 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2748 /* Table2748 */
+   },
+   /* 0x56 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2750 /* Table2750 */
+   },
+   /* 0x57 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2752 /* Table2752 */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2754 /* Table2754 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2756 /* Table2756 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2758 /* Table2758 */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2760 /* Table2760 */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2762 /* Table2762 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2764 /* Table2764 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2766 /* Table2766 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2768 /* Table2768 */
+   },
+   /* 0x60 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2770 /* Table2770 */
+   },
+   /* 0x61 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2772 /* Table2772 */
+   },
+   /* 0x62 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2774 /* Table2774 */
+   },
+   /* 0x63 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2776 /* Table2776 */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2778 /* Table2778 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2780 /* Table2780 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2782 /* Table2782 */
+   },
+   /* 0x67 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2784 /* Table2784 */
+   },
+   /* 0x68 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2786 /* Table2786 */
+   },
+   /* 0x69 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2788 /* Table2788 */
+   },
+   /* 0x6a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2790 /* Table2790 */
+   },
+   /* 0x6b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2792 /* Table2792 */
+   },
+   /* 0x6c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2794 /* Table2794 */
+   },
+   /* 0x6d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2796 /* Table2796 */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3727 /* Table3727 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2800 /* Table2800 */
+   },
+   /* 0x70 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2802 /* Table2802 */
+   },
+   /* 0x71 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2804 /* Table2804 */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2820 /* Table2820 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    2836 /* Table2836 */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2852 /* Table2852 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2854 /* Table2854 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2856 /* Table2856 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2012 /* Table2012 */
+   },
+   /* 0x78 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3537 /* Table3537 */
+   },
+   /* 0x79 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3539 /* Table3539 */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2862 /* Table2862 */
+   },
+   /* 0x7d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2864 /* Table2864 */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3729 /* Table3729 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2868 /* Table2868 */
+   },
+   /* 0x80 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2870 /* Table2870 */
+   },
+   /* 0x81 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2871 /* Table2871 */
+   },
+   /* 0x82 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2872 /* Table2872 */
+   },
+   /* 0x83 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2873 /* Table2873 */
+   },
+   /* 0x84 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2874 /* Table2874 */
+   },
+   /* 0x85 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2875 /* Table2875 */
+   },
+   /* 0x86 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2876 /* Table2876 */
+   },
+   /* 0x87 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2877 /* Table2877 */
+   },
+   /* 0x88 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2878 /* Table2878 */
+   },
+   /* 0x89 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2879 /* Table2879 */
+   },
+   /* 0x8a */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2880 /* Table2880 */
+   },
+   /* 0x8b */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2881 /* Table2881 */
+   },
+   /* 0x8c */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2882 /* Table2882 */
+   },
+   /* 0x8d */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2883 /* Table2883 */
+   },
+   /* 0x8e */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2884 /* Table2884 */
+   },
+   /* 0x8f */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2885 /* Table2885 */
+   },
+   /* 0x90 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2037 /* Table2037 */
+   },
+   /* 0x91 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2039 /* Table2039 */
+   },
+   /* 0x92 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2041 /* Table2041 */
+   },
+   /* 0x93 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2043 /* Table2043 */
+   },
+   /* 0x94 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2045 /* Table2045 */
+   },
+   /* 0x95 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2047 /* Table2047 */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2049 /* Table2049 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2051 /* Table2051 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2053 /* Table2053 */
+   },
+   /* 0x99 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2055 /* Table2055 */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2057 /* Table2057 */
+   },
+   /* 0x9b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2059 /* Table2059 */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2061 /* Table2061 */
+   },
+   /* 0x9d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2063 /* Table2063 */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2065 /* Table2065 */
+   },
+   /* 0x9f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2067 /* Table2067 */
+   },
+   /* 0xa0 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2886 /* Table2886 */
+   },
+   /* 0xa1 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2887 /* Table2887 */
+   },
+   /* 0xa2 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2551 /* Table2551 */
+   },
+   /* 0xa3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3318 /* Table3318 */
+   },
+   /* 0xa4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3320 /* Table3320 */
+   },
+   /* 0xa5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3322 /* Table3322 */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2078 /* Table2078 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    2150 /* Table2150 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2894 /* Table2894 */
+   },
+   /* 0xa9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2895 /* Table2895 */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2224 /* Table2224 */
+   },
+   /* 0xab */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3324 /* Table3324 */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3326 /* Table3326 */
+   },
+   /* 0xad */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3328 /* Table3328 */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITMISC,
+    3330 /* Table3330 */
+   },
+   /* 0xaf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3402 /* Table3402 */
+   },
+   /* 0xb0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2305 /* Table2305 */
+   },
+   /* 0xb1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3404 /* Table3404 */
+   },
+   /* 0xb2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3406 /* Table3406 */
+   },
+   /* 0xb3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3408 /* Table3408 */
+   },
+   /* 0xb4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3410 /* Table3410 */
+   },
+   /* 0xb5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3412 /* Table3412 */
+   },
+   /* 0xb6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3414 /* Table3414 */
+   },
+   /* 0xb7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3416 /* Table3416 */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    2321 /* Table2321 */
+   },
+   /* 0xba */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    3418 /* Table3418 */
+   },
+   /* 0xbb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3434 /* Table3434 */
+   },
+   /* 0xbc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3436 /* Table3436 */
+   },
+   /* 0xbd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3438 /* Table3438 */
+   },
+   /* 0xbe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3440 /* Table3440 */
+   },
+   /* 0xbf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3442 /* Table3442 */
+   },
+   /* 0xc0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2348 /* Table2348 */
+   },
+   /* 0xc1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3444 /* Table3444 */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2942 /* Table2942 */
+   },
+   /* 0xc3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3446 /* Table3446 */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2944 /* Table2944 */
+   },
+   /* 0xc5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2946 /* Table2946 */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2948 /* Table2948 */
+   },
+   /* 0xc7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    3731 /* Table3731 */
+   },
+   /* 0xc8 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xc9 */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcb */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcd */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xce */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xcf */
+   { /* struct ModRMDecision */
+    MODRM_ONEENTRY,
+    3464 /* Table3464 */
+   },
+   /* 0xd0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2966 /* Table2966 */
+   },
+   /* 0xd1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2968 /* Table2968 */
+   },
+   /* 0xd2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2970 /* Table2970 */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2972 /* Table2972 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2974 /* Table2974 */
+   },
+   /* 0xd5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2976 /* Table2976 */
+   },
+   /* 0xd6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2978 /* Table2978 */
+   },
+   /* 0xd7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2980 /* Table2980 */
+   },
+   /* 0xd8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2982 /* Table2982 */
+   },
+   /* 0xd9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2984 /* Table2984 */
+   },
+   /* 0xda */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2986 /* Table2986 */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2988 /* Table2988 */
+   },
+   /* 0xdc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2990 /* Table2990 */
+   },
+   /* 0xdd */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2992 /* Table2992 */
+   },
+   /* 0xde */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2994 /* Table2994 */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2996 /* Table2996 */
+   },
+   /* 0xe0 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    2998 /* Table2998 */
+   },
+   /* 0xe1 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3000 /* Table3000 */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3002 /* Table3002 */
+   },
+   /* 0xe3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3004 /* Table3004 */
+   },
+   /* 0xe4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3006 /* Table3006 */
+   },
+   /* 0xe5 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3008 /* Table3008 */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3010 /* Table3010 */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3012 /* Table3012 */
+   },
+   /* 0xe8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3014 /* Table3014 */
+   },
+   /* 0xe9 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3016 /* Table3016 */
+   },
+   /* 0xea */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3018 /* Table3018 */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3020 /* Table3020 */
+   },
+   /* 0xec */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3022 /* Table3022 */
+   },
+   /* 0xed */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3024 /* Table3024 */
+   },
+   /* 0xee */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3026 /* Table3026 */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3028 /* Table3028 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -91964,72 +99128,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3036 /* Table3036 */
+    3030 /* Table3030 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3038 /* Table3038 */
+    3032 /* Table3032 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3040 /* Table3040 */
+    3034 /* Table3034 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3042 /* Table3042 */
+    3036 /* Table3036 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3044 /* Table3044 */
+    3038 /* Table3038 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3046 /* Table3046 */
+    3040 /* Table3040 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3547 /* Table3547 */
+    3541 /* Table3541 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3050 /* Table3050 */
+    3044 /* Table3044 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3052 /* Table3052 */
+    3046 /* Table3046 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3054 /* Table3054 */
+    3048 /* Table3048 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3056 /* Table3056 */
+    3050 /* Table3050 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3058 /* Table3058 */
+    3052 /* Table3052 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3060 /* Table3060 */
+    3054 /* Table3054 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3062 /* Table3062 */
+    3056 /* Table3056 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -92124,42 +99288,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3753 /* Table3753 */
+    3747 /* Table3747 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3755 /* Table3755 */
+    3749 /* Table3749 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3757 /* Table3757 */
+    3751 /* Table3751 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3759 /* Table3759 */
+    3753 /* Table3753 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3761 /* Table3761 */
+    3755 /* Table3755 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3763 /* Table3763 */
+    3757 /* Table3757 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3765 /* Table3765 */
+    3759 /* Table3759 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3767 /* Table3767 */
+    3761 /* Table3761 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -92244,12 +99408,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3769 /* Table3769 */
+    3763 /* Table3763 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3771 /* Table3771 */
+    3765 /* Table3765 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -92259,7 +99423,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3773 /* Table3773 */
+    3767 /* Table3767 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -92274,12 +99438,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -92384,7 +99548,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3779 /* Table3779 */
+    3773 /* Table3773 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -92444,82 +99608,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3781 /* Table3781 */
+    3775 /* Table3775 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3783 /* Table3783 */
+    3777 /* Table3777 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3785 /* Table3785 */
+    3779 /* Table3779 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3787 /* Table3787 */
+    3781 /* Table3781 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3789 /* Table3789 */
+    3783 /* Table3783 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3791 /* Table3791 */
+    3785 /* Table3785 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3793 /* Table3793 */
+    3787 /* Table3787 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3795 /* Table3795 */
+    3789 /* Table3789 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3797 /* Table3797 */
+    3791 /* Table3791 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3799 /* Table3799 */
+    3793 /* Table3793 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3801 /* Table3801 */
+    3795 /* Table3795 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3803 /* Table3803 */
+    3797 /* Table3797 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3805 /* Table3805 */
+    3799 /* Table3799 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3807 /* Table3807 */
+    3801 /* Table3801 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3809 /* Table3809 */
+    3803 /* Table3803 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3811 /* Table3811 */
+    3805 /* Table3805 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -92639,7 +99803,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3813 /* Table3813 */
+    3807 /* Table3807 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -92764,22 +99928,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3814 /* Table3814 */
+    3808 /* Table3808 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3816 /* Table3816 */
+    3810 /* Table3810 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3818 /* Table3818 */
+    3812 /* Table3812 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3820 /* Table3820 */
+    3814 /* Table3814 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -92804,7 +99968,7 @@
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3822 /* Table3822 */
+    3816 /* Table3816 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -92914,7 +100078,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3824 /* Table3824 */
+    3818 /* Table3818 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -93014,7 +100178,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3840 /* Table3840 */
+    3834 /* Table3834 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -93034,7 +100198,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3842 /* Table3842 */
+    3836 /* Table3836 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -93409,17 +100573,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3848 /* Table3848 */
+    3842 /* Table3842 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -93439,7 +100603,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3850 /* Table3850 */
+    3844 /* Table3844 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -93539,7 +100703,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3852 /* Table3852 */
+    3846 /* Table3846 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -93549,12 +100713,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3854 /* Table3854 */
+    3848 /* Table3848 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3856 /* Table3856 */
+    3850 /* Table3850 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -93734,17 +100898,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3852 /* Table3852 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3860 /* Table3860 */
+    3854 /* Table3854 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3862 /* Table3862 */
+    3856 /* Table3856 */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -93769,42 +100933,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3858 /* Table3858 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3860 /* Table3860 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
+    3862 /* Table3862 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3870 /* Table3870 */
+    3864 /* Table3864 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3872 /* Table3872 */
+    3866 /* Table3866 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3874 /* Table3874 */
+    3868 /* Table3868 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3876 /* Table3876 */
+    3870 /* Table3870 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3878 /* Table3878 */
+    3872 /* Table3872 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -93884,12 +101048,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3880 /* Table3880 */
+    3874 /* Table3874 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3882 /* Table3882 */
+    3876 /* Table3876 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -93959,12 +101123,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3884 /* Table3884 */
+    3878 /* Table3878 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3886 /* Table3886 */
+    3880 /* Table3880 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -94299,7 +101463,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3888 /* Table3888 */
+    3882 /* Table3882 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -94479,7 +101643,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3890 /* Table3890 */
+    3884 /* Table3884 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -94694,17 +101858,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3892 /* Table3892 */
+    3886 /* Table3886 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3894 /* Table3894 */
+    3888 /* Table3888 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3896 /* Table3896 */
+    3890 /* Table3890 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -94824,7 +101988,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3898 /* Table3898 */
+    3892 /* Table3892 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -94834,12 +101998,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3900 /* Table3900 */
+    3894 /* Table3894 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3902 /* Table3902 */
+    3896 /* Table3896 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -95019,7 +102183,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3904 /* Table3904 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -95054,17 +102218,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3906 /* Table3906 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3908 /* Table3908 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3910 /* Table3910 */
+    3904 /* Table3904 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -95074,22 +102238,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -95174,7 +102338,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3920 /* Table3920 */
+    3914 /* Table3914 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -95234,12 +102398,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3922 /* Table3922 */
+    3916 /* Table3916 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3924 /* Table3924 */
+    3918 /* Table3918 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -95342,14 +102506,14 @@
     0 /* EmptyTable */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3920 /* Table3920 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3922 /* Table3922 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -95584,7 +102748,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -95654,7 +102818,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3928 /* Table3928 */
+    3926 /* Table3926 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -95764,7 +102928,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3928 /* Table3928 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -95814,7 +102978,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3932 /* Table3932 */
+    3930 /* Table3930 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -95979,42 +103143,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3934 /* Table3934 */
+    3932 /* Table3932 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3936 /* Table3936 */
+    3934 /* Table3934 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3938 /* Table3938 */
+    3936 /* Table3936 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3940 /* Table3940 */
+    3938 /* Table3938 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3942 /* Table3942 */
+    3940 /* Table3940 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3944 /* Table3944 */
+    3942 /* Table3942 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3946 /* Table3946 */
+    3944 /* Table3944 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3948 /* Table3948 */
+    3946 /* Table3946 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -96099,12 +103263,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3950 /* Table3950 */
+    3948 /* Table3948 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3952 /* Table3952 */
+    3950 /* Table3950 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -96114,7 +103278,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3954 /* Table3954 */
+    3952 /* Table3952 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -96129,12 +103293,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -96237,9 +103401,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    3958 /* Table3958 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -96617,24 +103781,24 @@
     0 /* EmptyTable */
    },
    /* 0x90 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4084 /* Table4084 */
    },
    /* 0x91 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4086 /* Table4086 */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4088 /* Table4088 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4090 /* Table4090 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -96869,7 +104033,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4084 /* Table4084 */
+    4092 /* Table4092 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -96879,17 +104043,17 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4086 /* Table4086 */
+    4094 /* Table4094 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4088 /* Table4088 */
+    4096 /* Table4096 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4090 /* Table4090 */
+    4098 /* Table4098 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -96939,162 +104103,162 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4092 /* Table4092 */
+    4100 /* Table4100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4094 /* Table4094 */
+    4102 /* Table4102 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4096 /* Table4096 */
+    4104 /* Table4104 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4098 /* Table4098 */
+    4106 /* Table4106 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4100 /* Table4100 */
+    4108 /* Table4108 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4102 /* Table4102 */
+    4110 /* Table4110 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4104 /* Table4104 */
+    4112 /* Table4112 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4106 /* Table4106 */
+    4114 /* Table4114 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4108 /* Table4108 */
+    4116 /* Table4116 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4110 /* Table4110 */
+    4118 /* Table4118 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4112 /* Table4112 */
+    4120 /* Table4120 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4114 /* Table4114 */
+    4122 /* Table4122 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4116 /* Table4116 */
+    4124 /* Table4124 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4118 /* Table4118 */
+    4126 /* Table4126 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4120 /* Table4120 */
+    4128 /* Table4128 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4122 /* Table4122 */
+    4130 /* Table4130 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4124 /* Table4124 */
+    4132 /* Table4132 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4126 /* Table4126 */
+    4134 /* Table4134 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4128 /* Table4128 */
+    4136 /* Table4136 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4130 /* Table4130 */
+    4138 /* Table4138 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4132 /* Table4132 */
+    4140 /* Table4140 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4134 /* Table4134 */
+    4142 /* Table4142 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4136 /* Table4136 */
+    4144 /* Table4144 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4138 /* Table4138 */
+    4146 /* Table4146 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4140 /* Table4140 */
+    4148 /* Table4148 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4142 /* Table4142 */
+    4150 /* Table4150 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4144 /* Table4144 */
+    4152 /* Table4152 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4146 /* Table4146 */
+    4154 /* Table4154 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4148 /* Table4148 */
+    4156 /* Table4156 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4150 /* Table4150 */
+    4158 /* Table4158 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4152 /* Table4152 */
+    4160 /* Table4160 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4154 /* Table4154 */
+    4162 /* Table4162 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -97104,72 +104268,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4156 /* Table4156 */
+    4164 /* Table4164 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4158 /* Table4158 */
+    4166 /* Table4166 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4160 /* Table4160 */
+    4168 /* Table4168 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4162 /* Table4162 */
+    4170 /* Table4170 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4164 /* Table4164 */
+    4172 /* Table4172 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4166 /* Table4166 */
+    4174 /* Table4174 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4168 /* Table4168 */
+    4176 /* Table4176 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4170 /* Table4170 */
+    4178 /* Table4178 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4172 /* Table4172 */
+    4180 /* Table4180 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4174 /* Table4174 */
+    4182 /* Table4182 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4176 /* Table4176 */
+    4184 /* Table4184 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4178 /* Table4178 */
+    4186 /* Table4186 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4180 /* Table4180 */
+    4188 /* Table4188 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4182 /* Table4182 */
+    4190 /* Table4190 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -97264,42 +104428,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3753 /* Table3753 */
+    3747 /* Table3747 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3755 /* Table3755 */
+    3749 /* Table3749 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3757 /* Table3757 */
+    3751 /* Table3751 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3759 /* Table3759 */
+    3753 /* Table3753 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3761 /* Table3761 */
+    3755 /* Table3755 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3763 /* Table3763 */
+    3757 /* Table3757 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3765 /* Table3765 */
+    3759 /* Table3759 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3767 /* Table3767 */
+    3761 /* Table3761 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -97384,12 +104548,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3769 /* Table3769 */
+    3763 /* Table3763 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3771 /* Table3771 */
+    3765 /* Table3765 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -97399,7 +104563,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3773 /* Table3773 */
+    3767 /* Table3767 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -97414,12 +104578,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -97524,7 +104688,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3779 /* Table3779 */
+    4192 /* Table4192 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -97584,82 +104748,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3781 /* Table3781 */
+    3775 /* Table3775 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3783 /* Table3783 */
+    3777 /* Table3777 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3785 /* Table3785 */
+    3779 /* Table3779 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3787 /* Table3787 */
+    3781 /* Table3781 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3789 /* Table3789 */
+    3783 /* Table3783 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3791 /* Table3791 */
+    3785 /* Table3785 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3793 /* Table3793 */
+    3787 /* Table3787 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3795 /* Table3795 */
+    3789 /* Table3789 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3797 /* Table3797 */
+    3791 /* Table3791 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3799 /* Table3799 */
+    3793 /* Table3793 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3801 /* Table3801 */
+    3795 /* Table3795 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3803 /* Table3803 */
+    3797 /* Table3797 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3805 /* Table3805 */
+    3799 /* Table3799 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3807 /* Table3807 */
+    3801 /* Table3801 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3809 /* Table3809 */
+    3803 /* Table3803 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3811 /* Table3811 */
+    3805 /* Table3805 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -97779,7 +104943,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    3813 /* Table3813 */
+    3807 /* Table3807 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -97904,22 +105068,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3814 /* Table3814 */
+    4194 /* Table4194 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3816 /* Table3816 */
+    4196 /* Table4196 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3818 /* Table3818 */
+    3812 /* Table3812 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3820 /* Table3820 */
+    3814 /* Table3814 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -97944,7 +105108,7 @@
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3822 /* Table3822 */
+    3816 /* Table3816 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -98054,7 +105218,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    3824 /* Table3824 */
+    3818 /* Table3818 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -98154,7 +105318,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3840 /* Table3840 */
+    3834 /* Table3834 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -98174,7 +105338,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3842 /* Table3842 */
+    3836 /* Table3836 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -98549,17 +105713,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3848 /* Table3848 */
+    3842 /* Table3842 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -98579,7 +105743,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3850 /* Table3850 */
+    3844 /* Table3844 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -98679,7 +105843,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4184 /* Table4184 */
+    4198 /* Table4198 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -98689,12 +105853,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4186 /* Table4186 */
+    4200 /* Table4200 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4188 /* Table4188 */
+    4202 /* Table4202 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -98874,17 +106038,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3852 /* Table3852 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3860 /* Table3860 */
+    3854 /* Table3854 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3862 /* Table3862 */
+    3856 /* Table3856 */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -98909,42 +106073,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3858 /* Table3858 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3860 /* Table3860 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
+    3862 /* Table3862 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3870 /* Table3870 */
+    3864 /* Table3864 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3872 /* Table3872 */
+    3866 /* Table3866 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3874 /* Table3874 */
+    3868 /* Table3868 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3876 /* Table3876 */
+    3870 /* Table3870 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3878 /* Table3878 */
+    3872 /* Table3872 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -99024,12 +106188,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3880 /* Table3880 */
+    3874 /* Table3874 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3882 /* Table3882 */
+    3876 /* Table3876 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -99099,12 +106263,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3884 /* Table3884 */
+    3878 /* Table3878 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3886 /* Table3886 */
+    3880 /* Table3880 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -99439,7 +106603,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3888 /* Table3888 */
+    3882 /* Table3882 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -99619,7 +106783,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3890 /* Table3890 */
+    3884 /* Table3884 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -99834,17 +106998,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3892 /* Table3892 */
+    3886 /* Table3886 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3894 /* Table3894 */
+    3888 /* Table3888 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3896 /* Table3896 */
+    3890 /* Table3890 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -99964,7 +107128,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4190 /* Table4190 */
+    4204 /* Table4204 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -99974,12 +107138,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4192 /* Table4192 */
+    4206 /* Table4206 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4194 /* Table4194 */
+    4208 /* Table4208 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -100159,7 +107323,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3904 /* Table3904 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -100194,17 +107358,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3906 /* Table3906 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3908 /* Table3908 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3910 /* Table3910 */
+    3904 /* Table3904 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -100214,22 +107378,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -100314,7 +107478,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3920 /* Table3920 */
+    3914 /* Table3914 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -100374,12 +107538,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3922 /* Table3922 */
+    3916 /* Table3916 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3924 /* Table3924 */
+    3918 /* Table3918 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -100482,14 +107646,14 @@
     0 /* EmptyTable */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4210 /* Table4210 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4212 /* Table4212 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -100724,7 +107888,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -100794,7 +107958,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3928 /* Table3928 */
+    3926 /* Table3926 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -100904,7 +108068,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3930 /* Table3930 */
+    3928 /* Table3928 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -100954,7 +108118,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3932 /* Table3932 */
+    3930 /* Table3930 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -101119,42 +108283,42 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3934 /* Table3934 */
+    3932 /* Table3932 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3936 /* Table3936 */
+    3934 /* Table3934 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3938 /* Table3938 */
+    3936 /* Table3936 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3940 /* Table3940 */
+    3938 /* Table3938 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3942 /* Table3942 */
+    3940 /* Table3940 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3944 /* Table3944 */
+    3942 /* Table3942 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3946 /* Table3946 */
+    3944 /* Table3944 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3948 /* Table3948 */
+    3946 /* Table3946 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -101239,12 +108403,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3950 /* Table3950 */
+    3948 /* Table3948 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3952 /* Table3952 */
+    3950 /* Table3950 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -101254,7 +108418,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3954 /* Table3954 */
+    3952 /* Table3952 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -101269,12 +108433,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -101377,9 +108541,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4214 /* Table4214 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -101589,7 +108753,7 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4196 /* Table4196 */
+    4216 /* Table4216 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
@@ -101669,7 +108833,7 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4198 /* Table4198 */
+    4218 /* Table4218 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
@@ -101757,24 +108921,24 @@
     0 /* EmptyTable */
    },
    /* 0x90 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4220 /* Table4220 */
    },
    /* 0x91 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4222 /* Table4222 */
    },
    /* 0x92 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4088 /* Table4088 */
    },
    /* 0x93 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4090 /* Table4090 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -102009,7 +109173,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4084 /* Table4084 */
+    4092 /* Table4092 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -102019,17 +109183,17 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4086 /* Table4086 */
+    4094 /* Table4094 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4088 /* Table4088 */
+    4096 /* Table4096 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4090 /* Table4090 */
+    4098 /* Table4098 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -102079,162 +109243,162 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4092 /* Table4092 */
+    4100 /* Table4100 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4094 /* Table4094 */
+    4102 /* Table4102 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4096 /* Table4096 */
+    4104 /* Table4104 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4098 /* Table4098 */
+    4106 /* Table4106 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4100 /* Table4100 */
+    4108 /* Table4108 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4102 /* Table4102 */
+    4110 /* Table4110 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4104 /* Table4104 */
+    4112 /* Table4112 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4106 /* Table4106 */
+    4114 /* Table4114 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4108 /* Table4108 */
+    4116 /* Table4116 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4110 /* Table4110 */
+    4118 /* Table4118 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4112 /* Table4112 */
+    4120 /* Table4120 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4114 /* Table4114 */
+    4122 /* Table4122 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4116 /* Table4116 */
+    4124 /* Table4124 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4118 /* Table4118 */
+    4126 /* Table4126 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4120 /* Table4120 */
+    4128 /* Table4128 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4122 /* Table4122 */
+    4130 /* Table4130 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4124 /* Table4124 */
+    4132 /* Table4132 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4126 /* Table4126 */
+    4134 /* Table4134 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4128 /* Table4128 */
+    4136 /* Table4136 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4130 /* Table4130 */
+    4138 /* Table4138 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4132 /* Table4132 */
+    4140 /* Table4140 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4134 /* Table4134 */
+    4142 /* Table4142 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4136 /* Table4136 */
+    4144 /* Table4144 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4138 /* Table4138 */
+    4146 /* Table4146 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4140 /* Table4140 */
+    4148 /* Table4148 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4142 /* Table4142 */
+    4150 /* Table4150 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4144 /* Table4144 */
+    4152 /* Table4152 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4146 /* Table4146 */
+    4154 /* Table4154 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4148 /* Table4148 */
+    4156 /* Table4156 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4150 /* Table4150 */
+    4158 /* Table4158 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4152 /* Table4152 */
+    4160 /* Table4160 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4154 /* Table4154 */
+    4162 /* Table4162 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -102244,72 +109408,72 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4156 /* Table4156 */
+    4164 /* Table4164 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4158 /* Table4158 */
+    4166 /* Table4166 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4160 /* Table4160 */
+    4168 /* Table4168 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4162 /* Table4162 */
+    4170 /* Table4170 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4164 /* Table4164 */
+    4172 /* Table4172 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4166 /* Table4166 */
+    4174 /* Table4174 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4168 /* Table4168 */
+    4176 /* Table4176 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4170 /* Table4170 */
+    4178 /* Table4178 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4172 /* Table4172 */
+    4180 /* Table4180 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4174 /* Table4174 */
+    4182 /* Table4182 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4176 /* Table4176 */
+    4184 /* Table4184 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4178 /* Table4178 */
+    4186 /* Table4186 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4180 /* Table4180 */
+    4188 /* Table4188 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4182 /* Table4182 */
+    4190 /* Table4190 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -102404,12 +109568,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4200 /* Table4200 */
+    4224 /* Table4224 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4202 /* Table4202 */
+    4226 /* Table4226 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -102424,12 +109588,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4204 /* Table4204 */
+    4228 /* Table4228 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4206 /* Table4206 */
+    4230 /* Table4230 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -102524,12 +109688,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4208 /* Table4208 */
+    4232 /* Table4232 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4210 /* Table4210 */
+    4234 /* Table4234 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -102539,7 +109703,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4212 /* Table4212 */
+    4236 /* Table4236 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -102554,12 +109718,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -102649,12 +109813,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4214 /* Table4214 */
+    4238 /* Table4238 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4216 /* Table4216 */
+    4240 /* Table4240 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -102669,17 +109833,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4218 /* Table4218 */
+    4242 /* Table4242 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4220 /* Table4220 */
+    4244 /* Table4244 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4222 /* Table4222 */
+    4246 /* Table4246 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -102724,82 +109888,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4224 /* Table4224 */
+    4248 /* Table4248 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4226 /* Table4226 */
+    4250 /* Table4250 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4228 /* Table4228 */
+    4252 /* Table4252 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4230 /* Table4230 */
+    4254 /* Table4254 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4232 /* Table4232 */
+    4256 /* Table4256 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4234 /* Table4234 */
+    4258 /* Table4258 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4236 /* Table4236 */
+    4260 /* Table4260 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4238 /* Table4238 */
+    4262 /* Table4262 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4240 /* Table4240 */
+    4264 /* Table4264 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4242 /* Table4242 */
+    4266 /* Table4266 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4244 /* Table4244 */
+    4268 /* Table4268 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4246 /* Table4246 */
+    4270 /* Table4270 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4248 /* Table4248 */
+    4272 /* Table4272 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4250 /* Table4250 */
+    4274 /* Table4274 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4252 /* Table4252 */
+    4276 /* Table4276 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4254 /* Table4254 */
+    4278 /* Table4278 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -102919,7 +110083,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    4256 /* Table4256 */
+    4280 /* Table4280 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -103294,7 +110458,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4257 /* Table4257 */
+    4281 /* Table4281 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -103314,7 +110478,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4259 /* Table4259 */
+    4283 /* Table4283 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -103689,17 +110853,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4261 /* Table4261 */
+    4285 /* Table4285 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -103719,7 +110883,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4263 /* Table4263 */
+    4287 /* Table4287 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -103819,7 +110983,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3852 /* Table3852 */
+    3846 /* Table3846 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -103829,12 +110993,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3854 /* Table3854 */
+    3848 /* Table3848 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3856 /* Table3856 */
+    3850 /* Table3850 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -104014,17 +111178,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3852 /* Table3852 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3860 /* Table3860 */
+    3854 /* Table3854 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3862 /* Table3862 */
+    3856 /* Table3856 */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -104049,42 +111213,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3858 /* Table3858 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3860 /* Table3860 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
+    3862 /* Table3862 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4265 /* Table4265 */
+    4289 /* Table4289 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3872 /* Table3872 */
+    3866 /* Table3866 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3874 /* Table3874 */
+    3868 /* Table3868 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3876 /* Table3876 */
+    3870 /* Table3870 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3878 /* Table3878 */
+    3872 /* Table3872 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -104164,12 +111328,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4267 /* Table4267 */
+    4291 /* Table4291 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4269 /* Table4269 */
+    4293 /* Table4293 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -104244,7 +111408,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4271 /* Table4271 */
+    4295 /* Table4295 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -104579,7 +111743,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3888 /* Table3888 */
+    3882 /* Table3882 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -104759,7 +111923,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4273 /* Table4273 */
+    4297 /* Table4297 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -104974,17 +112138,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3892 /* Table3892 */
+    3886 /* Table3886 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3894 /* Table3894 */
+    3888 /* Table3888 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4275 /* Table4275 */
+    4299 /* Table4299 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -105104,7 +112268,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3898 /* Table3898 */
+    3892 /* Table3892 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -105114,12 +112278,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3900 /* Table3900 */
+    3894 /* Table3894 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3902 /* Table3902 */
+    3896 /* Table3896 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -105299,7 +112463,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3904 /* Table3904 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -105334,17 +112498,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3906 /* Table3906 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3908 /* Table3908 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3910 /* Table3910 */
+    3904 /* Table3904 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -105354,22 +112518,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -105454,7 +112618,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4277 /* Table4277 */
+    4301 /* Table4301 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -105514,12 +112678,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4279 /* Table4279 */
+    4303 /* Table4303 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4281 /* Table4281 */
+    4305 /* Table4305 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -105864,7 +113028,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -105934,7 +113098,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4283 /* Table4283 */
+    4307 /* Table4307 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -106044,7 +113208,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4285 /* Table4285 */
+    4309 /* Table4309 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -106094,7 +113258,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4287 /* Table4287 */
+    4311 /* Table4311 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -106259,12 +113423,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4289 /* Table4289 */
+    4313 /* Table4313 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4291 /* Table4291 */
+    4315 /* Table4315 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -106279,12 +113443,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4293 /* Table4293 */
+    4317 /* Table4317 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4295 /* Table4295 */
+    4319 /* Table4319 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -106379,12 +113543,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4297 /* Table4297 */
+    4321 /* Table4321 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4299 /* Table4299 */
+    4323 /* Table4323 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -106394,7 +113558,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4301 /* Table4301 */
+    4325 /* Table4325 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -106409,12 +113573,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -106502,14 +113666,14 @@
     0 /* EmptyTable */
    },
    /* 0x41 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4327 /* Table4327 */
    },
    /* 0x42 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4329 /* Table4329 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -106522,19 +113686,19 @@
     0 /* EmptyTable */
    },
    /* 0x45 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4331 /* Table4331 */
    },
    /* 0x46 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4333 /* Table4333 */
    },
    /* 0x47 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4335 /* Table4335 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -106554,7 +113718,7 @@
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4303 /* Table4303 */
+    4337 /* Table4337 */
    },
    /* 0x4c */
    { /* ModRMDecision */
@@ -106579,12 +113743,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4305 /* Table4305 */
+    4339 /* Table4339 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4307 /* Table4307 */
+    4341 /* Table4341 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -106599,132 +113763,132 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4309 /* Table4309 */
+    4343 /* Table4343 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4311 /* Table4311 */
+    4345 /* Table4345 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4313 /* Table4313 */
+    4347 /* Table4347 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4315 /* Table4315 */
+    4349 /* Table4349 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4317 /* Table4317 */
+    4351 /* Table4351 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4319 /* Table4319 */
+    4353 /* Table4353 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4321 /* Table4321 */
+    4355 /* Table4355 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4323 /* Table4323 */
+    4357 /* Table4357 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4325 /* Table4325 */
+    4359 /* Table4359 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4327 /* Table4327 */
+    4361 /* Table4361 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4329 /* Table4329 */
+    4363 /* Table4363 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4331 /* Table4331 */
+    4365 /* Table4365 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4333 /* Table4333 */
+    4367 /* Table4367 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4335 /* Table4335 */
+    4369 /* Table4369 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4337 /* Table4337 */
+    4371 /* Table4371 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4339 /* Table4339 */
+    4373 /* Table4373 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4341 /* Table4341 */
+    4375 /* Table4375 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4343 /* Table4343 */
+    4377 /* Table4377 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4345 /* Table4345 */
+    4379 /* Table4379 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4347 /* Table4347 */
+    4381 /* Table4381 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4349 /* Table4349 */
+    4383 /* Table4383 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4351 /* Table4351 */
+    4385 /* Table4385 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4353 /* Table4353 */
+    4387 /* Table4387 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4355 /* Table4355 */
+    4389 /* Table4389 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4357 /* Table4357 */
+    4391 /* Table4391 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4359 /* Table4359 */
+    4393 /* Table4393 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -106734,42 +113898,42 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4361 /* Table4361 */
+    4395 /* Table4395 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4363 /* Table4363 */
+    4397 /* Table4397 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4365 /* Table4365 */
+    4399 /* Table4399 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4381 /* Table4381 */
+    4415 /* Table4415 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4397 /* Table4397 */
+    4431 /* Table4431 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4413 /* Table4413 */
+    4447 /* Table4447 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4415 /* Table4415 */
+    4449 /* Table4449 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4417 /* Table4417 */
+    4451 /* Table4451 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -106799,12 +113963,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4419 /* Table4419 */
+    4453 /* Table4453 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4421 /* Table4421 */
+    4455 /* Table4455 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -106814,7 +113978,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4423 /* Table4423 */
+    4457 /* Table4457 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -107149,7 +114313,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4425 /* Table4425 */
+    4459 /* Table4459 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -107169,7 +114333,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4427 /* Table4427 */
+    4461 /* Table4461 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -107219,32 +114383,32 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4429 /* Table4429 */
+    4463 /* Table4463 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4431 /* Table4431 */
+    4465 /* Table4465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4433 /* Table4433 */
+    4467 /* Table4467 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4435 /* Table4435 */
+    4469 /* Table4469 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4437 /* Table4437 */
+    4471 /* Table4471 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4439 /* Table4439 */
+    4473 /* Table4473 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -107254,127 +114418,127 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4441 /* Table4441 */
+    4475 /* Table4475 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4443 /* Table4443 */
+    4477 /* Table4477 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4445 /* Table4445 */
+    4479 /* Table4479 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4447 /* Table4447 */
+    4481 /* Table4481 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4449 /* Table4449 */
+    4483 /* Table4483 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4451 /* Table4451 */
+    4485 /* Table4485 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4453 /* Table4453 */
+    4487 /* Table4487 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4455 /* Table4455 */
+    4489 /* Table4489 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4457 /* Table4457 */
+    4491 /* Table4491 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4459 /* Table4459 */
+    4493 /* Table4493 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4461 /* Table4461 */
+    4495 /* Table4495 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4463 /* Table4463 */
+    4497 /* Table4497 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4465 /* Table4465 */
+    4499 /* Table4499 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4467 /* Table4467 */
+    4501 /* Table4501 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4469 /* Table4469 */
+    4503 /* Table4503 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4471 /* Table4471 */
+    4505 /* Table4505 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4473 /* Table4473 */
+    4507 /* Table4507 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4475 /* Table4475 */
+    4509 /* Table4509 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4477 /* Table4477 */
+    4511 /* Table4511 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4479 /* Table4479 */
+    4513 /* Table4513 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4481 /* Table4481 */
+    4515 /* Table4515 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4483 /* Table4483 */
+    4517 /* Table4517 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4485 /* Table4485 */
+    4519 /* Table4519 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4487 /* Table4487 */
+    4521 /* Table4521 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4489 /* Table4489 */
+    4523 /* Table4523 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -107384,32 +114548,32 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4491 /* Table4491 */
+    4525 /* Table4525 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4493 /* Table4493 */
+    4527 /* Table4527 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4495 /* Table4495 */
+    4529 /* Table4529 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4497 /* Table4497 */
+    4531 /* Table4531 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4499 /* Table4499 */
+    4533 /* Table4533 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4501 /* Table4501 */
+    4535 /* Table4535 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -107419,37 +114583,37 @@
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4503 /* Table4503 */
+    4537 /* Table4537 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4505 /* Table4505 */
+    4539 /* Table4539 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4507 /* Table4507 */
+    4541 /* Table4541 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4509 /* Table4509 */
+    4543 /* Table4543 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4511 /* Table4511 */
+    4545 /* Table4545 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4513 /* Table4513 */
+    4547 /* Table4547 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4515 /* Table4515 */
+    4549 /* Table4549 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -107544,12 +114708,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4200 /* Table4200 */
+    4224 /* Table4224 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4202 /* Table4202 */
+    4226 /* Table4226 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -107564,12 +114728,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4204 /* Table4204 */
+    4228 /* Table4228 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4206 /* Table4206 */
+    4230 /* Table4230 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -107664,12 +114828,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4208 /* Table4208 */
+    4232 /* Table4232 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4210 /* Table4210 */
+    4234 /* Table4234 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -107679,7 +114843,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4212 /* Table4212 */
+    4236 /* Table4236 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -107694,12 +114858,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3775 /* Table3775 */
+    3769 /* Table3769 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3777 /* Table3777 */
+    3771 /* Table3771 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -107789,12 +114953,12 @@
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4214 /* Table4214 */
+    4551 /* Table4551 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4216 /* Table4216 */
+    4553 /* Table4553 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -107809,17 +114973,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4218 /* Table4218 */
+    4555 /* Table4555 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4220 /* Table4220 */
+    4557 /* Table4557 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4222 /* Table4222 */
+    4559 /* Table4559 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -107864,82 +115028,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4224 /* Table4224 */
+    4248 /* Table4248 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4226 /* Table4226 */
+    4250 /* Table4250 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4228 /* Table4228 */
+    4252 /* Table4252 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4230 /* Table4230 */
+    4254 /* Table4254 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4232 /* Table4232 */
+    4256 /* Table4256 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4234 /* Table4234 */
+    4258 /* Table4258 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4236 /* Table4236 */
+    4260 /* Table4260 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4238 /* Table4238 */
+    4262 /* Table4262 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4240 /* Table4240 */
+    4264 /* Table4264 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4242 /* Table4242 */
+    4266 /* Table4266 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4244 /* Table4244 */
+    4268 /* Table4268 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4246 /* Table4246 */
+    4270 /* Table4270 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4248 /* Table4248 */
+    4272 /* Table4272 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4250 /* Table4250 */
+    4274 /* Table4274 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4252 /* Table4252 */
+    4276 /* Table4276 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4254 /* Table4254 */
+    4278 /* Table4278 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -108059,7 +115223,7 @@
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    4256 /* Table4256 */
+    4280 /* Table4280 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -108434,7 +115598,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4257 /* Table4257 */
+    4281 /* Table4281 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -108454,7 +115618,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4259 /* Table4259 */
+    4283 /* Table4283 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -108829,17 +115993,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3844 /* Table3844 */
+    3838 /* Table3838 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3846 /* Table3846 */
+    3840 /* Table3840 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4261 /* Table4261 */
+    4285 /* Table4285 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -108859,7 +116023,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4263 /* Table4263 */
+    4287 /* Table4287 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -108959,7 +116123,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4184 /* Table4184 */
+    4198 /* Table4198 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -108969,12 +116133,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4186 /* Table4186 */
+    4200 /* Table4200 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4188 /* Table4188 */
+    4202 /* Table4202 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -109154,17 +116318,17 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3858 /* Table3858 */
+    3852 /* Table3852 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3860 /* Table3860 */
+    3854 /* Table3854 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3862 /* Table3862 */
+    3856 /* Table3856 */
    },
    /* 0x54 */
    { /* ModRMDecision */
@@ -109189,42 +116353,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3864 /* Table3864 */
+    3858 /* Table3858 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3866 /* Table3866 */
+    3860 /* Table3860 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3868 /* Table3868 */
+    3862 /* Table3862 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4265 /* Table4265 */
+    4289 /* Table4289 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3872 /* Table3872 */
+    3866 /* Table3866 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3874 /* Table3874 */
+    3868 /* Table3868 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3876 /* Table3876 */
+    3870 /* Table3870 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3878 /* Table3878 */
+    3872 /* Table3872 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -109304,12 +116468,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4267 /* Table4267 */
+    4291 /* Table4291 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4269 /* Table4269 */
+    4293 /* Table4293 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -109384,7 +116548,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4271 /* Table4271 */
+    4295 /* Table4295 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -109719,7 +116883,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3888 /* Table3888 */
+    3882 /* Table3882 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -109899,7 +117063,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4273 /* Table4273 */
+    4297 /* Table4297 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -110114,17 +117278,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3892 /* Table3892 */
+    3886 /* Table3886 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3894 /* Table3894 */
+    3888 /* Table3888 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4275 /* Table4275 */
+    4299 /* Table4299 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -110244,7 +117408,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4190 /* Table4190 */
+    4204 /* Table4204 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -110254,12 +117418,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4192 /* Table4192 */
+    4206 /* Table4206 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4194 /* Table4194 */
+    4208 /* Table4208 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -110439,7 +117603,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3904 /* Table3904 */
+    3898 /* Table3898 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -110474,17 +117638,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3906 /* Table3906 */
+    3900 /* Table3900 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3908 /* Table3908 */
+    3902 /* Table3902 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3910 /* Table3910 */
+    3904 /* Table3904 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -110494,22 +117658,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3912 /* Table3912 */
+    3906 /* Table3906 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3914 /* Table3914 */
+    3908 /* Table3908 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3916 /* Table3916 */
+    3910 /* Table3910 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3918 /* Table3918 */
+    3912 /* Table3912 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -110594,7 +117758,7 @@
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4277 /* Table4277 */
+    4301 /* Table4301 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -110654,12 +117818,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4279 /* Table4279 */
+    4303 /* Table4303 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4281 /* Table4281 */
+    4305 /* Table4305 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -111004,7 +118168,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3926 /* Table3926 */
+    3924 /* Table3924 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -111074,7 +118238,7 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4283 /* Table4283 */
+    4307 /* Table4307 */
    },
    /* 0xd1 */
    { /* ModRMDecision */
@@ -111184,7 +118348,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4285 /* Table4285 */
+    4309 /* Table4309 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -111234,7 +118398,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4287 /* Table4287 */
+    4311 /* Table4311 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -111399,12 +118563,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4289 /* Table4289 */
+    4313 /* Table4313 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4291 /* Table4291 */
+    4315 /* Table4315 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -111419,12 +118583,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4293 /* Table4293 */
+    4317 /* Table4317 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4295 /* Table4295 */
+    4319 /* Table4319 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -111519,12 +118683,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4297 /* Table4297 */
+    4321 /* Table4321 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4299 /* Table4299 */
+    4323 /* Table4323 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -111534,7 +118698,7 @@
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4301 /* Table4301 */
+    4325 /* Table4325 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -111549,12 +118713,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3956 /* Table3956 */
+    3954 /* Table3954 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    3958 /* Table3958 */
+    3956 /* Table3956 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -111642,14 +118806,14 @@
     0 /* EmptyTable */
    },
    /* 0x41 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4561 /* Table4561 */
    },
    /* 0x42 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4563 /* Table4563 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -111662,19 +118826,19 @@
     0 /* EmptyTable */
    },
    /* 0x45 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4565 /* Table4565 */
    },
    /* 0x46 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4567 /* Table4567 */
    },
    /* 0x47 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4569 /* Table4569 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -111694,7 +118858,7 @@
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4303 /* Table4303 */
+    4337 /* Table4337 */
    },
    /* 0x4c */
    { /* ModRMDecision */
@@ -111719,12 +118883,12 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4305 /* Table4305 */
+    4339 /* Table4339 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4307 /* Table4307 */
+    4341 /* Table4341 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -111739,132 +118903,132 @@
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4309 /* Table4309 */
+    4343 /* Table4343 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4311 /* Table4311 */
+    4345 /* Table4345 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4313 /* Table4313 */
+    4347 /* Table4347 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4315 /* Table4315 */
+    4349 /* Table4349 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4317 /* Table4317 */
+    4351 /* Table4351 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4319 /* Table4319 */
+    4353 /* Table4353 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4321 /* Table4321 */
+    4355 /* Table4355 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4323 /* Table4323 */
+    4357 /* Table4357 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4325 /* Table4325 */
+    4359 /* Table4359 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4327 /* Table4327 */
+    4361 /* Table4361 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4329 /* Table4329 */
+    4363 /* Table4363 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4331 /* Table4331 */
+    4365 /* Table4365 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4333 /* Table4333 */
+    4367 /* Table4367 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4335 /* Table4335 */
+    4369 /* Table4369 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4337 /* Table4337 */
+    4371 /* Table4371 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4339 /* Table4339 */
+    4373 /* Table4373 */
    },
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4341 /* Table4341 */
+    4375 /* Table4375 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4343 /* Table4343 */
+    4377 /* Table4377 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4345 /* Table4345 */
+    4379 /* Table4379 */
    },
    /* 0x67 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4347 /* Table4347 */
+    4381 /* Table4381 */
    },
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4349 /* Table4349 */
+    4383 /* Table4383 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4351 /* Table4351 */
+    4385 /* Table4385 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4353 /* Table4353 */
+    4387 /* Table4387 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4355 /* Table4355 */
+    4389 /* Table4389 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4357 /* Table4357 */
+    4391 /* Table4391 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4359 /* Table4359 */
+    4393 /* Table4393 */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -111874,42 +119038,42 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4361 /* Table4361 */
+    4395 /* Table4395 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4363 /* Table4363 */
+    4397 /* Table4397 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4365 /* Table4365 */
+    4399 /* Table4399 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4381 /* Table4381 */
+    4415 /* Table4415 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4397 /* Table4397 */
+    4431 /* Table4431 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4413 /* Table4413 */
+    4447 /* Table4447 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4415 /* Table4415 */
+    4449 /* Table4449 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4417 /* Table4417 */
+    4451 /* Table4451 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -111939,12 +119103,12 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4419 /* Table4419 */
+    4453 /* Table4453 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4421 /* Table4421 */
+    4455 /* Table4455 */
    },
    /* 0x7e */
    { /* ModRMDecision */
@@ -111954,7 +119118,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4423 /* Table4423 */
+    4457 /* Table4457 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -112289,7 +119453,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4425 /* Table4425 */
+    4459 /* Table4459 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -112309,7 +119473,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4427 /* Table4427 */
+    4461 /* Table4461 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -112359,32 +119523,32 @@
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4429 /* Table4429 */
+    4463 /* Table4463 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4431 /* Table4431 */
+    4465 /* Table4465 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4433 /* Table4433 */
+    4467 /* Table4467 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4435 /* Table4435 */
+    4469 /* Table4469 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4437 /* Table4437 */
+    4471 /* Table4471 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4439 /* Table4439 */
+    4473 /* Table4473 */
    },
    /* 0xd6 */
    { /* ModRMDecision */
@@ -112394,127 +119558,127 @@
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4441 /* Table4441 */
+    4475 /* Table4475 */
    },
    /* 0xd8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4443 /* Table4443 */
+    4477 /* Table4477 */
    },
    /* 0xd9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4445 /* Table4445 */
+    4479 /* Table4479 */
    },
    /* 0xda */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4447 /* Table4447 */
+    4481 /* Table4481 */
    },
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4449 /* Table4449 */
+    4483 /* Table4483 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4451 /* Table4451 */
+    4485 /* Table4485 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4453 /* Table4453 */
+    4487 /* Table4487 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4455 /* Table4455 */
+    4489 /* Table4489 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4457 /* Table4457 */
+    4491 /* Table4491 */
    },
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4459 /* Table4459 */
+    4493 /* Table4493 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4461 /* Table4461 */
+    4495 /* Table4495 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4463 /* Table4463 */
+    4497 /* Table4497 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4465 /* Table4465 */
+    4499 /* Table4499 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4467 /* Table4467 */
+    4501 /* Table4501 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4469 /* Table4469 */
+    4503 /* Table4503 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4471 /* Table4471 */
+    4505 /* Table4505 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4473 /* Table4473 */
+    4507 /* Table4507 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4475 /* Table4475 */
+    4509 /* Table4509 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4477 /* Table4477 */
+    4511 /* Table4511 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4479 /* Table4479 */
+    4513 /* Table4513 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4481 /* Table4481 */
+    4515 /* Table4515 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4483 /* Table4483 */
+    4517 /* Table4517 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4485 /* Table4485 */
+    4519 /* Table4519 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4487 /* Table4487 */
+    4521 /* Table4521 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4489 /* Table4489 */
+    4523 /* Table4523 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -112524,32 +119688,32 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4491 /* Table4491 */
+    4525 /* Table4525 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4493 /* Table4493 */
+    4527 /* Table4527 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4495 /* Table4495 */
+    4529 /* Table4529 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4497 /* Table4497 */
+    4531 /* Table4531 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4499 /* Table4499 */
+    4533 /* Table4533 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4501 /* Table4501 */
+    4535 /* Table4535 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -112559,37 +119723,37 @@
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4503 /* Table4503 */
+    4537 /* Table4537 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4505 /* Table4505 */
+    4539 /* Table4539 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4507 /* Table4507 */
+    4541 /* Table4541 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4509 /* Table4509 */
+    4543 /* Table4543 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4511 /* Table4511 */
+    4545 /* Table4545 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4513 /* Table4513 */
+    4547 /* Table4547 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4515 /* Table4515 */
+    4549 /* Table4549 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -112682,19 +119846,19 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4571 /* Table4571 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4573 /* Table4573 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4575 /* Table4575 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -112714,7 +119878,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4577 /* Table4577 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -112802,14 +119966,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4579 /* Table4579 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4581 /* Table4581 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -112817,9 +119981,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4583 /* Table4583 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -112834,12 +119998,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4585 /* Table4585 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4587 /* Table4587 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -113969,12 +121133,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4589 /* Table4589 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4591 /* Table4591 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -114099,7 +121263,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4529 /* Table4529 */
+    4593 /* Table4593 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -114109,12 +121273,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4531 /* Table4531 */
+    4595 /* Table4595 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4533 /* Table4533 */
+    4597 /* Table4597 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -114294,7 +121458,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4599 /* Table4599 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -114329,17 +121493,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4601 /* Table4601 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4603 /* Table4603 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4605 /* Table4605 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -114349,22 +121513,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4607 /* Table4607 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4609 /* Table4609 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4611 /* Table4611 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4613 /* Table4613 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -114442,9 +121606,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4615 /* Table4615 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -114489,12 +121653,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4551 /* Table4551 */
+    4617 /* Table4617 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4553 /* Table4553 */
+    4619 /* Table4619 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -114504,7 +121668,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4555 /* Table4555 */
+    4621 /* Table4621 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -114522,9 +121686,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4623 /* Table4623 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -114859,7 +122023,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4625 /* Table4625 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -115384,7 +122548,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4559 /* Table4559 */
+    4627 /* Table4627 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -115394,12 +122558,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4561 /* Table4561 */
+    4629 /* Table4629 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4563 /* Table4563 */
+    4631 /* Table4631 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -115727,9 +122891,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4633 /* Table4633 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -115774,12 +122938,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4565 /* Table4565 */
+    4635 /* Table4635 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4567 /* Table4567 */
+    4637 /* Table4637 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -115789,7 +122953,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4569 /* Table4569 */
+    4639 /* Table4639 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -115807,9 +122971,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4641 /* Table4641 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -116957,19 +124121,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4643 /* Table4643 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4647 /* Table4647 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -117009,12 +124173,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4571 /* Table4571 */
+    4649 /* Table4649 */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4651 /* Table4651 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -117037,19 +124201,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4655 /* Table4655 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4657 /* Table4657 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -117089,12 +124253,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4573 /* Table4573 */
+    4659 /* Table4659 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4661 /* Table4661 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -117612,9 +124776,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4663 /* Table4663 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -117822,19 +124986,19 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4571 /* Table4571 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4573 /* Table4573 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4517 /* Table4517 */
+    4575 /* Table4575 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -117854,7 +125018,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4519 /* Table4519 */
+    4577 /* Table4577 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -117942,14 +125106,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4579 /* Table4579 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4581 /* Table4581 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -117957,9 +125121,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4583 /* Table4583 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -117974,12 +125138,12 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4585 /* Table4585 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4587 /* Table4587 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -119109,12 +126273,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4589 /* Table4589 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4591 /* Table4591 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -119239,7 +126403,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4575 /* Table4575 */
+    4665 /* Table4665 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -119249,12 +126413,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4577 /* Table4577 */
+    4667 /* Table4667 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4579 /* Table4579 */
+    4669 /* Table4669 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -119434,7 +126598,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4599 /* Table4599 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -119469,17 +126633,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4601 /* Table4601 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4603 /* Table4603 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4605 /* Table4605 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -119489,22 +126653,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4607 /* Table4607 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4609 /* Table4609 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4611 /* Table4611 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4613 /* Table4613 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -119582,9 +126746,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4671 /* Table4671 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -119629,12 +126793,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4581 /* Table4581 */
+    4673 /* Table4673 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4583 /* Table4583 */
+    4675 /* Table4675 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -119644,7 +126808,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4585 /* Table4585 */
+    4677 /* Table4677 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -119659,12 +126823,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4587 /* Table4587 */
+    4679 /* Table4679 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4681 /* Table4681 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -119999,7 +127163,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4625 /* Table4625 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -120394,12 +127558,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4589 /* Table4589 */
+    4683 /* Table4683 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4591 /* Table4591 */
+    4685 /* Table4685 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -120524,7 +127688,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4593 /* Table4593 */
+    4687 /* Table4687 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -120534,12 +127698,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4595 /* Table4595 */
+    4689 /* Table4689 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4597 /* Table4597 */
+    4691 /* Table4691 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -120719,7 +127883,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4599 /* Table4599 */
+    4693 /* Table4693 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -120754,17 +127918,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4601 /* Table4601 */
+    4695 /* Table4695 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4603 /* Table4603 */
+    4697 /* Table4697 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4605 /* Table4605 */
+    4699 /* Table4699 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -120774,22 +127938,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4607 /* Table4607 */
+    4701 /* Table4701 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4609 /* Table4609 */
+    4703 /* Table4703 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4611 /* Table4611 */
+    4705 /* Table4705 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4613 /* Table4613 */
+    4707 /* Table4707 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -120867,9 +128031,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4709 /* Table4709 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -120914,12 +128078,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4615 /* Table4615 */
+    4711 /* Table4711 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4617 /* Table4617 */
+    4713 /* Table4713 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -120929,7 +128093,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4619 /* Table4619 */
+    4715 /* Table4715 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -120947,9 +128111,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4717 /* Table4717 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -121284,7 +128448,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4621 /* Table4621 */
+    4719 /* Table4719 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -121677,14 +128841,14 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4721 /* Table4721 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4723 /* Table4723 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -121797,14 +128961,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4725 /* Table4725 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4727 /* Table4727 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -121812,9 +128976,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4729 /* Table4729 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -121829,12 +128993,1297 @@
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4623 /* Table4623 */
+    4731 /* Table4731 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4625 /* Table4625 */
+    4733 /* Table4733 */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4643 /* Table4643 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4647 /* Table4647 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4735 /* Table4735 */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4737 /* Table4737 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4655 /* Table4655 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4657 /* Table4657 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4739 /* Table4739 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4741 /* Table4741 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4743 /* Table4743 */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4663 /* Table4663 */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4745 /* Table4745 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4747 /* Table4747 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4749 /* Table4749 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4751 /* Table4751 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4753 /* Table4753 */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -122147,9 +130596,9 @@
     0 /* EmptyTable */
    },
    /* 0x6e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4627 /* Table4627 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6f */
    { /* ModRMDecision */
@@ -122227,9 +130676,9 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4629 /* Table4629 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x7f */
    { /* ModRMDecision */
@@ -122667,9 +131116,9 @@
     0 /* EmptyTable */
    },
    /* 0xd6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4631 /* Table4631 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd7 */
    { /* ModRMDecision */
@@ -122878,7 +131327,7 @@
    }
   }
  }
-,  /* IC_EVEX_L_W */
+,  /* IC_EVEX_L_XS */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -122972,9 +131421,9 @@
     0 /* EmptyTable */
    },
    /* 0x12 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4517 /* Table4517 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -122992,9 +131441,9 @@
     0 /* EmptyTable */
    },
    /* 0x16 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4519 /* Table4519 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -123112,14 +131561,3869 @@
     0 /* EmptyTable */
    },
    /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4521 /* Table4521 */
+    4755 /* Table4755 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4757 /* Table4757 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XD */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4759 /* Table4759 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4761 /* Table4761 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4763 /* Table4763 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4765 /* Table4765 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4767 /* Table4767 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4769 /* Table4769 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4771 /* Table4771 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4773 /* Table4773 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4775 /* Table4775 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4777 /* Table4777 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4779 /* Table4779 */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4571 /* Table4571 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4573 /* Table4573 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4575 /* Table4575 */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4577 /* Table4577 */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4579 /* Table4579 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4581 /* Table4581 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4583 /* Table4583 */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4585 /* Table4585 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4523 /* Table4523 */
+    4587 /* Table4587 */
    },
    /* 0x30 */
    { /* ModRMDecision */
@@ -124249,12 +136553,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4525 /* Table4525 */
+    4589 /* Table4589 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4527 /* Table4527 */
+    4591 /* Table4591 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -124379,7 +136683,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4529 /* Table4529 */
+    4593 /* Table4593 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -124389,12 +136693,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4531 /* Table4531 */
+    4595 /* Table4595 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4533 /* Table4533 */
+    4597 /* Table4597 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -124574,7 +136878,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4535 /* Table4535 */
+    4599 /* Table4599 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -124609,17 +136913,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4537 /* Table4537 */
+    4601 /* Table4601 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4539 /* Table4539 */
+    4603 /* Table4603 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4541 /* Table4541 */
+    4605 /* Table4605 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -124629,22 +136933,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4543 /* Table4543 */
+    4607 /* Table4607 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4545 /* Table4545 */
+    4609 /* Table4609 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4547 /* Table4547 */
+    4611 /* Table4611 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4549 /* Table4549 */
+    4613 /* Table4613 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -124722,9 +137026,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4781 /* Table4781 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -124769,12 +137073,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4551 /* Table4551 */
+    4617 /* Table4617 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4553 /* Table4553 */
+    4619 /* Table4619 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -124784,7 +137088,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4555 /* Table4555 */
+    4621 /* Table4621 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -124802,9 +137106,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4783 /* Table4783 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -125139,7 +137443,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4557 /* Table4557 */
+    4625 /* Table4625 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -125664,7 +137968,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4559 /* Table4559 */
+    4627 /* Table4627 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -125674,12 +137978,12 @@
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4561 /* Table4561 */
+    4629 /* Table4629 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4563 /* Table4563 */
+    4631 /* Table4631 */
    },
    /* 0x2e */
    { /* ModRMDecision */
@@ -126007,9 +138311,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4785 /* Table4785 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -126054,12 +138358,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4565 /* Table4565 */
+    4635 /* Table4635 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4567 /* Table4567 */
+    4637 /* Table4637 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -126069,7 +138373,7 @@
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4569 /* Table4569 */
+    4639 /* Table4639 */
    },
    /* 0x7c */
    { /* ModRMDecision */
@@ -126087,9 +138391,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4787 /* Table4787 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -126817,14 +139121,14 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4789 /* Table4789 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4791 /* Table4791 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -126937,14 +139241,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4793 /* Table4793 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4795 /* Table4795 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -126952,9 +139256,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4797 /* Table4797 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -127237,19 +139541,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4643 /* Table4643 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4645 /* Table4645 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4647 /* Table4647 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -127289,12 +139593,12 @@
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4571 /* Table4571 */
+    4649 /* Table4649 */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4799 /* Table4799 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -127317,19 +139621,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4653 /* Table4653 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4655 /* Table4655 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4657 /* Table4657 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -127369,12 +139673,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4573 /* Table4573 */
+    4659 /* Table4659 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4801 /* Table4801 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -127892,9 +140196,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4663 /* Table4663 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -128104,12 +140408,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4633 /* Table4633 */
+    4803 /* Table4803 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4635 /* Table4635 */
+    4805 /* Table4805 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -128124,12 +140428,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4637 /* Table4637 */
+    4807 /* Table4807 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4639 /* Table4639 */
+    4809 /* Table4809 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -128224,12 +140528,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4641 /* Table4641 */
+    4811 /* Table4811 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4643 /* Table4643 */
+    4813 /* Table4813 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -128237,9 +140541,9 @@
     0 /* EmptyTable */
    },
    /* 0x2b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4815 /* Table4815 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -128429,7 +140733,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4645 /* Table4645 */
+    4817 /* Table4817 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -128464,42 +140768,42 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4647 /* Table4647 */
+    4819 /* Table4819 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4649 /* Table4649 */
+    4821 /* Table4821 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4651 /* Table4651 */
+    4823 /* Table4823 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4653 /* Table4653 */
+    4825 /* Table4825 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4655 /* Table4655 */
+    4827 /* Table4827 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4657 /* Table4657 */
+    4829 /* Table4829 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4659 /* Table4659 */
+    4831 /* Table4831 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4661 /* Table4661 */
+    4833 /* Table4833 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -128624,12 +140928,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4663 /* Table4663 */
+    4835 /* Table4835 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4665 /* Table4665 */
+    4837 /* Table4837 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -128994,7 +141298,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4667 /* Table4667 */
+    4839 /* Table4839 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -129014,7 +141318,7 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4669 /* Table4669 */
+    4841 /* Table4841 */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -129399,7 +141703,7 @@
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4671 /* Table4671 */
+    4843 /* Table4843 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -129419,7 +141723,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4673 /* Table4673 */
+    4845 /* Table4845 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -129764,7 +142068,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4675 /* Table4675 */
+    4847 /* Table4847 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -129864,7 +142168,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4677 /* Table4677 */
+    4849 /* Table4849 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -129919,7 +142223,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4679 /* Table4679 */
+    4851 /* Table4851 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -129944,7 +142248,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4681 /* Table4681 */
+    4853 /* Table4853 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -130459,7 +142763,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4683 /* Table4683 */
+    4855 /* Table4855 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -131147,9 +143451,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4857 /* Table4857 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -131204,7 +143508,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4685 /* Table4685 */
+    4859 /* Table4859 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -131227,9 +143531,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4861 /* Table4861 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -132284,7 +144588,7 @@
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4687 /* Table4687 */
+    4863 /* Table4863 */
    },
    /* 0x52 */
    { /* ModRMDecision */
@@ -132334,7 +144638,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4689 /* Table4689 */
+    4865 /* Table4865 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -132369,7 +144673,7 @@
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4691 /* Table4691 */
+    4867 /* Table4867 */
    },
    /* 0x63 */
    { /* ModRMDecision */
@@ -132377,19 +144681,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4869 /* Table4869 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4871 /* Table4871 */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4693 /* Table4693 */
+    4873 /* Table4873 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -132409,7 +144713,7 @@
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4695 /* Table4695 */
+    4875 /* Table4875 */
    },
    /* 0x6b */
    { /* ModRMDecision */
@@ -132434,12 +144738,12 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4697 /* Table4697 */
+    4877 /* Table4877 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4699 /* Table4699 */
+    4879 /* Table4879 */
    },
    /* 0x71 */
    { /* ModRMDecision */
@@ -132449,7 +144753,7 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4701 /* Table4701 */
+    4881 /* Table4881 */
    },
    /* 0x73 */
    { /* ModRMDecision */
@@ -132457,19 +144761,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4897 /* Table4897 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4899 /* Table4899 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4717 /* Table4717 */
+    4901 /* Table4901 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -132514,7 +144818,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4719 /* Table4719 */
+    4903 /* Table4903 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -132929,7 +145233,7 @@
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4721 /* Table4721 */
+    4905 /* Table4905 */
    },
    /* 0xd3 */
    { /* ModRMDecision */
@@ -132974,7 +145278,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4723 /* Table4723 */
+    4907 /* Table4907 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -132994,7 +145298,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4725 /* Table4725 */
+    4909 /* Table4909 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -133009,7 +145313,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4727 /* Table4727 */
+    4911 /* Table4911 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -133032,9 +145336,9 @@
     0 /* EmptyTable */
    },
    /* 0xe7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4913 /* Table4913 */
    },
    /* 0xe8 */
    { /* ModRMDecision */
@@ -133054,7 +145358,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4729 /* Table4729 */
+    4915 /* Table4915 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -133074,7 +145378,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4731 /* Table4731 */
+    4917 /* Table4917 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -133089,7 +145393,7 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4733 /* Table4733 */
+    4919 /* Table4919 */
    },
    /* 0xf3 */
    { /* ModRMDecision */
@@ -133129,7 +145433,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4735 /* Table4735 */
+    4921 /* Table4921 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -133149,7 +145453,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4737 /* Table4737 */
+    4923 /* Table4923 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -133764,12 +146068,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4739 /* Table4739 */
+    4925 /* Table4925 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4741 /* Table4741 */
+    4927 /* Table4927 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -135004,7 +147308,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4743 /* Table4743 */
+    4929 /* Table4929 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -135084,7 +147388,7 @@
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4745 /* Table4745 */
+    4931 /* Table4931 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -136287,9 +148591,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4933 /* Table4933 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -136367,9 +148671,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4935 /* Table4935 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -136884,7 +149188,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4747 /* Table4747 */
+    4937 /* Table4937 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -137099,17 +149403,17 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4749 /* Table4749 */
+    4939 /* Table4939 */
    },
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4751 /* Table4751 */
+    4941 /* Table4941 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4753 /* Table4753 */
+    4943 /* Table4943 */
    },
    /* 0x13 */
    { /* ModRMDecision */
@@ -137119,12 +149423,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4755 /* Table4755 */
+    4945 /* Table4945 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4757 /* Table4757 */
+    4947 /* Table4947 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -137219,12 +149523,1297 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4759 /* Table4759 */
+    4949 /* Table4949 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4761 /* Table4761 */
+    4951 /* Table4951 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4953 /* Table4953 */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4955 /* Table4955 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4957 /* Table4957 */
+   },
+   /* 0x5a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4959 /* Table4959 */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4961 /* Table4961 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4963 /* Table4963 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4965 /* Table4965 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4967 /* Table4967 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4969 /* Table4969 */
+   },
+   /* 0x6d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4971 /* Table4971 */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    4973 /* Table4973 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    4975 /* Table4975 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    4991 /* Table4991 */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5007 /* Table5007 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5009 /* Table5009 */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5011 /* Table5011 */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5013 /* Table5013 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5015 /* Table5015 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5017 /* Table5017 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5019 /* Table5019 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5021 /* Table5021 */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5023 /* Table5023 */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5025 /* Table5025 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5027 /* Table5027 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5029 /* Table5029 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5031 /* Table5031 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5033 /* Table5033 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5035 /* Table5035 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5037 /* Table5037 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5039 /* Table5039 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5041 /* Table5041 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -137457,19 +151046,19 @@
     0 /* EmptyTable */
    },
    /* 0x58 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4763 /* Table4763 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x59 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4765 /* Table4765 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4767 /* Table4767 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -137477,24 +151066,24 @@
     0 /* EmptyTable */
    },
    /* 0x5c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4769 /* Table4769 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4771 /* Table4771 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4773 /* Table4773 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4775 /* Table4775 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -137557,14 +151146,14 @@
     0 /* EmptyTable */
    },
    /* 0x6c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4777 /* Table4777 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6d */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4779 /* Table4779 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x6e */
    { /* ModRMDecision */
@@ -137572,9 +151161,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4781 /* Table4781 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -137587,14 +151176,14 @@
     0 /* EmptyTable */
    },
    /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    4783 /* Table4783 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    4799 /* Table4799 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x74 */
    { /* ModRMDecision */
@@ -137652,9 +151241,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4815 /* Table4815 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -137987,9 +151576,9 @@
     0 /* EmptyTable */
    },
    /* 0xc2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4817 /* Table4817 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -138007,9 +151596,9 @@
     0 /* EmptyTable */
    },
    /* 0xc6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4819 /* Table4819 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc7 */
    { /* ModRMDecision */
@@ -138072,14 +151661,14 @@
     0 /* EmptyTable */
    },
    /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4821 /* Table4821 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4823 /* Table4823 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -138112,9 +151701,9 @@
     0 /* EmptyTable */
    },
    /* 0xdb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4825 /* Table4825 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -138132,9 +151721,9 @@
     0 /* EmptyTable */
    },
    /* 0xdf */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4827 /* Table4827 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -138147,9 +151736,9 @@
     0 /* EmptyTable */
    },
    /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4829 /* Table4829 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -138167,9 +151756,9 @@
     0 /* EmptyTable */
    },
    /* 0xe6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4831 /* Table4831 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -138192,9 +151781,9 @@
     0 /* EmptyTable */
    },
    /* 0xeb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4833 /* Table4833 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -138212,9 +151801,9 @@
     0 /* EmptyTable */
    },
    /* 0xef */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4835 /* Table4835 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -138232,14 +151821,14 @@
     0 /* EmptyTable */
    },
    /* 0xf3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4837 /* Table4837 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4839 /* Table4839 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf5 */
    { /* ModRMDecision */
@@ -138272,9 +151861,9 @@
     0 /* EmptyTable */
    },
    /* 0xfb */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4841 /* Table4841 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -138384,7 +151973,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    5043 /* Table5043 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -138857,6 +152446,3861 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5045 /* Table5045 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5047 /* Table5047 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5049 /* Table5049 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5051 /* Table5051 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5053 /* Table5053 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5055 /* Table5055 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5057 /* Table5057 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5059 /* Table5059 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5061 /* Table5061 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5063 /* Table5063 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5065 /* Table5065 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5067 /* Table5067 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5035 /* Table5035 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5037 /* Table5037 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5039 /* Table5039 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5041 /* Table5041 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
@@ -139669,7 +157113,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    5043 /* Table5043 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -140142,6 +157586,3861 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5069 /* Table5069 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5071 /* Table5071 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5073 /* Table5073 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5075 /* Table5075 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5077 /* Table5077 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5079 /* Table5079 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5081 /* Table5081 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5083 /* Table5083 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5085 /* Table5085 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5087 /* Table5087 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5089 /* Table5089 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5091 /* Table5091 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5093 /* Table5093 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5095 /* Table5095 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5097 /* Table5097 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
@@ -140868,7 +162167,7 @@
    }
   }
  }
-,  /* IC_EVEX_W_XD_K */
+,  /* IC_EVEX_L_XS_K */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -140952,9 +162251,9 @@
     0 /* EmptyTable */
    },
    /* 0x10 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4845 /* Table4845 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -141427,6 +162726,3861 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5099 /* Table5099 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5101 /* Table5101 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5103 /* Table5103 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5105 /* Table5105 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5107 /* Table5107 */
+   },
+   /* 0x65 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5109 /* Table5109 */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5111 /* Table5111 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5113 /* Table5113 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5115 /* Table5115 */
+   },
+   /* 0x75 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5117 /* Table5117 */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5119 /* Table5119 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5121 /* Table5121 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5035 /* Table5035 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5037 /* Table5037 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5039 /* Table5039 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5041 /* Table5041 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
@@ -142239,7 +167393,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4843 /* Table4843 */
+    5043 /* Table5043 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -142712,9 +167866,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5123 /* Table5123 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -142792,10 +167946,2580 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5125 /* Table5125 */
+   },
+   /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
    },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5127 /* Table5127 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5129 /* Table5129 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5131 /* Table5131 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5133 /* Table5133 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5135 /* Table5135 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5137 /* Table5137 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5139 /* Table5139 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5141 /* Table5141 */
+   },
    /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -143524,12 +171248,12 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4847 /* Table4847 */
+    5143 /* Table5143 */
    },
    /* 0x11 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5145 /* Table5145 */
    },
    /* 0x12 */
    { /* ModRMDecision */
@@ -143644,12 +171368,12 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4849 /* Table4849 */
+    5147 /* Table5147 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5149 /* Table5149 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -143882,14 +171606,14 @@
     0 /* EmptyTable */
    },
    /* 0x58 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5151 /* Table5151 */
    },
    /* 0x59 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5153 /* Table5153 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -143902,24 +171626,24 @@
     0 /* EmptyTable */
    },
    /* 0x5c */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5155 /* Table5155 */
    },
    /* 0x5d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5157 /* Table5157 */
    },
    /* 0x5e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5159 /* Table5159 */
    },
    /* 0x5f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5161 /* Table5161 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -145284,7 +173008,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4851 /* Table4851 */
+    5163 /* Table5163 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -145362,10 +173086,1295 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5165 /* Table5165 */
+   },
+   /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
    },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5167 /* Table5167 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5169 /* Table5169 */
+   },
    /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -146512,19 +175521,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5171 /* Table5171 */
    },
    /* 0x65 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5173 /* Table5173 */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5175 /* Table5175 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -146567,9 +175576,9 @@
     0 /* EmptyTable */
    },
    /* 0x6f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5177 /* Table5177 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -146584,7 +175593,7 @@
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    4853 /* Table4853 */
+    5179 /* Table5179 */
    },
    /* 0x73 */
    { /* ModRMDecision */
@@ -146592,19 +175601,19 @@
     0 /* EmptyTable */
    },
    /* 0x74 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5195 /* Table5195 */
    },
    /* 0x75 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5197 /* Table5197 */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5199 /* Table5199 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -146647,9 +175656,9 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5201 /* Table5201 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -147064,7 +176073,7 @@
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4869 /* Table4869 */
+    5203 /* Table5203 */
    },
    /* 0xd3 */
    { /* ModRMDecision */
@@ -147107,9 +176116,9 @@
     0 /* EmptyTable */
    },
    /* 0xdb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5205 /* Table5205 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -147127,9 +176136,9 @@
     0 /* EmptyTable */
    },
    /* 0xdf */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5207 /* Table5207 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -147144,7 +176153,7 @@
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4871 /* Table4871 */
+    5209 /* Table5209 */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -147187,9 +176196,9 @@
     0 /* EmptyTable */
    },
    /* 0xeb */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5211 /* Table5211 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -147207,9 +176216,9 @@
     0 /* EmptyTable */
    },
    /* 0xef */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5213 /* Table5213 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -147224,7 +176233,7 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4873 /* Table4873 */
+    5215 /* Table5215 */
    },
    /* 0xf3 */
    { /* ModRMDecision */
@@ -147262,9 +176271,9 @@
     0 /* EmptyTable */
    },
    /* 0xfa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5217 /* Table5217 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -147282,9 +176291,9 @@
     0 /* EmptyTable */
    },
    /* 0xfe */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5219 /* Table5219 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -147854,7 +176863,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4875 /* Table4875 */
+    5221 /* Table5221 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -147932,10 +176941,1295 @@
     0 /* EmptyTable */
    },
    /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5223 /* Table5223 */
+   },
+   /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
     0 /* EmptyTable */
    },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_XD_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5225 /* Table5225 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5227 /* Table5227 */
+   },
    /* 0x80 */
    { /* ModRMDecision */
     MODRM_ONEENTRY,
@@ -148664,7 +178958,1292 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4877 /* Table4877 */
+    5229 /* Table5229 */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5231 /* Table5231 */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5233 /* Table5233 */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5235 /* Table5235 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5237 /* Table5237 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5239 /* Table5239 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5241 /* Table5241 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5243 /* Table5243 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5245 /* Table5245 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5247 /* Table5247 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5249 /* Table5249 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    5251 /* Table5251 */
+   },
+   /* 0x73 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    5267 /* Table5267 */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5283 /* Table5283 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5285 /* Table5285 */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5287 /* Table5287 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5289 /* Table5289 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5291 /* Table5291 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5293 /* Table5293 */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5295 /* Table5295 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5297 /* Table5297 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5299 /* Table5299 */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5301 /* Table5301 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5303 /* Table5303 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -148782,9 +180361,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4879 /* Table4879 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -149092,9 +180671,9 @@
     0 /* EmptyTable */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5305 /* Table5305 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -149152,14 +180731,14 @@
     0 /* EmptyTable */
    },
    /* 0x72 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    4881 /* Table4881 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x73 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITREG,
-    4897 /* Table4897 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x74 */
    { /* ModRMDecision */
@@ -149172,9 +180751,9 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5307 /* Table5307 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -149637,9 +181216,9 @@
     0 /* EmptyTable */
    },
    /* 0xd3 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4913 /* Table4913 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -149712,9 +181291,9 @@
     0 /* EmptyTable */
    },
    /* 0xe2 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    4915 /* Table4915 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xe3 */
    { /* ModRMDecision */
@@ -149797,9 +181376,1294 @@
     0 /* EmptyTable */
    },
    /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4917 /* Table4917 */
+    5309 /* Table5309 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5311 /* Table5311 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -150309,12 +183173,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4919 /* Table4919 */
+    5313 /* Table5313 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4921 /* Table4921 */
+    5315 /* Table5315 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -150324,27 +183188,27 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4923 /* Table4923 */
+    5317 /* Table5317 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4925 /* Table4925 */
+    5319 /* Table5319 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4927 /* Table4927 */
+    5321 /* Table5321 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4929 /* Table4929 */
+    5323 /* Table5323 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4931 /* Table4931 */
+    5325 /* Table5325 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -150474,7 +183338,7 @@
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4933 /* Table4933 */
+    5327 /* Table5327 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -150839,7 +183703,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4935 /* Table4935 */
+    5329 /* Table5329 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -151764,7 +184628,7 @@
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4937 /* Table4937 */
+    5331 /* Table5331 */
    },
    /* 0x7b */
    { /* ModRMDecision */
@@ -152894,7 +185758,7 @@
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4939 /* Table4939 */
+    5333 /* Table5333 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -152947,9 +185811,9 @@
     0 /* EmptyTable */
    },
    /* 0x66 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5335 /* Table5335 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -153027,9 +185891,9 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5337 /* Table5337 */
    },
    /* 0x77 */
    { /* ModRMDecision */
@@ -153534,7 +186398,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4941 /* Table4941 */
+    5339 /* Table5339 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -153554,7 +186418,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4943 /* Table4943 */
+    5341 /* Table5341 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -153614,7 +186478,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4945 /* Table4945 */
+    5343 /* Table5343 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -153634,7 +186498,7 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4947 /* Table4947 */
+    5345 /* Table5345 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -153689,7 +186553,7 @@
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4949 /* Table4949 */
+    5347 /* Table5347 */
    },
    /* 0xfb */
    { /* ModRMDecision */
@@ -153709,7 +186573,7 @@
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4951 /* Table4951 */
+    5349 /* Table5349 */
    },
    /* 0xff */
    { /* ModRMDecision */
@@ -154329,7 +187193,7 @@
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4953 /* Table4953 */
+    5351 /* Table5351 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -156159,7 +189023,7 @@
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4955 /* Table4955 */
+    5353 /* Table5353 */
    },
    /* 0xe7 */
    { /* ModRMDecision */
@@ -156734,17 +189598,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4957 /* Table4957 */
+    5355 /* Table5355 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4959 /* Table4959 */
+    5357 /* Table5357 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4961 /* Table4961 */
+    5359 /* Table5359 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -156754,22 +189618,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4963 /* Table4963 */
+    5361 /* Table5361 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4965 /* Table4965 */
+    5363 /* Table5363 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4967 /* Table4967 */
+    5365 /* Table5365 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4969 /* Table4969 */
+    5367 /* Table5367 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -157264,7 +190128,7 @@
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4971 /* Table4971 */
+    5369 /* Table5369 */
    },
    /* 0xc3 */
    { /* ModRMDecision */
@@ -157354,7 +190218,7 @@
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4973 /* Table4973 */
+    5371 /* Table5371 */
    },
    /* 0xd5 */
    { /* ModRMDecision */
@@ -157389,7 +190253,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4975 /* Table4975 */
+    5373 /* Table5373 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -157409,7 +190273,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4977 /* Table4977 */
+    5375 /* Table5375 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -157469,7 +190333,7 @@
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4979 /* Table4979 */
+    5377 /* Table5377 */
    },
    /* 0xec */
    { /* ModRMDecision */
@@ -157489,7 +190353,1292 @@
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4981 /* Table4981 */
+    5379 /* Table5379 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5381 /* Table5381 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5383 /* Table5383 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5385 /* Table5385 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5387 /* Table5387 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -157547,9 +191696,28279 @@
     0 /* EmptyTable */
    },
    /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4983 /* Table4983 */
+    5389 /* Table5389 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5391 /* Table5391 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5393 /* Table5393 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5395 /* Table5395 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5397 /* Table5397 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5399 /* Table5399 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5401 /* Table5401 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5403 /* Table5403 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5405 /* Table5405 */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5407 /* Table5407 */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5409 /* Table5409 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5411 /* Table5411 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5413 /* Table5413 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5415 /* Table5415 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5417 /* Table5417 */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5419 /* Table5419 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5421 /* Table5421 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5423 /* Table5423 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5425 /* Table5425 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5427 /* Table5427 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5429 /* Table5429 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5431 /* Table5431 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5433 /* Table5433 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5435 /* Table5435 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5437 /* Table5437 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5439 /* Table5439 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5441 /* Table5441 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5443 /* Table5443 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5445 /* Table5445 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_KZ_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5447 /* Table5447 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5449 /* Table5449 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5451 /* Table5451 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5453 /* Table5453 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5455 /* Table5455 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5457 /* Table5457 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_KZ_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5459 /* Table5459 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5461 /* Table5461 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5463 /* Table5463 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5465 /* Table5465 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5467 /* Table5467 */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5469 /* Table5469 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_KZ_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5471 /* Table5471 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5473 /* Table5473 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5475 /* Table5475 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5477 /* Table5477 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5479 /* Table5479 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5481 /* Table5481 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5483 /* Table5483 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5485 /* Table5485 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5487 /* Table5487 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5489 /* Table5489 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5491 /* Table5491 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5493 /* Table5493 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5495 /* Table5495 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5497 /* Table5497 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5499 /* Table5499 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5501 /* Table5501 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5503 /* Table5503 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5505 /* Table5505 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5507 /* Table5507 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5509 /* Table5509 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5511 /* Table5511 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5513 /* Table5513 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5515 /* Table5515 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5517 /* Table5517 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5519 /* Table5519 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5521 /* Table5521 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5523 /* Table5523 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5525 /* Table5525 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_XS_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5527 /* Table5527 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5529 /* Table5529 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5531 /* Table5531 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5533 /* Table5533 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5535 /* Table5535 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5537 /* Table5537 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5539 /* Table5539 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5541 /* Table5541 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5543 /* Table5543 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5545 /* Table5545 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5547 /* Table5547 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5549 /* Table5549 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5551 /* Table5551 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xfc */
    { /* ModRMDecision */
@@ -158134,7 +220553,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4985 /* Table4985 */
+    5553 /* Table5553 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -158858,6 +221277,2576 @@
    }
   }
  }
+,  /* IC_EVEX_L2_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5555 /* Table5555 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5557 /* Table5557 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5559 /* Table5559 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5561 /* Table5561 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5563 /* Table5563 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5565 /* Table5565 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5567 /* Table5567 */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5569 /* Table5569 */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
 ,  /* IC_EVEX_L2_W_XS_KZ */
  { /* struct OpcodeDecision */
   {
@@ -159419,7 +224408,7 @@
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4987 /* Table4987 */
+    5571 /* Table5571 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -160143,7 +225132,2577 @@
    }
   }
  }
-,  /* IC_OF */
+,  /* IC_EVEX_L2_W_XD_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5573 /* Table5573 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5575 /* Table5575 */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5577 /* Table5577 */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5579 /* Table5579 */
+   },
+   /* 0x59 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5581 /* Table5581 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5583 /* Table5583 */
+   },
+   /* 0x5d */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5585 /* Table5585 */
+   },
+   /* 0x5e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5587 /* Table5587 */
+   },
+   /* 0x5f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5589 /* Table5589 */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5591 /* Table5591 */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5593 /* Table5593 */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5595 /* Table5595 */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5597 /* Table5597 */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5599 /* Table5599 */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5601 /* Table5601 */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5603 /* Table5603 */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    5605 /* Table5605 */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ },
+ /* IC_OF */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -161430,7 +228989,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerTwoByteOpcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 0, 7, 8, 9, 10, 11, 12, 0, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 0, 0, 0, 0, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 0, 53, 0, 0, 0, 54, 55, 0, 0, 0, 0, 0, 0, 56, 0, 0, 57, 58, 0, 59, 0, 60, 0, 61, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 62, 0, 63, 64, 65, 0, 66, 67, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 68, 0, 0, 0, 69, 0, 0, };
+1, 2, 3, 4, 0, 5, 6, 0, 7, 8, 9, 10, 11, 12, 0, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 0, 77, 78, 79, 0, 0, 0, 80, 0, 0, 0, 0, 0, 0, 0, 81, 0, 0, 0, 0, 82, 0, 83, 84, 85, 0, 86, 87, 0, 0, 0, 88, 0, 0, 0, 0, 0, 0, 0, 89, 0, 0, 0, 0, 90, 0, 0, 91, 0, 0, 0, 92, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 93, 0, 0, 94, 0, 0, 0, 95, 96, 97, 98, 99, 0, 100, 101, 102, 103, 104, 105, 106, 0, 107, 108, 109, 110, 111, 112, 113, 0, 114, 115, 116, };
 static const struct OpcodeDecision x86DisassemblerThreeByte38Opcodes[] = {
  /* IC */
  { /* struct OpcodeDecision */
@@ -161438,62 +228997,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -161578,17 +229137,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -162438,32 +229997,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -162638,12 +230197,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -162723,62 +230282,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -162863,17 +230422,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -163723,32 +231282,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -163923,12 +231482,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -164008,62 +231567,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5653 /* Table5653 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5655 /* Table5655 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5657 /* Table5657 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5659 /* Table5659 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5661 /* Table5661 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5663 /* Table5663 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5665 /* Table5665 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5667 /* Table5667 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5669 /* Table5669 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5671 /* Table5671 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5673 /* Table5673 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5675 /* Table5675 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -164088,7 +231647,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5677 /* Table5677 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -164108,12 +231667,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5679 /* Table5679 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5681 /* Table5681 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -164123,7 +231682,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5683 /* Table5683 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -164148,17 +231707,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5685 /* Table5685 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5687 /* Table5687 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5689 /* Table5689 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -164168,32 +231727,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5691 /* Table5691 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5693 /* Table5693 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5695 /* Table5695 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5697 /* Table5697 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5699 /* Table5699 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5701 /* Table5701 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -164208,22 +231767,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5703 /* Table5703 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5705 /* Table5705 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5707 /* Table5707 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5709 /* Table5709 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -164248,32 +231807,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5711 /* Table5711 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5713 /* Table5713 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5715 /* Table5715 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5717 /* Table5717 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5719 /* Table5719 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5721 /* Table5721 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -164283,57 +231842,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5723 /* Table5723 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5725 /* Table5725 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5727 /* Table5727 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5111 /* Table5111 */
+    5729 /* Table5729 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5113 /* Table5113 */
+    5731 /* Table5731 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5115 /* Table5115 */
+    5733 /* Table5733 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5117 /* Table5117 */
+    5735 /* Table5735 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5119 /* Table5119 */
+    5737 /* Table5737 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5121 /* Table5121 */
+    5739 /* Table5739 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5123 /* Table5123 */
+    5741 /* Table5741 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5125 /* Table5125 */
+    5743 /* Table5743 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -164648,17 +232207,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5127 /* Table5127 */
+    5745 /* Table5745 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5129 /* Table5129 */
+    5747 /* Table5747 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5131 /* Table5131 */
+    5749 /* Table5749 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -165008,32 +232567,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -165103,27 +232662,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5751 /* Table5751 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5753 /* Table5753 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5755 /* Table5755 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5757 /* Table5757 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5759 /* Table5759 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -165208,12 +232767,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5143 /* Table5143 */
+    5761 /* Table5761 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5145 /* Table5145 */
+    5763 /* Table5763 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -165238,7 +232797,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5147 /* Table5147 */
+    5765 /* Table5765 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -165293,62 +232852,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -165433,17 +232992,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -166293,32 +233852,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -166493,12 +234052,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -166578,62 +234137,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -166718,17 +234277,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -167578,32 +235137,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -167778,12 +235337,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5149 /* Table5149 */
+    5767 /* Table5767 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5151 /* Table5151 */
+    5769 /* Table5769 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -167863,62 +235422,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -168003,17 +235562,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -168863,32 +236422,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -169063,12 +236622,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -169093,7 +236652,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5153 /* Table5153 */
+    5771 /* Table5771 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -170353,7 +237912,7 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5155 /* Table5155 */
+    5773 /* Table5773 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -170433,62 +237992,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -170573,17 +238132,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -171433,32 +238992,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -171633,12 +239192,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5775 /* Table5775 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5777 /* Table5777 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -171718,62 +239277,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5653 /* Table5653 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5655 /* Table5655 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5657 /* Table5657 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5659 /* Table5659 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5661 /* Table5661 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5663 /* Table5663 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5665 /* Table5665 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5667 /* Table5667 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5669 /* Table5669 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5671 /* Table5671 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5673 /* Table5673 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5675 /* Table5675 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -171798,7 +239357,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5677 /* Table5677 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -171818,12 +239377,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5679 /* Table5679 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5681 /* Table5681 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -171833,7 +239392,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5683 /* Table5683 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -171858,17 +239417,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5685 /* Table5685 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5687 /* Table5687 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5689 /* Table5689 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -171878,32 +239437,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5691 /* Table5691 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5693 /* Table5693 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5695 /* Table5695 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5697 /* Table5697 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5699 /* Table5699 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5701 /* Table5701 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -171918,22 +239477,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5703 /* Table5703 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5705 /* Table5705 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5707 /* Table5707 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5709 /* Table5709 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -171958,32 +239517,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5711 /* Table5711 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5713 /* Table5713 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5715 /* Table5715 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5717 /* Table5717 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5719 /* Table5719 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5721 /* Table5721 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -171993,57 +239552,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5723 /* Table5723 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5725 /* Table5725 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5727 /* Table5727 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5111 /* Table5111 */
+    5729 /* Table5729 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5113 /* Table5113 */
+    5731 /* Table5731 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5115 /* Table5115 */
+    5733 /* Table5733 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5117 /* Table5117 */
+    5735 /* Table5735 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5119 /* Table5119 */
+    5737 /* Table5737 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5121 /* Table5121 */
+    5739 /* Table5739 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5123 /* Table5123 */
+    5741 /* Table5741 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5125 /* Table5125 */
+    5743 /* Table5743 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -172358,17 +239917,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5161 /* Table5161 */
+    5779 /* Table5779 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5163 /* Table5163 */
+    5781 /* Table5781 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5165 /* Table5165 */
+    5783 /* Table5783 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -172718,32 +240277,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -172813,27 +240372,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5751 /* Table5751 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5753 /* Table5753 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5755 /* Table5755 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5757 /* Table5757 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5759 /* Table5759 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -172918,12 +240477,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5143 /* Table5143 */
+    5761 /* Table5761 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5145 /* Table5145 */
+    5763 /* Table5763 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -172948,7 +240507,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5147 /* Table5147 */
+    5765 /* Table5765 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -173003,62 +240562,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -173143,17 +240702,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -174003,32 +241562,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -174203,12 +241762,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -174288,62 +241847,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -174428,17 +241987,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -175288,32 +242847,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -175488,12 +243047,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5149 /* Table5149 */
+    5767 /* Table5767 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5151 /* Table5151 */
+    5769 /* Table5769 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -175573,62 +243132,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -175713,17 +243272,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -176573,32 +244132,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -176773,12 +244332,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5031 /* Table5031 */
+    5649 /* Table5649 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5033 /* Table5033 */
+    5651 /* Table5651 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -176803,7 +244362,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5153 /* Table5153 */
+    5771 /* Table5771 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -178063,7 +245622,7 @@
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5155 /* Table5155 */
+    5773 /* Table5773 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -178143,62 +245702,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -178283,17 +245842,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -179143,32 +246702,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -179343,12 +246902,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5775 /* Table5775 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5777 /* Table5777 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -179373,7 +246932,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5167 /* Table5167 */
+    5785 /* Table5785 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -179428,62 +246987,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4989 /* Table4989 */
+    5607 /* Table5607 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4991 /* Table4991 */
+    5609 /* Table5609 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4993 /* Table4993 */
+    5611 /* Table5611 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4995 /* Table4995 */
+    5613 /* Table5613 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4997 /* Table4997 */
+    5615 /* Table5615 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    4999 /* Table4999 */
+    5617 /* Table5617 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5001 /* Table5001 */
+    5619 /* Table5619 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5003 /* Table5003 */
+    5621 /* Table5621 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5005 /* Table5005 */
+    5623 /* Table5623 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5007 /* Table5007 */
+    5625 /* Table5625 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5009 /* Table5009 */
+    5627 /* Table5627 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5011 /* Table5011 */
+    5629 /* Table5629 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -179568,17 +247127,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5013 /* Table5013 */
+    5631 /* Table5631 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5015 /* Table5015 */
+    5633 /* Table5633 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5017 /* Table5017 */
+    5635 /* Table5635 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -180428,32 +247987,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -180628,12 +248187,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5169 /* Table5169 */
+    5787 /* Table5787 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5171 /* Table5171 */
+    5789 /* Table5789 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -180713,62 +248272,62 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5035 /* Table5035 */
+    5653 /* Table5653 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5037 /* Table5037 */
+    5655 /* Table5655 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5039 /* Table5039 */
+    5657 /* Table5657 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5041 /* Table5041 */
+    5659 /* Table5659 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5043 /* Table5043 */
+    5661 /* Table5661 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5045 /* Table5045 */
+    5663 /* Table5663 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5047 /* Table5047 */
+    5665 /* Table5665 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5049 /* Table5049 */
+    5667 /* Table5667 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5051 /* Table5051 */
+    5669 /* Table5669 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5053 /* Table5053 */
+    5671 /* Table5671 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5055 /* Table5055 */
+    5673 /* Table5673 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5057 /* Table5057 */
+    5675 /* Table5675 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -180793,7 +248352,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5059 /* Table5059 */
+    5677 /* Table5677 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -180813,12 +248372,12 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5061 /* Table5061 */
+    5679 /* Table5679 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5063 /* Table5063 */
+    5681 /* Table5681 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -180828,7 +248387,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5065 /* Table5065 */
+    5683 /* Table5683 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -180853,17 +248412,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5067 /* Table5067 */
+    5685 /* Table5685 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5069 /* Table5069 */
+    5687 /* Table5687 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5071 /* Table5071 */
+    5689 /* Table5689 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -180873,32 +248432,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5073 /* Table5073 */
+    5691 /* Table5691 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5075 /* Table5075 */
+    5693 /* Table5693 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5077 /* Table5077 */
+    5695 /* Table5695 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5079 /* Table5079 */
+    5697 /* Table5697 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5081 /* Table5081 */
+    5699 /* Table5699 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5083 /* Table5083 */
+    5701 /* Table5701 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -180913,22 +248472,22 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5085 /* Table5085 */
+    5703 /* Table5703 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5087 /* Table5087 */
+    5705 /* Table5705 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5089 /* Table5089 */
+    5707 /* Table5707 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5091 /* Table5091 */
+    5709 /* Table5709 */
    },
    /* 0x2c */
    { /* ModRMDecision */
@@ -180953,32 +248512,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5093 /* Table5093 */
+    5711 /* Table5711 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5095 /* Table5095 */
+    5713 /* Table5713 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5097 /* Table5097 */
+    5715 /* Table5715 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5099 /* Table5099 */
+    5717 /* Table5717 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5101 /* Table5101 */
+    5719 /* Table5719 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5103 /* Table5103 */
+    5721 /* Table5721 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -180988,57 +248547,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5105 /* Table5105 */
+    5723 /* Table5723 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5107 /* Table5107 */
+    5725 /* Table5725 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5109 /* Table5109 */
+    5727 /* Table5727 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5111 /* Table5111 */
+    5729 /* Table5729 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5113 /* Table5113 */
+    5731 /* Table5731 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5115 /* Table5115 */
+    5733 /* Table5733 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5117 /* Table5117 */
+    5735 /* Table5735 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5119 /* Table5119 */
+    5737 /* Table5737 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5121 /* Table5121 */
+    5739 /* Table5739 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5123 /* Table5123 */
+    5741 /* Table5741 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5125 /* Table5125 */
+    5743 /* Table5743 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -181353,17 +248912,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5161 /* Table5161 */
+    5779 /* Table5779 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5163 /* Table5163 */
+    5781 /* Table5781 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5165 /* Table5165 */
+    5783 /* Table5783 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -181713,32 +249272,32 @@
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5019 /* Table5019 */
+    5637 /* Table5637 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5021 /* Table5021 */
+    5639 /* Table5639 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5023 /* Table5023 */
+    5641 /* Table5641 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5025 /* Table5025 */
+    5643 /* Table5643 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5027 /* Table5027 */
+    5645 /* Table5645 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5029 /* Table5029 */
+    5647 /* Table5647 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -181808,27 +249367,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5133 /* Table5133 */
+    5751 /* Table5751 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5135 /* Table5135 */
+    5753 /* Table5753 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5137 /* Table5137 */
+    5755 /* Table5755 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5139 /* Table5139 */
+    5757 /* Table5757 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5141 /* Table5141 */
+    5759 /* Table5759 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -181913,12 +249472,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5157 /* Table5157 */
+    5775 /* Table5775 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5159 /* Table5159 */
+    5777 /* Table5777 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -181943,7 +249502,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5173 /* Table5173 */
+    5791 /* Table5791 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -183208,12 +250767,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5175 /* Table5175 */
+    5793 /* Table5793 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5177 /* Table5177 */
+    5795 /* Table5795 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -183223,7 +250782,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5193 /* Table5193 */
+    5811 /* Table5811 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -183233,7 +250792,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5195 /* Table5195 */
+    5813 /* Table5813 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -184508,7 +252067,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5197 /* Table5197 */
+    5815 /* Table5815 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -184518,7 +252077,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5199 /* Table5199 */
+    5817 /* Table5817 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -185793,17 +253352,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5201 /* Table5201 */
+    5819 /* Table5819 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5203 /* Table5203 */
+    5821 /* Table5821 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5205 /* Table5205 */
+    5823 /* Table5823 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -185853,82 +253412,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5207 /* Table5207 */
+    5825 /* Table5825 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5209 /* Table5209 */
+    5827 /* Table5827 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5211 /* Table5211 */
+    5829 /* Table5829 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5213 /* Table5213 */
+    5831 /* Table5831 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5215 /* Table5215 */
+    5833 /* Table5833 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5217 /* Table5217 */
+    5835 /* Table5835 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5219 /* Table5219 */
+    5837 /* Table5837 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5221 /* Table5221 */
+    5839 /* Table5839 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5223 /* Table5223 */
+    5841 /* Table5841 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5225 /* Table5225 */
+    5843 /* Table5843 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5227 /* Table5227 */
+    5845 /* Table5845 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5229 /* Table5229 */
+    5847 /* Table5847 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5231 /* Table5231 */
+    5849 /* Table5849 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5233 /* Table5233 */
+    5851 /* Table5851 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5235 /* Table5235 */
+    5853 /* Table5853 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5237 /* Table5237 */
+    5855 /* Table5855 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -185948,7 +253507,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5239 /* Table5239 */
+    5857 /* Table5857 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -185968,12 +253527,12 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5241 /* Table5241 */
+    5859 /* Table5859 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5243 /* Table5243 */
+    5861 /* Table5861 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -185993,17 +253552,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5245 /* Table5245 */
+    5863 /* Table5863 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5247 /* Table5247 */
+    5865 /* Table5865 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5249 /* Table5249 */
+    5867 /* Table5867 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -186013,32 +253572,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5251 /* Table5251 */
+    5869 /* Table5869 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5253 /* Table5253 */
+    5871 /* Table5871 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5255 /* Table5255 */
+    5873 /* Table5873 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5257 /* Table5257 */
+    5875 /* Table5875 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5259 /* Table5259 */
+    5877 /* Table5877 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5261 /* Table5261 */
+    5879 /* Table5879 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -186053,72 +253612,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5263 /* Table5263 */
+    5881 /* Table5881 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5265 /* Table5265 */
+    5883 /* Table5883 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5267 /* Table5267 */
+    5885 /* Table5885 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5269 /* Table5269 */
+    5887 /* Table5887 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5271 /* Table5271 */
+    5889 /* Table5889 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5273 /* Table5273 */
+    5891 /* Table5891 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5275 /* Table5275 */
+    5893 /* Table5893 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5277 /* Table5277 */
+    5895 /* Table5895 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5279 /* Table5279 */
+    5897 /* Table5897 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5281 /* Table5281 */
+    5899 /* Table5899 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5283 /* Table5283 */
+    5901 /* Table5901 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5285 /* Table5285 */
+    5903 /* Table5903 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5287 /* Table5287 */
+    5905 /* Table5905 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5289 /* Table5289 */
+    5907 /* Table5907 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -186128,57 +253687,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5291 /* Table5291 */
+    5909 /* Table5909 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5293 /* Table5293 */
+    5911 /* Table5911 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5295 /* Table5295 */
+    5913 /* Table5913 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5297 /* Table5297 */
+    5915 /* Table5915 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5299 /* Table5299 */
+    5917 /* Table5917 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5301 /* Table5301 */
+    5919 /* Table5919 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5303 /* Table5303 */
+    5921 /* Table5921 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5305 /* Table5305 */
+    5923 /* Table5923 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5307 /* Table5307 */
+    5925 /* Table5925 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5309 /* Table5309 */
+    5927 /* Table5927 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5311 /* Table5311 */
+    5929 /* Table5929 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -186198,17 +253757,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5313 /* Table5313 */
+    5931 /* Table5931 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5315 /* Table5315 */
+    5933 /* Table5933 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5317 /* Table5317 */
+    5935 /* Table5935 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -186293,12 +253852,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5319 /* Table5319 */
+    5937 /* Table5937 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5321 /* Table5321 */
+    5939 /* Table5939 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -186453,12 +254012,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5323 /* Table5323 */
+    5941 /* Table5941 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5325 /* Table5325 */
+    5943 /* Table5943 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -186553,7 +254112,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5327 /* Table5327 */
+    5945 /* Table5945 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -186563,7 +254122,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5329 /* Table5329 */
+    5947 /* Table5947 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -186573,22 +254132,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5331 /* Table5331 */
+    5949 /* Table5949 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5333 /* Table5333 */
+    5951 /* Table5951 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5335 /* Table5335 */
+    5953 /* Table5953 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5337 /* Table5337 */
+    5955 /* Table5955 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -186603,52 +254162,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5339 /* Table5339 */
+    5957 /* Table5957 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5341 /* Table5341 */
+    5959 /* Table5959 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5343 /* Table5343 */
+    5961 /* Table5961 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5345 /* Table5345 */
+    5963 /* Table5963 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5347 /* Table5347 */
+    5965 /* Table5965 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5349 /* Table5349 */
+    5967 /* Table5967 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5351 /* Table5351 */
+    5969 /* Table5969 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5353 /* Table5353 */
+    5971 /* Table5971 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5355 /* Table5355 */
+    5973 /* Table5973 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5357 /* Table5357 */
+    5975 /* Table5975 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -186683,52 +254242,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5359 /* Table5359 */
+    5977 /* Table5977 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5361 /* Table5361 */
+    5979 /* Table5979 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5363 /* Table5363 */
+    5981 /* Table5981 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5365 /* Table5365 */
+    5983 /* Table5983 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5367 /* Table5367 */
+    5985 /* Table5985 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5369 /* Table5369 */
+    5987 /* Table5987 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5371 /* Table5371 */
+    5989 /* Table5989 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5373 /* Table5373 */
+    5991 /* Table5991 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5375 /* Table5375 */
+    5993 /* Table5993 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5377 /* Table5377 */
+    5995 /* Table5995 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -186763,52 +254322,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5379 /* Table5379 */
+    5997 /* Table5997 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5381 /* Table5381 */
+    5999 /* Table5999 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5383 /* Table5383 */
+    6001 /* Table6001 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5385 /* Table5385 */
+    6003 /* Table6003 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5387 /* Table5387 */
+    6005 /* Table6005 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5389 /* Table5389 */
+    6007 /* Table6007 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5391 /* Table5391 */
+    6009 /* Table6009 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5393 /* Table5393 */
+    6011 /* Table6011 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5395 /* Table5395 */
+    6013 /* Table6013 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5397 /* Table5397 */
+    6015 /* Table6015 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -186948,27 +254507,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5399 /* Table5399 */
+    6017 /* Table6017 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5401 /* Table5401 */
+    6019 /* Table6019 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5403 /* Table5403 */
+    6021 /* Table6021 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5405 /* Table5405 */
+    6023 /* Table6023 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5407 /* Table5407 */
+    6025 /* Table6025 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -187088,7 +254647,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5409 /* Table5409 */
+    6027 /* Table6027 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -188348,12 +255907,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5411 /* Table5411 */
+    6029 /* Table6029 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    5413 /* Table5413 */
+    6031 /* Table6031 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -188363,7 +255922,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5429 /* Table5429 */
+    6047 /* Table6047 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -188373,7 +255932,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5431 /* Table5431 */
+    6049 /* Table6049 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -189648,7 +257207,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5433 /* Table5433 */
+    6051 /* Table6051 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -189658,7 +257217,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5435 /* Table5435 */
+    6053 /* Table6053 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -190933,17 +258492,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5437 /* Table5437 */
+    6055 /* Table6055 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5439 /* Table5439 */
+    6057 /* Table6057 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5441 /* Table5441 */
+    6059 /* Table6059 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -190993,82 +258552,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5207 /* Table5207 */
+    5825 /* Table5825 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5209 /* Table5209 */
+    5827 /* Table5827 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5211 /* Table5211 */
+    5829 /* Table5829 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5213 /* Table5213 */
+    5831 /* Table5831 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5215 /* Table5215 */
+    5833 /* Table5833 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5217 /* Table5217 */
+    5835 /* Table5835 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5219 /* Table5219 */
+    5837 /* Table5837 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5221 /* Table5221 */
+    5839 /* Table5839 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5223 /* Table5223 */
+    5841 /* Table5841 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5225 /* Table5225 */
+    5843 /* Table5843 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5227 /* Table5227 */
+    5845 /* Table5845 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5229 /* Table5229 */
+    5847 /* Table5847 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5231 /* Table5231 */
+    5849 /* Table5849 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5233 /* Table5233 */
+    5851 /* Table5851 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5235 /* Table5235 */
+    5853 /* Table5853 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5237 /* Table5237 */
+    5855 /* Table5855 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -191088,7 +258647,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5239 /* Table5239 */
+    5857 /* Table5857 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -191108,12 +258667,12 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5241 /* Table5241 */
+    5859 /* Table5859 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5243 /* Table5243 */
+    5861 /* Table5861 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -191133,17 +258692,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5245 /* Table5245 */
+    5863 /* Table5863 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5247 /* Table5247 */
+    5865 /* Table5865 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5249 /* Table5249 */
+    5867 /* Table5867 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -191153,32 +258712,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5251 /* Table5251 */
+    5869 /* Table5869 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5253 /* Table5253 */
+    5871 /* Table5871 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5255 /* Table5255 */
+    5873 /* Table5873 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5257 /* Table5257 */
+    5875 /* Table5875 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5259 /* Table5259 */
+    5877 /* Table5877 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5261 /* Table5261 */
+    5879 /* Table5879 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -191193,72 +258752,72 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5263 /* Table5263 */
+    5881 /* Table5881 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5265 /* Table5265 */
+    5883 /* Table5883 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5267 /* Table5267 */
+    5885 /* Table5885 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5269 /* Table5269 */
+    5887 /* Table5887 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5271 /* Table5271 */
+    5889 /* Table5889 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5273 /* Table5273 */
+    5891 /* Table5891 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5275 /* Table5275 */
+    5893 /* Table5893 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5277 /* Table5277 */
+    5895 /* Table5895 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5279 /* Table5279 */
+    5897 /* Table5897 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5281 /* Table5281 */
+    5899 /* Table5899 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5283 /* Table5283 */
+    5901 /* Table5901 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5285 /* Table5285 */
+    5903 /* Table5903 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5287 /* Table5287 */
+    5905 /* Table5905 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5289 /* Table5289 */
+    5907 /* Table5907 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -191268,57 +258827,57 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5291 /* Table5291 */
+    5909 /* Table5909 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5293 /* Table5293 */
+    5911 /* Table5911 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5295 /* Table5295 */
+    5913 /* Table5913 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5297 /* Table5297 */
+    5915 /* Table5915 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5299 /* Table5299 */
+    5917 /* Table5917 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5301 /* Table5301 */
+    5919 /* Table5919 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5303 /* Table5303 */
+    5921 /* Table5921 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5305 /* Table5305 */
+    5923 /* Table5923 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5307 /* Table5307 */
+    5925 /* Table5925 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5309 /* Table5309 */
+    5927 /* Table5927 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5311 /* Table5311 */
+    5929 /* Table5929 */
    },
    /* 0x42 */
    { /* ModRMDecision */
@@ -191338,17 +258897,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5443 /* Table5443 */
+    6061 /* Table6061 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5315 /* Table5315 */
+    5933 /* Table5933 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5445 /* Table5445 */
+    6063 /* Table6063 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -191433,12 +258992,12 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5319 /* Table5319 */
+    5937 /* Table5937 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5321 /* Table5321 */
+    5939 /* Table5939 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -191593,12 +259152,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5323 /* Table5323 */
+    5941 /* Table5941 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5325 /* Table5325 */
+    5943 /* Table5943 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -191693,7 +259252,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5447 /* Table5447 */
+    6065 /* Table6065 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -191703,7 +259262,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5449 /* Table5449 */
+    6067 /* Table6067 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -191713,22 +259272,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5451 /* Table5451 */
+    6069 /* Table6069 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5453 /* Table5453 */
+    6071 /* Table6071 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5455 /* Table5455 */
+    6073 /* Table6073 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5457 /* Table5457 */
+    6075 /* Table6075 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -191743,52 +259302,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5459 /* Table5459 */
+    6077 /* Table6077 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5461 /* Table5461 */
+    6079 /* Table6079 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5463 /* Table5463 */
+    6081 /* Table6081 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5465 /* Table5465 */
+    6083 /* Table6083 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5467 /* Table5467 */
+    6085 /* Table6085 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5469 /* Table5469 */
+    6087 /* Table6087 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5471 /* Table5471 */
+    6089 /* Table6089 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5473 /* Table5473 */
+    6091 /* Table6091 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5475 /* Table5475 */
+    6093 /* Table6093 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5477 /* Table5477 */
+    6095 /* Table6095 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -191823,52 +259382,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5479 /* Table5479 */
+    6097 /* Table6097 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5481 /* Table5481 */
+    6099 /* Table6099 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5483 /* Table5483 */
+    6101 /* Table6101 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5485 /* Table5485 */
+    6103 /* Table6103 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5487 /* Table5487 */
+    6105 /* Table6105 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5489 /* Table5489 */
+    6107 /* Table6107 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5491 /* Table5491 */
+    6109 /* Table6109 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5493 /* Table5493 */
+    6111 /* Table6111 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5495 /* Table5495 */
+    6113 /* Table6113 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5497 /* Table5497 */
+    6115 /* Table6115 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -191903,52 +259462,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5499 /* Table5499 */
+    6117 /* Table6117 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5501 /* Table5501 */
+    6119 /* Table6119 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5503 /* Table5503 */
+    6121 /* Table6121 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5505 /* Table5505 */
+    6123 /* Table6123 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5507 /* Table5507 */
+    6125 /* Table6125 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    6127 /* Table6127 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5511 /* Table5511 */
+    6129 /* Table6129 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    6131 /* Table6131 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5515 /* Table5515 */
+    6133 /* Table6133 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    6135 /* Table6135 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -192088,27 +259647,27 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5399 /* Table5399 */
+    6017 /* Table6017 */
    },
    /* 0xdc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5401 /* Table5401 */
+    6019 /* Table6019 */
    },
    /* 0xdd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5403 /* Table5403 */
+    6021 /* Table6021 */
    },
    /* 0xde */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5405 /* Table5405 */
+    6023 /* Table6023 */
    },
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5407 /* Table5407 */
+    6025 /* Table6025 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -192228,7 +259787,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5519 /* Table5519 */
+    6137 /* Table6137 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -192278,82 +259837,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    6139 /* Table6139 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    6141 /* Table6141 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    6143 /* Table6143 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    6145 /* Table6145 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    6147 /* Table6147 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    6149 /* Table6149 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    6151 /* Table6151 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    6153 /* Table6153 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    6155 /* Table6155 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    6157 /* Table6157 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    6159 /* Table6159 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    6161 /* Table6161 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    6163 /* Table6163 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    6165 /* Table6165 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    6167 /* Table6167 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    6169 /* Table6169 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -192373,7 +259932,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    6171 /* Table6171 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -192388,27 +259947,27 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    6173 /* Table6173 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    6175 /* Table6175 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    6177 /* Table6177 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    6179 /* Table6179 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    6181 /* Table6181 */
    },
    /* 0x1b */
    { /* ModRMDecision */
@@ -192418,17 +259977,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    6183 /* Table6183 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    6185 /* Table6185 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    6187 /* Table6187 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -192438,32 +259997,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    6189 /* Table6189 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    6191 /* Table6191 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    6193 /* Table6193 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    6195 /* Table6195 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    6197 /* Table6197 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    6199 /* Table6199 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -192478,127 +260037,127 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    6201 /* Table6201 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    6203 /* Table6203 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    6205 /* Table6205 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    6207 /* Table6207 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    6209 /* Table6209 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    6211 /* Table6211 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    6213 /* Table6213 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    6215 /* Table6215 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    6217 /* Table6217 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    6219 /* Table6219 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    6221 /* Table6221 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    6223 /* Table6223 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    6225 /* Table6225 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    6227 /* Table6227 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    6229 /* Table6229 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    6231 /* Table6231 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    6233 /* Table6233 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    6235 /* Table6235 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    6237 /* Table6237 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    6239 /* Table6239 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    6241 /* Table6241 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    6243 /* Table6243 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    6245 /* Table6245 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    6247 /* Table6247 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    6249 /* Table6249 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -192623,17 +260182,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5633 /* Table5633 */
+    6251 /* Table6251 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    6253 /* Table6253 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5637 /* Table5637 */
+    6255 /* Table6255 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -192718,17 +260277,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    6257 /* Table6257 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    6259 /* Table6259 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    6261 /* Table6261 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -192878,12 +260437,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    6263 /* Table6263 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5647 /* Table5647 */
+    6265 /* Table6265 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -192978,7 +260537,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5649 /* Table5649 */
+    6267 /* Table6267 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -192988,7 +260547,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5651 /* Table5651 */
+    6269 /* Table6269 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -192998,22 +260557,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5653 /* Table5653 */
+    6271 /* Table6271 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5655 /* Table5655 */
+    6273 /* Table6273 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5657 /* Table5657 */
+    6275 /* Table6275 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5659 /* Table5659 */
+    6277 /* Table6277 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -193028,52 +260587,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5661 /* Table5661 */
+    6279 /* Table6279 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5663 /* Table5663 */
+    6281 /* Table6281 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5665 /* Table5665 */
+    6283 /* Table6283 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5345 /* Table5345 */
+    5963 /* Table5963 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5667 /* Table5667 */
+    6285 /* Table6285 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5349 /* Table5349 */
+    5967 /* Table5967 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5669 /* Table5669 */
+    6287 /* Table6287 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5353 /* Table5353 */
+    5971 /* Table5971 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5671 /* Table5671 */
+    6289 /* Table6289 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5357 /* Table5357 */
+    5975 /* Table5975 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -193108,52 +260667,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5673 /* Table5673 */
+    6291 /* Table6291 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5675 /* Table5675 */
+    6293 /* Table6293 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5677 /* Table5677 */
+    6295 /* Table6295 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5365 /* Table5365 */
+    5983 /* Table5983 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5679 /* Table5679 */
+    6297 /* Table6297 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5369 /* Table5369 */
+    5987 /* Table5987 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5681 /* Table5681 */
+    6299 /* Table6299 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5373 /* Table5373 */
+    5991 /* Table5991 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5683 /* Table5683 */
+    6301 /* Table6301 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5377 /* Table5377 */
+    5995 /* Table5995 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -193188,52 +260747,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5685 /* Table5685 */
+    6303 /* Table6303 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5687 /* Table5687 */
+    6305 /* Table6305 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5689 /* Table5689 */
+    6307 /* Table6307 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5385 /* Table5385 */
+    6003 /* Table6003 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5691 /* Table5691 */
+    6309 /* Table6309 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5389 /* Table5389 */
+    6007 /* Table6007 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5693 /* Table5693 */
+    6311 /* Table6311 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5393 /* Table5393 */
+    6011 /* Table6011 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5695 /* Table5695 */
+    6313 /* Table6313 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5397 /* Table5397 */
+    6015 /* Table6015 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -193563,82 +261122,82 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5521 /* Table5521 */
+    6139 /* Table6139 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5523 /* Table5523 */
+    6141 /* Table6141 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5525 /* Table5525 */
+    6143 /* Table6143 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5527 /* Table5527 */
+    6145 /* Table6145 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5529 /* Table5529 */
+    6147 /* Table6147 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5531 /* Table5531 */
+    6149 /* Table6149 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5533 /* Table5533 */
+    6151 /* Table6151 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5535 /* Table5535 */
+    6153 /* Table6153 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5537 /* Table5537 */
+    6155 /* Table6155 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5539 /* Table5539 */
+    6157 /* Table6157 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5541 /* Table5541 */
+    6159 /* Table6159 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5543 /* Table5543 */
+    6161 /* Table6161 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5545 /* Table5545 */
+    6163 /* Table6163 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5547 /* Table5547 */
+    6165 /* Table6165 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5549 /* Table5549 */
+    6167 /* Table6167 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5551 /* Table5551 */
+    6169 /* Table6169 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -193658,7 +261217,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5553 /* Table5553 */
+    6171 /* Table6171 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -193673,27 +261232,27 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5555 /* Table5555 */
+    6173 /* Table6173 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5557 /* Table5557 */
+    6175 /* Table6175 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5559 /* Table5559 */
+    6177 /* Table6177 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5561 /* Table5561 */
+    6179 /* Table6179 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5563 /* Table5563 */
+    6181 /* Table6181 */
    },
    /* 0x1b */
    { /* ModRMDecision */
@@ -193703,17 +261262,17 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5565 /* Table5565 */
+    6183 /* Table6183 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5567 /* Table5567 */
+    6185 /* Table6185 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5569 /* Table5569 */
+    6187 /* Table6187 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -193723,32 +261282,32 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5571 /* Table5571 */
+    6189 /* Table6189 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5573 /* Table5573 */
+    6191 /* Table6191 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5575 /* Table5575 */
+    6193 /* Table6193 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5577 /* Table5577 */
+    6195 /* Table6195 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5579 /* Table5579 */
+    6197 /* Table6197 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5581 /* Table5581 */
+    6199 /* Table6199 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -193763,127 +261322,127 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5583 /* Table5583 */
+    6201 /* Table6201 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5585 /* Table5585 */
+    6203 /* Table6203 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5587 /* Table5587 */
+    6205 /* Table6205 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5589 /* Table5589 */
+    6207 /* Table6207 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5591 /* Table5591 */
+    6209 /* Table6209 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5593 /* Table5593 */
+    6211 /* Table6211 */
    },
    /* 0x2e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5595 /* Table5595 */
+    6213 /* Table6213 */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5597 /* Table5597 */
+    6215 /* Table6215 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5599 /* Table5599 */
+    6217 /* Table6217 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5601 /* Table5601 */
+    6219 /* Table6219 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5603 /* Table5603 */
+    6221 /* Table6221 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5605 /* Table5605 */
+    6223 /* Table6223 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5607 /* Table5607 */
+    6225 /* Table6225 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5609 /* Table5609 */
+    6227 /* Table6227 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5611 /* Table5611 */
+    6229 /* Table6229 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5613 /* Table5613 */
+    6231 /* Table6231 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5615 /* Table5615 */
+    6233 /* Table6233 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5617 /* Table5617 */
+    6235 /* Table6235 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5619 /* Table5619 */
+    6237 /* Table6237 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5621 /* Table5621 */
+    6239 /* Table6239 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5623 /* Table5623 */
+    6241 /* Table6241 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5625 /* Table5625 */
+    6243 /* Table6243 */
    },
    /* 0x3e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5627 /* Table5627 */
+    6245 /* Table6245 */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5629 /* Table5629 */
+    6247 /* Table6247 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5631 /* Table5631 */
+    6249 /* Table6249 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -193908,17 +261467,17 @@
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5697 /* Table5697 */
+    6315 /* Table6315 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5635 /* Table5635 */
+    6253 /* Table6253 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5699 /* Table5699 */
+    6317 /* Table6317 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -194003,17 +261562,17 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5639 /* Table5639 */
+    6257 /* Table6257 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5641 /* Table5641 */
+    6259 /* Table6259 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5643 /* Table5643 */
+    6261 /* Table6261 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -194163,12 +261722,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5645 /* Table5645 */
+    6263 /* Table6263 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5647 /* Table5647 */
+    6265 /* Table6265 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -194263,7 +261822,7 @@
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5701 /* Table5701 */
+    6319 /* Table6319 */
    },
    /* 0x8d */
    { /* ModRMDecision */
@@ -194273,7 +261832,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5703 /* Table5703 */
+    6321 /* Table6321 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -194283,22 +261842,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5705 /* Table5705 */
+    6323 /* Table6323 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5707 /* Table5707 */
+    6325 /* Table6325 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5709 /* Table5709 */
+    6327 /* Table6327 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5711 /* Table5711 */
+    6329 /* Table6329 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -194313,52 +261872,52 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5713 /* Table5713 */
+    6331 /* Table6331 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5715 /* Table5715 */
+    6333 /* Table6333 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5717 /* Table5717 */
+    6335 /* Table6335 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5465 /* Table5465 */
+    6083 /* Table6083 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5719 /* Table5719 */
+    6337 /* Table6337 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5469 /* Table5469 */
+    6087 /* Table6087 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5721 /* Table5721 */
+    6339 /* Table6339 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5473 /* Table5473 */
+    6091 /* Table6091 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5723 /* Table5723 */
+    6341 /* Table6341 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5477 /* Table5477 */
+    6095 /* Table6095 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -194393,52 +261952,52 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5725 /* Table5725 */
+    6343 /* Table6343 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5727 /* Table5727 */
+    6345 /* Table6345 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5729 /* Table5729 */
+    6347 /* Table6347 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5485 /* Table5485 */
+    6103 /* Table6103 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5731 /* Table5731 */
+    6349 /* Table6349 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5489 /* Table5489 */
+    6107 /* Table6107 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5733 /* Table5733 */
+    6351 /* Table6351 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5493 /* Table5493 */
+    6111 /* Table6111 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5735 /* Table5735 */
+    6353 /* Table6353 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5497 /* Table5497 */
+    6115 /* Table6115 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -194473,52 +262032,52 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5737 /* Table5737 */
+    6355 /* Table6355 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5739 /* Table5739 */
+    6357 /* Table6357 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5741 /* Table5741 */
+    6359 /* Table6359 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5505 /* Table5505 */
+    6123 /* Table6123 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5743 /* Table5743 */
+    6361 /* Table6361 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5509 /* Table5509 */
+    6127 /* Table6127 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5745 /* Table5745 */
+    6363 /* Table6363 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5513 /* Table5513 */
+    6131 /* Table6131 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5747 /* Table5747 */
+    6365 /* Table6365 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5517 /* Table5517 */
+    6135 /* Table6135 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -195056,9 +262615,9 @@
     0 /* EmptyTable */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6367 /* Table6367 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -195233,7 +262792,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5749 /* Table5749 */
+    6369 /* Table6369 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -195243,7 +262802,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5751 /* Table5751 */
+    6371 /* Table6371 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -195693,7 +263252,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5753 /* Table5753 */
+    6373 /* Table6373 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -195703,7 +263262,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5755 /* Table5755 */
+    6375 /* Table6375 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -195713,7 +263272,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5757 /* Table5757 */
+    6377 /* Table6377 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -195723,7 +263282,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5759 /* Table5759 */
+    6379 /* Table6379 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -195863,7 +263422,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5761 /* Table5761 */
+    6381 /* Table6381 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -195873,7 +263432,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5763 /* Table5763 */
+    6383 /* Table6383 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -196336,14 +263895,14 @@
     0 /* EmptyTable */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6385 /* Table6385 */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6367 /* Table6367 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -196406,9 +263965,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6387 /* Table6387 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -196518,7 +264077,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5765 /* Table5765 */
+    6389 /* Table6389 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -196528,7 +264087,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5767 /* Table5767 */
+    6391 /* Table6391 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -196978,7 +264537,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5769 /* Table5769 */
+    6393 /* Table6393 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -196988,7 +264547,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5771 /* Table5771 */
+    6395 /* Table6395 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -196998,7 +264557,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5773 /* Table5773 */
+    6397 /* Table6397 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -197008,7 +264567,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5775 /* Table5775 */
+    6399 /* Table6399 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -197148,7 +264707,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5777 /* Table5777 */
+    6401 /* Table6401 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -197158,7 +264717,1292 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5779 /* Table5779 */
+    6403 /* Table6403 */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_OPSIZE */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6405 /* Table6405 */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -197621,14 +266465,14 @@
     0 /* EmptyTable */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6407 /* Table6407 */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6367 /* Table6367 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -197691,9 +266535,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6409 /* Table6409 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -197803,7 +266647,7 @@
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5749 /* Table5749 */
+    6369 /* Table6369 */
    },
    /* 0x4e */
    { /* ModRMDecision */
@@ -197813,7 +266657,7 @@
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5751 /* Table5751 */
+    6371 /* Table6371 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -198263,7 +267107,7 @@
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5753 /* Table5753 */
+    6373 /* Table6373 */
    },
    /* 0xaa */
    { /* ModRMDecision */
@@ -198273,7 +267117,7 @@
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5755 /* Table5755 */
+    6375 /* Table6375 */
    },
    /* 0xac */
    { /* ModRMDecision */
@@ -198283,7 +267127,7 @@
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5757 /* Table5757 */
+    6377 /* Table6377 */
    },
    /* 0xae */
    { /* ModRMDecision */
@@ -198293,7 +267137,7 @@
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5759 /* Table5759 */
+    6379 /* Table6379 */
    },
    /* 0xb0 */
    { /* ModRMDecision */
@@ -198433,7 +267277,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5761 /* Table5761 */
+    6381 /* Table6381 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -198443,7 +267287,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5763 /* Table5763 */
+    6383 /* Table6383 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -198788,27 +267632,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5781 /* Table5781 */
+    6411 /* Table6411 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5783 /* Table5783 */
+    6413 /* Table6413 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5785 /* Table5785 */
+    6415 /* Table6415 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5787 /* Table5787 */
+    6417 /* Table6417 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5789 /* Table5789 */
+    6419 /* Table6419 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -198868,27 +267712,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5791 /* Table5791 */
+    6421 /* Table6421 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5793 /* Table5793 */
+    6423 /* Table6423 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5795 /* Table5795 */
+    6425 /* Table6425 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5797 /* Table5797 */
+    6427 /* Table6427 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5799 /* Table5799 */
+    6429 /* Table6429 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -198898,7 +267742,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5801 /* Table5801 */
+    6431 /* Table6431 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -198948,27 +267792,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5803 /* Table5803 */
+    6433 /* Table6433 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5805 /* Table5805 */
+    6435 /* Table6435 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5807 /* Table5807 */
+    6437 /* Table6437 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5809 /* Table5809 */
+    6439 /* Table6439 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5811 /* Table5811 */
+    6441 /* Table6441 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -198993,7 +267837,7 @@
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5813 /* Table5813 */
+    6443 /* Table6443 */
    },
    /* 0x3b */
    { /* ModRMDecision */
@@ -200083,7 +268927,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5815 /* Table5815 */
+    6445 /* Table6445 */
    },
    /* 0x14 */
    { /* ModRMDecision */
@@ -200098,7 +268942,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5817 /* Table5817 */
+    6447 /* Table6447 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -200108,7 +268952,7 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5819 /* Table5819 */
+    6449 /* Table6449 */
    },
    /* 0x19 */
    { /* ModRMDecision */
@@ -200138,7 +268982,7 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5821 /* Table5821 */
+    6451 /* Table6451 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -200153,27 +268997,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5823 /* Table5823 */
+    6453 /* Table6453 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5825 /* Table5825 */
+    6455 /* Table6455 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5827 /* Table5827 */
+    6457 /* Table6457 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5829 /* Table5829 */
+    6459 /* Table6459 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5831 /* Table5831 */
+    6461 /* Table6461 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -200183,7 +269027,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5833 /* Table5833 */
+    6463 /* Table6463 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -200196,9 +269040,9 @@
     0 /* EmptyTable */
    },
    /* 0x2a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6465 /* Table6465 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -200233,32 +269077,32 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5835 /* Table5835 */
+    6467 /* Table6467 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5837 /* Table5837 */
+    6469 /* Table6469 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5839 /* Table5839 */
+    6471 /* Table6471 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5841 /* Table5841 */
+    6473 /* Table6473 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5843 /* Table5843 */
+    6475 /* Table6475 */
    },
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5845 /* Table5845 */
+    6477 /* Table6477 */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -200273,7 +269117,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5847 /* Table5847 */
+    6479 /* Table6479 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -200283,7 +269127,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5849 /* Table5849 */
+    6481 /* Table6481 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -200293,7 +269137,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5851 /* Table5851 */
+    6483 /* Table6483 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -200303,12 +269147,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5853 /* Table5853 */
+    6485 /* Table6485 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5855 /* Table5855 */
+    6487 /* Table6487 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -200326,24 +269170,24 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6489 /* Table6489 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5857 /* Table5857 */
+    6491 /* Table6491 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5859 /* Table5859 */
+    6493 /* Table6493 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5861 /* Table5861 */
+    6495 /* Table6495 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -200368,7 +269212,7 @@
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5863 /* Table5863 */
+    6497 /* Table6497 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -200378,7 +269222,7 @@
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5865 /* Table5865 */
+    6499 /* Table6499 */
    },
    /* 0x4f */
    { /* ModRMDecision */
@@ -200428,7 +269272,7 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5867 /* Table5867 */
+    6501 /* Table6501 */
    },
    /* 0x59 */
    { /* ModRMDecision */
@@ -200436,9 +269280,9 @@
     0 /* EmptyTable */
    },
    /* 0x5a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6503 /* Table6503 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -200578,12 +269422,12 @@
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5869 /* Table5869 */
+    6505 /* Table6505 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5871 /* Table5871 */
+    6507 /* Table6507 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -200608,7 +269452,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5873 /* Table5873 */
+    6509 /* Table6509 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -200618,12 +269462,12 @@
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5875 /* Table5875 */
+    6511 /* Table6511 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5877 /* Table5877 */
+    6513 /* Table6513 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -200738,17 +269582,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5879 /* Table5879 */
+    6515 /* Table6515 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5881 /* Table5881 */
+    6517 /* Table6517 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5883 /* Table5883 */
+    6519 /* Table6519 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -200758,7 +269602,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5885 /* Table5885 */
+    6521 /* Table6521 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -200768,7 +269612,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5887 /* Table5887 */
+    6523 /* Table6523 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -200778,7 +269622,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5889 /* Table5889 */
+    6525 /* Table6525 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -200818,17 +269662,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5891 /* Table5891 */
+    6527 /* Table6527 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5893 /* Table5893 */
+    6529 /* Table6529 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5895 /* Table5895 */
+    6531 /* Table6531 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -200838,7 +269682,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5897 /* Table5897 */
+    6533 /* Table6533 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -200848,7 +269692,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5899 /* Table5899 */
+    6535 /* Table6535 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -200858,7 +269702,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5901 /* Table5901 */
+    6537 /* Table6537 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -200968,7 +269812,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5903 /* Table5903 */
+    6539 /* Table6539 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -200998,7 +269842,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5905 /* Table5905 */
+    6541 /* Table6541 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -201008,7 +269852,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5907 /* Table5907 */
+    6543 /* Table6543 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -201468,7 +270312,7 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5909 /* Table5909 */
+    6545 /* Table6545 */
    },
    /* 0x28 */
    { /* ModRMDecision */
@@ -201483,7 +270327,7 @@
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5911 /* Table5911 */
+    6547 /* Table6547 */
    },
    /* 0x2b */
    { /* ModRMDecision */
@@ -202668,7 +271512,7 @@
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5913 /* Table5913 */
+    6549 /* Table6549 */
    },
    /* 0x17 */
    { /* ModRMDecision */
@@ -202683,7 +271527,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5915 /* Table5915 */
+    6551 /* Table6551 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -202713,7 +271557,7 @@
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5917 /* Table5917 */
+    6553 /* Table6553 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -202753,17 +271597,17 @@
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5919 /* Table5919 */
+    6555 /* Table6555 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5921 /* Table5921 */
+    6557 /* Table6557 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5923 /* Table5923 */
+    6559 /* Table6559 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -202828,12 +271672,12 @@
    /* 0x36 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5925 /* Table5925 */
+    6561 /* Table6561 */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5927 /* Table5927 */
+    6563 /* Table6563 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -202843,7 +271687,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5929 /* Table5929 */
+    6565 /* Table6565 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -202853,7 +271697,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5931 /* Table5931 */
+    6567 /* Table6567 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -202863,7 +271707,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5933 /* Table5933 */
+    6569 /* Table6569 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -202873,7 +271717,7 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5935 /* Table5935 */
+    6571 /* Table6571 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -202896,24 +271740,24 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6573 /* Table6573 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5937 /* Table5937 */
+    6575 /* Table6575 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5939 /* Table5939 */
+    6577 /* Table6577 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5941 /* Table5941 */
+    6579 /* Table6579 */
    },
    /* 0x48 */
    { /* ModRMDecision */
@@ -202938,7 +271782,7 @@
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5943 /* Table5943 */
+    6581 /* Table6581 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -202948,7 +271792,7 @@
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5945 /* Table5945 */
+    6583 /* Table6583 */
    },
    /* 0x4f */
    { /* ModRMDecision */
@@ -203003,7 +271847,1292 @@
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5947 /* Table5947 */
+    6585 /* Table6585 */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6587 /* Table6587 */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6589 /* Table6589 */
+   },
+   /* 0x77 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6591 /* Table6591 */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6593 /* Table6593 */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6595 /* Table6595 */
+   },
+   /* 0x7f */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6597 /* Table6597 */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6599 /* Table6599 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6601 /* Table6601 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6603 /* Table6603 */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6605 /* Table6605 */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6607 /* Table6607 */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6609 /* Table6609 */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6611 /* Table6611 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6613 /* Table6613 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6615 /* Table6615 */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6617 /* Table6617 */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6619 /* Table6619 */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6621 /* Table6621 */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6623 /* Table6623 */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6625 /* Table6625 */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6627 /* Table6627 */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6629 /* Table6629 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6631 /* Table6631 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -203146,14 +273275,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5949 /* Table5949 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x77 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5951 /* Table5951 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -203176,9 +273305,9 @@
     0 /* EmptyTable */
    },
    /* 0x7c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5953 /* Table5953 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -203186,14 +273315,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5955 /* Table5955 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x7f */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5957 /* Table5957 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -203306,19 +273435,19 @@
     0 /* EmptyTable */
    },
    /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5959 /* Table5959 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5961 /* Table5961 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5963 /* Table5963 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -203326,9 +273455,9 @@
     0 /* EmptyTable */
    },
    /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5965 /* Table5965 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -203336,9 +273465,9 @@
     0 /* EmptyTable */
    },
    /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5967 /* Table5967 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -203346,9 +273475,9 @@
     0 /* EmptyTable */
    },
    /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5969 /* Table5969 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -203386,19 +273515,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5971 /* Table5971 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5973 /* Table5973 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5975 /* Table5975 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -203406,9 +273535,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5977 /* Table5977 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -203416,9 +273545,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5979 /* Table5979 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -203426,9 +273555,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5981 /* Table5981 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -203536,9 +273665,9 @@
     0 /* EmptyTable */
    },
    /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5983 /* Table5983 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -203566,9 +273695,9 @@
     0 /* EmptyTable */
    },
    /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    5985 /* Table5985 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -203576,9 +273705,2579 @@
     0 /* EmptyTable */
    },
    /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5987 /* Table5987 */
+    6633 /* Table6633 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6635 /* Table6635 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_XS_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6637 /* Table6637 */
+   },
+   /* 0x12 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6639 /* Table6639 */
+   },
+   /* 0x13 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6641 /* Table6641 */
+   },
+   /* 0x14 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6643 /* Table6643 */
+   },
+   /* 0x15 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6645 /* Table6645 */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6647 /* Table6647 */
+   },
+   /* 0x22 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6649 /* Table6649 */
+   },
+   /* 0x23 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6651 /* Table6651 */
+   },
+   /* 0x24 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6653 /* Table6653 */
+   },
+   /* 0x25 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6655 /* Table6655 */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6657 /* Table6657 */
+   },
+   /* 0x32 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6659 /* Table6659 */
+   },
+   /* 0x33 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6661 /* Table6661 */
+   },
+   /* 0x34 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6663 /* Table6663 */
+   },
+   /* 0x35 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6665 /* Table6665 */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -203991,9 +276690,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6667 /* Table6667 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -204006,29 +276705,29 @@
     0 /* EmptyTable */
    },
    /* 0x21 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6669 /* Table6669 */
    },
    /* 0x22 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6671 /* Table6671 */
    },
    /* 0x23 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6673 /* Table6673 */
    },
    /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6675 /* Table6675 */
    },
    /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6677 /* Table6677 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -204086,29 +276785,29 @@
     0 /* EmptyTable */
    },
    /* 0x31 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6679 /* Table6679 */
    },
    /* 0x32 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6681 /* Table6681 */
    },
    /* 0x33 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6683 /* Table6683 */
    },
    /* 0x34 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6685 /* Table6685 */
    },
    /* 0x35 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6687 /* Table6687 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -204126,9 +276825,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6689 /* Table6689 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -204136,9 +276835,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6691 /* Table6691 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -204146,9 +276845,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6693 /* Table6693 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -204156,14 +276855,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6695 /* Table6695 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6697 /* Table6697 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -204181,9 +276880,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6699 /* Table6699 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -204343,12 +277042,12 @@
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5989 /* Table5989 */
+    6701 /* Table6701 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5991 /* Table5991 */
+    6703 /* Table6703 */
    },
    /* 0x66 */
    { /* ModRMDecision */
@@ -204431,14 +277130,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6705 /* Table6705 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6707 /* Table6707 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -204471,14 +277170,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6709 /* Table6709 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6711 /* Table6711 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -204563,22 +277262,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5993 /* Table5993 */
+    6713 /* Table6713 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5995 /* Table5995 */
+    6715 /* Table6715 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5997 /* Table5997 */
+    6717 /* Table6717 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    5999 /* Table5999 */
+    6719 /* Table6719 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -204643,22 +277342,22 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6001 /* Table6001 */
+    6721 /* Table6721 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6003 /* Table6003 */
+    6723 /* Table6723 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6005 /* Table6005 */
+    6725 /* Table6725 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6007 /* Table6007 */
+    6727 /* Table6727 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -204671,19 +277370,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6729 /* Table6729 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6731 /* Table6731 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6733 /* Table6733 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -204691,9 +277390,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6735 /* Table6735 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -204701,9 +277400,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6737 /* Table6737 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -204711,9 +277410,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6739 /* Table6739 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -204823,7 +277522,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6009 /* Table6009 */
+    6741 /* Table6741 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -204831,14 +277530,14 @@
     0 /* EmptyTable */
    },
    /* 0xc6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6743 /* Table6743 */
    },
    /* 0xc7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6759 /* Table6759 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -205281,9 +277980,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6775 /* Table6775 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -205326,14 +278025,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6777 /* Table6777 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6779 /* Table6779 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -205401,9 +278100,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6781 /* Table6781 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -205411,9 +278110,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6783 /* Table6783 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -205421,9 +278120,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6785 /* Table6785 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -205431,9 +278130,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6787 /* Table6787 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -205441,9 +278140,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6789 /* Table6789 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -205466,9 +278165,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6791 /* Table6791 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -205628,12 +278327,12 @@
    /* 0x64 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6011 /* Table6011 */
+    6793 /* Table6793 */
    },
    /* 0x65 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6013 /* Table6013 */
+    6795 /* Table6795 */
    },
    /* 0x66 */
    { /* ModRMDecision */
@@ -205716,14 +278415,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6797 /* Table6797 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6799 /* Table6799 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -205756,14 +278455,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6801 /* Table6801 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6803 /* Table6803 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -205848,22 +278547,22 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6015 /* Table6015 */
+    6805 /* Table6805 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6017 /* Table6017 */
+    6807 /* Table6807 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6019 /* Table6019 */
+    6809 /* Table6809 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6021 /* Table6021 */
+    6811 /* Table6811 */
    },
    /* 0x94 */
    { /* ModRMDecision */
@@ -205928,22 +278627,22 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6023 /* Table6023 */
+    6813 /* Table6813 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6025 /* Table6025 */
+    6815 /* Table6815 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6027 /* Table6027 */
+    6817 /* Table6817 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6029 /* Table6029 */
+    6819 /* Table6819 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -205956,19 +278655,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6821 /* Table6821 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6823 /* Table6823 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6825 /* Table6825 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -205976,9 +278675,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6827 /* Table6827 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -205986,9 +278685,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6829 /* Table6829 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -205996,9 +278695,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6831 /* Table6831 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -206108,7 +278807,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6031 /* Table6031 */
+    6833 /* Table6833 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -206116,14 +278815,14 @@
     0 /* EmptyTable */
    },
    /* 0xc6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6835 /* Table6835 */
    },
    /* 0xc7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITREG,
+    6851 /* Table6851 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -207428,7 +280127,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6033 /* Table6033 */
+    6867 /* Table6867 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -207438,7 +280137,7 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6035 /* Table6035 */
+    6869 /* Table6869 */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -207901,9 +280600,9 @@
     0 /* EmptyTable */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6871 /* Table6871 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -207971,9 +280670,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6873 /* Table6873 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -208713,7 +281412,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6037 /* Table6037 */
+    6875 /* Table6875 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -208723,7 +281422,1292 @@
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6039 /* Table6039 */
+    6877 /* Table6877 */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6879 /* Table6879 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6881 /* Table6881 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xce */
    { /* ModRMDecision */
@@ -209131,9 +283115,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6883 /* Table6883 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -209268,7 +283252,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6041 /* Table6041 */
+    6885 /* Table6885 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -209278,7 +283262,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6043 /* Table6043 */
+    6887 /* Table6887 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -209288,7 +283272,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6045 /* Table6045 */
+    6889 /* Table6889 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -209298,12 +283282,12 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6047 /* Table6047 */
+    6891 /* Table6891 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6049 /* Table6049 */
+    6893 /* Table6893 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -209321,9 +283305,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6895 /* Table6895 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -209733,17 +283717,17 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6051 /* Table6051 */
+    6897 /* Table6897 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6053 /* Table6053 */
+    6899 /* Table6899 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6055 /* Table6055 */
+    6901 /* Table6901 */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -209753,7 +283737,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6057 /* Table6057 */
+    6903 /* Table6903 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -209763,7 +283747,7 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6059 /* Table6059 */
+    6905 /* Table6905 */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -209773,7 +283757,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6061 /* Table6061 */
+    6907 /* Table6907 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -209813,17 +283797,17 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6063 /* Table6063 */
+    6909 /* Table6909 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6065 /* Table6065 */
+    6911 /* Table6911 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6067 /* Table6067 */
+    6913 /* Table6913 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -209833,7 +283817,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6069 /* Table6069 */
+    6915 /* Table6915 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -209843,7 +283827,7 @@
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6071 /* Table6071 */
+    6917 /* Table6917 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -209853,7 +283837,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6073 /* Table6073 */
+    6919 /* Table6919 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -209963,7 +283947,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6075 /* Table6075 */
+    6921 /* Table6921 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -209993,7 +283977,7 @@
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6077 /* Table6077 */
+    6923 /* Table6923 */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -210003,7 +283987,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6079 /* Table6079 */
+    6925 /* Table6925 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -210421,9 +284405,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6927 /* Table6927 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -210466,14 +284450,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6929 /* Table6929 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6931 /* Table6931 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -210541,9 +284525,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6933 /* Table6933 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -210553,7 +284537,7 @@
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6081 /* Table6081 */
+    6935 /* Table6935 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -210563,7 +284547,7 @@
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6083 /* Table6083 */
+    6937 /* Table6937 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -210573,7 +284557,7 @@
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6085 /* Table6085 */
+    6939 /* Table6939 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -210583,7 +284567,1292 @@
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6087 /* Table6087 */
+    6941 /* Table6941 */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6943 /* Table6943 */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6945 /* Table6945 */
+   },
+   /* 0x97 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6947 /* Table6947 */
+   },
+   /* 0x98 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6949 /* Table6949 */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6951 /* Table6951 */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6953 /* Table6953 */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6955 /* Table6955 */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6957 /* Table6957 */
+   },
+   /* 0xa7 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6959 /* Table6959 */
+   },
+   /* 0xa8 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6961 /* Table6961 */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6963 /* Table6963 */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6965 /* Table6965 */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6967 /* Table6967 */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6969 /* Table6969 */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6971 /* Table6971 */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6973 /* Table6973 */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_W_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6975 /* Table6975 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6977 /* Table6977 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -211016,19 +286285,19 @@
     0 /* EmptyTable */
    },
    /* 0x96 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6089 /* Table6089 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x97 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6091 /* Table6091 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x98 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6093 /* Table6093 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x99 */
    { /* ModRMDecision */
@@ -211036,9 +286305,9 @@
     0 /* EmptyTable */
    },
    /* 0x9a */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6095 /* Table6095 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -211046,9 +286315,9 @@
     0 /* EmptyTable */
    },
    /* 0x9c */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6097 /* Table6097 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9d */
    { /* ModRMDecision */
@@ -211056,9 +286325,9 @@
     0 /* EmptyTable */
    },
    /* 0x9e */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6099 /* Table6099 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -211096,19 +286365,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6101 /* Table6101 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa7 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6103 /* Table6103 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa8 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6105 /* Table6105 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -211116,9 +286385,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6107 /* Table6107 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -211126,9 +286395,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6109 /* Table6109 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -211136,9 +286405,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6111 /* Table6111 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -211246,9 +286515,9 @@
     0 /* EmptyTable */
    },
    /* 0xc4 */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6113 /* Table6113 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -211276,9 +286545,9 @@
     0 /* EmptyTable */
    },
    /* 0xca */
-   { /* struct ModRMDecision */
-    MODRM_SPLITRM,
-    6115 /* Table6115 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcb */
    { /* ModRMDecision */
@@ -211286,9 +286555,1294 @@
     0 /* EmptyTable */
    },
    /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L_W_OPSIZE_K_B */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6117 /* Table6117 */
+    6979 /* Table6979 */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6981 /* Table6981 */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -211701,9 +288255,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6983 /* Table6983 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -211836,9 +288390,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6985 /* Table6985 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -211846,9 +288400,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6987 /* Table6987 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -211856,9 +288410,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6989 /* Table6989 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -211866,14 +288420,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6991 /* Table6991 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6993 /* Table6993 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -211891,9 +288445,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6995 /* Table6995 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -212533,7 +289087,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6119 /* Table6119 */
+    6997 /* Table6997 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -212991,9 +289545,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    6999 /* Table6999 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -213036,14 +289590,14 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7001 /* Table7001 */
    },
    /* 0x29 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7003 /* Table7003 */
    },
    /* 0x2a */
    { /* ModRMDecision */
@@ -213111,9 +289665,9 @@
     0 /* EmptyTable */
    },
    /* 0x37 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7005 /* Table7005 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -213121,9 +289675,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7007 /* Table7007 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -213131,9 +289685,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7009 /* Table7009 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -213141,9 +289695,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7011 /* Table7011 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -213151,9 +289705,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7013 /* Table7013 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -213176,9 +289730,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7015 /* Table7015 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -213818,7 +290372,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6121 /* Table6121 */
+    7017 /* Table7017 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -214271,9 +290825,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7019 /* Table7019 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -214406,9 +290960,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7021 /* Table7021 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -214416,9 +290970,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7023 /* Table7023 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -214426,9 +290980,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7025 /* Table7025 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -214436,14 +290990,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7027 /* Table7027 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7029 /* Table7029 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -214461,9 +291015,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7031 /* Table7031 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -215103,7 +291657,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6123 /* Table6123 */
+    7033 /* Table7033 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -215561,9 +292115,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7035 /* Table7035 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -215606,9 +292160,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7037 /* Table7037 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -215691,9 +292245,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7039 /* Table7039 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -215701,9 +292255,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7041 /* Table7041 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -215711,9 +292265,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7043 /* Table7043 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -215721,9 +292275,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7045 /* Table7045 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -215746,9 +292300,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7047 /* Table7047 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -216388,7 +292942,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6125 /* Table6125 */
+    7049 /* Table7049 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -216778,27 +293332,27 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6127 /* Table6127 */
+    7051 /* Table7051 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6129 /* Table6129 */
+    7053 /* Table7053 */
    },
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6131 /* Table6131 */
+    7055 /* Table7055 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6133 /* Table6133 */
+    7057 /* Table7057 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6135 /* Table6135 */
+    7059 /* Table7059 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -216858,27 +293412,27 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6137 /* Table6137 */
+    7061 /* Table7061 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6139 /* Table6139 */
+    7063 /* Table7063 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6141 /* Table6141 */
+    7065 /* Table7065 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6143 /* Table6143 */
+    7067 /* Table7067 */
    },
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6145 /* Table6145 */
+    7069 /* Table7069 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -216938,27 +293492,27 @@
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6147 /* Table6147 */
+    7071 /* Table7071 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6149 /* Table6149 */
+    7073 /* Table7073 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6151 /* Table6151 */
+    7075 /* Table7075 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6153 /* Table6153 */
+    7077 /* Table7077 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6155 /* Table6155 */
+    7079 /* Table7079 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -218126,9 +294680,9 @@
     0 /* EmptyTable */
    },
    /* 0x1e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7081 /* Table7081 */
    },
    /* 0x1f */
    { /* ModRMDecision */
@@ -218141,29 +294695,29 @@
     0 /* EmptyTable */
    },
    /* 0x21 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7083 /* Table7083 */
    },
    /* 0x22 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7085 /* Table7085 */
    },
    /* 0x23 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7087 /* Table7087 */
    },
    /* 0x24 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7089 /* Table7089 */
    },
    /* 0x25 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7091 /* Table7091 */
    },
    /* 0x26 */
    { /* ModRMDecision */
@@ -218221,29 +294775,29 @@
     0 /* EmptyTable */
    },
    /* 0x31 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7093 /* Table7093 */
    },
    /* 0x32 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7095 /* Table7095 */
    },
    /* 0x33 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7097 /* Table7097 */
    },
    /* 0x34 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7099 /* Table7099 */
    },
    /* 0x35 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7101 /* Table7101 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -218261,9 +294815,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7103 /* Table7103 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -218271,9 +294825,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7105 /* Table7105 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -218281,9 +294835,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7107 /* Table7107 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -218291,14 +294845,14 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7109 /* Table7109 */
    },
    /* 0x40 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7111 /* Table7111 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -218316,9 +294870,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7113 /* Table7113 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -218418,7 +294972,7 @@
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6157 /* Table6157 */
+    7115 /* Table7115 */
    },
    /* 0x59 */
    { /* ModRMDecision */
@@ -218426,9 +294980,9 @@
     0 /* EmptyTable */
    },
    /* 0x5a */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7117 /* Table7117 */
    },
    /* 0x5b */
    { /* ModRMDecision */
@@ -218566,14 +295120,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7119 /* Table7119 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7121 /* Table7121 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -218598,7 +295152,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6159 /* Table6159 */
+    7123 /* Table7123 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -218606,14 +295160,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7125 /* Table7125 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7127 /* Table7127 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -218806,19 +295360,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7129 /* Table7129 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7131 /* Table7131 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7133 /* Table7133 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -218826,9 +295380,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7135 /* Table7135 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -218836,9 +295390,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7137 /* Table7137 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -218846,9 +295400,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7139 /* Table7139 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -218958,7 +295512,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6161 /* Table6161 */
+    7141 /* Table7141 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -219416,9 +295970,9 @@
     0 /* EmptyTable */
    },
    /* 0x1f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7143 /* Table7143 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -219461,9 +296015,9 @@
     0 /* EmptyTable */
    },
    /* 0x28 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7145 /* Table7145 */
    },
    /* 0x29 */
    { /* ModRMDecision */
@@ -219546,9 +296100,9 @@
     0 /* EmptyTable */
    },
    /* 0x39 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7147 /* Table7147 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -219556,9 +296110,9 @@
     0 /* EmptyTable */
    },
    /* 0x3b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7149 /* Table7149 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -219566,9 +296120,9 @@
     0 /* EmptyTable */
    },
    /* 0x3d */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7151 /* Table7151 */
    },
    /* 0x3e */
    { /* ModRMDecision */
@@ -219576,9 +296130,9 @@
     0 /* EmptyTable */
    },
    /* 0x3f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7153 /* Table7153 */
    },
    /* 0x40 */
    { /* ModRMDecision */
@@ -219601,9 +296155,9 @@
     0 /* EmptyTable */
    },
    /* 0x44 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7155 /* Table7155 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -219708,7 +296262,7 @@
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6163 /* Table6163 */
+    7157 /* Table7157 */
    },
    /* 0x5a */
    { /* ModRMDecision */
@@ -219716,9 +296270,9 @@
     0 /* EmptyTable */
    },
    /* 0x5b */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7159 /* Table7159 */
    },
    /* 0x5c */
    { /* ModRMDecision */
@@ -219851,14 +296405,14 @@
     0 /* EmptyTable */
    },
    /* 0x76 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7161 /* Table7161 */
    },
    /* 0x77 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7163 /* Table7163 */
    },
    /* 0x78 */
    { /* ModRMDecision */
@@ -219883,7 +296437,7 @@
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6165 /* Table6165 */
+    7165 /* Table7165 */
    },
    /* 0x7d */
    { /* ModRMDecision */
@@ -219891,14 +296445,14 @@
     0 /* EmptyTable */
    },
    /* 0x7e */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7167 /* Table7167 */
    },
    /* 0x7f */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7169 /* Table7169 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -220091,19 +296645,19 @@
     0 /* EmptyTable */
    },
    /* 0xa6 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7171 /* Table7171 */
    },
    /* 0xa7 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7173 /* Table7173 */
    },
    /* 0xa8 */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7175 /* Table7175 */
    },
    /* 0xa9 */
    { /* ModRMDecision */
@@ -220111,9 +296665,9 @@
     0 /* EmptyTable */
    },
    /* 0xaa */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7177 /* Table7177 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -220121,9 +296675,9 @@
     0 /* EmptyTable */
    },
    /* 0xac */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7179 /* Table7179 */
    },
    /* 0xad */
    { /* ModRMDecision */
@@ -220131,9 +296685,9 @@
     0 /* EmptyTable */
    },
    /* 0xae */
-   { /* ModRMDecision */
-    MODRM_ONEENTRY,
-    0 /* EmptyTable */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7181 /* Table7181 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -220243,7 +296797,7 @@
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6167 /* Table6167 */
+    7183 /* Table7183 */
    },
    /* 0xc5 */
    { /* ModRMDecision */
@@ -220541,8 +297095,8 @@
     0 /* EmptyTable */
    }
   }
- }
-, /* IC_OF */
+ },
+ /* IC_OF */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -221829,7 +298383,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerThreeByte38Opcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 7, 0, 8, 9, 10, 11, 12, 13, 0, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 0, 0, 0, 25, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 28, 0, 0, 0, 0, 0, 0, 0, 29, 0, 30, 0, 31, 0, 32, 0, 33, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 34, 0, 0, 0, 35, 0, 0, 0, 36, 0, 0, 0, 37, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 38, 0, 0, 0, 39, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 40, 0, 0, 0, 41, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 42, 0, 0, 0, 43, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 44, 0, 45, 0, 0, 0, 46, };
+1, 2, 3, 4, 0, 5, 6, 7, 0, 8, 9, 10, 11, 12, 13, 0, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 0, 0, 0, 25, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 28, 0, 0, 0, 29, 0, 0, 0, 30, 0, 31, 0, 32, 0, 33, 0, 34, 0, 0, 0, 0, 0, 0, 0, 35, 0, 0, 0, 0, 0, 0, 0, 36, 0, 37, 0, 38, 0, 0, 0, 39, 0, 0, 0, 40, 0, 0, 0, 41, 0, 0, 0, 0, 0, 0, 0, 42, 0, 0, 0, 43, 0, 0, 0, 44, 0, 0, 0, 0, 0, 0, 0, 45, 0, 0, 0, 0, 0, 0, 0, 46, 0, 0, 0, 47, 0, 0, 0, 48, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 49, 0, 0, 0, 50, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 51, 0, 52, 0, 0, 0, 53, };
 static const struct OpcodeDecision x86DisassemblerThreeByte3AOpcodes[] = {
  /* IC */
  { /* struct OpcodeDecision */
@@ -221912,7 +298466,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -222857,7 +299411,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -223197,7 +299751,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -224142,7 +300696,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -224447,42 +301001,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    7189 /* Table7189 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    7191 /* Table7191 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    7193 /* Table7193 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    7195 /* Table7195 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    7197 /* Table7197 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    7199 /* Table7199 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    7201 /* Table7201 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    7203 /* Table7203 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -224507,22 +301061,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    7205 /* Table7205 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    7207 /* Table7207 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6193 /* Table6193 */
+    7209 /* Table7209 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    7211 /* Table7211 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -224567,17 +301121,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    7213 /* Table7213 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    7215 /* Table7215 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6201 /* Table6201 */
+    7217 /* Table7217 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -224727,17 +301281,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    7219 /* Table7219 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    7221 /* Table7221 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    7223 /* Table7223 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -224747,7 +301301,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    7225 /* Table7225 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -224887,22 +301441,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    7227 /* Table7227 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    7229 /* Table7229 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    7231 /* Table7231 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    7233 /* Table7233 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -225427,7 +301981,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -225522,7 +302076,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    7235 /* Table7235 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -225767,7 +302321,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -226712,7 +303266,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -227052,7 +303606,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -227997,7 +304551,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -228337,7 +304891,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -229282,7 +305836,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -229622,7 +306176,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -230567,7 +307121,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -230872,42 +307426,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    7189 /* Table7189 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    7191 /* Table7191 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    7193 /* Table7193 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    7195 /* Table7195 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    7197 /* Table7197 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    7199 /* Table7199 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    7201 /* Table7201 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    7203 /* Table7203 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -230932,22 +307486,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    7205 /* Table7205 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    7207 /* Table7207 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6193 /* Table6193 */
+    7209 /* Table7209 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    7211 /* Table7211 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -230992,17 +307546,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    7213 /* Table7213 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    7215 /* Table7215 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6201 /* Table6201 */
+    7217 /* Table7217 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -231152,17 +307706,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    7219 /* Table7219 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    7221 /* Table7221 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    7223 /* Table7223 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -231172,7 +307726,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    7225 /* Table7225 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -231312,22 +307866,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    7227 /* Table7227 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    7229 /* Table7229 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    7231 /* Table7231 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    7233 /* Table7233 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -231852,7 +308406,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -231947,7 +308501,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    7235 /* Table7235 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -232192,7 +308746,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -233137,7 +309691,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -233477,7 +310031,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -234422,7 +310976,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -234762,7 +311316,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -235707,7 +312261,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -236047,7 +312601,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -236992,7 +313546,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -237332,7 +313886,7 @@
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6169 /* Table6169 */
+    7185 /* Table7185 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -238277,7 +314831,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -238582,42 +315136,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6173 /* Table6173 */
+    7189 /* Table7189 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6175 /* Table6175 */
+    7191 /* Table7191 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6177 /* Table6177 */
+    7193 /* Table7193 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6179 /* Table6179 */
+    7195 /* Table7195 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6181 /* Table6181 */
+    7197 /* Table7197 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6183 /* Table6183 */
+    7199 /* Table7199 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6185 /* Table6185 */
+    7201 /* Table7201 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6187 /* Table6187 */
+    7203 /* Table7203 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -238642,22 +315196,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6189 /* Table6189 */
+    7205 /* Table7205 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6191 /* Table6191 */
+    7207 /* Table7207 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6221 /* Table6221 */
+    7237 /* Table7237 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6195 /* Table6195 */
+    7211 /* Table7211 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -238702,17 +315256,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6197 /* Table6197 */
+    7213 /* Table7213 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6199 /* Table6199 */
+    7215 /* Table7215 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6223 /* Table6223 */
+    7239 /* Table7239 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -238862,17 +315416,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6203 /* Table6203 */
+    7219 /* Table7219 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6205 /* Table6205 */
+    7221 /* Table7221 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6207 /* Table6207 */
+    7223 /* Table7223 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -238882,7 +315436,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6209 /* Table6209 */
+    7225 /* Table7225 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -239022,22 +315576,22 @@
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6211 /* Table6211 */
+    7227 /* Table7227 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6213 /* Table6213 */
+    7229 /* Table7229 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6215 /* Table6215 */
+    7231 /* Table7231 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6217 /* Table6217 */
+    7233 /* Table7233 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -239562,7 +316116,7 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6171 /* Table6171 */
+    7187 /* Table7187 */
    },
    /* 0xcd */
    { /* ModRMDecision */
@@ -239657,7 +316211,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6219 /* Table6219 */
+    7235 /* Table7235 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -241027,7 +317581,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6225 /* Table6225 */
+    7241 /* Table7241 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -241122,7 +317676,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6227 /* Table6227 */
+    7243 /* Table7243 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -241132,12 +317686,12 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6229 /* Table6229 */
+    7245 /* Table7245 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6231 /* Table6231 */
+    7247 /* Table7247 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -241152,42 +317706,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6233 /* Table6233 */
+    7249 /* Table7249 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6235 /* Table6235 */
+    7251 /* Table7251 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6241 /* Table6241 */
+    7257 /* Table7257 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6243 /* Table6243 */
+    7259 /* Table7259 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6245 /* Table6245 */
+    7261 /* Table7261 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6247 /* Table6247 */
+    7263 /* Table7263 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -241212,22 +317766,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6249 /* Table6249 */
+    7265 /* Table7265 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6251 /* Table6251 */
+    7267 /* Table7267 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6253 /* Table6253 */
+    7269 /* Table7269 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6255 /* Table6255 */
+    7271 /* Table7271 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -241257,7 +317811,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6257 /* Table6257 */
+    7273 /* Table7273 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -241272,17 +317826,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6259 /* Table6259 */
+    7275 /* Table7275 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6261 /* Table6261 */
+    7277 /* Table7277 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6263 /* Table6263 */
+    7279 /* Table7279 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -241432,17 +317986,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6265 /* Table6265 */
+    7281 /* Table7281 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6267 /* Table6267 */
+    7283 /* Table7283 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6269 /* Table6269 */
+    7285 /* Table7285 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -241452,7 +318006,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6271 /* Table6271 */
+    7287 /* Table7287 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -241472,27 +318026,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6273 /* Table6273 */
+    7289 /* Table7289 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6275 /* Table6275 */
+    7291 /* Table7291 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6277 /* Table6277 */
+    7293 /* Table7293 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6279 /* Table6279 */
+    7295 /* Table7295 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6281 /* Table6281 */
+    7297 /* Table7297 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -241572,42 +318126,42 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6283 /* Table6283 */
+    7299 /* Table7299 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6285 /* Table6285 */
+    7301 /* Table7301 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6287 /* Table6287 */
+    7303 /* Table7303 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6289 /* Table6289 */
+    7305 /* Table7305 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6291 /* Table6291 */
+    7307 /* Table7307 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6293 /* Table6293 */
+    7309 /* Table7309 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6295 /* Table6295 */
+    7311 /* Table7311 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6297 /* Table6297 */
+    7313 /* Table7313 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -241632,42 +318186,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6299 /* Table6299 */
+    7315 /* Table7315 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6301 /* Table6301 */
+    7317 /* Table7317 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6303 /* Table6303 */
+    7319 /* Table7319 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6305 /* Table6305 */
+    7321 /* Table7321 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6307 /* Table6307 */
+    7323 /* Table7323 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6309 /* Table6309 */
+    7325 /* Table7325 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6311 /* Table6311 */
+    7327 /* Table7327 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6313 /* Table6313 */
+    7329 /* Table7329 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -241712,42 +318266,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6315 /* Table6315 */
+    7331 /* Table7331 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6317 /* Table6317 */
+    7333 /* Table7333 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6319 /* Table6319 */
+    7335 /* Table7335 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6321 /* Table6321 */
+    7337 /* Table7337 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6323 /* Table6323 */
+    7339 /* Table7339 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6325 /* Table6325 */
+    7341 /* Table7341 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6327 /* Table6327 */
+    7343 /* Table7343 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6329 /* Table6329 */
+    7345 /* Table7345 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -242227,7 +318781,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6331 /* Table6331 */
+    7347 /* Table7347 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -243597,7 +320151,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6333 /* Table6333 */
+    7349 /* Table7349 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -243692,7 +320246,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6227 /* Table6227 */
+    7243 /* Table7243 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -243702,12 +320256,12 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6229 /* Table6229 */
+    7245 /* Table7245 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6231 /* Table6231 */
+    7247 /* Table7247 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -243722,42 +320276,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6233 /* Table6233 */
+    7249 /* Table7249 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6235 /* Table6235 */
+    7251 /* Table7251 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6241 /* Table6241 */
+    7257 /* Table7257 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6243 /* Table6243 */
+    7259 /* Table7259 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6245 /* Table6245 */
+    7261 /* Table7261 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6247 /* Table6247 */
+    7263 /* Table7263 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -243782,22 +320336,22 @@
    /* 0x14 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6249 /* Table6249 */
+    7265 /* Table7265 */
    },
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6251 /* Table6251 */
+    7267 /* Table7267 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6335 /* Table6335 */
+    7351 /* Table7351 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6255 /* Table6255 */
+    7271 /* Table7271 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -243827,7 +320381,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6257 /* Table6257 */
+    7273 /* Table7273 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -243842,17 +320396,17 @@
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6259 /* Table6259 */
+    7275 /* Table7275 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6261 /* Table6261 */
+    7277 /* Table7277 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6337 /* Table6337 */
+    7353 /* Table7353 */
    },
    /* 0x23 */
    { /* ModRMDecision */
@@ -243922,7 +320476,7 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6339 /* Table6339 */
+    7355 /* Table7355 */
    },
    /* 0x31 */
    { /* ModRMDecision */
@@ -243932,7 +320486,7 @@
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6341 /* Table6341 */
+    7357 /* Table7357 */
    },
    /* 0x33 */
    { /* ModRMDecision */
@@ -244002,17 +320556,17 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6265 /* Table6265 */
+    7281 /* Table7281 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6267 /* Table6267 */
+    7283 /* Table7283 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6269 /* Table6269 */
+    7285 /* Table7285 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -244022,7 +320576,7 @@
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6271 /* Table6271 */
+    7287 /* Table7287 */
    },
    /* 0x45 */
    { /* ModRMDecision */
@@ -244042,27 +320596,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6343 /* Table6343 */
+    7359 /* Table7359 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6345 /* Table6345 */
+    7361 /* Table7361 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6277 /* Table6277 */
+    7293 /* Table7293 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6279 /* Table6279 */
+    7295 /* Table7295 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6281 /* Table6281 */
+    7297 /* Table7297 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -244142,42 +320696,42 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6347 /* Table6347 */
+    7363 /* Table7363 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6349 /* Table6349 */
+    7365 /* Table7365 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6351 /* Table6351 */
+    7367 /* Table7367 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6353 /* Table6353 */
+    7369 /* Table7369 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6291 /* Table6291 */
+    7307 /* Table7307 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6293 /* Table6293 */
+    7309 /* Table7309 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6295 /* Table6295 */
+    7311 /* Table7311 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6297 /* Table6297 */
+    7313 /* Table7313 */
    },
    /* 0x64 */
    { /* ModRMDecision */
@@ -244202,42 +320756,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6355 /* Table6355 */
+    7371 /* Table7371 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6357 /* Table6357 */
+    7373 /* Table7373 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6359 /* Table6359 */
+    7375 /* Table7375 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6361 /* Table6361 */
+    7377 /* Table7377 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6363 /* Table6363 */
+    7379 /* Table7379 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6365 /* Table6365 */
+    7381 /* Table7381 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6367 /* Table6367 */
+    7383 /* Table7383 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6369 /* Table6369 */
+    7385 /* Table7385 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -244282,42 +320836,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6371 /* Table6371 */
+    7387 /* Table7387 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6373 /* Table6373 */
+    7389 /* Table7389 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6375 /* Table6375 */
+    7391 /* Table7391 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6377 /* Table6377 */
+    7393 /* Table7393 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6379 /* Table6379 */
+    7395 /* Table7395 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6381 /* Table6381 */
+    7397 /* Table7397 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6383 /* Table6383 */
+    7399 /* Table7399 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6385 /* Table6385 */
+    7401 /* Table7401 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -244797,7 +321351,7 @@
    /* 0xdf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6331 /* Table6331 */
+    7347 /* Table7347 */
    },
    /* 0xe0 */
    { /* ModRMDecision */
@@ -244977,7 +321531,7 @@
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6387 /* Table6387 */
+    7403 /* Table7403 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -244987,17 +321541,17 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6389 /* Table6389 */
+    7405 /* Table7405 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6391 /* Table6391 */
+    7407 /* Table7407 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6393 /* Table6393 */
+    7409 /* Table7409 */
    },
    /* 0x07 */
    { /* ModRMDecision */
@@ -245007,42 +321561,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6395 /* Table6395 */
+    7411 /* Table7411 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6397 /* Table6397 */
+    7413 /* Table7413 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6399 /* Table6399 */
+    7415 /* Table7415 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6401 /* Table6401 */
+    7417 /* Table7417 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6403 /* Table6403 */
+    7419 /* Table7419 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6405 /* Table6405 */
+    7421 /* Table7421 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -245087,12 +321641,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6407 /* Table6407 */
+    7423 /* Table7423 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6409 /* Table6409 */
+    7425 /* Table7425 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -245112,7 +321666,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6411 /* Table6411 */
+    7427 /* Table7427 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -245247,12 +321801,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6413 /* Table6413 */
+    7429 /* Table7429 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6415 /* Table6415 */
+    7431 /* Table7431 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -245287,7 +321841,7 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6417 /* Table6417 */
+    7433 /* Table7433 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -245297,7 +321851,7 @@
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6419 /* Table6419 */
+    7435 /* Table7435 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -245317,7 +321871,7 @@
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6421 /* Table6421 */
+    7437 /* Table7437 */
    },
    /* 0x47 */
    { /* ModRMDecision */
@@ -245327,27 +321881,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6423 /* Table6423 */
+    7439 /* Table7439 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6425 /* Table6425 */
+    7441 /* Table7441 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6427 /* Table6427 */
+    7443 /* Table7443 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6429 /* Table6429 */
+    7445 /* Table7445 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6431 /* Table6431 */
+    7447 /* Table7447 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -245427,22 +321981,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6433 /* Table6433 */
+    7449 /* Table7449 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6435 /* Table6435 */
+    7451 /* Table7451 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6437 /* Table6437 */
+    7453 /* Table7453 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6439 /* Table6439 */
+    7455 /* Table7455 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -245487,42 +322041,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6441 /* Table6441 */
+    7457 /* Table7457 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6443 /* Table6443 */
+    7459 /* Table7459 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6303 /* Table6303 */
+    7319 /* Table7319 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6305 /* Table6305 */
+    7321 /* Table7321 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6445 /* Table6445 */
+    7461 /* Table7461 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6447 /* Table6447 */
+    7463 /* Table7463 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6311 /* Table6311 */
+    7327 /* Table7327 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6313 /* Table6313 */
+    7329 /* Table7329 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -245567,42 +322121,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6449 /* Table6449 */
+    7465 /* Table7465 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6451 /* Table6451 */
+    7467 /* Table7467 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6319 /* Table6319 */
+    7335 /* Table7335 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6321 /* Table6321 */
+    7337 /* Table7337 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6453 /* Table6453 */
+    7469 /* Table7469 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6455 /* Table6455 */
+    7471 /* Table7471 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6327 /* Table6327 */
+    7343 /* Table7343 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6329 /* Table6329 */
+    7345 /* Table7345 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -246252,17 +322806,17 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6457 /* Table6457 */
+    7473 /* Table7473 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6459 /* Table6459 */
+    7475 /* Table7475 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6387 /* Table6387 */
+    7403 /* Table7403 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -246272,17 +322826,17 @@
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6389 /* Table6389 */
+    7405 /* Table7405 */
    },
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6391 /* Table6391 */
+    7407 /* Table7407 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6393 /* Table6393 */
+    7409 /* Table7409 */
    },
    /* 0x07 */
    { /* ModRMDecision */
@@ -246292,42 +322846,42 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6395 /* Table6395 */
+    7411 /* Table7411 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6397 /* Table6397 */
+    7413 /* Table7413 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6237 /* Table6237 */
+    7253 /* Table7253 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6239 /* Table6239 */
+    7255 /* Table7255 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6399 /* Table6399 */
+    7415 /* Table7415 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6401 /* Table6401 */
+    7417 /* Table7417 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6403 /* Table6403 */
+    7419 /* Table7419 */
    },
    /* 0x0f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6405 /* Table6405 */
+    7421 /* Table7421 */
    },
    /* 0x10 */
    { /* ModRMDecision */
@@ -246372,12 +322926,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6407 /* Table6407 */
+    7423 /* Table7423 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6409 /* Table6409 */
+    7425 /* Table7425 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -246397,7 +322951,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6411 /* Table6411 */
+    7427 /* Table7427 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -246532,12 +323086,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6413 /* Table6413 */
+    7429 /* Table7429 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6415 /* Table6415 */
+    7431 /* Table7431 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -246572,7 +323126,7 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6417 /* Table6417 */
+    7433 /* Table7433 */
    },
    /* 0x41 */
    { /* ModRMDecision */
@@ -246582,7 +323136,7 @@
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6419 /* Table6419 */
+    7435 /* Table7435 */
    },
    /* 0x43 */
    { /* ModRMDecision */
@@ -246602,7 +323156,7 @@
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6421 /* Table6421 */
+    7437 /* Table7437 */
    },
    /* 0x47 */
    { /* ModRMDecision */
@@ -246612,27 +323166,27 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6461 /* Table6461 */
+    7477 /* Table7477 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6463 /* Table6463 */
+    7479 /* Table7479 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6427 /* Table6427 */
+    7443 /* Table7443 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6429 /* Table6429 */
+    7445 /* Table7445 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6431 /* Table6431 */
+    7447 /* Table7447 */
    },
    /* 0x4d */
    { /* ModRMDecision */
@@ -246712,22 +323266,22 @@
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6465 /* Table6465 */
+    7481 /* Table7481 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6467 /* Table6467 */
+    7483 /* Table7483 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6469 /* Table6469 */
+    7485 /* Table7485 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6471 /* Table6471 */
+    7487 /* Table7487 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -246772,42 +323326,42 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6473 /* Table6473 */
+    7489 /* Table7489 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6475 /* Table6475 */
+    7491 /* Table7491 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6359 /* Table6359 */
+    7375 /* Table7375 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6361 /* Table6361 */
+    7377 /* Table7377 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6477 /* Table6477 */
+    7493 /* Table7493 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6479 /* Table6479 */
+    7495 /* Table7495 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6367 /* Table6367 */
+    7383 /* Table7383 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6369 /* Table6369 */
+    7385 /* Table7385 */
    },
    /* 0x70 */
    { /* ModRMDecision */
@@ -246852,42 +323406,42 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6481 /* Table6481 */
+    7497 /* Table7497 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6483 /* Table6483 */
+    7499 /* Table7499 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6375 /* Table6375 */
+    7391 /* Table7391 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6377 /* Table6377 */
+    7393 /* Table7393 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6485 /* Table6485 */
+    7501 /* Table7501 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6487 /* Table6487 */
+    7503 /* Table7503 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6383 /* Table6383 */
+    7399 /* Table7399 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6385 /* Table6385 */
+    7401 /* Table7401 */
    },
    /* 0x80 */
    { /* ModRMDecision */
@@ -247587,12 +324141,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    7505 /* Table7505 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    7507 /* Table7507 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -247652,7 +324206,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    7509 /* Table7509 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -247702,7 +324256,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    7511 /* Table7511 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -248872,12 +325426,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    7505 /* Table7505 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    7507 /* Table7507 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -248937,7 +325491,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    7509 /* Table7509 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -248987,7 +325541,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    7511 /* Table7511 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -250157,12 +326711,12 @@
    /* 0x0a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6489 /* Table6489 */
+    7505 /* Table7505 */
    },
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6491 /* Table6491 */
+    7507 /* Table7507 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -250222,7 +326776,7 @@
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6493 /* Table6493 */
+    7509 /* Table7509 */
    },
    /* 0x18 */
    { /* ModRMDecision */
@@ -250272,7 +326826,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6495 /* Table6495 */
+    7511 /* Table7511 */
    },
    /* 0x22 */
    { /* ModRMDecision */
@@ -251407,12 +327961,12 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6497 /* Table6497 */
+    7513 /* Table7513 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6499 /* Table6499 */
+    7515 /* Table7515 */
    },
    /* 0x05 */
    { /* ModRMDecision */
@@ -251432,7 +327986,7 @@
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6501 /* Table6501 */
+    7517 /* Table7517 */
    },
    /* 0x09 */
    { /* ModRMDecision */
@@ -251512,12 +328066,12 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6503 /* Table6503 */
+    7519 /* Table7519 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6505 /* Table6505 */
+    7521 /* Table7521 */
    },
    /* 0x1a */
    { /* ModRMDecision */
@@ -251537,17 +328091,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6507 /* Table6507 */
+    7523 /* Table7523 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6509 /* Table6509 */
+    7525 /* Table7525 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6511 /* Table6511 */
+    7527 /* Table7527 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -251672,12 +328226,12 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6513 /* Table6513 */
+    7529 /* Table7529 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6515 /* Table6515 */
+    7531 /* Table7531 */
    },
    /* 0x3a */
    { /* ModRMDecision */
@@ -252677,12 +329231,12 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6517 /* Table6517 */
+    7533 /* Table7533 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6519 /* Table6519 */
+    7535 /* Table7535 */
    },
    /* 0x02 */
    { /* ModRMDecision */
@@ -252692,7 +329246,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6521 /* Table6521 */
+    7537 /* Table7537 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -252702,7 +329256,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6523 /* Table6523 */
+    7539 /* Table7539 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -252722,7 +329276,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6525 /* Table6525 */
+    7541 /* Table7541 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -252807,12 +329361,12 @@
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6527 /* Table6527 */
+    7543 /* Table7543 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6529 /* Table6529 */
+    7545 /* Table7545 */
    },
    /* 0x1c */
    { /* ModRMDecision */
@@ -252827,12 +329381,12 @@
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6531 /* Table6531 */
+    7547 /* Table7547 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6533 /* Table6533 */
+    7549 /* Table7549 */
    },
    /* 0x20 */
    { /* ModRMDecision */
@@ -252967,12 +329521,12 @@
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6535 /* Table6535 */
+    7551 /* Table7551 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6537 /* Table6537 */
+    7553 /* Table7553 */
    },
    /* 0x3c */
    { /* ModRMDecision */
@@ -253956,7 +330510,5147 @@
    }
   }
  }
-,  /* IC_OF */
+,  /* IC_EVEX_L2_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7555 /* Table7555 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_K */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7557 /* Table7557 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7559 /* Table7559 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ }
+,  /* IC_EVEX_L2_W_OPSIZE_KZ */
+ { /* struct OpcodeDecision */
+  {
+   /* 0x00 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x01 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x02 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x03 */
+   { /* struct ModRMDecision */
+    MODRM_SPLITRM,
+    7561 /* Table7561 */
+   },
+   /* 0x04 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x05 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x06 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x07 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x08 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x09 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x0f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x10 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x11 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x12 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x13 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x14 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x15 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x16 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x17 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x18 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x19 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x1f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x20 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x21 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x22 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x23 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x24 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x25 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x26 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x27 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x28 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x29 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x2f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x30 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x31 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x32 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x33 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x34 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x35 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x36 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x37 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x38 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x39 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x3f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x40 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x41 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x42 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x43 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x44 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x45 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x46 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x47 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x48 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x49 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x4f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x50 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x51 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x52 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x53 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x54 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x55 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x56 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x57 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x58 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x59 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x5f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x60 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x61 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x62 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x63 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x64 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x65 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x66 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x67 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x68 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x69 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x6f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x70 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x71 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x72 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x73 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x74 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x75 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x76 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x77 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x78 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x79 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x7f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x80 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x81 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x82 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x83 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x84 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x85 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x86 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x87 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x88 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x89 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x8f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x90 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x91 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x92 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x93 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x94 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x95 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x96 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x97 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x98 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x99 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9a */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9b */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9c */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9d */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9e */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0x9f */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xa9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xab */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xac */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xad */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xae */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xaf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xb9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xba */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xbf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xc9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xca */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xce */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xcf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xd9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xda */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xde */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xdf */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xe9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xea */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xeb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xec */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xed */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xee */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xef */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf0 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf1 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf2 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf3 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf4 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf5 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf6 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf7 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf8 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xf9 */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfa */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfb */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfc */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfd */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xfe */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   },
+   /* 0xff */
+   { /* ModRMDecision */
+    MODRM_ONEENTRY,
+    0 /* EmptyTable */
+   }
+  }
+ },
+ /* IC_OF */
  { /* struct OpcodeDecision */
   {
    /* 0x00 */
@@ -255243,7 +336937,7 @@
  }
 , };
 static const uint8_t index_x86DisassemblerThreeByte3AOpcodes[] = {
-1, 2, 3, 4, 0, 5, 6, 0, 0, 7, 8, 9, 10, 11, 0, 0, 12, 13, 14, 0, 0, 15, 16, 0, 0, 17, 18, 0, 0, 0, 19, 0, 0, 0, 20, 0, 0, 0, 21, 0, 0, 0, 22, 0, 0, 0, 0, 0, 0, 0, 23, 0, 0, 0, 24, 0, 0, 0, 25, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, };
+1, 2, 3, 4, 0, 5, 6, 0, 0, 7, 8, 9, 10, 11, 0, 0, 12, 13, 14, 0, 0, 15, 16, 0, 0, 17, 18, 0, 0, 0, 19, 0, 0, 0, 20, 0, 0, 0, 21, 0, 0, 0, 22, 0, 0, 0, 0, 0, 0, 0, 23, 0, 0, 0, 24, 0, 0, 0, 25, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 26, 0, 0, 0, 27, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 28, 0, 0, 0, 29, };
 static const struct OpcodeDecision x86DisassemblerXOP8Opcodes[] = {
  /* IC_VEX */
  { /* struct OpcodeDecision */
@@ -255916,17 +337610,17 @@
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6539 /* Table6539 */
+    7563 /* Table7563 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6541 /* Table6541 */
+    7565 /* Table7565 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6543 /* Table6543 */
+    7567 /* Table7567 */
    },
    /* 0x88 */
    { /* ModRMDecision */
@@ -255961,12 +337655,12 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6545 /* Table6545 */
+    7569 /* Table7569 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6547 /* Table6547 */
+    7571 /* Table7571 */
    },
    /* 0x90 */
    { /* ModRMDecision */
@@ -255996,17 +337690,17 @@
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6549 /* Table6549 */
+    7573 /* Table7573 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6551 /* Table6551 */
+    7575 /* Table7575 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6553 /* Table6553 */
+    7577 /* Table7577 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -256041,12 +337735,12 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6555 /* Table6555 */
+    7579 /* Table7579 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6557 /* Table6557 */
+    7581 /* Table7581 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -256061,12 +337755,12 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6559 /* Table6559 */
+    7583 /* Table7583 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6561 /* Table6561 */
+    7585 /* Table7585 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -256081,7 +337775,7 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6563 /* Table6563 */
+    7587 /* Table7587 */
    },
    /* 0xa7 */
    { /* ModRMDecision */
@@ -256161,7 +337855,7 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6565 /* Table6565 */
+    7589 /* Table7589 */
    },
    /* 0xb7 */
    { /* ModRMDecision */
@@ -256211,22 +337905,22 @@
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6567 /* Table6567 */
+    7591 /* Table7591 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6569 /* Table6569 */
+    7593 /* Table7593 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6571 /* Table6571 */
+    7595 /* Table7595 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6573 /* Table6573 */
+    7597 /* Table7597 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -256271,22 +337965,22 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6575 /* Table6575 */
+    7599 /* Table7599 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6577 /* Table6577 */
+    7601 /* Table7601 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6579 /* Table6579 */
+    7603 /* Table7603 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6581 /* Table6581 */
+    7605 /* Table7605 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -256431,22 +338125,22 @@
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6583 /* Table6583 */
+    7607 /* Table7607 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6585 /* Table6585 */
+    7609 /* Table7609 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6587 /* Table6587 */
+    7611 /* Table7611 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6589 /* Table6589 */
+    7613 /* Table7613 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -257201,17 +338895,17 @@
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6539 /* Table6539 */
+    7563 /* Table7563 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6541 /* Table6541 */
+    7565 /* Table7565 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6543 /* Table6543 */
+    7567 /* Table7567 */
    },
    /* 0x88 */
    { /* ModRMDecision */
@@ -257246,12 +338940,12 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6545 /* Table6545 */
+    7569 /* Table7569 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6547 /* Table6547 */
+    7571 /* Table7571 */
    },
    /* 0x90 */
    { /* ModRMDecision */
@@ -257281,17 +338975,17 @@
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6549 /* Table6549 */
+    7573 /* Table7573 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6551 /* Table6551 */
+    7575 /* Table7575 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6553 /* Table6553 */
+    7577 /* Table7577 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -257326,12 +339020,12 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6555 /* Table6555 */
+    7579 /* Table7579 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6557 /* Table6557 */
+    7581 /* Table7581 */
    },
    /* 0xa0 */
    { /* ModRMDecision */
@@ -257346,12 +339040,12 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6591 /* Table6591 */
+    7615 /* Table7615 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6593 /* Table6593 */
+    7617 /* Table7617 */
    },
    /* 0xa4 */
    { /* ModRMDecision */
@@ -257366,7 +339060,7 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6563 /* Table6563 */
+    7587 /* Table7587 */
    },
    /* 0xa7 */
    { /* ModRMDecision */
@@ -257446,7 +339140,7 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6565 /* Table6565 */
+    7589 /* Table7589 */
    },
    /* 0xb7 */
    { /* ModRMDecision */
@@ -257496,22 +339190,22 @@
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6567 /* Table6567 */
+    7591 /* Table7591 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6569 /* Table6569 */
+    7593 /* Table7593 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6571 /* Table6571 */
+    7595 /* Table7595 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6573 /* Table6573 */
+    7597 /* Table7597 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -257556,22 +339250,22 @@
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6575 /* Table6575 */
+    7599 /* Table7599 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6577 /* Table6577 */
+    7601 /* Table7601 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6579 /* Table6579 */
+    7603 /* Table7603 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6581 /* Table6581 */
+    7605 /* Table7605 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -257716,22 +339410,22 @@
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6583 /* Table6583 */
+    7607 /* Table7607 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6585 /* Table6585 */
+    7609 /* Table7609 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6587 /* Table6587 */
+    7611 /* Table7611 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6589 /* Table6589 */
+    7613 /* Table7613 */
    },
    /* 0xf0 */
    { /* ModRMDecision */
@@ -258631,7 +340325,7 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6595 /* Table6595 */
+    7619 /* Table7619 */
    },
    /* 0xa3 */
    { /* ModRMDecision */
@@ -259916,7 +341610,7 @@
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6597 /* Table6597 */
+    7621 /* Table7621 */
    },
    /* 0xa3 */
    { /* ModRMDecision */
@@ -261685,12 +343379,12 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6599 /* Table6599 */
+    7623 /* Table7623 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6615 /* Table6615 */
+    7639 /* Table7639 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -262320,22 +344014,22 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6631 /* Table6631 */
+    7655 /* Table7655 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6633 /* Table6633 */
+    7657 /* Table7657 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6635 /* Table6635 */
+    7659 /* Table7659 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6637 /* Table6637 */
+    7661 /* Table7661 */
    },
    /* 0x84 */
    { /* ModRMDecision */
@@ -262400,62 +344094,62 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6639 /* Table6639 */
+    7663 /* Table7663 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6641 /* Table6641 */
+    7665 /* Table7665 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6643 /* Table6643 */
+    7667 /* Table7667 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6645 /* Table6645 */
+    7669 /* Table7669 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6647 /* Table6647 */
+    7671 /* Table7671 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6649 /* Table6649 */
+    7673 /* Table7673 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6651 /* Table6651 */
+    7675 /* Table7675 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6653 /* Table6653 */
+    7677 /* Table7677 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6655 /* Table6655 */
+    7679 /* Table7679 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6657 /* Table6657 */
+    7681 /* Table7681 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6659 /* Table6659 */
+    7683 /* Table7683 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6661 /* Table6661 */
+    7685 /* Table7685 */
    },
    /* 0x9c */
    { /* ModRMDecision */
@@ -262645,17 +344339,17 @@
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6663 /* Table6663 */
+    7687 /* Table7687 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6665 /* Table6665 */
+    7689 /* Table7689 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6667 /* Table6667 */
+    7691 /* Table7691 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -262670,12 +344364,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6669 /* Table6669 */
+    7693 /* Table7693 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6671 /* Table6671 */
+    7695 /* Table7695 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -262695,7 +344389,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6673 /* Table6673 */
+    7697 /* Table7697 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -262725,17 +344419,17 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6675 /* Table6675 */
+    7699 /* Table7699 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6677 /* Table6677 */
+    7701 /* Table7701 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6679 /* Table6679 */
+    7703 /* Table7703 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -262750,12 +344444,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6681 /* Table6681 */
+    7705 /* Table7705 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6683 /* Table6683 */
+    7707 /* Table7707 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -262775,7 +344469,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6685 /* Table6685 */
+    7709 /* Table7709 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -262805,17 +344499,17 @@
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6687 /* Table6687 */
+    7711 /* Table7711 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6689 /* Table6689 */
+    7713 /* Table7713 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6691 /* Table6691 */
+    7715 /* Table7715 */
    },
    /* 0xe4 */
    { /* ModRMDecision */
@@ -262970,12 +344664,12 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6693 /* Table6693 */
+    7717 /* Table7717 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6709 /* Table6709 */
+    7733 /* Table7733 */
    },
    /* 0x03 */
    { /* ModRMDecision */
@@ -263605,22 +345299,22 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6631 /* Table6631 */
+    7655 /* Table7655 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6633 /* Table6633 */
+    7657 /* Table7657 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6635 /* Table6635 */
+    7659 /* Table7659 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6637 /* Table6637 */
+    7661 /* Table7661 */
    },
    /* 0x84 */
    { /* ModRMDecision */
@@ -263685,62 +345379,62 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6725 /* Table6725 */
+    7749 /* Table7749 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6727 /* Table6727 */
+    7751 /* Table7751 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6729 /* Table6729 */
+    7753 /* Table7753 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6731 /* Table6731 */
+    7755 /* Table7755 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6733 /* Table6733 */
+    7757 /* Table7757 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6735 /* Table6735 */
+    7759 /* Table7759 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6737 /* Table6737 */
+    7761 /* Table7761 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6739 /* Table6739 */
+    7763 /* Table7763 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6741 /* Table6741 */
+    7765 /* Table7765 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6743 /* Table6743 */
+    7767 /* Table7767 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6745 /* Table6745 */
+    7769 /* Table7769 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6747 /* Table6747 */
+    7771 /* Table7771 */
    },
    /* 0x9c */
    { /* ModRMDecision */
@@ -263930,17 +345624,17 @@
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6663 /* Table6663 */
+    7687 /* Table7687 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6665 /* Table6665 */
+    7689 /* Table7689 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6667 /* Table6667 */
+    7691 /* Table7691 */
    },
    /* 0xc4 */
    { /* ModRMDecision */
@@ -263955,12 +345649,12 @@
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6669 /* Table6669 */
+    7693 /* Table7693 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6671 /* Table6671 */
+    7695 /* Table7695 */
    },
    /* 0xc8 */
    { /* ModRMDecision */
@@ -263980,7 +345674,7 @@
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6673 /* Table6673 */
+    7697 /* Table7697 */
    },
    /* 0xcc */
    { /* ModRMDecision */
@@ -264010,17 +345704,17 @@
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6675 /* Table6675 */
+    7699 /* Table7699 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6677 /* Table6677 */
+    7701 /* Table7701 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6679 /* Table6679 */
+    7703 /* Table7703 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -264035,12 +345729,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6681 /* Table6681 */
+    7705 /* Table7705 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6683 /* Table6683 */
+    7707 /* Table7707 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -264060,7 +345754,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6685 /* Table6685 */
+    7709 /* Table7709 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -264090,17 +345784,17 @@
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6687 /* Table6687 */
+    7711 /* Table7711 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6689 /* Table6689 */
+    7713 /* Table7713 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6691 /* Table6691 */
+    7715 /* Table7715 */
    },
    /* 0xe4 */
    { /* ModRMDecision */
@@ -264890,12 +346584,12 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6749 /* Table6749 */
+    7773 /* Table7773 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6751 /* Table6751 */
+    7775 /* Table7775 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -266175,12 +347869,12 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6749 /* Table6749 */
+    7773 /* Table7773 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6751 /* Table6751 */
+    7775 /* Table7775 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -268189,7 +349883,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6753 /* Table6753 */
+    7777 /* Table7777 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -269474,7 +351168,7 @@
    /* 0x10 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6755 /* Table6755 */
+    7779 /* Table7779 */
    },
    /* 0x11 */
    { /* ModRMDecision */
@@ -272033,12 +353727,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -273318,12 +355012,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -274603,12 +356297,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -275888,12 +357582,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -277168,12 +358862,12 @@
    /* 0x0c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6774 /* Table6774 */
+    7798 /* Table7798 */
    },
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6776 /* Table6776 */
+    7800 /* Table7800 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -277248,12 +358942,12 @@
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6778 /* Table6778 */
+    7802 /* Table7802 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6780 /* Table6780 */
+    7804 /* Table7804 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -277798,7 +359492,7 @@
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6782 /* Table6782 */
+    7806 /* Table7806 */
    },
    /* 0x8b */
    { /* ModRMDecision */
@@ -277818,7 +359512,7 @@
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6784 /* Table6784 */
+    7808 /* Table7808 */
    },
    /* 0x8f */
    { /* ModRMDecision */
@@ -277828,7 +359522,7 @@
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6786 /* Table6786 */
+    7810 /* Table7810 */
    },
    /* 0x91 */
    { /* ModRMDecision */
@@ -277848,7 +359542,7 @@
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6788 /* Table6788 */
+    7812 /* Table7812 */
    },
    /* 0x95 */
    { /* ModRMDecision */
@@ -277858,12 +359552,12 @@
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6790 /* Table6790 */
+    7814 /* Table7814 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6792 /* Table6792 */
+    7816 /* Table7816 */
    },
    /* 0x98 */
    { /* ModRMDecision */
@@ -277878,7 +359572,7 @@
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6794 /* Table6794 */
+    7818 /* Table7818 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -277898,7 +359592,7 @@
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6796 /* Table6796 */
+    7820 /* Table7820 */
    },
    /* 0x9f */
    { /* ModRMDecision */
@@ -277908,7 +359602,7 @@
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6798 /* Table6798 */
+    7822 /* Table7822 */
    },
    /* 0xa1 */
    { /* ModRMDecision */
@@ -277928,7 +359622,7 @@
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6800 /* Table6800 */
+    7824 /* Table7824 */
    },
    /* 0xa5 */
    { /* ModRMDecision */
@@ -277938,12 +359632,12 @@
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6802 /* Table6802 */
+    7826 /* Table7826 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6804 /* Table6804 */
+    7828 /* Table7828 */
    },
    /* 0xa8 */
    { /* ModRMDecision */
@@ -277958,7 +359652,7 @@
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6806 /* Table6806 */
+    7830 /* Table7830 */
    },
    /* 0xab */
    { /* ModRMDecision */
@@ -277978,7 +359672,7 @@
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6808 /* Table6808 */
+    7832 /* Table7832 */
    },
    /* 0xaf */
    { /* ModRMDecision */
@@ -277988,7 +359682,7 @@
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6810 /* Table6810 */
+    7834 /* Table7834 */
    },
    /* 0xb1 */
    { /* ModRMDecision */
@@ -278008,7 +359702,7 @@
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6812 /* Table6812 */
+    7836 /* Table7836 */
    },
    /* 0xb5 */
    { /* ModRMDecision */
@@ -278018,12 +359712,12 @@
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6814 /* Table6814 */
+    7838 /* Table7838 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6816 /* Table6816 */
+    7840 /* Table7840 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -278043,7 +359737,7 @@
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6818 /* Table6818 */
+    7842 /* Table7842 */
    },
    /* 0xbc */
    { /* ModRMDecision */
@@ -278063,7 +359757,7 @@
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    6820 /* Table6820 */
+    7844 /* Table7844 */
    },
    /* 0xc0 */
    { /* ModRMDecision */
@@ -278458,12 +360152,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -279743,12 +361437,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -281028,12 +362722,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -282313,12 +364007,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -283598,12 +365292,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -284883,12 +366577,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -286168,12 +367862,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -287453,12 +369147,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -288738,12 +370432,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -290023,12 +371717,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    6757 /* Table6757 */
+    7781 /* Table7781 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    6773 /* Table6773 */
+    7797 /* Table7797 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -292526,3 +374220,4 @@
 static const uint8_t index_x86DisassemblerT3DNOWOpcodes[] = {
 1, 2, 3, 4, 5, 6, 7, 0, 0, 8, 9, 10, 11, 12, 0, 0, 13, 14, 15, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, };
 
+
diff --git a/arch/X86/X86GenDisassemblerTables_reduce.inc b/arch/X86/X86GenDisassemblerTables_reduce.inc
index 56b58c8..545599f 100644
--- a/arch/X86/X86GenDisassemblerTables_reduce.inc
+++ b/arch/X86/X86GenDisassemblerTables_reduce.inc
@@ -1295,13 +1295,14 @@
   }
 };
 
-static const struct OperandSpecifier x86OperandSets[][5] = {
+static const struct OperandSpecifier x86OperandSets[][6] = {
   { /* 0 */
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 1 */
     { ENCODING_IB, TYPE_IMM8 },
@@ -1309,6 +1310,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 2 */
     { ENCODING_Iv, TYPE_IMMv },
@@ -1316,6 +1318,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 3 */
     { ENCODING_RM, TYPE_Mv },
@@ -1323,6 +1326,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 4 */
     { ENCODING_RM, TYPE_Mv },
@@ -1330,6 +1334,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 5 */
     { ENCODING_RM, TYPE_Mv },
@@ -1337,6 +1342,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 6 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1344,6 +1350,7 @@
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 7 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1351,6 +1358,7 @@
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 8 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1358,6 +1366,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 9 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1365,6 +1374,7 @@
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 10 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1372,6 +1382,7 @@
     { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 11 */
     { ENCODING_RM, TYPE_Mv },
@@ -1379,6 +1390,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 12 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1386,6 +1398,7 @@
     { ENCODING_IB, TYPE_IMM32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 13 */
     { ENCODING_ID, TYPE_IMM64 },
@@ -1393,6 +1406,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 14 */
     { ENCODING_RM, TYPE_Mv },
@@ -1400,6 +1414,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 15 */
     { ENCODING_RM, TYPE_Mv },
@@ -1407,6 +1422,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 16 */
     { ENCODING_RM, TYPE_Mv },
@@ -1414,6 +1430,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 17 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1421,6 +1438,7 @@
     { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 18 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1428,6 +1446,7 @@
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 19 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1435,6 +1454,7 @@
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 20 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1442,6 +1462,7 @@
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 21 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1449,6 +1470,7 @@
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 22 */
     { ENCODING_RM, TYPE_M8 },
@@ -1456,6 +1478,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 23 */
     { ENCODING_RM, TYPE_M8 },
@@ -1463,6 +1486,7 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 24 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1470,6 +1494,7 @@
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 25 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1477,6 +1502,7 @@
     { ENCODING_RM, TYPE_M8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 26 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1484,6 +1510,7 @@
     { ENCODING_REG, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 27 */
     { ENCODING_DUP, TYPE_DUP1 },
@@ -1491,8 +1518,10 @@
     { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 28 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
@@ -1500,6 +1529,7 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 29 */
+    { ENCODING_DUP, TYPE_DUP1 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
@@ -1507,343 +1537,392 @@
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 30 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 31 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 32 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 33 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 34 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 33 */
+  { /* 35 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 34 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 35 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 36 */
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 37 */
-    { ENCODING_RM, TYPE_R16 },
-    { ENCODING_REG, TYPE_R16 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 38 */
-    { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_REG, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 39 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_REG, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 40 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 41 */
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 42 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 41 */
+  { /* 43 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_R64 },
     { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 42 */
+  { /* 44 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 43 */
+  { /* 45 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 44 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_ID, TYPE_IMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 45 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_ID, TYPE_IMM64 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 46 */
-    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
+    { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 47 */
-    { ENCODING_VVVV, TYPE_R32 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_ID, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 48 */
-    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 49 */
-    { ENCODING_VVVV, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_VVVV, TYPE_R32 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 50 */
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_VVVV, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 51 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_VVVV, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 52 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 53 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 54 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 55 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 56 */
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 57 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 58 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 59 */
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 60 */
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 61 */
-    { ENCODING_ID, TYPE_REL64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 62 */
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 63 */
-    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_ID, TYPE_REL64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 64 */
-    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 65 */
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_IW, TYPE_REL16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 66 */
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_ID, TYPE_IMM64 },
+    { ENCODING_ID, TYPE_REL32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 67 */
-    { ENCODING_RM, TYPE_R8 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 68 */
-    { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_ID, TYPE_IMM64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 69 */
     { ENCODING_RM, TYPE_R8 },
-    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 70 */
     { ENCODING_REG, TYPE_R8 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 71 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 72 */
-    { ENCODING_DI, TYPE_DSTIDX32 },
-    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_REG, TYPE_R8 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 73 */
-    { ENCODING_DI, TYPE_DSTIDX64 },
-    { ENCODING_SI, TYPE_SRCIDX64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 74 */
     { ENCODING_DI, TYPE_DSTIDX8 },
     { ENCODING_SI, TYPE_SRCIDX8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 74 */
+    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 75 */
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 76 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 77 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 78 */
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1851,34 +1930,39 @@
   },
   { /* 79 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 80 */
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 81 */
-    { ENCODING_IW, TYPE_IMM16 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 82 */
-    { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 83 */
-    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1886,91 +1970,104 @@
   },
   { /* 84 */
     { ENCODING_Iv, TYPE_IMMv },
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 85 */
-    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 86 */
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_IW, TYPE_IMM16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 87 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 88 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 89 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_Iv, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 90 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMMv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 91 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 92 */
     { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 93 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 94 */
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 95 */
     { ENCODING_REG, TYPE_R64 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 94 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM64 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 95 */
-    { ENCODING_DI, TYPE_DSTIDX16 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 96 */
-    { ENCODING_DI, TYPE_DSTIDX32 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM64 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
@@ -1981,458 +2078,539 @@
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 98 */
-    { ENCODING_REG, TYPE_R32 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_DI, TYPE_DSTIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 99 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M128 },
+    { ENCODING_DI, TYPE_DSTIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 100 */
-    { ENCODING_IB, TYPE_REL8 },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 101 */
-    { ENCODING_Iv, TYPE_RELv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M128 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 102 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_IB, TYPE_REL8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 103 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_Iv, TYPE_RELv },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 104 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 105 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_RM, TYPE_M1616 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 106 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_M1632 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 107 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M1664 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_LEA },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 108 */
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_LEA },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 109 */
-    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_M1664 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 110 */
-    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 111 */
-    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_SI, TYPE_SRCIDX8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 112 */
-    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_SI, TYPE_SRCIDX32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 113 */
-    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_SI, TYPE_SRCIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 114 */
-    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_SI, TYPE_SRCIDX16 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 115 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_IW, TYPE_IMM16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 116 */
-    { ENCODING_Rv, TYPE_Rv },
-    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_Ia, TYPE_MOFFS16 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 117 */
-    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 118 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_Iv, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 119 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
     { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 120 */
-    { ENCODING_Ia, TYPE_MOFFS32 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 121 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 122 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_Ia, TYPE_MOFFS32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 123 */
-    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 124 */
-    { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 125 */
-    { ENCODING_Ia, TYPE_MOFFS64 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_CONTROLREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 126 */
-    { ENCODING_Ia, TYPE_MOFFS8 },
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_REG, TYPE_DEBUGREG },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 127 */
-    { ENCODING_REG, TYPE_CONTROLREG },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_Ia, TYPE_MOFFS64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 128 */
-    { ENCODING_REG, TYPE_DEBUGREG },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_Ia, TYPE_MOFFS8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 129 */
-    { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 130 */
-    { ENCODING_RM, TYPE_R64 },
     { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 131 */
-    { ENCODING_RO, TYPE_R64 },
-    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_CONTROLREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 132 */
     { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_REG, TYPE_DEBUGREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 133 */
-    { ENCODING_REG, TYPE_SEGMENTREG },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_IO, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 134 */
-    { ENCODING_RB, TYPE_R8 },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 135 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_SEGMENTREG },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 136 */
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RB, TYPE_R8 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 137 */
     { ENCODING_REG, TYPE_Rv },
-    { ENCODING_RM, TYPE_R16 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 138 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 139 */
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 140 */
     { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R8 },
+    { ENCODING_RM, TYPE_M8 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 141 */
-    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R16 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 142 */
-    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 143 */
-    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_Rv, TYPE_Rv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 144 */
-    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_RO, TYPE_R64 },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 145 */
-    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_IB, TYPE_IMMv },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 146 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMM32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 147 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_IB, TYPE_IMM64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 148 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 149 */
-    { ENCODING_RM, TYPE_R32 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 150 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 151 */
+    { ENCODING_RM, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 152 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_Mv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 151 */
+  { /* 153 */
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 152 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
-    { ENCODING_NONE, TYPE_NONE },
-  },
-  { /* 153 */
-    { ENCODING_REG, TYPE_R64 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_IB, TYPE_IMM8 },
-    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 154 */
-    { ENCODING_DI, TYPE_DSTIDX64 },
-    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 155 */
-    { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_Rv },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_R64 },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 156 */
-    { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_Rv },
-    { ENCODING_REG, TYPE_Rv },
-    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_DI, TYPE_DSTIDX64 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 157 */
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 158 */
     { ENCODING_DUP, TYPE_DUP1 },
-    { ENCODING_RM, TYPE_R64 },
-    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_RM, TYPE_Rv },
+    { ENCODING_REG, TYPE_Rv },
     { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
   { /* 159 */
     { ENCODING_RM, TYPE_Mv },
-    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
   },
   { /* 160 */
+    { ENCODING_DUP, TYPE_DUP1 },
+    { ENCODING_RM, TYPE_R64 },
+    { ENCODING_REG, TYPE_R64 },
+    { ENCODING_IB, TYPE_IMM8 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 161 */
+    { ENCODING_RM, TYPE_Mv },
+    { ENCODING_REG, TYPE_R32 },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
+  },
+  { /* 162 */
     { ENCODING_RM, TYPE_R32 },
     { ENCODING_REG, TYPE_R32 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
-  { /* 161 */
+  { /* 163 */
     { ENCODING_RM, TYPE_M512 },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
     { ENCODING_NONE, TYPE_NONE },
+    { ENCODING_NONE, TYPE_NONE },
   },
 };
 
-static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[1709] = {
+static const struct InstructionSpecifier x86DisassemblerInstrSpecifiers[1669] = {
   { /* 0 */
     0,
     /*  */
@@ -2511,23 +2689,23 @@
   },
   { /* 19 */
     0,
-    /* AAA */
+    /*  */
   },
   { /* 20 */
-    1,
-    /* AAD8i8 */
+    0,
+    /* AAA */
   },
   { /* 21 */
     1,
-    /* AAM8i8 */
+    /* AAD8i8 */
   },
   { /* 22 */
-    0,
-    /* AAS */
+    1,
+    /* AAM8i8 */
   },
   { /* 23 */
     0,
-    /*  */
+    /* AAS */
   },
   { /* 24 */
     0,
@@ -2542,337 +2720,337 @@
     /*  */
   },
   { /* 27 */
+    0,
+    /*  */
+  },
+  { /* 28 */
     2,
     /* ADC16i16 */
   },
-  { /* 28 */
+  { /* 29 */
     3,
     /* ADC16mi */
   },
-  { /* 29 */
+  { /* 30 */
     4,
     /* ADC16mi8 */
   },
-  { /* 30 */
+  { /* 31 */
     5,
     /* ADC16mr */
   },
-  { /* 31 */
+  { /* 32 */
     6,
     /* ADC16ri */
   },
-  { /* 32 */
+  { /* 33 */
     7,
     /* ADC16ri8 */
   },
-  { /* 33 */
+  { /* 34 */
     8,
     /* ADC16rm */
   },
-  { /* 34 */
+  { /* 35 */
     9,
     /* ADC16rr */
   },
-  { /* 35 */
+  { /* 36 */
     10,
     /* ADC16rr_REV */
   },
-  { /* 36 */
+  { /* 37 */
     2,
     /* ADC32i32 */
   },
-  { /* 37 */
+  { /* 38 */
     3,
     /* ADC32mi */
   },
-  { /* 38 */
+  { /* 39 */
     11,
     /* ADC32mi8 */
   },
-  { /* 39 */
+  { /* 40 */
     5,
     /* ADC32mr */
   },
-  { /* 40 */
+  { /* 41 */
     6,
     /* ADC32ri */
   },
-  { /* 41 */
+  { /* 42 */
     12,
     /* ADC32ri8 */
   },
-  { /* 42 */
+  { /* 43 */
     8,
     /* ADC32rm */
   },
-  { /* 43 */
+  { /* 44 */
     9,
     /* ADC32rr */
   },
-  { /* 44 */
+  { /* 45 */
     10,
     /* ADC32rr_REV */
   },
-  { /* 45 */
+  { /* 46 */
     13,
     /* ADC64i32 */
   },
-  { /* 46 */
+  { /* 47 */
     14,
     /* ADC64mi32 */
   },
-  { /* 47 */
+  { /* 48 */
     15,
     /* ADC64mi8 */
   },
-  { /* 48 */
+  { /* 49 */
     16,
     /* ADC64mr */
   },
-  { /* 49 */
+  { /* 50 */
     17,
     /* ADC64ri32 */
   },
-  { /* 50 */
+  { /* 51 */
     18,
     /* ADC64ri8 */
   },
-  { /* 51 */
+  { /* 52 */
     19,
     /* ADC64rm */
   },
-  { /* 52 */
+  { /* 53 */
     20,
     /* ADC64rr */
   },
-  { /* 53 */
+  { /* 54 */
     21,
     /* ADC64rr_REV */
   },
-  { /* 54 */
+  { /* 55 */
     1,
     /* ADC8i8 */
   },
-  { /* 55 */
+  { /* 56 */
     22,
     /* ADC8mi */
   },
-  { /* 56 */
+  { /* 57 */
     23,
     /* ADC8mr */
   },
-  { /* 57 */
+  { /* 58 */
     24,
     /* ADC8ri */
   },
-  { /* 58 */
+  { /* 59 */
     25,
     /* ADC8rm */
   },
-  { /* 59 */
+  { /* 60 */
     26,
     /* ADC8rr */
   },
-  { /* 60 */
+  { /* 61 */
     27,
     /* ADC8rr_REV */
   },
-  { /* 61 */
+  { /* 62 */
     28,
     /* ADCX32rm */
   },
-  { /* 62 */
+  { /* 63 */
     29,
     /* ADCX32rr */
   },
-  { /* 63 */
-    30,
+  { /* 64 */
+    19,
     /* ADCX64rm */
   },
-  { /* 64 */
-    31,
+  { /* 65 */
+    21,
     /* ADCX64rr */
   },
-  { /* 65 */
+  { /* 66 */
     2,
     /* ADD16i16 */
   },
-  { /* 66 */
+  { /* 67 */
     3,
     /* ADD16mi */
   },
-  { /* 67 */
+  { /* 68 */
     4,
     /* ADD16mi8 */
   },
-  { /* 68 */
+  { /* 69 */
     5,
     /* ADD16mr */
   },
-  { /* 69 */
+  { /* 70 */
     6,
     /* ADD16ri */
   },
-  { /* 70 */
+  { /* 71 */
     7,
     /* ADD16ri8 */
   },
-  { /* 71 */
-    0,
-    /*  */
-  },
   { /* 72 */
     0,
     /*  */
   },
   { /* 73 */
+    0,
+    /*  */
+  },
+  { /* 74 */
     8,
     /* ADD16rm */
   },
-  { /* 74 */
+  { /* 75 */
     9,
     /* ADD16rr */
   },
-  { /* 75 */
+  { /* 76 */
     0,
     /*  */
   },
-  { /* 76 */
+  { /* 77 */
     10,
     /* ADD16rr_REV */
   },
-  { /* 77 */
+  { /* 78 */
     2,
     /* ADD32i32 */
   },
-  { /* 78 */
+  { /* 79 */
     3,
     /* ADD32mi */
   },
-  { /* 79 */
+  { /* 80 */
     11,
     /* ADD32mi8 */
   },
-  { /* 80 */
+  { /* 81 */
     5,
     /* ADD32mr */
   },
-  { /* 81 */
+  { /* 82 */
     6,
     /* ADD32ri */
   },
-  { /* 82 */
+  { /* 83 */
     12,
     /* ADD32ri8 */
   },
-  { /* 83 */
-    0,
-    /*  */
-  },
   { /* 84 */
     0,
     /*  */
   },
   { /* 85 */
+    0,
+    /*  */
+  },
+  { /* 86 */
     8,
     /* ADD32rm */
   },
-  { /* 86 */
+  { /* 87 */
     9,
     /* ADD32rr */
   },
-  { /* 87 */
+  { /* 88 */
     0,
     /*  */
   },
-  { /* 88 */
+  { /* 89 */
     10,
     /* ADD32rr_REV */
   },
-  { /* 89 */
+  { /* 90 */
     13,
     /* ADD64i32 */
   },
-  { /* 90 */
+  { /* 91 */
     14,
     /* ADD64mi32 */
   },
-  { /* 91 */
+  { /* 92 */
     15,
     /* ADD64mi8 */
   },
-  { /* 92 */
+  { /* 93 */
     16,
     /* ADD64mr */
   },
-  { /* 93 */
+  { /* 94 */
     17,
     /* ADD64ri32 */
   },
-  { /* 94 */
+  { /* 95 */
     0,
     /*  */
   },
-  { /* 95 */
+  { /* 96 */
     18,
     /* ADD64ri8 */
   },
-  { /* 96 */
+  { /* 97 */
     0,
     /*  */
   },
-  { /* 97 */
+  { /* 98 */
     19,
     /* ADD64rm */
   },
-  { /* 98 */
+  { /* 99 */
     20,
     /* ADD64rr */
   },
-  { /* 99 */
+  { /* 100 */
     0,
     /*  */
   },
-  { /* 100 */
+  { /* 101 */
     21,
     /* ADD64rr_REV */
   },
-  { /* 101 */
+  { /* 102 */
     1,
     /* ADD8i8 */
   },
-  { /* 102 */
+  { /* 103 */
     22,
     /* ADD8mi */
   },
-  { /* 103 */
+  { /* 104 */
     23,
     /* ADD8mr */
   },
-  { /* 104 */
+  { /* 105 */
     24,
     /* ADD8ri */
   },
-  { /* 105 */
+  { /* 106 */
     24,
     /* ADD8ri8 */
   },
-  { /* 106 */
+  { /* 107 */
     25,
     /* ADD8rm */
   },
-  { /* 107 */
+  { /* 108 */
     26,
     /* ADD8rr */
   },
-  { /* 108 */
+  { /* 109 */
     27,
     /* ADD8rr_REV */
   },
-  { /* 109 */
-    0,
-    /*  */
-  },
   { /* 110 */
     0,
     /*  */
@@ -2886,2337 +3064,2337 @@
     /*  */
   },
   { /* 113 */
-    28,
-    /* ADOX32rm */
+    0,
+    /*  */
   },
   { /* 114 */
-    29,
-    /* ADOX32rr */
+    30,
+    /* ADOX32rm */
   },
   { /* 115 */
-    30,
-    /* ADOX64rm */
+    31,
+    /* ADOX32rr */
   },
   { /* 116 */
-    31,
-    /* ADOX64rr */
+    32,
+    /* ADOX64rm */
   },
   { /* 117 */
+    33,
+    /* ADOX64rr */
+  },
+  { /* 118 */
     2,
     /* AND16i16 */
   },
-  { /* 118 */
+  { /* 119 */
     3,
     /* AND16mi */
   },
-  { /* 119 */
+  { /* 120 */
     4,
     /* AND16mi8 */
   },
-  { /* 120 */
+  { /* 121 */
     5,
     /* AND16mr */
   },
-  { /* 121 */
+  { /* 122 */
     6,
     /* AND16ri */
   },
-  { /* 122 */
+  { /* 123 */
     7,
     /* AND16ri8 */
   },
-  { /* 123 */
+  { /* 124 */
     8,
     /* AND16rm */
   },
-  { /* 124 */
+  { /* 125 */
     9,
     /* AND16rr */
   },
-  { /* 125 */
+  { /* 126 */
     10,
     /* AND16rr_REV */
   },
-  { /* 126 */
+  { /* 127 */
     2,
     /* AND32i32 */
   },
-  { /* 127 */
+  { /* 128 */
     3,
     /* AND32mi */
   },
-  { /* 128 */
+  { /* 129 */
     11,
     /* AND32mi8 */
   },
-  { /* 129 */
+  { /* 130 */
     5,
     /* AND32mr */
   },
-  { /* 130 */
+  { /* 131 */
     6,
     /* AND32ri */
   },
-  { /* 131 */
+  { /* 132 */
     12,
     /* AND32ri8 */
   },
-  { /* 132 */
+  { /* 133 */
     8,
     /* AND32rm */
   },
-  { /* 133 */
+  { /* 134 */
     9,
     /* AND32rr */
   },
-  { /* 134 */
+  { /* 135 */
     10,
     /* AND32rr_REV */
   },
-  { /* 135 */
+  { /* 136 */
     13,
     /* AND64i32 */
   },
-  { /* 136 */
+  { /* 137 */
     14,
     /* AND64mi32 */
   },
-  { /* 137 */
+  { /* 138 */
     15,
     /* AND64mi8 */
   },
-  { /* 138 */
+  { /* 139 */
     16,
     /* AND64mr */
   },
-  { /* 139 */
+  { /* 140 */
     17,
     /* AND64ri32 */
   },
-  { /* 140 */
+  { /* 141 */
     18,
     /* AND64ri8 */
   },
-  { /* 141 */
+  { /* 142 */
     19,
     /* AND64rm */
   },
-  { /* 142 */
+  { /* 143 */
     20,
     /* AND64rr */
   },
-  { /* 143 */
+  { /* 144 */
     21,
     /* AND64rr_REV */
   },
-  { /* 144 */
+  { /* 145 */
     1,
     /* AND8i8 */
   },
-  { /* 145 */
+  { /* 146 */
     22,
     /* AND8mi */
   },
-  { /* 146 */
+  { /* 147 */
     23,
     /* AND8mr */
   },
-  { /* 147 */
+  { /* 148 */
     24,
     /* AND8ri */
   },
-  { /* 148 */
+  { /* 149 */
     24,
     /* AND8ri8 */
   },
-  { /* 149 */
+  { /* 150 */
     25,
     /* AND8rm */
   },
-  { /* 150 */
+  { /* 151 */
     26,
     /* AND8rr */
   },
-  { /* 151 */
+  { /* 152 */
     27,
     /* AND8rr_REV */
   },
-  { /* 152 */
-    32,
+  { /* 153 */
+    34,
     /* ANDN32rm */
   },
-  { /* 153 */
-    33,
+  { /* 154 */
+    35,
     /* ANDN32rr */
   },
-  { /* 154 */
-    34,
+  { /* 155 */
+    36,
     /* ANDN64rm */
   },
-  { /* 155 */
-    35,
+  { /* 156 */
+    37,
     /* ANDN64rr */
   },
-  { /* 156 */
-    36,
+  { /* 157 */
+    38,
     /* ARPL16mr */
   },
-  { /* 157 */
-    37,
+  { /* 158 */
+    39,
     /* ARPL16rr */
   },
-  { /* 158 */
-    0,
-    /*  */
-  },
   { /* 159 */
-    0,
-    /*  */
-  },
-  { /* 160 */
-    0,
-    /*  */
-  },
-  { /* 161 */
-    0,
-    /*  */
-  },
-  { /* 162 */
-    0,
-    /*  */
-  },
-  { /* 163 */
-    0,
-    /*  */
-  },
-  { /* 164 */
-    0,
-    /*  */
-  },
-  { /* 165 */
-    0,
-    /*  */
-  },
-  { /* 166 */
-    0,
-    /*  */
-  },
-  { /* 167 */
-    0,
-    /*  */
-  },
-  { /* 168 */
-    0,
-    /*  */
-  },
-  { /* 169 */
-    0,
-    /*  */
-  },
-  { /* 170 */
-    0,
-    /*  */
-  },
-  { /* 171 */
-    0,
-    /*  */
-  },
-  { /* 172 */
-    0,
-    /*  */
-  },
-  { /* 173 */
-    0,
-    /*  */
-  },
-  { /* 174 */
-    0,
-    /*  */
-  },
-  { /* 175 */
-    0,
-    /*  */
-  },
-  { /* 176 */
-    0,
-    /*  */
-  },
-  { /* 177 */
-    0,
-    /*  */
-  },
-  { /* 178 */
-    0,
-    /*  */
-  },
-  { /* 179 */
-    0,
-    /*  */
-  },
-  { /* 180 */
-    0,
-    /*  */
-  },
-  { /* 181 */
-    0,
-    /*  */
-  },
-  { /* 182 */
-    0,
-    /*  */
-  },
-  { /* 183 */
-    0,
-    /*  */
-  },
-  { /* 184 */
-    0,
-    /*  */
-  },
-  { /* 185 */
-    0,
-    /*  */
-  },
-  { /* 186 */
-    0,
-    /*  */
-  },
-  { /* 187 */
-    0,
-    /*  */
-  },
-  { /* 188 */
-    0,
-    /*  */
-  },
-  { /* 189 */
-    0,
-    /*  */
-  },
-  { /* 190 */
-    0,
-    /*  */
-  },
-  { /* 191 */
-    0,
-    /*  */
-  },
-  { /* 192 */
-    0,
-    /*  */
-  },
-  { /* 193 */
-    0,
-    /*  */
-  },
-  { /* 194 */
-    0,
-    /*  */
-  },
-  { /* 195 */
-    0,
-    /*  */
-  },
-  { /* 196 */
-    0,
-    /*  */
-  },
-  { /* 197 */
-    0,
-    /*  */
-  },
-  { /* 198 */
-    0,
-    /*  */
-  },
-  { /* 199 */
-    0,
-    /*  */
-  },
-  { /* 200 */
-    0,
-    /*  */
-  },
-  { /* 201 */
-    38,
+    40,
     /* BEXTR32rm */
   },
-  { /* 202 */
-    39,
+  { /* 160 */
+    41,
     /* BEXTR32rr */
   },
-  { /* 203 */
-    40,
+  { /* 161 */
+    42,
     /* BEXTR64rm */
   },
-  { /* 204 */
-    41,
+  { /* 162 */
+    43,
     /* BEXTR64rr */
   },
-  { /* 205 */
-    42,
+  { /* 163 */
+    44,
     /* BEXTRI32mi */
   },
-  { /* 206 */
-    43,
+  { /* 164 */
+    45,
     /* BEXTRI32ri */
   },
-  { /* 207 */
-    44,
+  { /* 165 */
+    46,
     /* BEXTRI64mi */
   },
-  { /* 208 */
-    45,
+  { /* 166 */
+    47,
     /* BEXTRI64ri */
   },
-  { /* 209 */
-    46,
+  { /* 167 */
+    48,
     /* BLCFILL32rm */
   },
-  { /* 210 */
-    47,
+  { /* 168 */
+    49,
     /* BLCFILL32rr */
   },
-  { /* 211 */
-    48,
+  { /* 169 */
+    50,
     /* BLCFILL64rm */
   },
-  { /* 212 */
-    49,
+  { /* 170 */
+    51,
     /* BLCFILL64rr */
   },
-  { /* 213 */
-    46,
+  { /* 171 */
+    48,
     /* BLCI32rm */
   },
-  { /* 214 */
-    47,
+  { /* 172 */
+    49,
     /* BLCI32rr */
   },
-  { /* 215 */
-    48,
+  { /* 173 */
+    50,
     /* BLCI64rm */
   },
-  { /* 216 */
-    49,
+  { /* 174 */
+    51,
     /* BLCI64rr */
   },
-  { /* 217 */
-    46,
+  { /* 175 */
+    48,
     /* BLCIC32rm */
   },
-  { /* 218 */
-    47,
+  { /* 176 */
+    49,
     /* BLCIC32rr */
   },
-  { /* 219 */
-    48,
+  { /* 177 */
+    50,
     /* BLCIC64rm */
   },
-  { /* 220 */
-    49,
+  { /* 178 */
+    51,
     /* BLCIC64rr */
   },
-  { /* 221 */
-    46,
+  { /* 179 */
+    48,
     /* BLCMSK32rm */
   },
-  { /* 222 */
-    47,
+  { /* 180 */
+    49,
     /* BLCMSK32rr */
   },
-  { /* 223 */
-    48,
+  { /* 181 */
+    50,
     /* BLCMSK64rm */
   },
-  { /* 224 */
-    49,
+  { /* 182 */
+    51,
     /* BLCMSK64rr */
   },
-  { /* 225 */
-    46,
+  { /* 183 */
+    48,
     /* BLCS32rm */
   },
-  { /* 226 */
-    47,
+  { /* 184 */
+    49,
     /* BLCS32rr */
   },
-  { /* 227 */
-    48,
+  { /* 185 */
+    50,
     /* BLCS64rm */
   },
-  { /* 228 */
-    49,
+  { /* 186 */
+    51,
     /* BLCS64rr */
   },
-  { /* 229 */
-    46,
+  { /* 187 */
+    48,
     /* BLSFILL32rm */
   },
-  { /* 230 */
-    47,
+  { /* 188 */
+    49,
     /* BLSFILL32rr */
   },
-  { /* 231 */
-    48,
+  { /* 189 */
+    50,
     /* BLSFILL64rm */
   },
-  { /* 232 */
-    49,
+  { /* 190 */
+    51,
     /* BLSFILL64rr */
   },
-  { /* 233 */
-    46,
+  { /* 191 */
+    48,
     /* BLSI32rm */
   },
-  { /* 234 */
-    47,
+  { /* 192 */
+    49,
     /* BLSI32rr */
   },
-  { /* 235 */
-    48,
+  { /* 193 */
+    50,
     /* BLSI64rm */
   },
-  { /* 236 */
-    49,
+  { /* 194 */
+    51,
     /* BLSI64rr */
   },
-  { /* 237 */
-    46,
+  { /* 195 */
+    48,
     /* BLSIC32rm */
   },
-  { /* 238 */
-    47,
+  { /* 196 */
+    49,
     /* BLSIC32rr */
   },
-  { /* 239 */
-    48,
+  { /* 197 */
+    50,
     /* BLSIC64rm */
   },
-  { /* 240 */
-    49,
+  { /* 198 */
+    51,
     /* BLSIC64rr */
   },
-  { /* 241 */
-    46,
+  { /* 199 */
+    48,
     /* BLSMSK32rm */
   },
-  { /* 242 */
-    47,
+  { /* 200 */
+    49,
     /* BLSMSK32rr */
   },
-  { /* 243 */
-    48,
+  { /* 201 */
+    50,
     /* BLSMSK64rm */
   },
-  { /* 244 */
-    49,
+  { /* 202 */
+    51,
     /* BLSMSK64rr */
   },
-  { /* 245 */
-    46,
+  { /* 203 */
+    48,
     /* BLSR32rm */
   },
-  { /* 246 */
-    47,
+  { /* 204 */
+    49,
     /* BLSR32rr */
   },
-  { /* 247 */
-    48,
+  { /* 205 */
+    50,
     /* BLSR64rm */
   },
-  { /* 248 */
-    49,
+  { /* 206 */
+    51,
     /* BLSR64rr */
   },
-  { /* 249 */
-    50,
+  { /* 207 */
+    52,
     /* BOUNDS16rm */
   },
-  { /* 250 */
-    50,
+  { /* 208 */
+    52,
     /* BOUNDS32rm */
   },
-  { /* 251 */
-    50,
+  { /* 209 */
+    52,
     /* BSF16rm */
   },
-  { /* 252 */
-    51,
+  { /* 210 */
+    53,
     /* BSF16rr */
   },
-  { /* 253 */
-    50,
+  { /* 211 */
+    52,
     /* BSF32rm */
   },
-  { /* 254 */
-    51,
+  { /* 212 */
+    53,
     /* BSF32rr */
   },
-  { /* 255 */
-    30,
+  { /* 213 */
+    32,
     /* BSF64rm */
   },
-  { /* 256 */
-    31,
+  { /* 214 */
+    33,
     /* BSF64rr */
   },
-  { /* 257 */
-    50,
+  { /* 215 */
+    52,
     /* BSR16rm */
   },
-  { /* 258 */
-    51,
+  { /* 216 */
+    53,
     /* BSR16rr */
   },
-  { /* 259 */
-    50,
+  { /* 217 */
+    52,
     /* BSR32rm */
   },
-  { /* 260 */
-    51,
+  { /* 218 */
+    53,
     /* BSR32rr */
   },
-  { /* 261 */
-    30,
+  { /* 219 */
+    32,
     /* BSR64rm */
   },
-  { /* 262 */
-    31,
+  { /* 220 */
+    33,
     /* BSR64rr */
   },
-  { /* 263 */
-    52,
+  { /* 221 */
+    54,
     /* BSWAP32r */
   },
-  { /* 264 */
-    53,
+  { /* 222 */
+    55,
     /* BSWAP64r */
   },
-  { /* 265 */
+  { /* 223 */
     4,
     /* BT16mi8 */
   },
-  { /* 266 */
+  { /* 224 */
     5,
     /* BT16mr */
   },
-  { /* 267 */
-    54,
+  { /* 225 */
+    56,
     /* BT16ri8 */
   },
-  { /* 268 */
-    55,
+  { /* 226 */
+    57,
     /* BT16rr */
   },
-  { /* 269 */
+  { /* 227 */
     11,
     /* BT32mi8 */
   },
-  { /* 270 */
+  { /* 228 */
     5,
     /* BT32mr */
   },
-  { /* 271 */
-    56,
+  { /* 229 */
+    58,
     /* BT32ri8 */
   },
-  { /* 272 */
-    55,
+  { /* 230 */
+    57,
     /* BT32rr */
   },
-  { /* 273 */
+  { /* 231 */
     15,
     /* BT64mi8 */
   },
-  { /* 274 */
+  { /* 232 */
     16,
     /* BT64mr */
   },
-  { /* 275 */
-    57,
+  { /* 233 */
+    59,
     /* BT64ri8 */
   },
-  { /* 276 */
-    58,
+  { /* 234 */
+    60,
     /* BT64rr */
   },
-  { /* 277 */
+  { /* 235 */
     4,
     /* BTC16mi8 */
   },
-  { /* 278 */
+  { /* 236 */
     5,
     /* BTC16mr */
   },
-  { /* 279 */
-    54,
+  { /* 237 */
+    56,
     /* BTC16ri8 */
   },
-  { /* 280 */
-    55,
+  { /* 238 */
+    57,
     /* BTC16rr */
   },
-  { /* 281 */
+  { /* 239 */
     11,
     /* BTC32mi8 */
   },
-  { /* 282 */
+  { /* 240 */
     5,
     /* BTC32mr */
   },
-  { /* 283 */
-    56,
+  { /* 241 */
+    58,
     /* BTC32ri8 */
   },
-  { /* 284 */
-    55,
+  { /* 242 */
+    57,
     /* BTC32rr */
   },
-  { /* 285 */
+  { /* 243 */
     15,
     /* BTC64mi8 */
   },
-  { /* 286 */
+  { /* 244 */
     16,
     /* BTC64mr */
   },
-  { /* 287 */
-    57,
+  { /* 245 */
+    59,
     /* BTC64ri8 */
   },
-  { /* 288 */
-    58,
+  { /* 246 */
+    60,
     /* BTC64rr */
   },
-  { /* 289 */
+  { /* 247 */
     4,
     /* BTR16mi8 */
   },
-  { /* 290 */
+  { /* 248 */
     5,
     /* BTR16mr */
   },
-  { /* 291 */
-    54,
+  { /* 249 */
+    56,
     /* BTR16ri8 */
   },
-  { /* 292 */
-    55,
+  { /* 250 */
+    57,
     /* BTR16rr */
   },
-  { /* 293 */
+  { /* 251 */
     11,
     /* BTR32mi8 */
   },
-  { /* 294 */
+  { /* 252 */
     5,
     /* BTR32mr */
   },
-  { /* 295 */
-    56,
+  { /* 253 */
+    58,
     /* BTR32ri8 */
   },
-  { /* 296 */
-    55,
+  { /* 254 */
+    57,
     /* BTR32rr */
   },
-  { /* 297 */
+  { /* 255 */
     15,
     /* BTR64mi8 */
   },
-  { /* 298 */
+  { /* 256 */
     16,
     /* BTR64mr */
   },
-  { /* 299 */
-    57,
+  { /* 257 */
+    59,
     /* BTR64ri8 */
   },
-  { /* 300 */
-    58,
+  { /* 258 */
+    60,
     /* BTR64rr */
   },
-  { /* 301 */
+  { /* 259 */
     4,
     /* BTS16mi8 */
   },
-  { /* 302 */
+  { /* 260 */
     5,
     /* BTS16mr */
   },
-  { /* 303 */
-    54,
+  { /* 261 */
+    56,
     /* BTS16ri8 */
   },
-  { /* 304 */
-    55,
+  { /* 262 */
+    57,
     /* BTS16rr */
   },
-  { /* 305 */
+  { /* 263 */
     11,
     /* BTS32mi8 */
   },
-  { /* 306 */
+  { /* 264 */
     5,
     /* BTS32mr */
   },
-  { /* 307 */
-    56,
+  { /* 265 */
+    58,
     /* BTS32ri8 */
   },
-  { /* 308 */
-    55,
+  { /* 266 */
+    57,
     /* BTS32rr */
   },
-  { /* 309 */
+  { /* 267 */
     15,
     /* BTS64mi8 */
   },
-  { /* 310 */
+  { /* 268 */
     16,
     /* BTS64mr */
   },
-  { /* 311 */
-    57,
+  { /* 269 */
+    59,
     /* BTS64ri8 */
   },
-  { /* 312 */
-    58,
+  { /* 270 */
+    60,
     /* BTS64rr */
   },
-  { /* 313 */
-    38,
+  { /* 271 */
+    40,
     /* BZHI32rm */
   },
-  { /* 314 */
-    39,
+  { /* 272 */
+    41,
     /* BZHI32rr */
   },
-  { /* 315 */
-    40,
+  { /* 273 */
+    42,
     /* BZHI64rm */
   },
-  { /* 316 */
-    41,
+  { /* 274 */
+    43,
     /* BZHI64rr */
   },
-  { /* 317 */
-    59,
+  { /* 275 */
+    61,
     /* CALL16m */
   },
-  { /* 318 */
-    60,
+  { /* 276 */
+    62,
     /* CALL16r */
   },
-  { /* 319 */
-    59,
+  { /* 277 */
+    61,
     /* CALL32m */
   },
-  { /* 320 */
-    60,
+  { /* 278 */
+    62,
     /* CALL32r */
   },
-  { /* 321 */
-    59,
+  { /* 279 */
+    61,
     /* CALL64m */
   },
-  { /* 322 */
-    61,
+  { /* 280 */
+    63,
     /* CALL64pcrel32 */
   },
-  { /* 323 */
-    62,
+  { /* 281 */
+    64,
     /* CALL64r */
   },
-  { /* 324 */
-    63,
+  { /* 282 */
+    65,
     /* CALLpcrel16 */
   },
-  { /* 325 */
-    64,
+  { /* 283 */
+    66,
     /* CALLpcrel32 */
   },
-  { /* 326 */
+  { /* 284 */
     0,
     /* CBW */
   },
-  { /* 327 */
+  { /* 285 */
     0,
     /* CDQ */
   },
-  { /* 328 */
+  { /* 286 */
     0,
     /* CDQE */
   },
-  { /* 329 */
+  { /* 287 */
     0,
     /* CLAC */
   },
-  { /* 330 */
+  { /* 288 */
     0,
     /* CLC */
   },
-  { /* 331 */
+  { /* 289 */
     0,
     /* CLD */
   },
-  { /* 332 */
+  { /* 290 */
     0,
     /* CLGI */
   },
-  { /* 333 */
+  { /* 291 */
     0,
     /* CLI */
   },
-  { /* 334 */
+  { /* 292 */
     0,
     /* CLTS */
   },
-  { /* 335 */
+  { /* 293 */
     0,
     /* CMC */
   },
-  { /* 336 */
+  { /* 294 */
     8,
     /* CMOVA16rm */
   },
-  { /* 337 */
+  { /* 295 */
     10,
     /* CMOVA16rr */
   },
-  { /* 338 */
+  { /* 296 */
     8,
     /* CMOVA32rm */
   },
-  { /* 339 */
+  { /* 297 */
     10,
     /* CMOVA32rr */
   },
-  { /* 340 */
+  { /* 298 */
     19,
     /* CMOVA64rm */
   },
-  { /* 341 */
+  { /* 299 */
     21,
     /* CMOVA64rr */
   },
-  { /* 342 */
+  { /* 300 */
     8,
     /* CMOVAE16rm */
   },
-  { /* 343 */
+  { /* 301 */
     10,
     /* CMOVAE16rr */
   },
-  { /* 344 */
+  { /* 302 */
     8,
     /* CMOVAE32rm */
   },
-  { /* 345 */
+  { /* 303 */
     10,
     /* CMOVAE32rr */
   },
-  { /* 346 */
+  { /* 304 */
     19,
     /* CMOVAE64rm */
   },
-  { /* 347 */
+  { /* 305 */
     21,
     /* CMOVAE64rr */
   },
-  { /* 348 */
+  { /* 306 */
     8,
     /* CMOVB16rm */
   },
-  { /* 349 */
+  { /* 307 */
     10,
     /* CMOVB16rr */
   },
-  { /* 350 */
+  { /* 308 */
     8,
     /* CMOVB32rm */
   },
-  { /* 351 */
+  { /* 309 */
     10,
     /* CMOVB32rr */
   },
-  { /* 352 */
+  { /* 310 */
     19,
     /* CMOVB64rm */
   },
-  { /* 353 */
+  { /* 311 */
     21,
     /* CMOVB64rr */
   },
-  { /* 354 */
+  { /* 312 */
     8,
     /* CMOVBE16rm */
   },
-  { /* 355 */
+  { /* 313 */
     10,
     /* CMOVBE16rr */
   },
-  { /* 356 */
+  { /* 314 */
     8,
     /* CMOVBE32rm */
   },
-  { /* 357 */
+  { /* 315 */
     10,
     /* CMOVBE32rr */
   },
-  { /* 358 */
+  { /* 316 */
     19,
     /* CMOVBE64rm */
   },
-  { /* 359 */
+  { /* 317 */
     21,
     /* CMOVBE64rr */
   },
-  { /* 360 */
+  { /* 318 */
     8,
     /* CMOVE16rm */
   },
-  { /* 361 */
+  { /* 319 */
     10,
     /* CMOVE16rr */
   },
-  { /* 362 */
+  { /* 320 */
     8,
     /* CMOVE32rm */
   },
-  { /* 363 */
+  { /* 321 */
     10,
     /* CMOVE32rr */
   },
-  { /* 364 */
+  { /* 322 */
     19,
     /* CMOVE64rm */
   },
-  { /* 365 */
+  { /* 323 */
     21,
     /* CMOVE64rr */
   },
-  { /* 366 */
+  { /* 324 */
     8,
     /* CMOVG16rm */
   },
-  { /* 367 */
+  { /* 325 */
     10,
     /* CMOVG16rr */
   },
-  { /* 368 */
+  { /* 326 */
     8,
     /* CMOVG32rm */
   },
-  { /* 369 */
+  { /* 327 */
     10,
     /* CMOVG32rr */
   },
-  { /* 370 */
+  { /* 328 */
     19,
     /* CMOVG64rm */
   },
-  { /* 371 */
+  { /* 329 */
     21,
     /* CMOVG64rr */
   },
-  { /* 372 */
+  { /* 330 */
     8,
     /* CMOVGE16rm */
   },
-  { /* 373 */
+  { /* 331 */
     10,
     /* CMOVGE16rr */
   },
-  { /* 374 */
+  { /* 332 */
     8,
     /* CMOVGE32rm */
   },
-  { /* 375 */
+  { /* 333 */
     10,
     /* CMOVGE32rr */
   },
-  { /* 376 */
+  { /* 334 */
     19,
     /* CMOVGE64rm */
   },
-  { /* 377 */
+  { /* 335 */
     21,
     /* CMOVGE64rr */
   },
-  { /* 378 */
+  { /* 336 */
     8,
     /* CMOVL16rm */
   },
-  { /* 379 */
+  { /* 337 */
     10,
     /* CMOVL16rr */
   },
-  { /* 380 */
+  { /* 338 */
     8,
     /* CMOVL32rm */
   },
-  { /* 381 */
+  { /* 339 */
     10,
     /* CMOVL32rr */
   },
-  { /* 382 */
+  { /* 340 */
     19,
     /* CMOVL64rm */
   },
-  { /* 383 */
+  { /* 341 */
     21,
     /* CMOVL64rr */
   },
-  { /* 384 */
+  { /* 342 */
     8,
     /* CMOVLE16rm */
   },
-  { /* 385 */
+  { /* 343 */
     10,
     /* CMOVLE16rr */
   },
-  { /* 386 */
+  { /* 344 */
     8,
     /* CMOVLE32rm */
   },
-  { /* 387 */
+  { /* 345 */
     10,
     /* CMOVLE32rr */
   },
-  { /* 388 */
+  { /* 346 */
     19,
     /* CMOVLE64rm */
   },
-  { /* 389 */
+  { /* 347 */
     21,
     /* CMOVLE64rr */
   },
-  { /* 390 */
+  { /* 348 */
     8,
     /* CMOVNE16rm */
   },
-  { /* 391 */
+  { /* 349 */
     10,
     /* CMOVNE16rr */
   },
-  { /* 392 */
+  { /* 350 */
     8,
     /* CMOVNE32rm */
   },
-  { /* 393 */
+  { /* 351 */
     10,
     /* CMOVNE32rr */
   },
-  { /* 394 */
+  { /* 352 */
     19,
     /* CMOVNE64rm */
   },
-  { /* 395 */
+  { /* 353 */
     21,
     /* CMOVNE64rr */
   },
-  { /* 396 */
+  { /* 354 */
     8,
     /* CMOVNO16rm */
   },
-  { /* 397 */
+  { /* 355 */
     10,
     /* CMOVNO16rr */
   },
-  { /* 398 */
+  { /* 356 */
     8,
     /* CMOVNO32rm */
   },
-  { /* 399 */
+  { /* 357 */
     10,
     /* CMOVNO32rr */
   },
-  { /* 400 */
+  { /* 358 */
     19,
     /* CMOVNO64rm */
   },
-  { /* 401 */
+  { /* 359 */
     21,
     /* CMOVNO64rr */
   },
-  { /* 402 */
+  { /* 360 */
     8,
     /* CMOVNP16rm */
   },
-  { /* 403 */
+  { /* 361 */
     10,
     /* CMOVNP16rr */
   },
-  { /* 404 */
+  { /* 362 */
     8,
     /* CMOVNP32rm */
   },
-  { /* 405 */
+  { /* 363 */
     10,
     /* CMOVNP32rr */
   },
-  { /* 406 */
+  { /* 364 */
     19,
     /* CMOVNP64rm */
   },
-  { /* 407 */
+  { /* 365 */
     21,
     /* CMOVNP64rr */
   },
-  { /* 408 */
+  { /* 366 */
     8,
     /* CMOVNS16rm */
   },
-  { /* 409 */
+  { /* 367 */
     10,
     /* CMOVNS16rr */
   },
-  { /* 410 */
+  { /* 368 */
     8,
     /* CMOVNS32rm */
   },
-  { /* 411 */
+  { /* 369 */
     10,
     /* CMOVNS32rr */
   },
-  { /* 412 */
+  { /* 370 */
     19,
     /* CMOVNS64rm */
   },
-  { /* 413 */
+  { /* 371 */
     21,
     /* CMOVNS64rr */
   },
-  { /* 414 */
+  { /* 372 */
     8,
     /* CMOVO16rm */
   },
-  { /* 415 */
+  { /* 373 */
     10,
     /* CMOVO16rr */
   },
-  { /* 416 */
+  { /* 374 */
     8,
     /* CMOVO32rm */
   },
-  { /* 417 */
+  { /* 375 */
     10,
     /* CMOVO32rr */
   },
-  { /* 418 */
+  { /* 376 */
     19,
     /* CMOVO64rm */
   },
-  { /* 419 */
+  { /* 377 */
     21,
     /* CMOVO64rr */
   },
-  { /* 420 */
+  { /* 378 */
     8,
     /* CMOVP16rm */
   },
-  { /* 421 */
+  { /* 379 */
     10,
     /* CMOVP16rr */
   },
-  { /* 422 */
+  { /* 380 */
     8,
     /* CMOVP32rm */
   },
-  { /* 423 */
+  { /* 381 */
     10,
     /* CMOVP32rr */
   },
-  { /* 424 */
+  { /* 382 */
     19,
     /* CMOVP64rm */
   },
-  { /* 425 */
+  { /* 383 */
     21,
     /* CMOVP64rr */
   },
-  { /* 426 */
+  { /* 384 */
     8,
     /* CMOVS16rm */
   },
-  { /* 427 */
+  { /* 385 */
     10,
     /* CMOVS16rr */
   },
-  { /* 428 */
+  { /* 386 */
     8,
     /* CMOVS32rm */
   },
-  { /* 429 */
+  { /* 387 */
     10,
     /* CMOVS32rr */
   },
-  { /* 430 */
+  { /* 388 */
     19,
     /* CMOVS64rm */
   },
-  { /* 431 */
+  { /* 389 */
     21,
     /* CMOVS64rr */
   },
-  { /* 432 */
+  { /* 390 */
     0,
     /*  */
   },
-  { /* 433 */
+  { /* 391 */
     0,
     /*  */
   },
-  { /* 434 */
+  { /* 392 */
     0,
     /*  */
   },
-  { /* 435 */
+  { /* 393 */
     0,
     /*  */
   },
-  { /* 436 */
+  { /* 394 */
     0,
     /*  */
   },
-  { /* 437 */
+  { /* 395 */
     0,
     /*  */
   },
-  { /* 438 */
+  { /* 396 */
     0,
     /*  */
   },
-  { /* 439 */
+  { /* 397 */
     0,
     /*  */
   },
-  { /* 440 */
+  { /* 398 */
     0,
     /*  */
   },
-  { /* 441 */
+  { /* 399 */
     0,
     /*  */
   },
-  { /* 442 */
+  { /* 400 */
     0,
     /*  */
   },
-  { /* 443 */
+  { /* 401 */
     0,
     /*  */
   },
-  { /* 444 */
+  { /* 402 */
     0,
     /*  */
   },
-  { /* 445 */
+  { /* 403 */
     0,
     /*  */
   },
-  { /* 446 */
+  { /* 404 */
     0,
     /*  */
   },
-  { /* 447 */
+  { /* 405 */
     0,
     /*  */
   },
-  { /* 448 */
+  { /* 406 */
     0,
     /*  */
   },
-  { /* 449 */
+  { /* 407 */
     2,
     /* CMP16i16 */
   },
-  { /* 450 */
+  { /* 408 */
     3,
     /* CMP16mi */
   },
-  { /* 451 */
+  { /* 409 */
     4,
     /* CMP16mi8 */
   },
-  { /* 452 */
+  { /* 410 */
     5,
     /* CMP16mr */
   },
-  { /* 453 */
-    65,
+  { /* 411 */
+    67,
     /* CMP16ri */
   },
-  { /* 454 */
-    54,
+  { /* 412 */
+    56,
     /* CMP16ri8 */
   },
-  { /* 455 */
-    50,
+  { /* 413 */
+    52,
     /* CMP16rm */
   },
-  { /* 456 */
-    55,
+  { /* 414 */
+    57,
     /* CMP16rr */
   },
-  { /* 457 */
-    51,
+  { /* 415 */
+    53,
     /* CMP16rr_REV */
   },
-  { /* 458 */
+  { /* 416 */
     2,
     /* CMP32i32 */
   },
-  { /* 459 */
+  { /* 417 */
     3,
     /* CMP32mi */
   },
-  { /* 460 */
+  { /* 418 */
     11,
     /* CMP32mi8 */
   },
-  { /* 461 */
+  { /* 419 */
     5,
     /* CMP32mr */
   },
-  { /* 462 */
-    65,
+  { /* 420 */
+    67,
     /* CMP32ri */
   },
-  { /* 463 */
-    56,
+  { /* 421 */
+    58,
     /* CMP32ri8 */
   },
-  { /* 464 */
-    50,
+  { /* 422 */
+    52,
     /* CMP32rm */
   },
-  { /* 465 */
-    55,
+  { /* 423 */
+    57,
     /* CMP32rr */
   },
-  { /* 466 */
-    51,
+  { /* 424 */
+    53,
     /* CMP32rr_REV */
   },
-  { /* 467 */
+  { /* 425 */
     13,
     /* CMP64i32 */
   },
-  { /* 468 */
+  { /* 426 */
     14,
     /* CMP64mi32 */
   },
-  { /* 469 */
+  { /* 427 */
     15,
     /* CMP64mi8 */
   },
-  { /* 470 */
+  { /* 428 */
     16,
     /* CMP64mr */
   },
-  { /* 471 */
-    66,
+  { /* 429 */
+    68,
     /* CMP64ri32 */
   },
-  { /* 472 */
-    57,
+  { /* 430 */
+    59,
     /* CMP64ri8 */
   },
-  { /* 473 */
-    30,
+  { /* 431 */
+    32,
     /* CMP64rm */
   },
-  { /* 474 */
-    58,
+  { /* 432 */
+    60,
     /* CMP64rr */
   },
-  { /* 475 */
-    31,
+  { /* 433 */
+    33,
     /* CMP64rr_REV */
   },
-  { /* 476 */
+  { /* 434 */
     1,
     /* CMP8i8 */
   },
-  { /* 477 */
+  { /* 435 */
     22,
     /* CMP8mi */
   },
-  { /* 478 */
+  { /* 436 */
     23,
     /* CMP8mr */
   },
-  { /* 479 */
-    67,
+  { /* 437 */
+    69,
     /* CMP8ri */
   },
-  { /* 480 */
-    68,
+  { /* 438 */
+    70,
     /* CMP8rm */
   },
-  { /* 481 */
-    69,
+  { /* 439 */
+    71,
     /* CMP8rr */
   },
-  { /* 482 */
-    70,
+  { /* 440 */
+    72,
     /* CMP8rr_REV */
   },
-  { /* 483 */
-    71,
-    /* CMPS16 */
-  },
-  { /* 484 */
-    72,
-    /* CMPS32 */
-  },
-  { /* 485 */
+  { /* 441 */
     73,
-    /* CMPS64 */
+    /* CMPSB */
   },
-  { /* 486 */
+  { /* 442 */
     74,
-    /* CMPS8 */
+    /* CMPSL */
   },
-  { /* 487 */
+  { /* 443 */
     75,
+    /* CMPSQ */
+  },
+  { /* 444 */
+    76,
+    /* CMPSW */
+  },
+  { /* 445 */
+    77,
     /* CMPXCHG16B */
   },
-  { /* 488 */
+  { /* 446 */
     5,
     /* CMPXCHG16rm */
   },
-  { /* 489 */
-    55,
+  { /* 447 */
+    57,
     /* CMPXCHG16rr */
   },
-  { /* 490 */
+  { /* 448 */
     5,
     /* CMPXCHG32rm */
   },
-  { /* 491 */
-    55,
+  { /* 449 */
+    57,
     /* CMPXCHG32rr */
   },
-  { /* 492 */
+  { /* 450 */
     16,
     /* CMPXCHG64rm */
   },
-  { /* 493 */
-    58,
+  { /* 451 */
+    60,
     /* CMPXCHG64rr */
   },
-  { /* 494 */
-    59,
+  { /* 452 */
+    61,
     /* CMPXCHG8B */
   },
-  { /* 495 */
+  { /* 453 */
     23,
     /* CMPXCHG8rm */
   },
-  { /* 496 */
-    69,
+  { /* 454 */
+    71,
     /* CMPXCHG8rr */
   },
-  { /* 497 */
+  { /* 455 */
     0,
     /* CPUID32 */
   },
-  { /* 498 */
+  { /* 456 */
     0,
     /* CPUID64 */
   },
-  { /* 499 */
+  { /* 457 */
     0,
     /* CQO */
   },
-  { /* 500 */
-    0,
-    /* CS_PREFIX */
-  },
-  { /* 501 */
+  { /* 458 */
     0,
     /* CWD */
   },
-  { /* 502 */
+  { /* 459 */
     0,
     /* CWDE */
   },
-  { /* 503 */
+  { /* 460 */
     0,
     /* DAA */
   },
-  { /* 504 */
+  { /* 461 */
     0,
     /* DAS */
   },
-  { /* 505 */
+  { /* 462 */
     0,
     /* DATA16_PREFIX */
   },
-  { /* 506 */
-    59,
+  { /* 463 */
+    61,
     /* DEC16m */
   },
-  { /* 507 */
-    52,
+  { /* 464 */
+    54,
     /* DEC16r */
   },
-  { /* 508 */
-    76,
+  { /* 465 */
+    78,
     /* DEC32_16r */
   },
-  { /* 509 */
-    76,
+  { /* 466 */
+    78,
     /* DEC32_32r */
   },
-  { /* 510 */
-    59,
+  { /* 467 */
+    61,
     /* DEC32m */
   },
-  { /* 511 */
-    52,
+  { /* 468 */
+    54,
     /* DEC32r */
   },
-  { /* 512 */
-    59,
+  { /* 469 */
+    61,
     /* DEC64_16m */
   },
-  { /* 513 */
-    76,
+  { /* 470 */
+    78,
     /* DEC64_16r */
   },
-  { /* 514 */
-    59,
+  { /* 471 */
+    61,
     /* DEC64_32m */
   },
-  { /* 515 */
-    76,
+  { /* 472 */
+    78,
     /* DEC64_32r */
   },
-  { /* 516 */
-    59,
+  { /* 473 */
+    61,
     /* DEC64m */
   },
-  { /* 517 */
-    77,
+  { /* 474 */
+    79,
     /* DEC64r */
   },
-  { /* 518 */
-    78,
+  { /* 475 */
+    80,
     /* DEC8m */
   },
-  { /* 519 */
-    79,
+  { /* 476 */
+    81,
     /* DEC8r */
   },
-  { /* 520 */
-    59,
+  { /* 477 */
+    61,
     /* DIV16m */
   },
-  { /* 521 */
-    60,
+  { /* 478 */
+    62,
     /* DIV16r */
   },
-  { /* 522 */
-    59,
+  { /* 479 */
+    61,
     /* DIV32m */
   },
-  { /* 523 */
-    60,
+  { /* 480 */
+    62,
     /* DIV32r */
   },
-  { /* 524 */
-    59,
+  { /* 481 */
+    61,
     /* DIV64m */
   },
-  { /* 525 */
-    62,
+  { /* 482 */
+    64,
     /* DIV64r */
   },
-  { /* 526 */
-    78,
+  { /* 483 */
+    80,
     /* DIV8m */
   },
-  { /* 527 */
-    80,
+  { /* 484 */
+    82,
     /* DIV8r */
   },
-  { /* 528 */
-    0,
-    /* DS_PREFIX */
-  },
-  { /* 529 */
+  { /* 485 */
     0,
     /*  */
   },
-  { /* 530 */
+  { /* 486 */
     0,
     /*  */
   },
-  { /* 531 */
+  { /* 487 */
     0,
     /*  */
   },
-  { /* 532 */
+  { /* 488 */
     0,
     /*  */
   },
-  { /* 533 */
+  { /* 489 */
     0,
     /*  */
   },
-  { /* 534 */
+  { /* 490 */
     0,
     /*  */
   },
-  { /* 535 */
+  { /* 491 */
     0,
     /*  */
   },
-  { /* 536 */
-    81,
+  { /* 492 */
+    83,
     /* ENTER */
   },
-  { /* 537 */
-    0,
-    /* ES_PREFIX */
-  },
-  { /* 538 */
-    82,
+  { /* 493 */
+    84,
     /* FARCALL16i */
   },
-  { /* 539 */
-    83,
+  { /* 494 */
+    85,
     /* FARCALL16m */
   },
-  { /* 540 */
-    84,
+  { /* 495 */
+    86,
     /* FARCALL32i */
   },
-  { /* 541 */
-    85,
+  { /* 496 */
+    87,
     /* FARCALL32m */
   },
-  { /* 542 */
-    86,
+  { /* 497 */
+    88,
     /* FARCALL64 */
   },
-  { /* 543 */
-    82,
+  { /* 498 */
+    84,
     /* FARJMP16i */
   },
-  { /* 544 */
-    83,
+  { /* 499 */
+    85,
     /* FARJMP16m */
   },
-  { /* 545 */
-    84,
+  { /* 500 */
+    86,
     /* FARJMP32i */
   },
-  { /* 546 */
-    85,
+  { /* 501 */
+    87,
     /* FARJMP32m */
   },
-  { /* 547 */
-    86,
+  { /* 502 */
+    88,
     /* FARJMP64 */
   },
-  { /* 548 */
+  { /* 503 */
     0,
     /* FSETPM */
   },
-  { /* 549 */
-    0,
-    /* FS_PREFIX */
-  },
-  { /* 550 */
+  { /* 504 */
     0,
     /* GETSEC */
   },
-  { /* 551 */
-    0,
-    /* GS_PREFIX */
-  },
-  { /* 552 */
+  { /* 505 */
     0,
     /* HLT */
   },
-  { /* 553 */
-    59,
+  { /* 506 */
+    61,
     /* IDIV16m */
   },
-  { /* 554 */
-    60,
+  { /* 507 */
+    62,
     /* IDIV16r */
   },
-  { /* 555 */
-    59,
+  { /* 508 */
+    61,
     /* IDIV32m */
   },
-  { /* 556 */
-    60,
+  { /* 509 */
+    62,
     /* IDIV32r */
   },
-  { /* 557 */
-    59,
+  { /* 510 */
+    61,
     /* IDIV64m */
   },
-  { /* 558 */
-    62,
+  { /* 511 */
+    64,
     /* IDIV64r */
   },
-  { /* 559 */
-    78,
+  { /* 512 */
+    80,
     /* IDIV8m */
   },
-  { /* 560 */
-    80,
+  { /* 513 */
+    82,
     /* IDIV8r */
   },
-  { /* 561 */
-    59,
+  { /* 514 */
+    61,
     /* IMUL16m */
   },
-  { /* 562 */
-    60,
+  { /* 515 */
+    62,
     /* IMUL16r */
   },
-  { /* 563 */
+  { /* 516 */
     8,
     /* IMUL16rm */
   },
-  { /* 564 */
-    87,
+  { /* 517 */
+    89,
     /* IMUL16rmi */
   },
-  { /* 565 */
-    88,
+  { /* 518 */
+    90,
     /* IMUL16rmi8 */
   },
-  { /* 566 */
+  { /* 519 */
     10,
     /* IMUL16rr */
   },
-  { /* 567 */
-    89,
+  { /* 520 */
+    91,
     /* IMUL16rri */
   },
-  { /* 568 */
-    90,
+  { /* 521 */
+    92,
     /* IMUL16rri8 */
   },
-  { /* 569 */
-    59,
+  { /* 522 */
+    61,
     /* IMUL32m */
   },
-  { /* 570 */
-    60,
+  { /* 523 */
+    62,
     /* IMUL32r */
   },
-  { /* 571 */
+  { /* 524 */
     8,
     /* IMUL32rm */
   },
-  { /* 572 */
-    87,
+  { /* 525 */
+    89,
     /* IMUL32rmi */
   },
-  { /* 573 */
-    91,
+  { /* 526 */
+    93,
     /* IMUL32rmi8 */
   },
-  { /* 574 */
+  { /* 527 */
     10,
     /* IMUL32rr */
   },
-  { /* 575 */
-    89,
+  { /* 528 */
+    91,
     /* IMUL32rri */
   },
-  { /* 576 */
-    92,
+  { /* 529 */
+    94,
     /* IMUL32rri8 */
   },
-  { /* 577 */
-    59,
+  { /* 530 */
+    61,
     /* IMUL64m */
   },
-  { /* 578 */
-    62,
+  { /* 531 */
+    64,
     /* IMUL64r */
   },
-  { /* 579 */
+  { /* 532 */
     19,
     /* IMUL64rm */
   },
-  { /* 580 */
-    44,
+  { /* 533 */
+    46,
     /* IMUL64rmi32 */
   },
-  { /* 581 */
-    93,
+  { /* 534 */
+    95,
     /* IMUL64rmi8 */
   },
-  { /* 582 */
+  { /* 535 */
     21,
     /* IMUL64rr */
   },
-  { /* 583 */
-    45,
+  { /* 536 */
+    47,
     /* IMUL64rri32 */
   },
-  { /* 584 */
-    94,
+  { /* 537 */
+    96,
     /* IMUL64rri8 */
   },
-  { /* 585 */
-    78,
+  { /* 538 */
+    80,
     /* IMUL8m */
   },
-  { /* 586 */
-    80,
+  { /* 539 */
+    82,
     /* IMUL8r */
   },
-  { /* 587 */
-    95,
-    /* IN16 */
-  },
-  { /* 588 */
+  { /* 540 */
     1,
     /* IN16ri */
   },
-  { /* 589 */
+  { /* 541 */
     0,
     /* IN16rr */
   },
-  { /* 590 */
-    96,
-    /* IN32 */
-  },
-  { /* 591 */
+  { /* 542 */
     1,
     /* IN32ri */
   },
-  { /* 592 */
+  { /* 543 */
     0,
     /* IN32rr */
   },
-  { /* 593 */
-    97,
-    /* IN8 */
-  },
-  { /* 594 */
+  { /* 544 */
     1,
     /* IN8ri */
   },
-  { /* 595 */
+  { /* 545 */
     0,
     /* IN8rr */
   },
-  { /* 596 */
-    59,
+  { /* 546 */
+    61,
     /* INC16m */
   },
-  { /* 597 */
-    52,
+  { /* 547 */
+    54,
     /* INC16r */
   },
-  { /* 598 */
-    76,
+  { /* 548 */
+    78,
     /* INC32_16r */
   },
-  { /* 599 */
-    76,
+  { /* 549 */
+    78,
     /* INC32_32r */
   },
-  { /* 600 */
-    59,
+  { /* 550 */
+    61,
     /* INC32m */
   },
-  { /* 601 */
-    52,
+  { /* 551 */
+    54,
     /* INC32r */
   },
-  { /* 602 */
-    59,
+  { /* 552 */
+    61,
     /* INC64_16m */
   },
-  { /* 603 */
-    76,
+  { /* 553 */
+    78,
     /* INC64_16r */
   },
-  { /* 604 */
-    59,
+  { /* 554 */
+    61,
     /* INC64_32m */
   },
-  { /* 605 */
-    76,
+  { /* 555 */
+    78,
     /* INC64_32r */
   },
-  { /* 606 */
-    59,
+  { /* 556 */
+    61,
     /* INC64m */
   },
-  { /* 607 */
-    77,
+  { /* 557 */
+    79,
     /* INC64r */
   },
-  { /* 608 */
-    78,
+  { /* 558 */
+    80,
     /* INC8m */
   },
-  { /* 609 */
-    79,
+  { /* 559 */
+    81,
     /* INC8r */
   },
-  { /* 610 */
+  { /* 560 */
+    97,
+    /* INSB */
+  },
+  { /* 561 */
+    98,
+    /* INSL */
+  },
+  { /* 562 */
+    99,
+    /* INSW */
+  },
+  { /* 563 */
     1,
     /* INT */
   },
-  { /* 611 */
+  { /* 564 */
     0,
     /* INT1 */
   },
-  { /* 612 */
+  { /* 565 */
     0,
     /* INT3 */
   },
-  { /* 613 */
+  { /* 566 */
     0,
     /* INTO */
   },
-  { /* 614 */
+  { /* 567 */
     0,
     /* INVD */
   },
-  { /* 615 */
-    98,
+  { /* 568 */
+    100,
     /* INVEPT32 */
   },
-  { /* 616 */
-    99,
+  { /* 569 */
+    101,
     /* INVEPT64 */
   },
-  { /* 617 */
-    78,
+  { /* 570 */
+    80,
     /* INVLPG */
   },
-  { /* 618 */
+  { /* 571 */
     0,
     /* INVLPGA32 */
   },
-  { /* 619 */
+  { /* 572 */
     0,
     /* INVLPGA64 */
   },
-  { /* 620 */
-    98,
+  { /* 573 */
+    100,
     /* INVPCID32 */
   },
-  { /* 621 */
-    99,
+  { /* 574 */
+    101,
     /* INVPCID64 */
   },
-  { /* 622 */
-    98,
+  { /* 575 */
+    100,
     /* INVVPID32 */
   },
-  { /* 623 */
-    99,
+  { /* 576 */
+    101,
     /* INVVPID64 */
   },
-  { /* 624 */
+  { /* 577 */
     0,
     /* IRET16 */
   },
-  { /* 625 */
+  { /* 578 */
     0,
     /* IRET32 */
   },
-  { /* 626 */
+  { /* 579 */
     0,
     /* IRET64 */
   },
-  { /* 627 */
+  { /* 580 */
     0,
     /*  */
   },
-  { /* 628 */
-    100,
+  { /* 581 */
+    102,
     /* JAE_1 */
   },
-  { /* 629 */
-    101,
+  { /* 582 */
+    103,
     /* JAE_2 */
   },
-  { /* 630 */
-    101,
+  { /* 583 */
+    103,
     /* JAE_4 */
   },
-  { /* 631 */
-    100,
+  { /* 584 */
+    102,
     /* JA_1 */
   },
-  { /* 632 */
-    101,
+  { /* 585 */
+    103,
     /* JA_2 */
   },
-  { /* 633 */
-    101,
+  { /* 586 */
+    103,
     /* JA_4 */
   },
-  { /* 634 */
-    100,
+  { /* 587 */
+    102,
     /* JBE_1 */
   },
-  { /* 635 */
-    101,
+  { /* 588 */
+    103,
     /* JBE_2 */
   },
-  { /* 636 */
-    101,
+  { /* 589 */
+    103,
     /* JBE_4 */
   },
-  { /* 637 */
-    100,
+  { /* 590 */
+    102,
     /* JB_1 */
   },
-  { /* 638 */
-    101,
+  { /* 591 */
+    103,
     /* JB_2 */
   },
-  { /* 639 */
-    101,
+  { /* 592 */
+    103,
     /* JB_4 */
   },
-  { /* 640 */
-    100,
+  { /* 593 */
+    102,
     /* JCXZ */
   },
-  { /* 641 */
-    100,
+  { /* 594 */
+    102,
     /* JECXZ_32 */
   },
-  { /* 642 */
-    100,
+  { /* 595 */
+    102,
     /* JECXZ_64 */
   },
-  { /* 643 */
-    100,
+  { /* 596 */
+    102,
     /* JE_1 */
   },
-  { /* 644 */
-    101,
+  { /* 597 */
+    103,
     /* JE_2 */
   },
-  { /* 645 */
-    101,
+  { /* 598 */
+    103,
     /* JE_4 */
   },
-  { /* 646 */
-    100,
+  { /* 599 */
+    102,
     /* JGE_1 */
   },
-  { /* 647 */
-    101,
+  { /* 600 */
+    103,
     /* JGE_2 */
   },
-  { /* 648 */
-    101,
+  { /* 601 */
+    103,
     /* JGE_4 */
   },
-  { /* 649 */
-    100,
+  { /* 602 */
+    102,
     /* JG_1 */
   },
-  { /* 650 */
-    101,
+  { /* 603 */
+    103,
     /* JG_2 */
   },
-  { /* 651 */
-    101,
+  { /* 604 */
+    103,
     /* JG_4 */
   },
-  { /* 652 */
-    100,
+  { /* 605 */
+    102,
     /* JLE_1 */
   },
-  { /* 653 */
-    101,
+  { /* 606 */
+    103,
     /* JLE_2 */
   },
-  { /* 654 */
-    101,
+  { /* 607 */
+    103,
     /* JLE_4 */
   },
-  { /* 655 */
-    100,
+  { /* 608 */
+    102,
     /* JL_1 */
   },
-  { /* 656 */
-    101,
+  { /* 609 */
+    103,
     /* JL_2 */
   },
-  { /* 657 */
-    101,
+  { /* 610 */
+    103,
     /* JL_4 */
   },
-  { /* 658 */
-    59,
+  { /* 611 */
+    61,
     /* JMP16m */
   },
-  { /* 659 */
-    60,
+  { /* 612 */
+    62,
     /* JMP16r */
   },
-  { /* 660 */
-    59,
+  { /* 613 */
+    61,
     /* JMP32m */
   },
-  { /* 661 */
-    60,
+  { /* 614 */
+    62,
     /* JMP32r */
   },
-  { /* 662 */
-    59,
+  { /* 615 */
+    61,
     /* JMP64m */
   },
-  { /* 663 */
-    62,
+  { /* 616 */
+    64,
     /* JMP64r */
   },
-  { /* 664 */
-    100,
+  { /* 617 */
+    102,
     /* JMP_1 */
   },
-  { /* 665 */
-    101,
+  { /* 618 */
+    103,
     /* JMP_2 */
   },
-  { /* 666 */
-    101,
+  { /* 619 */
+    103,
     /* JMP_4 */
   },
-  { /* 667 */
-    100,
+  { /* 620 */
+    102,
     /* JNE_1 */
   },
-  { /* 668 */
-    101,
+  { /* 621 */
+    103,
     /* JNE_2 */
   },
-  { /* 669 */
-    101,
+  { /* 622 */
+    103,
     /* JNE_4 */
   },
-  { /* 670 */
-    100,
+  { /* 623 */
+    102,
     /* JNO_1 */
   },
-  { /* 671 */
-    101,
+  { /* 624 */
+    103,
     /* JNO_2 */
   },
-  { /* 672 */
-    101,
+  { /* 625 */
+    103,
     /* JNO_4 */
   },
-  { /* 673 */
-    100,
+  { /* 626 */
+    102,
     /* JNP_1 */
   },
-  { /* 674 */
-    101,
+  { /* 627 */
+    103,
     /* JNP_2 */
   },
-  { /* 675 */
-    101,
+  { /* 628 */
+    103,
     /* JNP_4 */
   },
-  { /* 676 */
-    100,
+  { /* 629 */
+    102,
     /* JNS_1 */
   },
-  { /* 677 */
-    101,
+  { /* 630 */
+    103,
     /* JNS_2 */
   },
-  { /* 678 */
-    101,
+  { /* 631 */
+    103,
     /* JNS_4 */
   },
-  { /* 679 */
-    100,
+  { /* 632 */
+    102,
     /* JO_1 */
   },
-  { /* 680 */
-    101,
+  { /* 633 */
+    103,
     /* JO_2 */
   },
-  { /* 681 */
-    101,
+  { /* 634 */
+    103,
     /* JO_4 */
   },
-  { /* 682 */
-    100,
+  { /* 635 */
+    102,
     /* JP_1 */
   },
-  { /* 683 */
-    101,
+  { /* 636 */
+    103,
     /* JP_2 */
   },
-  { /* 684 */
-    101,
+  { /* 637 */
+    103,
     /* JP_4 */
   },
-  { /* 685 */
-    100,
+  { /* 638 */
+    102,
     /* JRCXZ */
   },
-  { /* 686 */
-    100,
+  { /* 639 */
+    102,
     /* JS_1 */
   },
-  { /* 687 */
-    101,
+  { /* 640 */
+    103,
     /* JS_2 */
   },
-  { /* 688 */
-    101,
+  { /* 641 */
+    103,
     /* JS_4 */
   },
-  { /* 689 */
+  { /* 642 */
     0,
     /* LAHF */
   },
-  { /* 690 */
-    50,
+  { /* 643 */
+    52,
     /* LAR16rm */
   },
-  { /* 691 */
-    51,
+  { /* 644 */
+    53,
     /* LAR16rr */
   },
-  { /* 692 */
-    50,
+  { /* 645 */
+    52,
     /* LAR32rm */
   },
-  { /* 693 */
-    51,
+  { /* 646 */
+    53,
     /* LAR32rr */
   },
-  { /* 694 */
-    30,
+  { /* 647 */
+    32,
     /* LAR64rm */
   },
-  { /* 695 */
-    102,
+  { /* 648 */
+    104,
     /* LAR64rr */
   },
+  { /* 649 */
+    0,
+    /*  */
+  },
+  { /* 650 */
+    0,
+    /*  */
+  },
+  { /* 651 */
+    0,
+    /*  */
+  },
+  { /* 652 */
+    0,
+    /*  */
+  },
+  { /* 653 */
+    0,
+    /*  */
+  },
+  { /* 654 */
+    0,
+    /*  */
+  },
+  { /* 655 */
+    105,
+    /* LDS16rm */
+  },
+  { /* 656 */
+    106,
+    /* LDS32rm */
+  },
+  { /* 657 */
+    52,
+    /* LEA16r */
+  },
+  { /* 658 */
+    52,
+    /* LEA32r */
+  },
+  { /* 659 */
+    107,
+    /* LEA64_32r */
+  },
+  { /* 660 */
+    108,
+    /* LEA64r */
+  },
+  { /* 661 */
+    0,
+    /* LEAVE */
+  },
+  { /* 662 */
+    0,
+    /* LEAVE64 */
+  },
+  { /* 663 */
+    105,
+    /* LES16rm */
+  },
+  { /* 664 */
+    106,
+    /* LES32rm */
+  },
+  { /* 665 */
+    105,
+    /* LFS16rm */
+  },
+  { /* 666 */
+    106,
+    /* LFS32rm */
+  },
+  { /* 667 */
+    109,
+    /* LFS64rm */
+  },
+  { /* 668 */
+    87,
+    /* LGDT16m */
+  },
+  { /* 669 */
+    87,
+    /* LGDT32m */
+  },
+  { /* 670 */
+    88,
+    /* LGDT64m */
+  },
+  { /* 671 */
+    105,
+    /* LGS16rm */
+  },
+  { /* 672 */
+    106,
+    /* LGS32rm */
+  },
+  { /* 673 */
+    109,
+    /* LGS64rm */
+  },
+  { /* 674 */
+    87,
+    /* LIDT16m */
+  },
+  { /* 675 */
+    87,
+    /* LIDT32m */
+  },
+  { /* 676 */
+    88,
+    /* LIDT64m */
+  },
+  { /* 677 */
+    61,
+    /* LLDT16m */
+  },
+  { /* 678 */
+    110,
+    /* LLDT16r */
+  },
+  { /* 679 */
+    61,
+    /* LMSW16m */
+  },
+  { /* 680 */
+    110,
+    /* LMSW16r */
+  },
+  { /* 681 */
+    0,
+    /*  */
+  },
+  { /* 682 */
+    0,
+    /*  */
+  },
+  { /* 683 */
+    0,
+    /*  */
+  },
+  { /* 684 */
+    0,
+    /*  */
+  },
+  { /* 685 */
+    0,
+    /*  */
+  },
+  { /* 686 */
+    0,
+    /*  */
+  },
+  { /* 687 */
+    0,
+    /*  */
+  },
+  { /* 688 */
+    0,
+    /*  */
+  },
+  { /* 689 */
+    0,
+    /*  */
+  },
+  { /* 690 */
+    0,
+    /*  */
+  },
+  { /* 691 */
+    0,
+    /*  */
+  },
+  { /* 692 */
+    0,
+    /*  */
+  },
+  { /* 693 */
+    0,
+    /*  */
+  },
+  { /* 694 */
+    0,
+    /*  */
+  },
+  { /* 695 */
+    0,
+    /*  */
+  },
   { /* 696 */
     0,
     /*  */
@@ -5242,108 +5420,108 @@
     /*  */
   },
   { /* 702 */
-    103,
-    /* LDS16rm */
+    0,
+    /*  */
   },
   { /* 703 */
-    104,
-    /* LDS32rm */
+    0,
+    /*  */
   },
   { /* 704 */
-    50,
-    /* LEA16r */
+    0,
+    /*  */
   },
   { /* 705 */
-    50,
-    /* LEA32r */
+    0,
+    /*  */
   },
   { /* 706 */
-    105,
-    /* LEA64_32r */
+    0,
+    /*  */
   },
   { /* 707 */
-    106,
-    /* LEA64r */
+    0,
+    /*  */
   },
   { /* 708 */
     0,
-    /* LEAVE */
+    /*  */
   },
   { /* 709 */
     0,
-    /* LEAVE64 */
+    /*  */
   },
   { /* 710 */
-    103,
-    /* LES16rm */
+    0,
+    /*  */
   },
   { /* 711 */
-    104,
-    /* LES32rm */
+    0,
+    /*  */
   },
   { /* 712 */
-    103,
-    /* LFS16rm */
+    0,
+    /*  */
   },
   { /* 713 */
-    104,
-    /* LFS32rm */
+    0,
+    /*  */
   },
   { /* 714 */
-    107,
-    /* LFS64rm */
+    0,
+    /*  */
   },
   { /* 715 */
-    85,
-    /* LGDT16m */
+    0,
+    /*  */
   },
   { /* 716 */
-    85,
-    /* LGDT32m */
+    0,
+    /*  */
   },
   { /* 717 */
-    86,
-    /* LGDT64m */
+    0,
+    /*  */
   },
   { /* 718 */
-    103,
-    /* LGS16rm */
+    0,
+    /*  */
   },
   { /* 719 */
-    104,
-    /* LGS32rm */
+    0,
+    /*  */
   },
   { /* 720 */
-    107,
-    /* LGS64rm */
+    0,
+    /*  */
   },
   { /* 721 */
-    85,
-    /* LIDT16m */
+    0,
+    /*  */
   },
   { /* 722 */
-    85,
-    /* LIDT32m */
+    0,
+    /* LOCK_PREFIX */
   },
   { /* 723 */
-    86,
-    /* LIDT64m */
+    0,
+    /*  */
   },
   { /* 724 */
-    59,
-    /* LLDT16m */
+    0,
+    /*  */
   },
   { /* 725 */
-    108,
-    /* LLDT16r */
+    0,
+    /*  */
   },
   { /* 726 */
-    59,
-    /* LMSW16m */
+    0,
+    /*  */
   },
   { /* 727 */
-    108,
-    /* LMSW16r */
+    0,
+    /*  */
   },
   { /* 728 */
     0,
@@ -5414,104 +5592,104 @@
     /*  */
   },
   { /* 745 */
-    0,
-    /*  */
+    111,
+    /* LODSB */
   },
   { /* 746 */
-    0,
-    /*  */
+    112,
+    /* LODSL */
   },
   { /* 747 */
-    0,
-    /*  */
+    113,
+    /* LODSQ */
   },
   { /* 748 */
-    0,
-    /*  */
+    114,
+    /* LODSW */
   },
   { /* 749 */
-    0,
-    /*  */
+    102,
+    /* LOOP */
   },
   { /* 750 */
-    0,
-    /*  */
+    102,
+    /* LOOPE */
   },
   { /* 751 */
-    0,
-    /*  */
+    102,
+    /* LOOPNE */
   },
   { /* 752 */
-    0,
-    /*  */
+    115,
+    /* LRETIL */
   },
   { /* 753 */
-    0,
-    /*  */
+    115,
+    /* LRETIQ */
   },
   { /* 754 */
-    0,
-    /*  */
+    2,
+    /* LRETIW */
   },
   { /* 755 */
     0,
-    /*  */
+    /* LRETL */
   },
   { /* 756 */
     0,
-    /*  */
+    /* LRETQ */
   },
   { /* 757 */
     0,
-    /*  */
+    /* LRETW */
   },
   { /* 758 */
-    0,
-    /*  */
+    52,
+    /* LSL16rm */
   },
   { /* 759 */
-    0,
-    /*  */
+    53,
+    /* LSL16rr */
   },
   { /* 760 */
-    0,
-    /*  */
+    52,
+    /* LSL32rm */
   },
   { /* 761 */
-    0,
-    /*  */
+    53,
+    /* LSL32rr */
   },
   { /* 762 */
-    0,
-    /*  */
+    32,
+    /* LSL64rm */
   },
   { /* 763 */
-    0,
-    /*  */
+    33,
+    /* LSL64rr */
   },
   { /* 764 */
-    0,
-    /*  */
+    105,
+    /* LSS16rm */
   },
   { /* 765 */
-    0,
-    /*  */
+    106,
+    /* LSS32rm */
   },
   { /* 766 */
-    0,
-    /*  */
+    109,
+    /* LSS64rm */
   },
   { /* 767 */
-    0,
-    /*  */
+    61,
+    /* LTRm */
   },
   { /* 768 */
-    0,
-    /*  */
+    110,
+    /* LTRr */
   },
   { /* 769 */
     0,
-    /* LOCK_PREFIX */
+    /*  */
   },
   { /* 770 */
     0,
@@ -5526,32 +5704,32 @@
     /*  */
   },
   { /* 773 */
-    0,
-    /*  */
+    52,
+    /* LZCNT16rm */
   },
   { /* 774 */
-    0,
-    /*  */
+    53,
+    /* LZCNT16rr */
   },
   { /* 775 */
-    0,
-    /*  */
+    52,
+    /* LZCNT32rm */
   },
   { /* 776 */
-    0,
-    /*  */
+    53,
+    /* LZCNT32rr */
   },
   { /* 777 */
-    0,
-    /*  */
+    32,
+    /* LZCNT64rm */
   },
   { /* 778 */
-    0,
-    /*  */
+    33,
+    /* LZCNT64rr */
   },
   { /* 779 */
     0,
-    /*  */
+    /* MONTMUL */
   },
   { /* 780 */
     0,
@@ -5562,3033 +5740,3033 @@
     /*  */
   },
   { /* 782 */
-    0,
-    /*  */
-  },
-  { /* 783 */
-    0,
-    /*  */
-  },
-  { /* 784 */
-    0,
-    /*  */
-  },
-  { /* 785 */
-    0,
-    /*  */
-  },
-  { /* 786 */
-    0,
-    /*  */
-  },
-  { /* 787 */
-    0,
-    /*  */
-  },
-  { /* 788 */
-    0,
-    /*  */
-  },
-  { /* 789 */
-    0,
-    /*  */
-  },
-  { /* 790 */
-    0,
-    /*  */
-  },
-  { /* 791 */
-    0,
-    /*  */
-  },
-  { /* 792 */
-    109,
-    /* LODSB */
-  },
-  { /* 793 */
-    110,
-    /* LODSL */
-  },
-  { /* 794 */
-    111,
-    /* LODSQ */
-  },
-  { /* 795 */
-    112,
-    /* LODSW */
-  },
-  { /* 796 */
-    100,
-    /* LOOP */
-  },
-  { /* 797 */
-    100,
-    /* LOOPE */
-  },
-  { /* 798 */
-    100,
-    /* LOOPNE */
-  },
-  { /* 799 */
-    113,
-    /* LRETIL */
-  },
-  { /* 800 */
-    113,
-    /* LRETIQ */
-  },
-  { /* 801 */
-    2,
-    /* LRETIW */
-  },
-  { /* 802 */
-    0,
-    /* LRETL */
-  },
-  { /* 803 */
-    0,
-    /* LRETQ */
-  },
-  { /* 804 */
-    0,
-    /* LRETW */
-  },
-  { /* 805 */
-    50,
-    /* LSL16rm */
-  },
-  { /* 806 */
-    51,
-    /* LSL16rr */
-  },
-  { /* 807 */
-    50,
-    /* LSL32rm */
-  },
-  { /* 808 */
-    51,
-    /* LSL32rr */
-  },
-  { /* 809 */
-    30,
-    /* LSL64rm */
-  },
-  { /* 810 */
-    31,
-    /* LSL64rr */
-  },
-  { /* 811 */
-    103,
-    /* LSS16rm */
-  },
-  { /* 812 */
-    104,
-    /* LSS32rm */
-  },
-  { /* 813 */
-    107,
-    /* LSS64rm */
-  },
-  { /* 814 */
-    59,
-    /* LTRm */
-  },
-  { /* 815 */
-    108,
-    /* LTRr */
-  },
-  { /* 816 */
-    0,
-    /*  */
-  },
-  { /* 817 */
-    0,
-    /*  */
-  },
-  { /* 818 */
-    0,
-    /*  */
-  },
-  { /* 819 */
-    0,
-    /*  */
-  },
-  { /* 820 */
-    50,
-    /* LZCNT16rm */
-  },
-  { /* 821 */
-    51,
-    /* LZCNT16rr */
-  },
-  { /* 822 */
-    50,
-    /* LZCNT32rm */
-  },
-  { /* 823 */
-    51,
-    /* LZCNT32rr */
-  },
-  { /* 824 */
-    30,
-    /* LZCNT64rm */
-  },
-  { /* 825 */
-    31,
-    /* LZCNT64rr */
-  },
-  { /* 826 */
-    0,
-    /* MONTMUL */
-  },
-  { /* 827 */
-    0,
-    /*  */
-  },
-  { /* 828 */
-    0,
-    /*  */
-  },
-  { /* 829 */
-    114,
+    116,
     /* MOV16ao16 */
   },
-  { /* 830 */
-    114,
+  { /* 783 */
+    116,
     /* MOV16ao16_16 */
   },
-  { /* 831 */
+  { /* 784 */
     3,
     /* MOV16mi */
   },
-  { /* 832 */
+  { /* 785 */
     5,
     /* MOV16mr */
   },
-  { /* 833 */
-    115,
+  { /* 786 */
+    117,
     /* MOV16ms */
   },
-  { /* 834 */
-    114,
+  { /* 787 */
+    116,
     /* MOV16o16a */
   },
-  { /* 835 */
-    114,
+  { /* 788 */
+    116,
     /* MOV16o16a_16 */
   },
-  { /* 836 */
-    116,
+  { /* 789 */
+    118,
     /* MOV16ri */
   },
-  { /* 837 */
-    65,
+  { /* 790 */
+    67,
     /* MOV16ri_alt */
   },
-  { /* 838 */
-    50,
+  { /* 791 */
+    52,
     /* MOV16rm */
   },
-  { /* 839 */
-    55,
+  { /* 792 */
+    57,
     /* MOV16rr */
   },
-  { /* 840 */
-    51,
+  { /* 793 */
+    53,
     /* MOV16rr_REV */
   },
-  { /* 841 */
-    117,
+  { /* 794 */
+    119,
     /* MOV16rs */
   },
-  { /* 842 */
-    118,
+  { /* 795 */
+    120,
     /* MOV16sm */
   },
-  { /* 843 */
-    119,
+  { /* 796 */
+    121,
     /* MOV16sr */
   },
-  { /* 844 */
-    120,
+  { /* 797 */
+    122,
     /* MOV32ao32 */
   },
-  { /* 845 */
-    120,
+  { /* 798 */
+    122,
     /* MOV32ao32_16 */
   },
-  { /* 846 */
-    121,
+  { /* 799 */
+    123,
     /* MOV32cr */
   },
-  { /* 847 */
-    122,
+  { /* 800 */
+    124,
     /* MOV32dr */
   },
-  { /* 848 */
+  { /* 801 */
     3,
     /* MOV32mi */
   },
-  { /* 849 */
+  { /* 802 */
     5,
     /* MOV32mr */
   },
-  { /* 850 */
-    115,
+  { /* 803 */
+    117,
     /* MOV32ms */
   },
-  { /* 851 */
-    120,
+  { /* 804 */
+    122,
     /* MOV32o32a */
   },
-  { /* 852 */
-    120,
+  { /* 805 */
+    122,
     /* MOV32o32a_16 */
   },
+  { /* 806 */
+    0,
+    /*  */
+  },
+  { /* 807 */
+    125,
+    /* MOV32rc */
+  },
+  { /* 808 */
+    126,
+    /* MOV32rd */
+  },
+  { /* 809 */
+    118,
+    /* MOV32ri */
+  },
+  { /* 810 */
+    0,
+    /*  */
+  },
+  { /* 811 */
+    67,
+    /* MOV32ri_alt */
+  },
+  { /* 812 */
+    52,
+    /* MOV32rm */
+  },
+  { /* 813 */
+    57,
+    /* MOV32rr */
+  },
+  { /* 814 */
+    53,
+    /* MOV32rr_REV */
+  },
+  { /* 815 */
+    119,
+    /* MOV32rs */
+  },
+  { /* 816 */
+    120,
+    /* MOV32sm */
+  },
+  { /* 817 */
+    121,
+    /* MOV32sr */
+  },
+  { /* 818 */
+    116,
+    /* MOV64ao16 */
+  },
+  { /* 819 */
+    122,
+    /* MOV64ao32 */
+  },
+  { /* 820 */
+    127,
+    /* MOV64ao64 */
+  },
+  { /* 821 */
+    128,
+    /* MOV64ao8 */
+  },
+  { /* 822 */
+    129,
+    /* MOV64cr */
+  },
+  { /* 823 */
+    130,
+    /* MOV64dr */
+  },
+  { /* 824 */
+    14,
+    /* MOV64mi32 */
+  },
+  { /* 825 */
+    16,
+    /* MOV64mr */
+  },
+  { /* 826 */
+    117,
+    /* MOV64ms */
+  },
+  { /* 827 */
+    116,
+    /* MOV64o16a */
+  },
+  { /* 828 */
+    122,
+    /* MOV64o32a */
+  },
+  { /* 829 */
+    127,
+    /* MOV64o64a */
+  },
+  { /* 830 */
+    128,
+    /* MOV64o8a */
+  },
+  { /* 831 */
+    131,
+    /* MOV64rc */
+  },
+  { /* 832 */
+    132,
+    /* MOV64rd */
+  },
+  { /* 833 */
+    133,
+    /* MOV64ri */
+  },
+  { /* 834 */
+    68,
+    /* MOV64ri32 */
+  },
+  { /* 835 */
+    32,
+    /* MOV64rm */
+  },
+  { /* 836 */
+    60,
+    /* MOV64rr */
+  },
+  { /* 837 */
+    33,
+    /* MOV64rr_REV */
+  },
+  { /* 838 */
+    134,
+    /* MOV64rs */
+  },
+  { /* 839 */
+    120,
+    /* MOV64sm */
+  },
+  { /* 840 */
+    135,
+    /* MOV64sr */
+  },
+  { /* 841 */
+    128,
+    /* MOV8ao8 */
+  },
+  { /* 842 */
+    128,
+    /* MOV8ao8_16 */
+  },
+  { /* 843 */
+    22,
+    /* MOV8mi */
+  },
+  { /* 844 */
+    23,
+    /* MOV8mr */
+  },
+  { /* 845 */
+    0,
+    /*  */
+  },
+  { /* 846 */
+    128,
+    /* MOV8o8a */
+  },
+  { /* 847 */
+    128,
+    /* MOV8o8a_16 */
+  },
+  { /* 848 */
+    136,
+    /* MOV8ri */
+  },
+  { /* 849 */
+    69,
+    /* MOV8ri_alt */
+  },
+  { /* 850 */
+    70,
+    /* MOV8rm */
+  },
+  { /* 851 */
+    0,
+    /*  */
+  },
+  { /* 852 */
+    71,
+    /* MOV8rr */
+  },
   { /* 853 */
     0,
     /*  */
   },
   { /* 854 */
-    123,
-    /* MOV32rc */
-  },
-  { /* 855 */
-    124,
-    /* MOV32rd */
-  },
-  { /* 856 */
-    116,
-    /* MOV32ri */
-  },
-  { /* 857 */
-    0,
-    /*  */
-  },
-  { /* 858 */
-    65,
-    /* MOV32ri_alt */
-  },
-  { /* 859 */
-    50,
-    /* MOV32rm */
-  },
-  { /* 860 */
-    55,
-    /* MOV32rr */
-  },
-  { /* 861 */
-    51,
-    /* MOV32rr_REV */
-  },
-  { /* 862 */
-    117,
-    /* MOV32rs */
-  },
-  { /* 863 */
-    118,
-    /* MOV32sm */
-  },
-  { /* 864 */
-    119,
-    /* MOV32sr */
-  },
-  { /* 865 */
-    114,
-    /* MOV64ao16 */
-  },
-  { /* 866 */
-    120,
-    /* MOV64ao32 */
-  },
-  { /* 867 */
-    125,
-    /* MOV64ao64 */
-  },
-  { /* 868 */
-    126,
-    /* MOV64ao8 */
-  },
-  { /* 869 */
-    127,
-    /* MOV64cr */
-  },
-  { /* 870 */
-    128,
-    /* MOV64dr */
-  },
-  { /* 871 */
-    14,
-    /* MOV64mi32 */
-  },
-  { /* 872 */
-    16,
-    /* MOV64mr */
-  },
-  { /* 873 */
-    115,
-    /* MOV64ms */
-  },
-  { /* 874 */
-    114,
-    /* MOV64o16a */
-  },
-  { /* 875 */
-    120,
-    /* MOV64o32a */
-  },
-  { /* 876 */
-    125,
-    /* MOV64o64a */
-  },
-  { /* 877 */
-    126,
-    /* MOV64o8a */
-  },
-  { /* 878 */
-    129,
-    /* MOV64rc */
-  },
-  { /* 879 */
-    130,
-    /* MOV64rd */
-  },
-  { /* 880 */
-    131,
-    /* MOV64ri */
-  },
-  { /* 881 */
-    66,
-    /* MOV64ri32 */
-  },
-  { /* 882 */
-    30,
-    /* MOV64rm */
-  },
-  { /* 883 */
-    58,
-    /* MOV64rr */
-  },
-  { /* 884 */
-    31,
-    /* MOV64rr_REV */
-  },
-  { /* 885 */
-    132,
-    /* MOV64rs */
-  },
-  { /* 886 */
-    118,
-    /* MOV64sm */
-  },
-  { /* 887 */
-    133,
-    /* MOV64sr */
-  },
-  { /* 888 */
-    126,
-    /* MOV8ao8 */
-  },
-  { /* 889 */
-    126,
-    /* MOV8ao8_16 */
-  },
-  { /* 890 */
-    22,
-    /* MOV8mi */
-  },
-  { /* 891 */
-    23,
-    /* MOV8mr */
-  },
-  { /* 892 */
-    0,
-    /*  */
-  },
-  { /* 893 */
-    126,
-    /* MOV8o8a */
-  },
-  { /* 894 */
-    126,
-    /* MOV8o8a_16 */
-  },
-  { /* 895 */
-    134,
-    /* MOV8ri */
-  },
-  { /* 896 */
-    67,
-    /* MOV8ri_alt */
-  },
-  { /* 897 */
-    68,
-    /* MOV8rm */
-  },
-  { /* 898 */
-    0,
-    /*  */
-  },
-  { /* 899 */
-    69,
-    /* MOV8rr */
-  },
-  { /* 900 */
-    0,
-    /*  */
-  },
-  { /* 901 */
-    70,
+    72,
     /* MOV8rr_REV */
   },
-  { /* 902 */
+  { /* 855 */
     5,
     /* MOVBE16mr */
   },
-  { /* 903 */
-    50,
+  { /* 856 */
+    52,
     /* MOVBE16rm */
   },
-  { /* 904 */
+  { /* 857 */
     5,
     /* MOVBE32mr */
   },
-  { /* 905 */
-    50,
+  { /* 858 */
+    52,
     /* MOVBE32rm */
   },
-  { /* 906 */
+  { /* 859 */
     16,
     /* MOVBE64mr */
   },
-  { /* 907 */
-    30,
+  { /* 860 */
+    32,
     /* MOVBE64rm */
   },
-  { /* 908 */
+  { /* 861 */
     0,
     /*  */
   },
-  { /* 909 */
-    74,
+  { /* 862 */
+    73,
     /* MOVSB */
   },
-  { /* 910 */
-    72,
+  { /* 863 */
+    74,
     /* MOVSL */
   },
-  { /* 911 */
-    73,
+  { /* 864 */
+    75,
     /* MOVSQ */
   },
-  { /* 912 */
-    71,
+  { /* 865 */
+    76,
     /* MOVSW */
   },
-  { /* 913 */
-    135,
+  { /* 866 */
+    137,
     /* MOVSX16rm8 */
   },
-  { /* 914 */
-    136,
+  { /* 867 */
+    138,
     /* MOVSX16rr8 */
   },
-  { /* 915 */
-    50,
+  { /* 868 */
+    52,
     /* MOVSX32rm16 */
   },
-  { /* 916 */
-    135,
+  { /* 869 */
+    137,
     /* MOVSX32rm8 */
   },
-  { /* 917 */
-    137,
+  { /* 870 */
+    139,
     /* MOVSX32rr16 */
   },
-  { /* 918 */
-    136,
+  { /* 871 */
+    138,
     /* MOVSX32rr8 */
   },
-  { /* 919 */
-    30,
+  { /* 872 */
+    32,
     /* MOVSX64rm16 */
   },
-  { /* 920 */
-    30,
+  { /* 873 */
+    32,
     /* MOVSX64rm32 */
   },
-  { /* 921 */
-    138,
+  { /* 874 */
+    140,
     /* MOVSX64rm8 */
   },
-  { /* 922 */
-    139,
+  { /* 875 */
+    141,
     /* MOVSX64rr16 */
   },
-  { /* 923 */
-    102,
+  { /* 876 */
+    104,
     /* MOVSX64rr32 */
   },
-  { /* 924 */
-    140,
+  { /* 877 */
+    142,
     /* MOVSX64rr8 */
   },
-  { /* 925 */
-    135,
+  { /* 878 */
+    137,
     /* MOVZX16rm8 */
   },
-  { /* 926 */
-    136,
+  { /* 879 */
+    138,
     /* MOVZX16rr8 */
   },
-  { /* 927 */
+  { /* 880 */
     0,
     /*  */
   },
-  { /* 928 */
+  { /* 881 */
     0,
     /*  */
   },
-  { /* 929 */
-    50,
+  { /* 882 */
+    52,
     /* MOVZX32rm16 */
   },
-  { /* 930 */
-    135,
+  { /* 883 */
+    137,
     /* MOVZX32rm8 */
   },
-  { /* 931 */
-    137,
+  { /* 884 */
+    139,
     /* MOVZX32rr16 */
   },
-  { /* 932 */
-    136,
+  { /* 885 */
+    138,
     /* MOVZX32rr8 */
   },
-  { /* 933 */
-    30,
+  { /* 886 */
+    32,
     /* MOVZX64rm16_Q */
   },
-  { /* 934 */
-    138,
+  { /* 887 */
+    140,
     /* MOVZX64rm8_Q */
   },
-  { /* 935 */
-    139,
+  { /* 888 */
+    141,
     /* MOVZX64rr16_Q */
   },
-  { /* 936 */
-    140,
+  { /* 889 */
+    142,
     /* MOVZX64rr8_Q */
   },
-  { /* 937 */
-    59,
+  { /* 890 */
+    61,
     /* MUL16m */
   },
-  { /* 938 */
-    60,
+  { /* 891 */
+    62,
     /* MUL16r */
   },
-  { /* 939 */
-    59,
+  { /* 892 */
+    61,
     /* MUL32m */
   },
-  { /* 940 */
-    60,
+  { /* 893 */
+    62,
     /* MUL32r */
   },
-  { /* 941 */
-    59,
+  { /* 894 */
+    61,
     /* MUL64m */
   },
-  { /* 942 */
-    62,
+  { /* 895 */
+    64,
     /* MUL64r */
   },
-  { /* 943 */
-    78,
+  { /* 896 */
+    80,
     /* MUL8m */
   },
-  { /* 944 */
-    80,
+  { /* 897 */
+    82,
     /* MUL8r */
   },
-  { /* 945 */
-    32,
+  { /* 898 */
+    34,
     /* MULX32rm */
   },
-  { /* 946 */
-    33,
+  { /* 899 */
+    35,
     /* MULX32rr */
   },
-  { /* 947 */
-    34,
+  { /* 900 */
+    36,
     /* MULX64rm */
   },
-  { /* 948 */
-    35,
+  { /* 901 */
+    37,
     /* MULX64rr */
   },
-  { /* 949 */
-    59,
+  { /* 902 */
+    61,
     /* NEG16m */
   },
-  { /* 950 */
-    76,
+  { /* 903 */
+    78,
     /* NEG16r */
   },
-  { /* 951 */
-    59,
+  { /* 904 */
+    61,
     /* NEG32m */
   },
-  { /* 952 */
-    76,
+  { /* 905 */
+    78,
     /* NEG32r */
   },
-  { /* 953 */
-    59,
+  { /* 906 */
+    61,
     /* NEG64m */
   },
-  { /* 954 */
-    77,
+  { /* 907 */
+    79,
     /* NEG64r */
   },
-  { /* 955 */
-    78,
+  { /* 908 */
+    80,
     /* NEG8m */
   },
-  { /* 956 */
-    79,
+  { /* 909 */
+    81,
     /* NEG8r */
   },
-  { /* 957 */
+  { /* 910 */
     0,
     /* NOOP */
   },
-  { /* 958 */
-    59,
+  { /* 911 */
+    61,
     /* NOOP18_16m4 */
   },
-  { /* 959 */
-    59,
+  { /* 912 */
+    61,
     /* NOOP18_16m5 */
   },
-  { /* 960 */
-    59,
+  { /* 913 */
+    61,
     /* NOOP18_16m6 */
   },
-  { /* 961 */
-    59,
+  { /* 914 */
+    61,
     /* NOOP18_16m7 */
   },
-  { /* 962 */
-    60,
+  { /* 915 */
+    62,
     /* NOOP18_16r4 */
   },
-  { /* 963 */
-    60,
+  { /* 916 */
+    62,
     /* NOOP18_16r5 */
   },
-  { /* 964 */
-    60,
+  { /* 917 */
+    62,
     /* NOOP18_16r6 */
   },
-  { /* 965 */
-    60,
+  { /* 918 */
+    62,
     /* NOOP18_16r7 */
   },
-  { /* 966 */
-    59,
+  { /* 919 */
+    61,
     /* NOOP18_m4 */
   },
-  { /* 967 */
-    59,
+  { /* 920 */
+    61,
     /* NOOP18_m5 */
   },
-  { /* 968 */
-    59,
+  { /* 921 */
+    61,
     /* NOOP18_m6 */
   },
-  { /* 969 */
-    59,
+  { /* 922 */
+    61,
     /* NOOP18_m7 */
   },
-  { /* 970 */
-    60,
+  { /* 923 */
+    62,
     /* NOOP18_r4 */
   },
-  { /* 971 */
-    60,
+  { /* 924 */
+    62,
     /* NOOP18_r5 */
   },
-  { /* 972 */
-    60,
+  { /* 925 */
+    62,
     /* NOOP18_r6 */
   },
-  { /* 973 */
-    60,
+  { /* 926 */
+    62,
     /* NOOP18_r7 */
   },
-  { /* 974 */
-    59,
+  { /* 927 */
+    61,
     /* NOOPL */
   },
-  { /* 975 */
-    59,
+  { /* 928 */
+    61,
     /* NOOPL_19 */
   },
-  { /* 976 */
-    59,
+  { /* 929 */
+    61,
     /* NOOPL_1a */
   },
-  { /* 977 */
-    59,
+  { /* 930 */
+    61,
     /* NOOPL_1b */
   },
-  { /* 978 */
-    59,
+  { /* 931 */
+    61,
     /* NOOPL_1c */
   },
-  { /* 979 */
-    59,
+  { /* 932 */
+    61,
     /* NOOPL_1d */
   },
-  { /* 980 */
-    59,
+  { /* 933 */
+    61,
     /* NOOPL_1e */
   },
-  { /* 981 */
-    59,
+  { /* 934 */
+    61,
     /* NOOPW */
   },
-  { /* 982 */
-    59,
+  { /* 935 */
+    61,
     /* NOOPW_19 */
   },
-  { /* 983 */
-    59,
+  { /* 936 */
+    61,
     /* NOOPW_1a */
   },
-  { /* 984 */
-    59,
+  { /* 937 */
+    61,
     /* NOOPW_1b */
   },
-  { /* 985 */
-    59,
+  { /* 938 */
+    61,
     /* NOOPW_1c */
   },
-  { /* 986 */
-    59,
+  { /* 939 */
+    61,
     /* NOOPW_1d */
   },
-  { /* 987 */
-    59,
+  { /* 940 */
+    61,
     /* NOOPW_1e */
   },
-  { /* 988 */
-    59,
+  { /* 941 */
+    61,
     /* NOT16m */
   },
-  { /* 989 */
-    76,
+  { /* 942 */
+    78,
     /* NOT16r */
   },
-  { /* 990 */
-    59,
+  { /* 943 */
+    61,
     /* NOT32m */
   },
-  { /* 991 */
-    76,
+  { /* 944 */
+    78,
     /* NOT32r */
   },
-  { /* 992 */
-    59,
+  { /* 945 */
+    61,
     /* NOT64m */
   },
-  { /* 993 */
-    77,
+  { /* 946 */
+    79,
     /* NOT64r */
   },
-  { /* 994 */
-    78,
+  { /* 947 */
+    80,
     /* NOT8m */
   },
-  { /* 995 */
-    79,
+  { /* 948 */
+    81,
     /* NOT8r */
   },
-  { /* 996 */
+  { /* 949 */
     2,
     /* OR16i16 */
   },
-  { /* 997 */
+  { /* 950 */
     3,
     /* OR16mi */
   },
-  { /* 998 */
+  { /* 951 */
     4,
     /* OR16mi8 */
   },
-  { /* 999 */
+  { /* 952 */
     5,
     /* OR16mr */
   },
-  { /* 1000 */
+  { /* 953 */
     6,
     /* OR16ri */
   },
-  { /* 1001 */
+  { /* 954 */
     7,
     /* OR16ri8 */
   },
-  { /* 1002 */
+  { /* 955 */
     8,
     /* OR16rm */
   },
-  { /* 1003 */
+  { /* 956 */
     9,
     /* OR16rr */
   },
-  { /* 1004 */
+  { /* 957 */
     10,
     /* OR16rr_REV */
   },
-  { /* 1005 */
+  { /* 958 */
     2,
     /* OR32i32 */
   },
-  { /* 1006 */
+  { /* 959 */
     3,
     /* OR32mi */
   },
-  { /* 1007 */
+  { /* 960 */
     11,
     /* OR32mi8 */
   },
-  { /* 1008 */
+  { /* 961 */
     5,
     /* OR32mr */
   },
-  { /* 1009 */
+  { /* 962 */
     0,
     /*  */
   },
-  { /* 1010 */
+  { /* 963 */
     6,
     /* OR32ri */
   },
-  { /* 1011 */
+  { /* 964 */
     12,
     /* OR32ri8 */
   },
-  { /* 1012 */
+  { /* 965 */
     8,
     /* OR32rm */
   },
-  { /* 1013 */
+  { /* 966 */
     9,
     /* OR32rr */
   },
-  { /* 1014 */
+  { /* 967 */
     10,
     /* OR32rr_REV */
   },
-  { /* 1015 */
+  { /* 968 */
     13,
     /* OR64i32 */
   },
-  { /* 1016 */
+  { /* 969 */
     14,
     /* OR64mi32 */
   },
-  { /* 1017 */
+  { /* 970 */
     15,
     /* OR64mi8 */
   },
-  { /* 1018 */
+  { /* 971 */
     16,
     /* OR64mr */
   },
-  { /* 1019 */
+  { /* 972 */
     17,
     /* OR64ri32 */
   },
-  { /* 1020 */
+  { /* 973 */
     18,
     /* OR64ri8 */
   },
-  { /* 1021 */
+  { /* 974 */
     19,
     /* OR64rm */
   },
-  { /* 1022 */
+  { /* 975 */
     20,
     /* OR64rr */
   },
-  { /* 1023 */
+  { /* 976 */
     21,
     /* OR64rr_REV */
   },
-  { /* 1024 */
+  { /* 977 */
     1,
     /* OR8i8 */
   },
-  { /* 1025 */
+  { /* 978 */
     22,
     /* OR8mi */
   },
-  { /* 1026 */
+  { /* 979 */
     23,
     /* OR8mr */
   },
-  { /* 1027 */
+  { /* 980 */
     24,
     /* OR8ri */
   },
-  { /* 1028 */
+  { /* 981 */
     24,
     /* OR8ri8 */
   },
-  { /* 1029 */
+  { /* 982 */
     25,
     /* OR8rm */
   },
-  { /* 1030 */
+  { /* 983 */
     26,
     /* OR8rr */
   },
-  { /* 1031 */
+  { /* 984 */
     27,
     /* OR8rr_REV */
   },
-  { /* 1032 */
+  { /* 985 */
     1,
     /* OUT16ir */
   },
-  { /* 1033 */
+  { /* 986 */
     0,
     /* OUT16rr */
   },
-  { /* 1034 */
+  { /* 987 */
     1,
     /* OUT32ir */
   },
-  { /* 1035 */
+  { /* 988 */
     0,
     /* OUT32rr */
   },
-  { /* 1036 */
+  { /* 989 */
     1,
     /* OUT8ir */
   },
-  { /* 1037 */
+  { /* 990 */
     0,
     /* OUT8rr */
   },
-  { /* 1038 */
-    109,
+  { /* 991 */
+    111,
     /* OUTSB */
   },
-  { /* 1039 */
-    110,
+  { /* 992 */
+    112,
     /* OUTSL */
   },
-  { /* 1040 */
-    112,
+  { /* 993 */
+    114,
     /* OUTSW */
   },
-  { /* 1041 */
-    32,
+  { /* 994 */
+    34,
     /* PDEP32rm */
   },
-  { /* 1042 */
-    33,
+  { /* 995 */
+    35,
     /* PDEP32rr */
   },
-  { /* 1043 */
-    34,
+  { /* 996 */
+    36,
     /* PDEP64rm */
   },
-  { /* 1044 */
-    35,
+  { /* 997 */
+    37,
     /* PDEP64rr */
   },
-  { /* 1045 */
-    32,
+  { /* 998 */
+    34,
     /* PEXT32rm */
   },
-  { /* 1046 */
-    33,
+  { /* 999 */
+    35,
     /* PEXT32rr */
   },
-  { /* 1047 */
-    34,
+  { /* 1000 */
+    36,
     /* PEXT64rm */
   },
-  { /* 1048 */
-    35,
+  { /* 1001 */
+    37,
     /* PEXT64rr */
   },
-  { /* 1049 */
-    141,
+  { /* 1002 */
+    143,
     /* POP16r */
   },
-  { /* 1050 */
-    59,
+  { /* 1003 */
+    61,
     /* POP16rmm */
   },
-  { /* 1051 */
-    60,
+  { /* 1004 */
+    62,
     /* POP16rmr */
   },
-  { /* 1052 */
-    141,
+  { /* 1005 */
+    143,
     /* POP32r */
   },
-  { /* 1053 */
-    59,
+  { /* 1006 */
+    61,
     /* POP32rmm */
   },
-  { /* 1054 */
-    60,
+  { /* 1007 */
+    62,
     /* POP32rmr */
   },
-  { /* 1055 */
-    142,
+  { /* 1008 */
+    144,
     /* POP64r */
   },
-  { /* 1056 */
-    59,
+  { /* 1009 */
+    61,
     /* POP64rmm */
   },
-  { /* 1057 */
-    62,
+  { /* 1010 */
+    64,
     /* POP64rmr */
   },
-  { /* 1058 */
+  { /* 1011 */
     0,
     /* POPA16 */
   },
-  { /* 1059 */
+  { /* 1012 */
     0,
     /* POPA32 */
   },
-  { /* 1060 */
+  { /* 1013 */
     0,
     /* POPDS16 */
   },
-  { /* 1061 */
+  { /* 1014 */
     0,
     /* POPDS32 */
   },
-  { /* 1062 */
+  { /* 1015 */
     0,
     /* POPES16 */
   },
-  { /* 1063 */
+  { /* 1016 */
     0,
     /* POPES32 */
   },
-  { /* 1064 */
+  { /* 1017 */
     0,
     /* POPF16 */
   },
-  { /* 1065 */
+  { /* 1018 */
     0,
     /* POPF32 */
   },
-  { /* 1066 */
+  { /* 1019 */
     0,
     /* POPF64 */
   },
-  { /* 1067 */
+  { /* 1020 */
     0,
     /* POPFS16 */
   },
-  { /* 1068 */
+  { /* 1021 */
     0,
     /* POPFS32 */
   },
-  { /* 1069 */
+  { /* 1022 */
     0,
     /* POPFS64 */
   },
-  { /* 1070 */
+  { /* 1023 */
     0,
     /* POPGS16 */
   },
-  { /* 1071 */
+  { /* 1024 */
     0,
     /* POPGS32 */
   },
-  { /* 1072 */
+  { /* 1025 */
     0,
     /* POPGS64 */
   },
-  { /* 1073 */
+  { /* 1026 */
     0,
     /* POPSS16 */
   },
-  { /* 1074 */
+  { /* 1027 */
     0,
     /* POPSS32 */
   },
-  { /* 1075 */
-    143,
+  { /* 1028 */
+    145,
     /* PUSH16i8 */
   },
-  { /* 1076 */
-    141,
+  { /* 1029 */
+    143,
     /* PUSH16r */
   },
-  { /* 1077 */
-    59,
+  { /* 1030 */
+    61,
     /* PUSH16rmm */
   },
-  { /* 1078 */
-    60,
+  { /* 1031 */
+    62,
     /* PUSH16rmr */
   },
-  { /* 1079 */
-    144,
+  { /* 1032 */
+    146,
     /* PUSH32i8 */
   },
-  { /* 1080 */
-    141,
+  { /* 1033 */
+    143,
     /* PUSH32r */
   },
-  { /* 1081 */
-    59,
+  { /* 1034 */
+    61,
     /* PUSH32rmm */
   },
-  { /* 1082 */
-    60,
+  { /* 1035 */
+    62,
     /* PUSH32rmr */
   },
-  { /* 1083 */
+  { /* 1036 */
     2,
     /* PUSH64i16 */
   },
-  { /* 1084 */
+  { /* 1037 */
     13,
     /* PUSH64i32 */
   },
-  { /* 1085 */
-    145,
+  { /* 1038 */
+    147,
     /* PUSH64i8 */
   },
-  { /* 1086 */
-    142,
+  { /* 1039 */
+    144,
     /* PUSH64r */
   },
-  { /* 1087 */
-    59,
+  { /* 1040 */
+    61,
     /* PUSH64rmm */
   },
-  { /* 1088 */
-    62,
+  { /* 1041 */
+    64,
     /* PUSH64rmr */
   },
-  { /* 1089 */
+  { /* 1042 */
     0,
     /* PUSHA16 */
   },
-  { /* 1090 */
+  { /* 1043 */
     0,
     /* PUSHA32 */
   },
-  { /* 1091 */
+  { /* 1044 */
     0,
     /* PUSHCS16 */
   },
-  { /* 1092 */
+  { /* 1045 */
     0,
     /* PUSHCS32 */
   },
-  { /* 1093 */
+  { /* 1046 */
     0,
     /* PUSHDS16 */
   },
-  { /* 1094 */
+  { /* 1047 */
     0,
     /* PUSHDS32 */
   },
-  { /* 1095 */
+  { /* 1048 */
     0,
     /* PUSHES16 */
   },
-  { /* 1096 */
+  { /* 1049 */
     0,
     /* PUSHES32 */
   },
-  { /* 1097 */
+  { /* 1050 */
     0,
     /* PUSHF16 */
   },
-  { /* 1098 */
+  { /* 1051 */
     0,
     /* PUSHF32 */
   },
-  { /* 1099 */
+  { /* 1052 */
     0,
     /* PUSHF64 */
   },
-  { /* 1100 */
+  { /* 1053 */
     0,
     /* PUSHFS16 */
   },
-  { /* 1101 */
+  { /* 1054 */
     0,
     /* PUSHFS32 */
   },
-  { /* 1102 */
+  { /* 1055 */
     0,
     /* PUSHFS64 */
   },
-  { /* 1103 */
+  { /* 1056 */
     0,
     /* PUSHGS16 */
   },
-  { /* 1104 */
+  { /* 1057 */
     0,
     /* PUSHGS32 */
   },
-  { /* 1105 */
+  { /* 1058 */
     0,
     /* PUSHGS64 */
   },
-  { /* 1106 */
+  { /* 1059 */
     0,
     /* PUSHSS16 */
   },
-  { /* 1107 */
+  { /* 1060 */
     0,
     /* PUSHSS32 */
   },
-  { /* 1108 */
+  { /* 1061 */
     2,
     /* PUSHi16 */
   },
-  { /* 1109 */
+  { /* 1062 */
     2,
     /* PUSHi32 */
   },
-  { /* 1110 */
-    59,
+  { /* 1063 */
+    61,
     /* RCL16m1 */
   },
-  { /* 1111 */
-    59,
+  { /* 1064 */
+    61,
     /* RCL16mCL */
   },
-  { /* 1112 */
-    146,
+  { /* 1065 */
+    148,
     /* RCL16mi */
   },
-  { /* 1113 */
-    76,
+  { /* 1066 */
+    78,
     /* RCL16r1 */
   },
-  { /* 1114 */
-    76,
+  { /* 1067 */
+    78,
     /* RCL16rCL */
   },
-  { /* 1115 */
-    147,
+  { /* 1068 */
+    149,
     /* RCL16ri */
   },
-  { /* 1116 */
-    59,
+  { /* 1069 */
+    61,
     /* RCL32m1 */
   },
-  { /* 1117 */
-    59,
+  { /* 1070 */
+    61,
     /* RCL32mCL */
   },
-  { /* 1118 */
-    146,
+  { /* 1071 */
+    148,
     /* RCL32mi */
   },
-  { /* 1119 */
-    76,
+  { /* 1072 */
+    78,
     /* RCL32r1 */
   },
-  { /* 1120 */
-    76,
+  { /* 1073 */
+    78,
     /* RCL32rCL */
   },
-  { /* 1121 */
-    147,
+  { /* 1074 */
+    149,
     /* RCL32ri */
   },
-  { /* 1122 */
-    59,
+  { /* 1075 */
+    61,
     /* RCL64m1 */
   },
-  { /* 1123 */
-    59,
+  { /* 1076 */
+    61,
     /* RCL64mCL */
   },
-  { /* 1124 */
-    146,
+  { /* 1077 */
+    148,
     /* RCL64mi */
   },
-  { /* 1125 */
-    77,
+  { /* 1078 */
+    79,
     /* RCL64r1 */
   },
-  { /* 1126 */
-    77,
+  { /* 1079 */
+    79,
     /* RCL64rCL */
   },
-  { /* 1127 */
-    148,
+  { /* 1080 */
+    150,
     /* RCL64ri */
   },
-  { /* 1128 */
-    78,
+  { /* 1081 */
+    80,
     /* RCL8m1 */
   },
-  { /* 1129 */
-    78,
+  { /* 1082 */
+    80,
     /* RCL8mCL */
   },
-  { /* 1130 */
+  { /* 1083 */
     22,
     /* RCL8mi */
   },
-  { /* 1131 */
-    79,
+  { /* 1084 */
+    81,
     /* RCL8r1 */
   },
-  { /* 1132 */
-    79,
+  { /* 1085 */
+    81,
     /* RCL8rCL */
   },
-  { /* 1133 */
+  { /* 1086 */
     24,
     /* RCL8ri */
   },
-  { /* 1134 */
-    59,
+  { /* 1087 */
+    61,
     /* RCR16m1 */
   },
-  { /* 1135 */
-    59,
+  { /* 1088 */
+    61,
     /* RCR16mCL */
   },
-  { /* 1136 */
-    146,
+  { /* 1089 */
+    148,
     /* RCR16mi */
   },
-  { /* 1137 */
-    76,
+  { /* 1090 */
+    78,
     /* RCR16r1 */
   },
-  { /* 1138 */
-    76,
+  { /* 1091 */
+    78,
     /* RCR16rCL */
   },
-  { /* 1139 */
-    147,
+  { /* 1092 */
+    149,
     /* RCR16ri */
   },
-  { /* 1140 */
-    59,
+  { /* 1093 */
+    61,
     /* RCR32m1 */
   },
-  { /* 1141 */
-    59,
+  { /* 1094 */
+    61,
     /* RCR32mCL */
   },
-  { /* 1142 */
-    146,
+  { /* 1095 */
+    148,
     /* RCR32mi */
   },
-  { /* 1143 */
-    76,
+  { /* 1096 */
+    78,
     /* RCR32r1 */
   },
-  { /* 1144 */
-    76,
+  { /* 1097 */
+    78,
     /* RCR32rCL */
   },
-  { /* 1145 */
-    147,
+  { /* 1098 */
+    149,
     /* RCR32ri */
   },
-  { /* 1146 */
-    59,
+  { /* 1099 */
+    61,
     /* RCR64m1 */
   },
-  { /* 1147 */
-    59,
+  { /* 1100 */
+    61,
     /* RCR64mCL */
   },
-  { /* 1148 */
-    146,
+  { /* 1101 */
+    148,
     /* RCR64mi */
   },
-  { /* 1149 */
-    77,
+  { /* 1102 */
+    79,
     /* RCR64r1 */
   },
-  { /* 1150 */
-    77,
+  { /* 1103 */
+    79,
     /* RCR64rCL */
   },
-  { /* 1151 */
-    148,
+  { /* 1104 */
+    150,
     /* RCR64ri */
   },
-  { /* 1152 */
-    78,
+  { /* 1105 */
+    80,
     /* RCR8m1 */
   },
-  { /* 1153 */
-    78,
+  { /* 1106 */
+    80,
     /* RCR8mCL */
   },
-  { /* 1154 */
+  { /* 1107 */
     22,
     /* RCR8mi */
   },
-  { /* 1155 */
-    79,
+  { /* 1108 */
+    81,
     /* RCR8r1 */
   },
-  { /* 1156 */
-    79,
+  { /* 1109 */
+    81,
     /* RCR8rCL */
   },
-  { /* 1157 */
+  { /* 1110 */
     24,
     /* RCR8ri */
   },
-  { /* 1158 */
-    149,
+  { /* 1111 */
+    151,
     /* RDFSBASE */
   },
-  { /* 1159 */
-    62,
+  { /* 1112 */
+    64,
     /* RDFSBASE64 */
   },
-  { /* 1160 */
-    149,
+  { /* 1113 */
+    151,
     /* RDGSBASE */
   },
-  { /* 1161 */
-    62,
+  { /* 1114 */
+    64,
     /* RDGSBASE64 */
   },
-  { /* 1162 */
+  { /* 1115 */
     0,
     /* RDMSR */
   },
-  { /* 1163 */
+  { /* 1116 */
     0,
     /* RDPMC */
   },
-  { /* 1164 */
-    60,
+  { /* 1117 */
+    62,
     /* RDRAND16r */
   },
-  { /* 1165 */
-    60,
+  { /* 1118 */
+    62,
     /* RDRAND32r */
   },
-  { /* 1166 */
-    62,
+  { /* 1119 */
+    64,
     /* RDRAND64r */
   },
-  { /* 1167 */
-    60,
+  { /* 1120 */
+    62,
     /* RDSEED16r */
   },
-  { /* 1168 */
-    60,
+  { /* 1121 */
+    62,
     /* RDSEED32r */
   },
-  { /* 1169 */
-    62,
+  { /* 1122 */
+    64,
     /* RDSEED64r */
   },
-  { /* 1170 */
+  { /* 1123 */
     0,
     /* RDTSC */
   },
-  { /* 1171 */
+  { /* 1124 */
     0,
     /* RDTSCP */
   },
-  { /* 1172 */
+  { /* 1125 */
     0,
     /*  */
   },
-  { /* 1173 */
+  { /* 1126 */
     0,
     /*  */
   },
-  { /* 1174 */
+  { /* 1127 */
     0,
     /*  */
   },
-  { /* 1175 */
+  { /* 1128 */
     0,
     /*  */
   },
-  { /* 1176 */
+  { /* 1129 */
     0,
     /* REPNE_PREFIX */
   },
-  { /* 1177 */
+  { /* 1130 */
     0,
     /*  */
   },
-  { /* 1178 */
+  { /* 1131 */
     0,
     /*  */
   },
-  { /* 1179 */
+  { /* 1132 */
     0,
     /*  */
   },
-  { /* 1180 */
+  { /* 1133 */
     0,
     /*  */
   },
-  { /* 1181 */
+  { /* 1134 */
     0,
     /*  */
   },
-  { /* 1182 */
+  { /* 1135 */
     0,
     /*  */
   },
-  { /* 1183 */
+  { /* 1136 */
     0,
     /*  */
   },
-  { /* 1184 */
+  { /* 1137 */
     0,
     /* REP_PREFIX */
   },
-  { /* 1185 */
+  { /* 1138 */
     0,
     /*  */
   },
-  { /* 1186 */
+  { /* 1139 */
     0,
     /*  */
   },
-  { /* 1187 */
+  { /* 1140 */
     0,
     /*  */
   },
-  { /* 1188 */
+  { /* 1141 */
     0,
     /*  */
   },
-  { /* 1189 */
+  { /* 1142 */
     0,
     /*  */
   },
-  { /* 1190 */
+  { /* 1143 */
     0,
     /*  */
   },
-  { /* 1191 */
+  { /* 1144 */
     0,
     /*  */
   },
-  { /* 1192 */
-    113,
+  { /* 1145 */
+    115,
     /* RETIL */
   },
-  { /* 1193 */
-    113,
+  { /* 1146 */
+    115,
     /* RETIQ */
   },
-  { /* 1194 */
+  { /* 1147 */
     2,
     /* RETIW */
   },
-  { /* 1195 */
+  { /* 1148 */
     0,
     /* RETL */
   },
-  { /* 1196 */
+  { /* 1149 */
     0,
     /* RETQ */
   },
-  { /* 1197 */
+  { /* 1150 */
     0,
     /* RETW */
   },
-  { /* 1198 */
+  { /* 1151 */
     0,
     /* REX64_PREFIX */
   },
-  { /* 1199 */
-    59,
+  { /* 1152 */
+    61,
     /* ROL16m1 */
   },
-  { /* 1200 */
-    59,
+  { /* 1153 */
+    61,
     /* ROL16mCL */
   },
-  { /* 1201 */
-    146,
+  { /* 1154 */
+    148,
     /* ROL16mi */
   },
-  { /* 1202 */
-    76,
+  { /* 1155 */
+    78,
     /* ROL16r1 */
   },
-  { /* 1203 */
-    76,
+  { /* 1156 */
+    78,
     /* ROL16rCL */
   },
-  { /* 1204 */
-    147,
+  { /* 1157 */
+    149,
     /* ROL16ri */
   },
-  { /* 1205 */
-    59,
+  { /* 1158 */
+    61,
     /* ROL32m1 */
   },
-  { /* 1206 */
-    59,
+  { /* 1159 */
+    61,
     /* ROL32mCL */
   },
-  { /* 1207 */
-    146,
+  { /* 1160 */
+    148,
     /* ROL32mi */
   },
-  { /* 1208 */
-    76,
+  { /* 1161 */
+    78,
     /* ROL32r1 */
   },
-  { /* 1209 */
-    76,
+  { /* 1162 */
+    78,
     /* ROL32rCL */
   },
-  { /* 1210 */
-    147,
+  { /* 1163 */
+    149,
     /* ROL32ri */
   },
-  { /* 1211 */
-    59,
+  { /* 1164 */
+    61,
     /* ROL64m1 */
   },
-  { /* 1212 */
-    59,
+  { /* 1165 */
+    61,
     /* ROL64mCL */
   },
-  { /* 1213 */
-    146,
+  { /* 1166 */
+    148,
     /* ROL64mi */
   },
-  { /* 1214 */
-    77,
+  { /* 1167 */
+    79,
     /* ROL64r1 */
   },
-  { /* 1215 */
-    77,
+  { /* 1168 */
+    79,
     /* ROL64rCL */
   },
-  { /* 1216 */
-    148,
+  { /* 1169 */
+    150,
     /* ROL64ri */
   },
-  { /* 1217 */
-    78,
+  { /* 1170 */
+    80,
     /* ROL8m1 */
   },
-  { /* 1218 */
-    78,
+  { /* 1171 */
+    80,
     /* ROL8mCL */
   },
-  { /* 1219 */
+  { /* 1172 */
     22,
     /* ROL8mi */
   },
-  { /* 1220 */
-    79,
+  { /* 1173 */
+    81,
     /* ROL8r1 */
   },
-  { /* 1221 */
-    79,
+  { /* 1174 */
+    81,
     /* ROL8rCL */
   },
-  { /* 1222 */
+  { /* 1175 */
     24,
     /* ROL8ri */
   },
-  { /* 1223 */
-    59,
+  { /* 1176 */
+    61,
     /* ROR16m1 */
   },
-  { /* 1224 */
-    59,
+  { /* 1177 */
+    61,
     /* ROR16mCL */
   },
-  { /* 1225 */
-    146,
+  { /* 1178 */
+    148,
     /* ROR16mi */
   },
-  { /* 1226 */
-    76,
+  { /* 1179 */
+    78,
     /* ROR16r1 */
   },
-  { /* 1227 */
-    76,
+  { /* 1180 */
+    78,
     /* ROR16rCL */
   },
-  { /* 1228 */
-    147,
+  { /* 1181 */
+    149,
     /* ROR16ri */
   },
-  { /* 1229 */
-    59,
+  { /* 1182 */
+    61,
     /* ROR32m1 */
   },
-  { /* 1230 */
-    59,
+  { /* 1183 */
+    61,
     /* ROR32mCL */
   },
-  { /* 1231 */
-    146,
+  { /* 1184 */
+    148,
     /* ROR32mi */
   },
-  { /* 1232 */
-    76,
+  { /* 1185 */
+    78,
     /* ROR32r1 */
   },
-  { /* 1233 */
-    76,
+  { /* 1186 */
+    78,
     /* ROR32rCL */
   },
-  { /* 1234 */
-    147,
+  { /* 1187 */
+    149,
     /* ROR32ri */
   },
-  { /* 1235 */
-    59,
+  { /* 1188 */
+    61,
     /* ROR64m1 */
   },
-  { /* 1236 */
-    59,
+  { /* 1189 */
+    61,
     /* ROR64mCL */
   },
-  { /* 1237 */
-    146,
+  { /* 1190 */
+    148,
     /* ROR64mi */
   },
-  { /* 1238 */
-    77,
+  { /* 1191 */
+    79,
     /* ROR64r1 */
   },
-  { /* 1239 */
-    77,
+  { /* 1192 */
+    79,
     /* ROR64rCL */
   },
-  { /* 1240 */
-    148,
+  { /* 1193 */
+    150,
     /* ROR64ri */
   },
-  { /* 1241 */
-    78,
+  { /* 1194 */
+    80,
     /* ROR8m1 */
   },
-  { /* 1242 */
-    78,
+  { /* 1195 */
+    80,
     /* ROR8mCL */
   },
-  { /* 1243 */
+  { /* 1196 */
     22,
     /* ROR8mi */
   },
-  { /* 1244 */
-    79,
+  { /* 1197 */
+    81,
     /* ROR8r1 */
   },
-  { /* 1245 */
-    79,
+  { /* 1198 */
+    81,
     /* ROR8rCL */
   },
-  { /* 1246 */
+  { /* 1199 */
     24,
     /* ROR8ri */
   },
-  { /* 1247 */
-    150,
+  { /* 1200 */
+    152,
     /* RORX32mi */
   },
-  { /* 1248 */
-    151,
+  { /* 1201 */
+    153,
     /* RORX32ri */
   },
-  { /* 1249 */
-    152,
+  { /* 1202 */
+    154,
     /* RORX64mi */
   },
-  { /* 1250 */
-    153,
+  { /* 1203 */
+    155,
     /* RORX64ri */
   },
-  { /* 1251 */
+  { /* 1204 */
     0,
     /* RSM */
   },
-  { /* 1252 */
+  { /* 1205 */
     0,
     /* SAHF */
   },
-  { /* 1253 */
-    59,
+  { /* 1206 */
+    61,
     /* SAL16m1 */
   },
-  { /* 1254 */
-    59,
+  { /* 1207 */
+    61,
     /* SAL16mCL */
   },
-  { /* 1255 */
-    146,
+  { /* 1208 */
+    148,
     /* SAL16mi */
   },
-  { /* 1256 */
-    76,
+  { /* 1209 */
+    78,
     /* SAL16r1 */
   },
-  { /* 1257 */
-    76,
+  { /* 1210 */
+    78,
     /* SAL16rCL */
   },
-  { /* 1258 */
-    147,
+  { /* 1211 */
+    149,
     /* SAL16ri */
   },
-  { /* 1259 */
-    59,
+  { /* 1212 */
+    61,
     /* SAL32m1 */
   },
-  { /* 1260 */
-    59,
+  { /* 1213 */
+    61,
     /* SAL32mCL */
   },
-  { /* 1261 */
-    146,
+  { /* 1214 */
+    148,
     /* SAL32mi */
   },
-  { /* 1262 */
-    76,
+  { /* 1215 */
+    78,
     /* SAL32r1 */
   },
-  { /* 1263 */
-    76,
+  { /* 1216 */
+    78,
     /* SAL32rCL */
   },
-  { /* 1264 */
-    147,
+  { /* 1217 */
+    149,
     /* SAL32ri */
   },
-  { /* 1265 */
-    59,
+  { /* 1218 */
+    61,
     /* SAL64m1 */
   },
-  { /* 1266 */
-    59,
+  { /* 1219 */
+    61,
     /* SAL64mCL */
   },
-  { /* 1267 */
-    146,
+  { /* 1220 */
+    148,
     /* SAL64mi */
   },
-  { /* 1268 */
-    77,
+  { /* 1221 */
+    79,
     /* SAL64r1 */
   },
-  { /* 1269 */
-    77,
+  { /* 1222 */
+    79,
     /* SAL64rCL */
   },
-  { /* 1270 */
-    148,
+  { /* 1223 */
+    150,
     /* SAL64ri */
   },
-  { /* 1271 */
-    78,
+  { /* 1224 */
+    80,
     /* SAL8m1 */
   },
-  { /* 1272 */
-    78,
+  { /* 1225 */
+    80,
     /* SAL8mCL */
   },
-  { /* 1273 */
+  { /* 1226 */
     22,
     /* SAL8mi */
   },
-  { /* 1274 */
-    79,
+  { /* 1227 */
+    81,
     /* SAL8r1 */
   },
-  { /* 1275 */
-    79,
+  { /* 1228 */
+    81,
     /* SAL8rCL */
   },
-  { /* 1276 */
+  { /* 1229 */
     24,
     /* SAL8ri */
   },
-  { /* 1277 */
+  { /* 1230 */
     0,
     /* SALC */
   },
-  { /* 1278 */
-    59,
+  { /* 1231 */
+    61,
     /* SAR16m1 */
   },
-  { /* 1279 */
-    59,
+  { /* 1232 */
+    61,
     /* SAR16mCL */
   },
-  { /* 1280 */
-    146,
+  { /* 1233 */
+    148,
     /* SAR16mi */
   },
-  { /* 1281 */
-    76,
+  { /* 1234 */
+    78,
     /* SAR16r1 */
   },
-  { /* 1282 */
-    76,
+  { /* 1235 */
+    78,
     /* SAR16rCL */
   },
-  { /* 1283 */
-    147,
+  { /* 1236 */
+    149,
     /* SAR16ri */
   },
-  { /* 1284 */
-    59,
+  { /* 1237 */
+    61,
     /* SAR32m1 */
   },
-  { /* 1285 */
-    59,
+  { /* 1238 */
+    61,
     /* SAR32mCL */
   },
-  { /* 1286 */
-    146,
+  { /* 1239 */
+    148,
     /* SAR32mi */
   },
-  { /* 1287 */
-    76,
+  { /* 1240 */
+    78,
     /* SAR32r1 */
   },
-  { /* 1288 */
-    76,
+  { /* 1241 */
+    78,
     /* SAR32rCL */
   },
-  { /* 1289 */
-    147,
+  { /* 1242 */
+    149,
     /* SAR32ri */
   },
-  { /* 1290 */
-    59,
+  { /* 1243 */
+    61,
     /* SAR64m1 */
   },
-  { /* 1291 */
-    59,
+  { /* 1244 */
+    61,
     /* SAR64mCL */
   },
-  { /* 1292 */
-    146,
+  { /* 1245 */
+    148,
     /* SAR64mi */
   },
-  { /* 1293 */
-    77,
+  { /* 1246 */
+    79,
     /* SAR64r1 */
   },
-  { /* 1294 */
-    77,
+  { /* 1247 */
+    79,
     /* SAR64rCL */
   },
-  { /* 1295 */
-    148,
+  { /* 1248 */
+    150,
     /* SAR64ri */
   },
-  { /* 1296 */
-    78,
+  { /* 1249 */
+    80,
     /* SAR8m1 */
   },
-  { /* 1297 */
-    78,
+  { /* 1250 */
+    80,
     /* SAR8mCL */
   },
-  { /* 1298 */
+  { /* 1251 */
     22,
     /* SAR8mi */
   },
-  { /* 1299 */
-    79,
+  { /* 1252 */
+    81,
     /* SAR8r1 */
   },
-  { /* 1300 */
-    79,
+  { /* 1253 */
+    81,
     /* SAR8rCL */
   },
-  { /* 1301 */
+  { /* 1254 */
     24,
     /* SAR8ri */
   },
-  { /* 1302 */
-    38,
+  { /* 1255 */
+    40,
     /* SARX32rm */
   },
-  { /* 1303 */
-    39,
+  { /* 1256 */
+    41,
     /* SARX32rr */
   },
-  { /* 1304 */
-    40,
+  { /* 1257 */
+    42,
     /* SARX64rm */
   },
-  { /* 1305 */
-    41,
+  { /* 1258 */
+    43,
     /* SARX64rr */
   },
-  { /* 1306 */
+  { /* 1259 */
     2,
     /* SBB16i16 */
   },
-  { /* 1307 */
+  { /* 1260 */
     3,
     /* SBB16mi */
   },
-  { /* 1308 */
+  { /* 1261 */
     4,
     /* SBB16mi8 */
   },
-  { /* 1309 */
+  { /* 1262 */
     5,
     /* SBB16mr */
   },
-  { /* 1310 */
+  { /* 1263 */
     6,
     /* SBB16ri */
   },
-  { /* 1311 */
+  { /* 1264 */
     7,
     /* SBB16ri8 */
   },
-  { /* 1312 */
+  { /* 1265 */
     8,
     /* SBB16rm */
   },
-  { /* 1313 */
+  { /* 1266 */
     9,
     /* SBB16rr */
   },
-  { /* 1314 */
+  { /* 1267 */
     10,
     /* SBB16rr_REV */
   },
-  { /* 1315 */
+  { /* 1268 */
     2,
     /* SBB32i32 */
   },
-  { /* 1316 */
+  { /* 1269 */
     3,
     /* SBB32mi */
   },
-  { /* 1317 */
+  { /* 1270 */
     11,
     /* SBB32mi8 */
   },
-  { /* 1318 */
+  { /* 1271 */
     5,
     /* SBB32mr */
   },
-  { /* 1319 */
+  { /* 1272 */
     6,
     /* SBB32ri */
   },
-  { /* 1320 */
+  { /* 1273 */
     12,
     /* SBB32ri8 */
   },
-  { /* 1321 */
+  { /* 1274 */
     8,
     /* SBB32rm */
   },
-  { /* 1322 */
+  { /* 1275 */
     9,
     /* SBB32rr */
   },
-  { /* 1323 */
+  { /* 1276 */
     10,
     /* SBB32rr_REV */
   },
-  { /* 1324 */
+  { /* 1277 */
     13,
     /* SBB64i32 */
   },
-  { /* 1325 */
+  { /* 1278 */
     14,
     /* SBB64mi32 */
   },
-  { /* 1326 */
+  { /* 1279 */
     15,
     /* SBB64mi8 */
   },
-  { /* 1327 */
+  { /* 1280 */
     16,
     /* SBB64mr */
   },
-  { /* 1328 */
+  { /* 1281 */
     17,
     /* SBB64ri32 */
   },
-  { /* 1329 */
+  { /* 1282 */
     18,
     /* SBB64ri8 */
   },
-  { /* 1330 */
+  { /* 1283 */
     19,
     /* SBB64rm */
   },
-  { /* 1331 */
+  { /* 1284 */
     20,
     /* SBB64rr */
   },
-  { /* 1332 */
+  { /* 1285 */
     21,
     /* SBB64rr_REV */
   },
-  { /* 1333 */
+  { /* 1286 */
     1,
     /* SBB8i8 */
   },
-  { /* 1334 */
+  { /* 1287 */
     22,
     /* SBB8mi */
   },
-  { /* 1335 */
+  { /* 1288 */
     23,
     /* SBB8mr */
   },
-  { /* 1336 */
+  { /* 1289 */
     24,
     /* SBB8ri */
   },
-  { /* 1337 */
+  { /* 1290 */
     25,
     /* SBB8rm */
   },
-  { /* 1338 */
+  { /* 1291 */
     26,
     /* SBB8rr */
   },
-  { /* 1339 */
+  { /* 1292 */
     27,
     /* SBB8rr_REV */
   },
-  { /* 1340 */
-    95,
-    /* SCAS16 */
-  },
-  { /* 1341 */
-    96,
-    /* SCAS32 */
-  },
-  { /* 1342 */
-    154,
-    /* SCAS64 */
-  },
-  { /* 1343 */
+  { /* 1293 */
     97,
-    /* SCAS8 */
+    /* SCASB */
   },
-  { /* 1344 */
+  { /* 1294 */
+    98,
+    /* SCASL */
+  },
+  { /* 1295 */
+    156,
+    /* SCASQ */
+  },
+  { /* 1296 */
+    99,
+    /* SCASW */
+  },
+  { /* 1297 */
     0,
     /*  */
   },
-  { /* 1345 */
+  { /* 1298 */
     0,
     /*  */
   },
-  { /* 1346 */
-    78,
+  { /* 1299 */
+    0,
+    /*  */
+  },
+  { /* 1300 */
+    0,
+    /*  */
+  },
+  { /* 1301 */
+    0,
+    /*  */
+  },
+  { /* 1302 */
+    0,
+    /*  */
+  },
+  { /* 1303 */
+    0,
+    /*  */
+  },
+  { /* 1304 */
+    0,
+    /*  */
+  },
+  { /* 1305 */
+    0,
+    /*  */
+  },
+  { /* 1306 */
+    0,
+    /*  */
+  },
+  { /* 1307 */
+    80,
     /* SETAEm */
   },
-  { /* 1347 */
-    80,
+  { /* 1308 */
+    82,
     /* SETAEr */
   },
-  { /* 1348 */
-    78,
+  { /* 1309 */
+    80,
     /* SETAm */
   },
-  { /* 1349 */
-    80,
+  { /* 1310 */
+    82,
     /* SETAr */
   },
+  { /* 1311 */
+    80,
+    /* SETBEm */
+  },
+  { /* 1312 */
+    82,
+    /* SETBEr */
+  },
+  { /* 1313 */
+    0,
+    /*  */
+  },
+  { /* 1314 */
+    0,
+    /*  */
+  },
+  { /* 1315 */
+    0,
+    /*  */
+  },
+  { /* 1316 */
+    0,
+    /*  */
+  },
+  { /* 1317 */
+    80,
+    /* SETBm */
+  },
+  { /* 1318 */
+    82,
+    /* SETBr */
+  },
+  { /* 1319 */
+    80,
+    /* SETEm */
+  },
+  { /* 1320 */
+    82,
+    /* SETEr */
+  },
+  { /* 1321 */
+    80,
+    /* SETGEm */
+  },
+  { /* 1322 */
+    82,
+    /* SETGEr */
+  },
+  { /* 1323 */
+    80,
+    /* SETGm */
+  },
+  { /* 1324 */
+    82,
+    /* SETGr */
+  },
+  { /* 1325 */
+    80,
+    /* SETLEm */
+  },
+  { /* 1326 */
+    82,
+    /* SETLEr */
+  },
+  { /* 1327 */
+    80,
+    /* SETLm */
+  },
+  { /* 1328 */
+    82,
+    /* SETLr */
+  },
+  { /* 1329 */
+    80,
+    /* SETNEm */
+  },
+  { /* 1330 */
+    82,
+    /* SETNEr */
+  },
+  { /* 1331 */
+    80,
+    /* SETNOm */
+  },
+  { /* 1332 */
+    82,
+    /* SETNOr */
+  },
+  { /* 1333 */
+    80,
+    /* SETNPm */
+  },
+  { /* 1334 */
+    82,
+    /* SETNPr */
+  },
+  { /* 1335 */
+    80,
+    /* SETNSm */
+  },
+  { /* 1336 */
+    82,
+    /* SETNSr */
+  },
+  { /* 1337 */
+    80,
+    /* SETOm */
+  },
+  { /* 1338 */
+    82,
+    /* SETOr */
+  },
+  { /* 1339 */
+    80,
+    /* SETPm */
+  },
+  { /* 1340 */
+    82,
+    /* SETPr */
+  },
+  { /* 1341 */
+    80,
+    /* SETSm */
+  },
+  { /* 1342 */
+    82,
+    /* SETSr */
+  },
+  { /* 1343 */
+    87,
+    /* SGDT16m */
+  },
+  { /* 1344 */
+    87,
+    /* SGDT32m */
+  },
+  { /* 1345 */
+    88,
+    /* SGDT64m */
+  },
+  { /* 1346 */
+    61,
+    /* SHL16m1 */
+  },
+  { /* 1347 */
+    61,
+    /* SHL16mCL */
+  },
+  { /* 1348 */
+    148,
+    /* SHL16mi */
+  },
+  { /* 1349 */
+    78,
+    /* SHL16r1 */
+  },
   { /* 1350 */
     78,
-    /* SETBEm */
+    /* SHL16rCL */
   },
   { /* 1351 */
-    80,
-    /* SETBEr */
+    149,
+    /* SHL16ri */
   },
   { /* 1352 */
-    0,
-    /*  */
+    61,
+    /* SHL32m1 */
   },
   { /* 1353 */
-    0,
-    /*  */
+    61,
+    /* SHL32mCL */
   },
   { /* 1354 */
-    0,
-    /*  */
+    148,
+    /* SHL32mi */
   },
   { /* 1355 */
-    0,
-    /*  */
+    78,
+    /* SHL32r1 */
   },
   { /* 1356 */
     78,
-    /* SETBm */
+    /* SHL32rCL */
   },
   { /* 1357 */
-    80,
-    /* SETBr */
+    149,
+    /* SHL32ri */
   },
   { /* 1358 */
-    78,
-    /* SETEm */
+    61,
+    /* SHL64m1 */
   },
   { /* 1359 */
-    80,
-    /* SETEr */
+    61,
+    /* SHL64mCL */
   },
   { /* 1360 */
-    78,
-    /* SETGEm */
+    148,
+    /* SHL64mi */
   },
   { /* 1361 */
-    80,
-    /* SETGEr */
+    79,
+    /* SHL64r1 */
   },
   { /* 1362 */
-    78,
-    /* SETGm */
+    79,
+    /* SHL64rCL */
   },
   { /* 1363 */
-    80,
-    /* SETGr */
+    150,
+    /* SHL64ri */
   },
   { /* 1364 */
-    78,
-    /* SETLEm */
+    80,
+    /* SHL8m1 */
   },
   { /* 1365 */
     80,
-    /* SETLEr */
-  },
-  { /* 1366 */
-    78,
-    /* SETLm */
-  },
-  { /* 1367 */
-    80,
-    /* SETLr */
-  },
-  { /* 1368 */
-    78,
-    /* SETNEm */
-  },
-  { /* 1369 */
-    80,
-    /* SETNEr */
-  },
-  { /* 1370 */
-    78,
-    /* SETNOm */
-  },
-  { /* 1371 */
-    80,
-    /* SETNOr */
-  },
-  { /* 1372 */
-    78,
-    /* SETNPm */
-  },
-  { /* 1373 */
-    80,
-    /* SETNPr */
-  },
-  { /* 1374 */
-    78,
-    /* SETNSm */
-  },
-  { /* 1375 */
-    80,
-    /* SETNSr */
-  },
-  { /* 1376 */
-    78,
-    /* SETOm */
-  },
-  { /* 1377 */
-    80,
-    /* SETOr */
-  },
-  { /* 1378 */
-    78,
-    /* SETPm */
-  },
-  { /* 1379 */
-    80,
-    /* SETPr */
-  },
-  { /* 1380 */
-    78,
-    /* SETSm */
-  },
-  { /* 1381 */
-    80,
-    /* SETSr */
-  },
-  { /* 1382 */
-    85,
-    /* SGDT16m */
-  },
-  { /* 1383 */
-    85,
-    /* SGDT32m */
-  },
-  { /* 1384 */
-    86,
-    /* SGDT64m */
-  },
-  { /* 1385 */
-    59,
-    /* SHL16m1 */
-  },
-  { /* 1386 */
-    59,
-    /* SHL16mCL */
-  },
-  { /* 1387 */
-    146,
-    /* SHL16mi */
-  },
-  { /* 1388 */
-    76,
-    /* SHL16r1 */
-  },
-  { /* 1389 */
-    76,
-    /* SHL16rCL */
-  },
-  { /* 1390 */
-    147,
-    /* SHL16ri */
-  },
-  { /* 1391 */
-    59,
-    /* SHL32m1 */
-  },
-  { /* 1392 */
-    59,
-    /* SHL32mCL */
-  },
-  { /* 1393 */
-    146,
-    /* SHL32mi */
-  },
-  { /* 1394 */
-    76,
-    /* SHL32r1 */
-  },
-  { /* 1395 */
-    76,
-    /* SHL32rCL */
-  },
-  { /* 1396 */
-    147,
-    /* SHL32ri */
-  },
-  { /* 1397 */
-    59,
-    /* SHL64m1 */
-  },
-  { /* 1398 */
-    59,
-    /* SHL64mCL */
-  },
-  { /* 1399 */
-    146,
-    /* SHL64mi */
-  },
-  { /* 1400 */
-    77,
-    /* SHL64r1 */
-  },
-  { /* 1401 */
-    77,
-    /* SHL64rCL */
-  },
-  { /* 1402 */
-    148,
-    /* SHL64ri */
-  },
-  { /* 1403 */
-    78,
-    /* SHL8m1 */
-  },
-  { /* 1404 */
-    78,
     /* SHL8mCL */
   },
-  { /* 1405 */
+  { /* 1366 */
     22,
     /* SHL8mi */
   },
-  { /* 1406 */
-    79,
+  { /* 1367 */
+    81,
     /* SHL8r1 */
   },
-  { /* 1407 */
-    79,
+  { /* 1368 */
+    81,
     /* SHL8rCL */
   },
-  { /* 1408 */
+  { /* 1369 */
     24,
     /* SHL8ri */
   },
-  { /* 1409 */
+  { /* 1370 */
     5,
     /* SHLD16mrCL */
   },
-  { /* 1410 */
-    155,
+  { /* 1371 */
+    157,
     /* SHLD16mri8 */
   },
-  { /* 1411 */
+  { /* 1372 */
     9,
     /* SHLD16rrCL */
   },
-  { /* 1412 */
-    156,
+  { /* 1373 */
+    158,
     /* SHLD16rri8 */
   },
-  { /* 1413 */
+  { /* 1374 */
     5,
     /* SHLD32mrCL */
   },
-  { /* 1414 */
-    155,
+  { /* 1375 */
+    157,
     /* SHLD32mri8 */
   },
-  { /* 1415 */
+  { /* 1376 */
     9,
     /* SHLD32rrCL */
   },
-  { /* 1416 */
-    156,
+  { /* 1377 */
+    158,
     /* SHLD32rri8 */
   },
-  { /* 1417 */
+  { /* 1378 */
     16,
     /* SHLD64mrCL */
   },
-  { /* 1418 */
-    157,
+  { /* 1379 */
+    159,
     /* SHLD64mri8 */
   },
-  { /* 1419 */
+  { /* 1380 */
     20,
     /* SHLD64rrCL */
   },
-  { /* 1420 */
-    158,
+  { /* 1381 */
+    160,
     /* SHLD64rri8 */
   },
-  { /* 1421 */
-    38,
+  { /* 1382 */
+    40,
     /* SHLX32rm */
   },
-  { /* 1422 */
-    39,
+  { /* 1383 */
+    41,
     /* SHLX32rr */
   },
-  { /* 1423 */
-    40,
+  { /* 1384 */
+    42,
     /* SHLX64rm */
   },
-  { /* 1424 */
-    41,
+  { /* 1385 */
+    43,
     /* SHLX64rr */
   },
-  { /* 1425 */
-    59,
+  { /* 1386 */
+    61,
     /* SHR16m1 */
   },
-  { /* 1426 */
-    59,
+  { /* 1387 */
+    61,
     /* SHR16mCL */
   },
-  { /* 1427 */
-    146,
+  { /* 1388 */
+    148,
     /* SHR16mi */
   },
-  { /* 1428 */
-    76,
+  { /* 1389 */
+    78,
     /* SHR16r1 */
   },
-  { /* 1429 */
-    76,
+  { /* 1390 */
+    78,
     /* SHR16rCL */
   },
-  { /* 1430 */
-    147,
+  { /* 1391 */
+    149,
     /* SHR16ri */
   },
-  { /* 1431 */
-    59,
+  { /* 1392 */
+    61,
     /* SHR32m1 */
   },
-  { /* 1432 */
-    59,
+  { /* 1393 */
+    61,
     /* SHR32mCL */
   },
-  { /* 1433 */
-    146,
+  { /* 1394 */
+    148,
     /* SHR32mi */
   },
-  { /* 1434 */
-    76,
+  { /* 1395 */
+    78,
     /* SHR32r1 */
   },
-  { /* 1435 */
-    76,
+  { /* 1396 */
+    78,
     /* SHR32rCL */
   },
-  { /* 1436 */
-    147,
+  { /* 1397 */
+    149,
     /* SHR32ri */
   },
-  { /* 1437 */
-    59,
+  { /* 1398 */
+    61,
     /* SHR64m1 */
   },
-  { /* 1438 */
-    59,
+  { /* 1399 */
+    61,
     /* SHR64mCL */
   },
-  { /* 1439 */
-    146,
+  { /* 1400 */
+    148,
     /* SHR64mi */
   },
-  { /* 1440 */
-    77,
+  { /* 1401 */
+    79,
     /* SHR64r1 */
   },
-  { /* 1441 */
-    77,
+  { /* 1402 */
+    79,
     /* SHR64rCL */
   },
-  { /* 1442 */
-    148,
+  { /* 1403 */
+    150,
     /* SHR64ri */
   },
-  { /* 1443 */
-    78,
+  { /* 1404 */
+    80,
     /* SHR8m1 */
   },
-  { /* 1444 */
-    78,
+  { /* 1405 */
+    80,
     /* SHR8mCL */
   },
-  { /* 1445 */
+  { /* 1406 */
     22,
     /* SHR8mi */
   },
-  { /* 1446 */
-    79,
+  { /* 1407 */
+    81,
     /* SHR8r1 */
   },
-  { /* 1447 */
-    79,
+  { /* 1408 */
+    81,
     /* SHR8rCL */
   },
-  { /* 1448 */
+  { /* 1409 */
     24,
     /* SHR8ri */
   },
-  { /* 1449 */
+  { /* 1410 */
     5,
     /* SHRD16mrCL */
   },
-  { /* 1450 */
-    155,
+  { /* 1411 */
+    157,
     /* SHRD16mri8 */
   },
-  { /* 1451 */
+  { /* 1412 */
     9,
     /* SHRD16rrCL */
   },
-  { /* 1452 */
-    156,
+  { /* 1413 */
+    158,
     /* SHRD16rri8 */
   },
-  { /* 1453 */
+  { /* 1414 */
     5,
     /* SHRD32mrCL */
   },
-  { /* 1454 */
-    155,
+  { /* 1415 */
+    157,
     /* SHRD32mri8 */
   },
-  { /* 1455 */
+  { /* 1416 */
     9,
     /* SHRD32rrCL */
   },
-  { /* 1456 */
-    156,
+  { /* 1417 */
+    158,
     /* SHRD32rri8 */
   },
-  { /* 1457 */
+  { /* 1418 */
     16,
     /* SHRD64mrCL */
   },
-  { /* 1458 */
-    157,
+  { /* 1419 */
+    159,
     /* SHRD64mri8 */
   },
-  { /* 1459 */
+  { /* 1420 */
     20,
     /* SHRD64rrCL */
   },
-  { /* 1460 */
-    158,
+  { /* 1421 */
+    160,
     /* SHRD64rri8 */
   },
-  { /* 1461 */
-    38,
+  { /* 1422 */
+    40,
     /* SHRX32rm */
   },
-  { /* 1462 */
-    39,
+  { /* 1423 */
+    41,
     /* SHRX32rr */
   },
-  { /* 1463 */
-    40,
+  { /* 1424 */
+    42,
     /* SHRX64rm */
   },
-  { /* 1464 */
-    41,
+  { /* 1425 */
+    43,
     /* SHRX64rr */
   },
-  { /* 1465 */
-    85,
+  { /* 1426 */
+    87,
     /* SIDT16m */
   },
-  { /* 1466 */
-    85,
+  { /* 1427 */
+    87,
     /* SIDT32m */
   },
-  { /* 1467 */
-    86,
+  { /* 1428 */
+    88,
     /* SIDT64m */
   },
-  { /* 1468 */
+  { /* 1429 */
     0,
     /* SKINIT */
   },
-  { /* 1469 */
-    59,
+  { /* 1430 */
+    61,
     /* SLDT16m */
   },
-  { /* 1470 */
-    60,
+  { /* 1431 */
+    62,
     /* SLDT16r */
   },
-  { /* 1471 */
-    60,
+  { /* 1432 */
+    62,
     /* SLDT32r */
   },
-  { /* 1472 */
-    59,
+  { /* 1433 */
+    61,
     /* SLDT64m */
   },
-  { /* 1473 */
-    62,
+  { /* 1434 */
+    64,
     /* SLDT64r */
   },
-  { /* 1474 */
-    59,
+  { /* 1435 */
+    61,
     /* SMSW16m */
   },
-  { /* 1475 */
-    60,
+  { /* 1436 */
+    62,
     /* SMSW16r */
   },
-  { /* 1476 */
-    60,
+  { /* 1437 */
+    62,
     /* SMSW32r */
   },
-  { /* 1477 */
-    62,
+  { /* 1438 */
+    64,
     /* SMSW64r */
   },
-  { /* 1478 */
-    0,
-    /* SS_PREFIX */
-  },
-  { /* 1479 */
+  { /* 1439 */
     0,
     /* STAC */
   },
-  { /* 1480 */
+  { /* 1440 */
     0,
     /* STC */
   },
-  { /* 1481 */
+  { /* 1441 */
     0,
     /* STD */
   },
-  { /* 1482 */
+  { /* 1442 */
     0,
     /* STGI */
   },
-  { /* 1483 */
+  { /* 1443 */
     0,
     /* STI */
   },
-  { /* 1484 */
+  { /* 1444 */
     97,
     /* STOSB */
   },
-  { /* 1485 */
-    96,
+  { /* 1445 */
+    98,
     /* STOSL */
   },
-  { /* 1486 */
-    154,
+  { /* 1446 */
+    156,
     /* STOSQ */
   },
-  { /* 1487 */
-    95,
+  { /* 1447 */
+    99,
     /* STOSW */
   },
-  { /* 1488 */
-    60,
+  { /* 1448 */
+    62,
     /* STR16r */
   },
-  { /* 1489 */
-    60,
+  { /* 1449 */
+    62,
     /* STR32r */
   },
-  { /* 1490 */
-    62,
+  { /* 1450 */
+    64,
     /* STR64r */
   },
-  { /* 1491 */
-    59,
+  { /* 1451 */
+    61,
     /* STRm */
   },
-  { /* 1492 */
+  { /* 1452 */
     2,
     /* SUB16i16 */
   },
-  { /* 1493 */
+  { /* 1453 */
     3,
     /* SUB16mi */
   },
-  { /* 1494 */
+  { /* 1454 */
     4,
     /* SUB16mi8 */
   },
-  { /* 1495 */
+  { /* 1455 */
     5,
     /* SUB16mr */
   },
-  { /* 1496 */
+  { /* 1456 */
     6,
     /* SUB16ri */
   },
-  { /* 1497 */
+  { /* 1457 */
     7,
     /* SUB16ri8 */
   },
-  { /* 1498 */
+  { /* 1458 */
     8,
     /* SUB16rm */
   },
-  { /* 1499 */
+  { /* 1459 */
     9,
     /* SUB16rr */
   },
-  { /* 1500 */
+  { /* 1460 */
     10,
     /* SUB16rr_REV */
   },
-  { /* 1501 */
+  { /* 1461 */
     2,
     /* SUB32i32 */
   },
-  { /* 1502 */
+  { /* 1462 */
     3,
     /* SUB32mi */
   },
-  { /* 1503 */
+  { /* 1463 */
     11,
     /* SUB32mi8 */
   },
-  { /* 1504 */
+  { /* 1464 */
     5,
     /* SUB32mr */
   },
-  { /* 1505 */
+  { /* 1465 */
     6,
     /* SUB32ri */
   },
-  { /* 1506 */
+  { /* 1466 */
     12,
     /* SUB32ri8 */
   },
-  { /* 1507 */
+  { /* 1467 */
     8,
     /* SUB32rm */
   },
-  { /* 1508 */
+  { /* 1468 */
     9,
     /* SUB32rr */
   },
-  { /* 1509 */
+  { /* 1469 */
     10,
     /* SUB32rr_REV */
   },
-  { /* 1510 */
+  { /* 1470 */
     13,
     /* SUB64i32 */
   },
-  { /* 1511 */
+  { /* 1471 */
     14,
     /* SUB64mi32 */
   },
-  { /* 1512 */
+  { /* 1472 */
     15,
     /* SUB64mi8 */
   },
-  { /* 1513 */
+  { /* 1473 */
     16,
     /* SUB64mr */
   },
-  { /* 1514 */
+  { /* 1474 */
     17,
     /* SUB64ri32 */
   },
-  { /* 1515 */
+  { /* 1475 */
     18,
     /* SUB64ri8 */
   },
-  { /* 1516 */
+  { /* 1476 */
     19,
     /* SUB64rm */
   },
-  { /* 1517 */
+  { /* 1477 */
     20,
     /* SUB64rr */
   },
-  { /* 1518 */
+  { /* 1478 */
     21,
     /* SUB64rr_REV */
   },
-  { /* 1519 */
+  { /* 1479 */
     1,
     /* SUB8i8 */
   },
-  { /* 1520 */
+  { /* 1480 */
     22,
     /* SUB8mi */
   },
-  { /* 1521 */
+  { /* 1481 */
     23,
     /* SUB8mr */
   },
-  { /* 1522 */
+  { /* 1482 */
     24,
     /* SUB8ri */
   },
-  { /* 1523 */
+  { /* 1483 */
     24,
     /* SUB8ri8 */
   },
-  { /* 1524 */
+  { /* 1484 */
     25,
     /* SUB8rm */
   },
-  { /* 1525 */
+  { /* 1485 */
     26,
     /* SUB8rr */
   },
-  { /* 1526 */
+  { /* 1486 */
     27,
     /* SUB8rr_REV */
   },
-  { /* 1527 */
+  { /* 1487 */
     0,
     /* SWAPGS */
   },
-  { /* 1528 */
+  { /* 1488 */
     0,
     /* SYSCALL */
   },
-  { /* 1529 */
+  { /* 1489 */
     0,
     /* SYSENTER */
   },
-  { /* 1530 */
+  { /* 1490 */
     0,
     /* SYSEXIT */
   },
-  { /* 1531 */
+  { /* 1491 */
     0,
     /* SYSEXIT64 */
   },
-  { /* 1532 */
+  { /* 1492 */
     0,
     /* SYSRET */
   },
-  { /* 1533 */
+  { /* 1493 */
     0,
     /* SYSRET64 */
   },
-  { /* 1534 */
-    46,
+  { /* 1494 */
+    48,
     /* T1MSKC32rm */
   },
-  { /* 1535 */
-    47,
+  { /* 1495 */
+    49,
     /* T1MSKC32rr */
   },
-  { /* 1536 */
-    48,
+  { /* 1496 */
+    50,
     /* T1MSKC64rm */
   },
-  { /* 1537 */
-    49,
+  { /* 1497 */
+    51,
     /* T1MSKC64rr */
   },
-  { /* 1538 */
+  { /* 1498 */
     0,
     /*  */
   },
+  { /* 1499 */
+    0,
+    /*  */
+  },
+  { /* 1500 */
+    0,
+    /*  */
+  },
+  { /* 1501 */
+    0,
+    /*  */
+  },
+  { /* 1502 */
+    0,
+    /*  */
+  },
+  { /* 1503 */
+    0,
+    /*  */
+  },
+  { /* 1504 */
+    0,
+    /*  */
+  },
+  { /* 1505 */
+    0,
+    /*  */
+  },
+  { /* 1506 */
+    0,
+    /*  */
+  },
+  { /* 1507 */
+    0,
+    /*  */
+  },
+  { /* 1508 */
+    0,
+    /*  */
+  },
+  { /* 1509 */
+    0,
+    /*  */
+  },
+  { /* 1510 */
+    2,
+    /* TEST16i16 */
+  },
+  { /* 1511 */
+    3,
+    /* TEST16mi */
+  },
+  { /* 1512 */
+    3,
+    /* TEST16mi_alt */
+  },
+  { /* 1513 */
+    67,
+    /* TEST16ri */
+  },
+  { /* 1514 */
+    67,
+    /* TEST16ri_alt */
+  },
+  { /* 1515 */
+    5,
+    /* TEST16rm */
+  },
+  { /* 1516 */
+    53,
+    /* TEST16rr */
+  },
+  { /* 1517 */
+    2,
+    /* TEST32i32 */
+  },
+  { /* 1518 */
+    3,
+    /* TEST32mi */
+  },
+  { /* 1519 */
+    3,
+    /* TEST32mi_alt */
+  },
+  { /* 1520 */
+    67,
+    /* TEST32ri */
+  },
+  { /* 1521 */
+    67,
+    /* TEST32ri_alt */
+  },
+  { /* 1522 */
+    5,
+    /* TEST32rm */
+  },
+  { /* 1523 */
+    53,
+    /* TEST32rr */
+  },
+  { /* 1524 */
+    13,
+    /* TEST64i32 */
+  },
+  { /* 1525 */
+    14,
+    /* TEST64mi32 */
+  },
+  { /* 1526 */
+    14,
+    /* TEST64mi32_alt */
+  },
+  { /* 1527 */
+    68,
+    /* TEST64ri32 */
+  },
+  { /* 1528 */
+    68,
+    /* TEST64ri32_alt */
+  },
+  { /* 1529 */
+    16,
+    /* TEST64rm */
+  },
+  { /* 1530 */
+    33,
+    /* TEST64rr */
+  },
+  { /* 1531 */
+    1,
+    /* TEST8i8 */
+  },
+  { /* 1532 */
+    22,
+    /* TEST8mi */
+  },
+  { /* 1533 */
+    22,
+    /* TEST8mi_alt */
+  },
+  { /* 1534 */
+    69,
+    /* TEST8ri */
+  },
+  { /* 1535 */
+    0,
+    /*  */
+  },
+  { /* 1536 */
+    69,
+    /* TEST8ri_alt */
+  },
+  { /* 1537 */
+    23,
+    /* TEST8rm */
+  },
+  { /* 1538 */
+    72,
+    /* TEST8rr */
+  },
   { /* 1539 */
     0,
     /*  */
@@ -8615,657 +8793,497 @@
   },
   { /* 1545 */
     0,
-    /*  */
-  },
-  { /* 1546 */
-    0,
-    /*  */
-  },
-  { /* 1547 */
-    0,
-    /*  */
-  },
-  { /* 1548 */
-    0,
-    /*  */
-  },
-  { /* 1549 */
-    0,
-    /*  */
-  },
-  { /* 1550 */
-    2,
-    /* TEST16i16 */
-  },
-  { /* 1551 */
-    3,
-    /* TEST16mi */
-  },
-  { /* 1552 */
-    3,
-    /* TEST16mi_alt */
-  },
-  { /* 1553 */
-    65,
-    /* TEST16ri */
-  },
-  { /* 1554 */
-    65,
-    /* TEST16ri_alt */
-  },
-  { /* 1555 */
-    50,
-    /* TEST16rm */
-  },
-  { /* 1556 */
-    51,
-    /* TEST16rr */
-  },
-  { /* 1557 */
-    2,
-    /* TEST32i32 */
-  },
-  { /* 1558 */
-    3,
-    /* TEST32mi */
-  },
-  { /* 1559 */
-    3,
-    /* TEST32mi_alt */
-  },
-  { /* 1560 */
-    65,
-    /* TEST32ri */
-  },
-  { /* 1561 */
-    65,
-    /* TEST32ri_alt */
-  },
-  { /* 1562 */
-    50,
-    /* TEST32rm */
-  },
-  { /* 1563 */
-    51,
-    /* TEST32rr */
-  },
-  { /* 1564 */
-    13,
-    /* TEST64i32 */
-  },
-  { /* 1565 */
-    14,
-    /* TEST64mi32 */
-  },
-  { /* 1566 */
-    14,
-    /* TEST64mi32_alt */
-  },
-  { /* 1567 */
-    66,
-    /* TEST64ri32 */
-  },
-  { /* 1568 */
-    66,
-    /* TEST64ri32_alt */
-  },
-  { /* 1569 */
-    30,
-    /* TEST64rm */
-  },
-  { /* 1570 */
-    31,
-    /* TEST64rr */
-  },
-  { /* 1571 */
-    1,
-    /* TEST8i8 */
-  },
-  { /* 1572 */
-    22,
-    /* TEST8mi */
-  },
-  { /* 1573 */
-    22,
-    /* TEST8mi_alt */
-  },
-  { /* 1574 */
-    67,
-    /* TEST8ri */
-  },
-  { /* 1575 */
-    0,
-    /*  */
-  },
-  { /* 1576 */
-    67,
-    /* TEST8ri_alt */
-  },
-  { /* 1577 */
-    68,
-    /* TEST8rm */
-  },
-  { /* 1578 */
-    70,
-    /* TEST8rr */
-  },
-  { /* 1579 */
-    0,
-    /*  */
-  },
-  { /* 1580 */
-    0,
-    /*  */
-  },
-  { /* 1581 */
-    0,
-    /*  */
-  },
-  { /* 1582 */
-    0,
-    /*  */
-  },
-  { /* 1583 */
-    0,
-    /*  */
-  },
-  { /* 1584 */
-    0,
-    /*  */
-  },
-  { /* 1585 */
-    0,
     /* TRAP */
   },
-  { /* 1586 */
-    50,
+  { /* 1546 */
+    52,
     /* TZCNT16rm */
   },
-  { /* 1587 */
-    51,
+  { /* 1547 */
+    53,
     /* TZCNT16rr */
   },
-  { /* 1588 */
-    50,
+  { /* 1548 */
+    52,
     /* TZCNT32rm */
   },
-  { /* 1589 */
-    51,
+  { /* 1549 */
+    53,
     /* TZCNT32rr */
   },
-  { /* 1590 */
-    30,
+  { /* 1550 */
+    32,
     /* TZCNT64rm */
   },
-  { /* 1591 */
-    31,
+  { /* 1551 */
+    33,
     /* TZCNT64rr */
   },
-  { /* 1592 */
-    46,
+  { /* 1552 */
+    48,
     /* TZMSK32rm */
   },
-  { /* 1593 */
-    47,
+  { /* 1553 */
+    49,
     /* TZMSK32rr */
   },
-  { /* 1594 */
-    48,
+  { /* 1554 */
+    50,
     /* TZMSK64rm */
   },
-  { /* 1595 */
-    49,
+  { /* 1555 */
+    51,
     /* TZMSK64rr */
   },
-  { /* 1596 */
+  { /* 1556 */
     0,
     /* UD2B */
   },
-  { /* 1597 */
+  { /* 1557 */
     0,
     /*  */
   },
-  { /* 1598 */
+  { /* 1558 */
     0,
     /*  */
   },
-  { /* 1599 */
-    59,
+  { /* 1559 */
+    61,
     /* VERRm */
   },
-  { /* 1600 */
-    108,
+  { /* 1560 */
+    110,
     /* VERRr */
   },
-  { /* 1601 */
-    59,
+  { /* 1561 */
+    61,
     /* VERWm */
   },
-  { /* 1602 */
-    108,
+  { /* 1562 */
+    110,
     /* VERWr */
   },
-  { /* 1603 */
+  { /* 1563 */
     0,
     /* VMCALL */
   },
-  { /* 1604 */
-    59,
+  { /* 1564 */
+    61,
     /* VMCLEARm */
   },
-  { /* 1605 */
+  { /* 1565 */
     0,
     /* VMFUNC */
   },
-  { /* 1606 */
+  { /* 1566 */
     0,
     /* VMLAUNCH */
   },
-  { /* 1607 */
+  { /* 1567 */
     0,
     /* VMLOAD32 */
   },
-  { /* 1608 */
+  { /* 1568 */
     0,
     /* VMLOAD64 */
   },
-  { /* 1609 */
+  { /* 1569 */
     0,
     /* VMMCALL */
   },
-  { /* 1610 */
-    59,
+  { /* 1570 */
+    61,
     /* VMPTRLDm */
   },
-  { /* 1611 */
-    59,
+  { /* 1571 */
+    61,
     /* VMPTRSTm */
   },
-  { /* 1612 */
-    159,
+  { /* 1572 */
+    161,
     /* VMREAD32rm */
   },
-  { /* 1613 */
-    160,
+  { /* 1573 */
+    162,
     /* VMREAD32rr */
   },
-  { /* 1614 */
+  { /* 1574 */
     16,
     /* VMREAD64rm */
   },
-  { /* 1615 */
-    58,
+  { /* 1575 */
+    60,
     /* VMREAD64rr */
   },
-  { /* 1616 */
+  { /* 1576 */
     0,
     /* VMRESUME */
   },
-  { /* 1617 */
+  { /* 1577 */
     0,
     /* VMRUN32 */
   },
-  { /* 1618 */
+  { /* 1578 */
     0,
     /* VMRUN64 */
   },
-  { /* 1619 */
+  { /* 1579 */
     0,
     /* VMSAVE32 */
   },
-  { /* 1620 */
+  { /* 1580 */
     0,
     /* VMSAVE64 */
   },
-  { /* 1621 */
-    28,
+  { /* 1581 */
+    30,
     /* VMWRITE32rm */
   },
-  { /* 1622 */
-    29,
+  { /* 1582 */
+    31,
     /* VMWRITE32rr */
   },
-  { /* 1623 */
-    30,
+  { /* 1583 */
+    32,
     /* VMWRITE64rm */
   },
-  { /* 1624 */
-    31,
+  { /* 1584 */
+    33,
     /* VMWRITE64rr */
   },
-  { /* 1625 */
+  { /* 1585 */
     0,
     /* VMXOFF */
   },
-  { /* 1626 */
-    59,
+  { /* 1586 */
+    61,
     /* VMXON */
   },
-  { /* 1627 */
+  { /* 1587 */
     0,
     /*  */
   },
-  { /* 1628 */
+  { /* 1588 */
     0,
     /* WBINVD */
   },
-  { /* 1629 */
+  { /* 1589 */
     0,
     /*  */
   },
-  { /* 1630 */
+  { /* 1590 */
     0,
     /*  */
   },
-  { /* 1631 */
+  { /* 1591 */
     0,
     /*  */
   },
-  { /* 1632 */
-    149,
+  { /* 1592 */
+    151,
     /* WRFSBASE */
   },
-  { /* 1633 */
-    62,
+  { /* 1593 */
+    64,
     /* WRFSBASE64 */
   },
-  { /* 1634 */
-    149,
+  { /* 1594 */
+    151,
     /* WRGSBASE */
   },
-  { /* 1635 */
-    62,
+  { /* 1595 */
+    64,
     /* WRGSBASE64 */
   },
-  { /* 1636 */
+  { /* 1596 */
     0,
     /* WRMSR */
   },
-  { /* 1637 */
+  { /* 1597 */
     5,
     /* XADD16rm */
   },
-  { /* 1638 */
-    55,
+  { /* 1598 */
+    57,
     /* XADD16rr */
   },
-  { /* 1639 */
+  { /* 1599 */
     5,
     /* XADD32rm */
   },
-  { /* 1640 */
-    55,
+  { /* 1600 */
+    57,
     /* XADD32rr */
   },
-  { /* 1641 */
+  { /* 1601 */
     16,
     /* XADD64rm */
   },
-  { /* 1642 */
-    58,
+  { /* 1602 */
+    60,
     /* XADD64rr */
   },
-  { /* 1643 */
+  { /* 1603 */
     23,
     /* XADD8rm */
   },
-  { /* 1644 */
-    69,
+  { /* 1604 */
+    71,
     /* XADD8rr */
   },
-  { /* 1645 */
-    141,
+  { /* 1605 */
+    143,
     /* XCHG16ar */
   },
-  { /* 1646 */
+  { /* 1606 */
     8,
     /* XCHG16rm */
   },
-  { /* 1647 */
+  { /* 1607 */
     10,
     /* XCHG16rr */
   },
-  { /* 1648 */
-    141,
+  { /* 1608 */
+    143,
     /* XCHG32ar */
   },
-  { /* 1649 */
-    141,
+  { /* 1609 */
+    143,
     /* XCHG32ar64 */
   },
-  { /* 1650 */
+  { /* 1610 */
     8,
     /* XCHG32rm */
   },
-  { /* 1651 */
+  { /* 1611 */
     10,
     /* XCHG32rr */
   },
-  { /* 1652 */
-    142,
+  { /* 1612 */
+    144,
     /* XCHG64ar */
   },
-  { /* 1653 */
+  { /* 1613 */
     19,
     /* XCHG64rm */
   },
-  { /* 1654 */
+  { /* 1614 */
     21,
     /* XCHG64rr */
   },
-  { /* 1655 */
+  { /* 1615 */
     25,
     /* XCHG8rm */
   },
-  { /* 1656 */
+  { /* 1616 */
     27,
     /* XCHG8rr */
   },
-  { /* 1657 */
+  { /* 1617 */
     0,
     /* XCRYPTCBC */
   },
-  { /* 1658 */
+  { /* 1618 */
     0,
     /* XCRYPTCFB */
   },
-  { /* 1659 */
+  { /* 1619 */
     0,
     /* XCRYPTCTR */
   },
-  { /* 1660 */
+  { /* 1620 */
     0,
     /* XCRYPTECB */
   },
-  { /* 1661 */
+  { /* 1621 */
     0,
     /* XCRYPTOFB */
   },
-  { /* 1662 */
+  { /* 1622 */
     0,
     /* XGETBV */
   },
-  { /* 1663 */
+  { /* 1623 */
     0,
     /* XLAT */
   },
-  { /* 1664 */
+  { /* 1624 */
     2,
     /* XOR16i16 */
   },
-  { /* 1665 */
+  { /* 1625 */
     3,
     /* XOR16mi */
   },
-  { /* 1666 */
+  { /* 1626 */
     4,
     /* XOR16mi8 */
   },
-  { /* 1667 */
+  { /* 1627 */
     5,
     /* XOR16mr */
   },
-  { /* 1668 */
+  { /* 1628 */
     6,
     /* XOR16ri */
   },
-  { /* 1669 */
+  { /* 1629 */
     7,
     /* XOR16ri8 */
   },
-  { /* 1670 */
+  { /* 1630 */
     8,
     /* XOR16rm */
   },
-  { /* 1671 */
+  { /* 1631 */
     9,
     /* XOR16rr */
   },
-  { /* 1672 */
+  { /* 1632 */
     10,
     /* XOR16rr_REV */
   },
-  { /* 1673 */
+  { /* 1633 */
     2,
     /* XOR32i32 */
   },
-  { /* 1674 */
+  { /* 1634 */
     3,
     /* XOR32mi */
   },
-  { /* 1675 */
+  { /* 1635 */
     11,
     /* XOR32mi8 */
   },
-  { /* 1676 */
+  { /* 1636 */
     5,
     /* XOR32mr */
   },
-  { /* 1677 */
+  { /* 1637 */
     6,
     /* XOR32ri */
   },
-  { /* 1678 */
+  { /* 1638 */
     12,
     /* XOR32ri8 */
   },
-  { /* 1679 */
+  { /* 1639 */
     8,
     /* XOR32rm */
   },
-  { /* 1680 */
+  { /* 1640 */
     9,
     /* XOR32rr */
   },
-  { /* 1681 */
+  { /* 1641 */
     10,
     /* XOR32rr_REV */
   },
-  { /* 1682 */
+  { /* 1642 */
     13,
     /* XOR64i32 */
   },
-  { /* 1683 */
+  { /* 1643 */
     14,
     /* XOR64mi32 */
   },
-  { /* 1684 */
+  { /* 1644 */
     15,
     /* XOR64mi8 */
   },
-  { /* 1685 */
+  { /* 1645 */
     16,
     /* XOR64mr */
   },
-  { /* 1686 */
+  { /* 1646 */
     17,
     /* XOR64ri32 */
   },
-  { /* 1687 */
+  { /* 1647 */
     18,
     /* XOR64ri8 */
   },
-  { /* 1688 */
+  { /* 1648 */
     19,
     /* XOR64rm */
   },
-  { /* 1689 */
+  { /* 1649 */
     20,
     /* XOR64rr */
   },
-  { /* 1690 */
+  { /* 1650 */
     21,
     /* XOR64rr_REV */
   },
-  { /* 1691 */
+  { /* 1651 */
     1,
     /* XOR8i8 */
   },
-  { /* 1692 */
+  { /* 1652 */
     22,
     /* XOR8mi */
   },
-  { /* 1693 */
+  { /* 1653 */
     23,
     /* XOR8mr */
   },
-  { /* 1694 */
+  { /* 1654 */
     24,
     /* XOR8ri */
   },
-  { /* 1695 */
+  { /* 1655 */
     24,
     /* XOR8ri8 */
   },
-  { /* 1696 */
+  { /* 1656 */
     25,
     /* XOR8rm */
   },
-  { /* 1697 */
+  { /* 1657 */
     26,
     /* XOR8rr */
   },
-  { /* 1698 */
+  { /* 1658 */
     27,
     /* XOR8rr_REV */
   },
-  { /* 1699 */
-    161,
+  { /* 1659 */
+    163,
     /* XRSTOR */
   },
-  { /* 1700 */
-    161,
+  { /* 1660 */
+    163,
     /* XRSTOR64 */
   },
-  { /* 1701 */
-    161,
+  { /* 1661 */
+    163,
     /* XSAVE */
   },
-  { /* 1702 */
-    161,
+  { /* 1662 */
+    163,
     /* XSAVE64 */
   },
-  { /* 1703 */
-    161,
+  { /* 1663 */
+    163,
     /* XSAVEOPT */
   },
-  { /* 1704 */
-    161,
+  { /* 1664 */
+    163,
     /* XSAVEOPT64 */
   },
-  { /* 1705 */
+  { /* 1665 */
     0,
     /* XSETBV */
   },
-  { /* 1706 */
+  { /* 1666 */
     0,
     /* XSHA1 */
   },
-  { /* 1707 */
+  { /* 1667 */
     0,
     /* XSHA256 */
   },
-  { /* 1708 */
+  { /* 1668 */
     0,
     /* XSTORE */
   }
@@ -25662,272 +25680,260 @@
 /* EmptyTable */
   0x0,
 /* Table1 */
-  0x67, /* ADD8mr */
-  0x6b, /* ADD8rr */
+  0x68, /* ADD8mr */
+  0x6c, /* ADD8rr */
 /* Table3 */
-  0x50, /* ADD32mr */
-  0x56, /* ADD32rr */
+  0x51, /* ADD32mr */
+  0x57, /* ADD32rr */
 /* Table5 */
-  0x6a, /* ADD8rm */
-  0x6c, /* ADD8rr_REV */
+  0x6b, /* ADD8rm */
+  0x6d, /* ADD8rr_REV */
 /* Table7 */
-  0x55, /* ADD32rm */
-  0x58, /* ADD32rr_REV */
+  0x56, /* ADD32rm */
+  0x59, /* ADD32rr_REV */
 /* Table9 */
-  0x65, /* ADD8i8 */
+  0x66, /* ADD8i8 */
 /* Table10 */
-  0x4d, /* ADD32i32 */
+  0x4e, /* ADD32i32 */
 /* Table11 */
-  0x448, /* PUSHES32 */
+  0x419, /* PUSHES32 */
 /* Table12 */
-  0x427, /* POPES32 */
+  0x3f8, /* POPES32 */
 /* Table13 */
-  0x402, /* OR8mr */
-  0x406, /* OR8rr */
+  0x3d3, /* OR8mr */
+  0x3d7, /* OR8rr */
 /* Table15 */
-  0x3f0, /* OR32mr */
-  0x3f5, /* OR32rr */
+  0x3c1, /* OR32mr */
+  0x3c6, /* OR32rr */
 /* Table17 */
-  0x405, /* OR8rm */
-  0x407, /* OR8rr_REV */
+  0x3d6, /* OR8rm */
+  0x3d8, /* OR8rr_REV */
 /* Table19 */
-  0x3f4, /* OR32rm */
-  0x3f6, /* OR32rr_REV */
+  0x3c5, /* OR32rm */
+  0x3c7, /* OR32rr_REV */
 /* Table21 */
-  0x400, /* OR8i8 */
+  0x3d1, /* OR8i8 */
 /* Table22 */
-  0x3ed, /* OR32i32 */
+  0x3be, /* OR32i32 */
 /* Table23 */
-  0x444, /* PUSHCS32 */
+  0x415, /* PUSHCS32 */
 /* Table24 */
-  0x38, /* ADC8mr */
-  0x3b, /* ADC8rr */
+  0x39, /* ADC8mr */
+  0x3c, /* ADC8rr */
 /* Table26 */
-  0x27, /* ADC32mr */
-  0x2b, /* ADC32rr */
+  0x28, /* ADC32mr */
+  0x2c, /* ADC32rr */
 /* Table28 */
-  0x3a, /* ADC8rm */
-  0x3c, /* ADC8rr_REV */
+  0x3b, /* ADC8rm */
+  0x3d, /* ADC8rr_REV */
 /* Table30 */
-  0x2a, /* ADC32rm */
-  0x2c, /* ADC32rr_REV */
+  0x2b, /* ADC32rm */
+  0x2d, /* ADC32rr_REV */
 /* Table32 */
-  0x36, /* ADC8i8 */
+  0x37, /* ADC8i8 */
 /* Table33 */
-  0x24, /* ADC32i32 */
+  0x25, /* ADC32i32 */
 /* Table34 */
-  0x453, /* PUSHSS32 */
+  0x424, /* PUSHSS32 */
 /* Table35 */
-  0x432, /* POPSS32 */
+  0x403, /* POPSS32 */
 /* Table36 */
-  0x537, /* SBB8mr */
-  0x53a, /* SBB8rr */
+  0x508, /* SBB8mr */
+  0x50b, /* SBB8rr */
 /* Table38 */
-  0x526, /* SBB32mr */
-  0x52a, /* SBB32rr */
+  0x4f7, /* SBB32mr */
+  0x4fb, /* SBB32rr */
 /* Table40 */
-  0x539, /* SBB8rm */
-  0x53b, /* SBB8rr_REV */
+  0x50a, /* SBB8rm */
+  0x50c, /* SBB8rr_REV */
 /* Table42 */
-  0x529, /* SBB32rm */
-  0x52b, /* SBB32rr_REV */
+  0x4fa, /* SBB32rm */
+  0x4fc, /* SBB32rr_REV */
 /* Table44 */
-  0x535, /* SBB8i8 */
+  0x506, /* SBB8i8 */
 /* Table45 */
-  0x523, /* SBB32i32 */
+  0x4f4, /* SBB32i32 */
 /* Table46 */
-  0x446, /* PUSHDS32 */
+  0x417, /* PUSHDS32 */
 /* Table47 */
-  0x425, /* POPDS32 */
+  0x3f6, /* POPDS32 */
 /* Table48 */
-  0x92, /* AND8mr */
-  0x96, /* AND8rr */
+  0x93, /* AND8mr */
+  0x97, /* AND8rr */
 /* Table50 */
-  0x81, /* AND32mr */
-  0x85, /* AND32rr */
+  0x82, /* AND32mr */
+  0x86, /* AND32rr */
 /* Table52 */
-  0x95, /* AND8rm */
-  0x97, /* AND8rr_REV */
+  0x96, /* AND8rm */
+  0x98, /* AND8rr_REV */
 /* Table54 */
-  0x84, /* AND32rm */
-  0x86, /* AND32rr_REV */
+  0x85, /* AND32rm */
+  0x87, /* AND32rr_REV */
 /* Table56 */
-  0x90, /* AND8i8 */
+  0x91, /* AND8i8 */
 /* Table57 */
-  0x7e, /* AND32i32 */
+  0x7f, /* AND32i32 */
 /* Table58 */
-  0x219, /* ES_PREFIX */
+  0x1cc, /* DAA */
 /* Table59 */
-  0x1f7, /* DAA */
-/* Table60 */
-  0x5f1, /* SUB8mr */
-  0x5f5, /* SUB8rr */
-/* Table62 */
-  0x5e0, /* SUB32mr */
-  0x5e4, /* SUB32rr */
-/* Table64 */
-  0x5f4, /* SUB8rm */
-  0x5f6, /* SUB8rr_REV */
-/* Table66 */
-  0x5e3, /* SUB32rm */
-  0x5e5, /* SUB32rr_REV */
+  0x5c9, /* SUB8mr */
+  0x5cd, /* SUB8rr */
+/* Table61 */
+  0x5b8, /* SUB32mr */
+  0x5bc, /* SUB32rr */
+/* Table63 */
+  0x5cc, /* SUB8rm */
+  0x5ce, /* SUB8rr_REV */
+/* Table65 */
+  0x5bb, /* SUB32rm */
+  0x5bd, /* SUB32rr_REV */
+/* Table67 */
+  0x5c7, /* SUB8i8 */
 /* Table68 */
-  0x5ef, /* SUB8i8 */
+  0x5b5, /* SUB32i32 */
 /* Table69 */
-  0x5dd, /* SUB32i32 */
+  0x1cd, /* DAS */
 /* Table70 */
-  0x1f4, /* CS_PREFIX */
-/* Table71 */
-  0x1f8, /* DAS */
+  0x675, /* XOR8mr */
+  0x679, /* XOR8rr */
 /* Table72 */
-  0x69d, /* XOR8mr */
-  0x6a1, /* XOR8rr */
+  0x664, /* XOR32mr */
+  0x668, /* XOR32rr */
 /* Table74 */
-  0x68c, /* XOR32mr */
-  0x690, /* XOR32rr */
+  0x678, /* XOR8rm */
+  0x67a, /* XOR8rr_REV */
 /* Table76 */
-  0x6a0, /* XOR8rm */
-  0x6a2, /* XOR8rr_REV */
+  0x667, /* XOR32rm */
+  0x669, /* XOR32rr_REV */
 /* Table78 */
-  0x68f, /* XOR32rm */
-  0x691, /* XOR32rr_REV */
+  0x673, /* XOR8i8 */
+/* Table79 */
+  0x661, /* XOR32i32 */
 /* Table80 */
-  0x69b, /* XOR8i8 */
+  0x14, /* AAA */
 /* Table81 */
-  0x689, /* XOR32i32 */
-/* Table82 */
-  0x5c6, /* SS_PREFIX */
+  0x1b4, /* CMP8mr */
+  0x1b7, /* CMP8rr */
 /* Table83 */
-  0x13, /* AAA */
-/* Table84 */
-  0x1de, /* CMP8mr */
-  0x1e1, /* CMP8rr */
-/* Table86 */
-  0x1cd, /* CMP32mr */
-  0x1d1, /* CMP32rr */
-/* Table88 */
-  0x1e0, /* CMP8rm */
-  0x1e2, /* CMP8rr_REV */
+  0x1a3, /* CMP32mr */
+  0x1a7, /* CMP32rr */
+/* Table85 */
+  0x1b6, /* CMP8rm */
+  0x1b8, /* CMP8rr_REV */
+/* Table87 */
+  0x1a6, /* CMP32rm */
+  0x1a8, /* CMP32rr_REV */
+/* Table89 */
+  0x1b2, /* CMP8i8 */
 /* Table90 */
-  0x1d0, /* CMP32rm */
-  0x1d2, /* CMP32rr_REV */
+  0x1a0, /* CMP32i32 */
+/* Table91 */
+  0x17, /* AAS */
 /* Table92 */
-  0x1dc, /* CMP8i8 */
+  0x227, /* INC32r */
 /* Table93 */
-  0x1ca, /* CMP32i32 */
+  0x1d4, /* DEC32r */
 /* Table94 */
-  0x210, /* DS_PREFIX */
+  0x409, /* PUSH32r */
 /* Table95 */
-  0x16, /* AAS */
+  0x3ed, /* POP32r */
 /* Table96 */
-  0x259, /* INC32r */
+  0x413, /* PUSHA32 */
 /* Table97 */
-  0x1ff, /* DEC32r */
+  0x3f4, /* POPA32 */
 /* Table98 */
-  0x438, /* PUSH32r */
-/* Table99 */
-  0x41c, /* POP32r */
+  0xd0, /* BOUNDS32rm */
+  0x0, /*  */
 /* Table100 */
-  0x442, /* PUSHA32 */
-/* Table101 */
-  0x423, /* POPA32 */
+  0x9d, /* ARPL16mr */
+  0x9e, /* ARPL16rr */
 /* Table102 */
-  0xfa, /* BOUNDS32rm */
-  0x0, /*  */
+  0x1ce, /* DATA16_PREFIX */
+/* Table103 */
+  0x426, /* PUSHi32 */
 /* Table104 */
-  0x9c, /* ARPL16mr */
-  0x9d, /* ARPL16rr */
+  0x20d, /* IMUL32rmi */
+  0x210, /* IMUL32rri */
 /* Table106 */
-  0x225, /* FS_PREFIX */
+  0x408, /* PUSH32i8 */
 /* Table107 */
-  0x227, /* GS_PREFIX */
-/* Table108 */
-  0x1f9, /* DATA16_PREFIX */
+  0x20e, /* IMUL32rmi8 */
+  0x211, /* IMUL32rri8 */
 /* Table109 */
-  0x455, /* PUSHi32 */
+  0x230, /* INSB */
 /* Table110 */
-  0x23c, /* IMUL32rmi */
-  0x23f, /* IMUL32rri */
+  0x231, /* INSL */
+/* Table111 */
+  0x3df, /* OUTSB */
 /* Table112 */
-  0x437, /* PUSH32i8 */
+  0x3e0, /* OUTSL */
 /* Table113 */
-  0x23d, /* IMUL32rmi8 */
-  0x240, /* IMUL32rri8 */
+  0x278, /* JO_1 */
+/* Table114 */
+  0x26f, /* JNO_1 */
 /* Table115 */
-  0x251, /* IN8 */
+  0x24e, /* JB_1 */
 /* Table116 */
-  0x24e, /* IN32 */
+  0x245, /* JAE_1 */
 /* Table117 */
-  0x40e, /* OUTSB */
+  0x254, /* JE_1 */
 /* Table118 */
-  0x40f, /* OUTSL */
+  0x26c, /* JNE_1 */
 /* Table119 */
-  0x2a7, /* JO_1 */
+  0x24b, /* JBE_1 */
 /* Table120 */
-  0x29e, /* JNO_1 */
+  0x248, /* JA_1 */
 /* Table121 */
-  0x27d, /* JB_1 */
+  0x27f, /* JS_1 */
 /* Table122 */
-  0x274, /* JAE_1 */
+  0x275, /* JNS_1 */
 /* Table123 */
-  0x283, /* JE_1 */
+  0x27b, /* JP_1 */
 /* Table124 */
-  0x29b, /* JNE_1 */
+  0x272, /* JNP_1 */
 /* Table125 */
-  0x27a, /* JBE_1 */
+  0x260, /* JL_1 */
 /* Table126 */
-  0x277, /* JA_1 */
+  0x257, /* JGE_1 */
 /* Table127 */
-  0x2ae, /* JS_1 */
+  0x25d, /* JLE_1 */
 /* Table128 */
-  0x2a4, /* JNS_1 */
+  0x25a, /* JG_1 */
 /* Table129 */
-  0x2aa, /* JP_1 */
-/* Table130 */
-  0x2a1, /* JNP_1 */
-/* Table131 */
-  0x28f, /* JL_1 */
-/* Table132 */
-  0x286, /* JGE_1 */
-/* Table133 */
-  0x28c, /* JLE_1 */
-/* Table134 */
-  0x289, /* JG_1 */
-/* Table135 */
-  0x66, /* ADD8mi */
-  0x401, /* OR8mi */
-  0x37, /* ADC8mi */
-  0x536, /* SBB8mi */
-  0x91, /* AND8mi */
-  0x5f0, /* SUB8mi */
-  0x69c, /* XOR8mi */
-  0x1dd, /* CMP8mi */
-  0x68, /* ADD8ri */
-  0x403, /* OR8ri */
-  0x39, /* ADC8ri */
-  0x538, /* SBB8ri */
-  0x93, /* AND8ri */
-  0x5f2, /* SUB8ri */
-  0x69e, /* XOR8ri */
-  0x1df, /* CMP8ri */
-/* Table151 */
-  0x4e, /* ADD32mi */
-  0x3ee, /* OR32mi */
-  0x25, /* ADC32mi */
-  0x524, /* SBB32mi */
-  0x7f, /* AND32mi */
-  0x5de, /* SUB32mi */
-  0x68a, /* XOR32mi */
-  0x1cb, /* CMP32mi */
-  0x51, /* ADD32ri */
-  0x3f2, /* OR32ri */
-  0x28, /* ADC32ri */
-  0x527, /* SBB32ri */
-  0x82, /* AND32ri */
-  0x5e1, /* SUB32ri */
-  0x68d, /* XOR32ri */
-  0x1ce, /* CMP32ri */
-/* Table167 */
+  0x67, /* ADD8mi */
+  0x3d2, /* OR8mi */
+  0x38, /* ADC8mi */
+  0x507, /* SBB8mi */
+  0x92, /* AND8mi */
+  0x5c8, /* SUB8mi */
+  0x674, /* XOR8mi */
+  0x1b3, /* CMP8mi */
+  0x69, /* ADD8ri */
+  0x3d4, /* OR8ri */
+  0x3a, /* ADC8ri */
+  0x509, /* SBB8ri */
+  0x94, /* AND8ri */
+  0x5ca, /* SUB8ri */
+  0x676, /* XOR8ri */
+  0x1b5, /* CMP8ri */
+/* Table145 */
+  0x4f, /* ADD32mi */
+  0x3bf, /* OR32mi */
+  0x26, /* ADC32mi */
+  0x4f5, /* SBB32mi */
+  0x80, /* AND32mi */
+  0x5b6, /* SUB32mi */
+  0x662, /* XOR32mi */
+  0x1a1, /* CMP32mi */
+  0x52, /* ADD32ri */
+  0x3c3, /* OR32ri */
+  0x29, /* ADC32ri */
+  0x4f8, /* SBB32ri */
+  0x83, /* AND32ri */
+  0x5b9, /* SUB32ri */
+  0x665, /* XOR32ri */
+  0x1a4, /* CMP32ri */
+/* Table161 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -25936,66 +25942,66 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x69, /* ADD8ri8 */
-  0x404, /* OR8ri8 */
+  0x6a, /* ADD8ri8 */
+  0x3d5, /* OR8ri8 */
   0x0, /*  */
   0x0, /*  */
-  0x94, /* AND8ri8 */
-  0x5f3, /* SUB8ri8 */
-  0x69f, /* XOR8ri8 */
+  0x95, /* AND8ri8 */
+  0x5cb, /* SUB8ri8 */
+  0x677, /* XOR8ri8 */
   0x0, /*  */
-/* Table183 */
-  0x4f, /* ADD32mi8 */
-  0x3ef, /* OR32mi8 */
-  0x26, /* ADC32mi8 */
-  0x525, /* SBB32mi8 */
-  0x80, /* AND32mi8 */
-  0x5df, /* SUB32mi8 */
-  0x68b, /* XOR32mi8 */
-  0x1cc, /* CMP32mi8 */
-  0x52, /* ADD32ri8 */
-  0x3f3, /* OR32ri8 */
-  0x29, /* ADC32ri8 */
-  0x528, /* SBB32ri8 */
-  0x83, /* AND32ri8 */
-  0x5e2, /* SUB32ri8 */
-  0x68e, /* XOR32ri8 */
-  0x1cf, /* CMP32ri8 */
+/* Table177 */
+  0x50, /* ADD32mi8 */
+  0x3c0, /* OR32mi8 */
+  0x27, /* ADC32mi8 */
+  0x4f6, /* SBB32mi8 */
+  0x81, /* AND32mi8 */
+  0x5b7, /* SUB32mi8 */
+  0x663, /* XOR32mi8 */
+  0x1a2, /* CMP32mi8 */
+  0x53, /* ADD32ri8 */
+  0x3c4, /* OR32ri8 */
+  0x2a, /* ADC32ri8 */
+  0x4f9, /* SBB32ri8 */
+  0x84, /* AND32ri8 */
+  0x5ba, /* SUB32ri8 */
+  0x666, /* XOR32ri8 */
+  0x1a5, /* CMP32ri8 */
+/* Table193 */
+  0x601, /* TEST8rm */
+  0x602, /* TEST8rr */
+/* Table195 */
+  0x5f2, /* TEST32rm */
+  0x5f3, /* TEST32rr */
+/* Table197 */
+  0x64f, /* XCHG8rm */
+  0x650, /* XCHG8rr */
 /* Table199 */
-  0x629, /* TEST8rm */
-  0x62a, /* TEST8rr */
+  0x64a, /* XCHG32rm */
+  0x64b, /* XCHG32rr */
 /* Table201 */
-  0x61a, /* TEST32rm */
-  0x61b, /* TEST32rr */
+  0x34c, /* MOV8mr */
+  0x354, /* MOV8rr */
 /* Table203 */
-  0x677, /* XCHG8rm */
-  0x678, /* XCHG8rr */
+  0x322, /* MOV32mr */
+  0x32d, /* MOV32rr */
 /* Table205 */
-  0x672, /* XCHG32rm */
-  0x673, /* XCHG32rr */
+  0x352, /* MOV8rm */
+  0x356, /* MOV8rr_REV */
 /* Table207 */
-  0x37b, /* MOV8mr */
-  0x383, /* MOV8rr */
+  0x32c, /* MOV32rm */
+  0x32e, /* MOV32rr_REV */
 /* Table209 */
-  0x351, /* MOV32mr */
-  0x35c, /* MOV32rr */
+  0x323, /* MOV32ms */
+  0x32f, /* MOV32rs */
 /* Table211 */
-  0x381, /* MOV8rm */
-  0x385, /* MOV8rr_REV */
+  0x292, /* LEA32r */
+  0x0, /*  */
 /* Table213 */
-  0x35b, /* MOV32rm */
-  0x35d, /* MOV32rr_REV */
+  0x330, /* MOV32sm */
+  0x331, /* MOV32sr */
 /* Table215 */
-  0x352, /* MOV32ms */
-  0x35e, /* MOV32rs */
-/* Table217 */
-  0x2c1, /* LEA32r */
-  0x0, /*  */
-/* Table219 */
-  0x35f, /* MOV32sm */
-  0x360, /* MOV32sr */
-/* Table221 */
-  0x41d, /* POP32rmm */
+  0x3ee, /* POP32rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26003,7 +26009,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x41e, /* POP32rmr */
+  0x3ef, /* POP32rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26011,106 +26017,106 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table231 */
+  0x38e, /* NOOP */
+/* Table232 */
+  0x648, /* XCHG32ar */
+/* Table233 */
+  0x1cb, /* CWDE */
+/* Table234 */
+  0x11d, /* CDQ */
+/* Table235 */
+  0x1ef, /* FARCALL32i */
+/* Table236 */
+  0x41b, /* PUSHF32 */
 /* Table237 */
-  0x3bd, /* NOOP */
+  0x3fa, /* POPF32 */
 /* Table238 */
-  0x670, /* XCHG32ar */
+  0x4b5, /* SAHF */
 /* Table239 */
-  0x1f6, /* CWDE */
+  0x282, /* LAHF */
 /* Table240 */
-  0x147, /* CDQ */
+  0x34e, /* MOV8o8a */
 /* Table241 */
-  0x21c, /* FARCALL32i */
+  0x324, /* MOV32o32a */
 /* Table242 */
-  0x44a, /* PUSHF32 */
+  0x349, /* MOV8ao8 */
 /* Table243 */
-  0x429, /* POPF32 */
+  0x31d, /* MOV32ao32 */
 /* Table244 */
-  0x4e4, /* SAHF */
+  0x35e, /* MOVSB */
 /* Table245 */
-  0x2b1, /* LAHF */
+  0x35f, /* MOVSL */
 /* Table246 */
-  0x37d, /* MOV8o8a */
+  0x1b9, /* CMPSB */
 /* Table247 */
-  0x353, /* MOV32o32a */
+  0x1ba, /* CMPSL */
 /* Table248 */
-  0x378, /* MOV8ao8 */
+  0x5fb, /* TEST8i8 */
 /* Table249 */
-  0x34c, /* MOV32ao32 */
+  0x5ed, /* TEST32i32 */
 /* Table250 */
-  0x38d, /* MOVSB */
+  0x5a4, /* STOSB */
 /* Table251 */
-  0x38e, /* MOVSL */
+  0x5a5, /* STOSL */
 /* Table252 */
-  0x1e6, /* CMPS8 */
+  0x2e9, /* LODSB */
 /* Table253 */
-  0x1e4, /* CMPS32 */
+  0x2ea, /* LODSL */
 /* Table254 */
-  0x623, /* TEST8i8 */
+  0x50d, /* SCASB */
 /* Table255 */
-  0x615, /* TEST32i32 */
+  0x50e, /* SCASL */
 /* Table256 */
-  0x5cc, /* STOSB */
+  0x350, /* MOV8ri */
 /* Table257 */
-  0x5cd, /* STOSL */
+  0x329, /* MOV32ri */
 /* Table258 */
-  0x318, /* LODSB */
-/* Table259 */
-  0x319, /* LODSL */
-/* Table260 */
-  0x53f, /* SCAS8 */
-/* Table261 */
-  0x53d, /* SCAS32 */
-/* Table262 */
-  0x37f, /* MOV8ri */
-/* Table263 */
-  0x358, /* MOV32ri */
-/* Table264 */
-  0x4c3, /* ROL8mi */
-  0x4db, /* ROR8mi */
-  0x46a, /* RCL8mi */
-  0x482, /* RCR8mi */
-  0x57d, /* SHL8mi */
-  0x5a5, /* SHR8mi */
-  0x4f9, /* SAL8mi */
-  0x512, /* SAR8mi */
-  0x4c6, /* ROL8ri */
-  0x4de, /* ROR8ri */
-  0x46d, /* RCL8ri */
-  0x485, /* RCR8ri */
-  0x580, /* SHL8ri */
-  0x5a8, /* SHR8ri */
-  0x4fc, /* SAL8ri */
-  0x515, /* SAR8ri */
-/* Table280 */
-  0x4b7, /* ROL32mi */
-  0x4cf, /* ROR32mi */
-  0x45e, /* RCL32mi */
-  0x476, /* RCR32mi */
-  0x571, /* SHL32mi */
-  0x599, /* SHR32mi */
-  0x4ed, /* SAL32mi */
-  0x506, /* SAR32mi */
-  0x4ba, /* ROL32ri */
-  0x4d2, /* ROR32ri */
-  0x461, /* RCL32ri */
-  0x479, /* RCR32ri */
-  0x574, /* SHL32ri */
-  0x59c, /* SHR32ri */
-  0x4f0, /* SAL32ri */
-  0x509, /* SAR32ri */
+  0x494, /* ROL8mi */
+  0x4ac, /* ROR8mi */
+  0x43b, /* RCL8mi */
+  0x453, /* RCR8mi */
+  0x556, /* SHL8mi */
+  0x57e, /* SHR8mi */
+  0x4ca, /* SAL8mi */
+  0x4e3, /* SAR8mi */
+  0x497, /* ROL8ri */
+  0x4af, /* ROR8ri */
+  0x43e, /* RCL8ri */
+  0x456, /* RCR8ri */
+  0x559, /* SHL8ri */
+  0x581, /* SHR8ri */
+  0x4cd, /* SAL8ri */
+  0x4e6, /* SAR8ri */
+/* Table274 */
+  0x488, /* ROL32mi */
+  0x4a0, /* ROR32mi */
+  0x42f, /* RCL32mi */
+  0x447, /* RCR32mi */
+  0x54a, /* SHL32mi */
+  0x572, /* SHR32mi */
+  0x4be, /* SAL32mi */
+  0x4d7, /* SAR32mi */
+  0x48b, /* ROL32ri */
+  0x4a3, /* ROR32ri */
+  0x432, /* RCL32ri */
+  0x44a, /* RCR32ri */
+  0x54d, /* SHL32ri */
+  0x575, /* SHR32ri */
+  0x4c1, /* SAL32ri */
+  0x4da, /* SAR32ri */
+/* Table290 */
+  0x479, /* RETIL */
+/* Table291 */
+  0x47c, /* RETL */
+/* Table292 */
+  0x298, /* LES32rm */
+  0x0, /*  */
+/* Table294 */
+  0x290, /* LDS32rm */
+  0x0, /*  */
 /* Table296 */
-  0x4a8, /* RETIL */
-/* Table297 */
-  0x4ab, /* RETL */
-/* Table298 */
-  0x2c7, /* LES32rm */
-  0x0, /*  */
-/* Table300 */
-  0x2bf, /* LDS32rm */
-  0x0, /*  */
-/* Table302 */
-  0x37a, /* MOV8mi */
+  0x34b, /* MOV8mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26118,7 +26124,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x380, /* MOV8ri_alt */
+  0x351, /* MOV8ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26126,8 +26132,8 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table318 */
-  0x350, /* MOV32mi */
+/* Table312 */
+  0x321, /* MOV32mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26135,7 +26141,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x35a, /* MOV32ri_alt */
+  0x32b, /* MOV32ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26143,99 +26149,99 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table328 */
+  0x1ec, /* ENTER */
+/* Table329 */
+  0x295, /* LEAVE */
+/* Table330 */
+  0x2f0, /* LRETIL */
+/* Table331 */
+  0x2f3, /* LRETL */
+/* Table332 */
+  0x235, /* INT3 */
+/* Table333 */
+  0x233, /* INT */
 /* Table334 */
-  0x218, /* ENTER */
+  0x236, /* INTO */
 /* Table335 */
-  0x2c4, /* LEAVE */
+  0x242, /* IRET32 */
 /* Table336 */
-  0x31f, /* LRETIL */
-/* Table337 */
-  0x322, /* LRETL */
-/* Table338 */
-  0x264, /* INT3 */
-/* Table339 */
-  0x262, /* INT */
-/* Table340 */
-  0x265, /* INTO */
-/* Table341 */
-  0x271, /* IRET32 */
-/* Table342 */
-  0x4c1, /* ROL8m1 */
-  0x4d9, /* ROR8m1 */
-  0x468, /* RCL8m1 */
-  0x480, /* RCR8m1 */
-  0x57b, /* SHL8m1 */
-  0x5a3, /* SHR8m1 */
-  0x4f7, /* SAL8m1 */
-  0x510, /* SAR8m1 */
-  0x4c4, /* ROL8r1 */
-  0x4dc, /* ROR8r1 */
-  0x46b, /* RCL8r1 */
-  0x483, /* RCR8r1 */
-  0x57e, /* SHL8r1 */
-  0x5a6, /* SHR8r1 */
-  0x4fa, /* SAL8r1 */
-  0x513, /* SAR8r1 */
-/* Table358 */
-  0x4b5, /* ROL32m1 */
-  0x4cd, /* ROR32m1 */
-  0x45c, /* RCL32m1 */
-  0x474, /* RCR32m1 */
-  0x56f, /* SHL32m1 */
-  0x597, /* SHR32m1 */
-  0x4eb, /* SAL32m1 */
-  0x504, /* SAR32m1 */
-  0x4b8, /* ROL32r1 */
-  0x4d0, /* ROR32r1 */
-  0x45f, /* RCL32r1 */
-  0x477, /* RCR32r1 */
-  0x572, /* SHL32r1 */
-  0x59a, /* SHR32r1 */
-  0x4ee, /* SAL32r1 */
-  0x507, /* SAR32r1 */
-/* Table374 */
-  0x4c2, /* ROL8mCL */
-  0x4da, /* ROR8mCL */
-  0x469, /* RCL8mCL */
-  0x481, /* RCR8mCL */
-  0x57c, /* SHL8mCL */
-  0x5a4, /* SHR8mCL */
-  0x4f8, /* SAL8mCL */
-  0x511, /* SAR8mCL */
-  0x4c5, /* ROL8rCL */
-  0x4dd, /* ROR8rCL */
-  0x46c, /* RCL8rCL */
-  0x484, /* RCR8rCL */
-  0x57f, /* SHL8rCL */
-  0x5a7, /* SHR8rCL */
-  0x4fb, /* SAL8rCL */
-  0x514, /* SAR8rCL */
-/* Table390 */
-  0x4b6, /* ROL32mCL */
-  0x4ce, /* ROR32mCL */
-  0x45d, /* RCL32mCL */
-  0x475, /* RCR32mCL */
-  0x570, /* SHL32mCL */
-  0x598, /* SHR32mCL */
-  0x4ec, /* SAL32mCL */
-  0x505, /* SAR32mCL */
-  0x4b9, /* ROL32rCL */
-  0x4d1, /* ROR32rCL */
-  0x460, /* RCL32rCL */
-  0x478, /* RCR32rCL */
-  0x573, /* SHL32rCL */
-  0x59b, /* SHR32rCL */
-  0x4ef, /* SAL32rCL */
-  0x508, /* SAR32rCL */
-/* Table406 */
-  0x15, /* AAM8i8 */
-/* Table407 */
-  0x14, /* AAD8i8 */
-/* Table408 */
-  0x4fd, /* SALC */
-/* Table409 */
-  0x67f, /* XLAT */
-/* Table410 */
+  0x492, /* ROL8m1 */
+  0x4aa, /* ROR8m1 */
+  0x439, /* RCL8m1 */
+  0x451, /* RCR8m1 */
+  0x554, /* SHL8m1 */
+  0x57c, /* SHR8m1 */
+  0x4c8, /* SAL8m1 */
+  0x4e1, /* SAR8m1 */
+  0x495, /* ROL8r1 */
+  0x4ad, /* ROR8r1 */
+  0x43c, /* RCL8r1 */
+  0x454, /* RCR8r1 */
+  0x557, /* SHL8r1 */
+  0x57f, /* SHR8r1 */
+  0x4cb, /* SAL8r1 */
+  0x4e4, /* SAR8r1 */
+/* Table352 */
+  0x486, /* ROL32m1 */
+  0x49e, /* ROR32m1 */
+  0x42d, /* RCL32m1 */
+  0x445, /* RCR32m1 */
+  0x548, /* SHL32m1 */
+  0x570, /* SHR32m1 */
+  0x4bc, /* SAL32m1 */
+  0x4d5, /* SAR32m1 */
+  0x489, /* ROL32r1 */
+  0x4a1, /* ROR32r1 */
+  0x430, /* RCL32r1 */
+  0x448, /* RCR32r1 */
+  0x54b, /* SHL32r1 */
+  0x573, /* SHR32r1 */
+  0x4bf, /* SAL32r1 */
+  0x4d8, /* SAR32r1 */
+/* Table368 */
+  0x493, /* ROL8mCL */
+  0x4ab, /* ROR8mCL */
+  0x43a, /* RCL8mCL */
+  0x452, /* RCR8mCL */
+  0x555, /* SHL8mCL */
+  0x57d, /* SHR8mCL */
+  0x4c9, /* SAL8mCL */
+  0x4e2, /* SAR8mCL */
+  0x496, /* ROL8rCL */
+  0x4ae, /* ROR8rCL */
+  0x43d, /* RCL8rCL */
+  0x455, /* RCR8rCL */
+  0x558, /* SHL8rCL */
+  0x580, /* SHR8rCL */
+  0x4cc, /* SAL8rCL */
+  0x4e5, /* SAR8rCL */
+/* Table384 */
+  0x487, /* ROL32mCL */
+  0x49f, /* ROR32mCL */
+  0x42e, /* RCL32mCL */
+  0x446, /* RCR32mCL */
+  0x549, /* SHL32mCL */
+  0x571, /* SHR32mCL */
+  0x4bd, /* SAL32mCL */
+  0x4d6, /* SAR32mCL */
+  0x48a, /* ROL32rCL */
+  0x4a2, /* ROR32rCL */
+  0x431, /* RCL32rCL */
+  0x449, /* RCR32rCL */
+  0x54c, /* SHL32rCL */
+  0x574, /* SHR32rCL */
+  0x4c0, /* SAL32rCL */
+  0x4d9, /* SAR32rCL */
+/* Table400 */
+  0x16, /* AAM8i8 */
+/* Table401 */
+  0x15, /* AAD8i8 */
+/* Table402 */
+  0x4ce, /* SALC */
+/* Table403 */
+  0x657, /* XLAT */
+/* Table404 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26280,7 +26286,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x224, /* FSETPM */
+  0x1f7, /* FSETPM */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26308,145 +26314,145 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table476 */
+  0x2ef, /* LOOPNE */
+/* Table477 */
+  0x2ee, /* LOOPE */
+/* Table478 */
+  0x2ed, /* LOOP */
+/* Table479 */
+  0x252, /* JECXZ_32 */
+/* Table480 */
+  0x220, /* IN8ri */
+/* Table481 */
+  0x21e, /* IN32ri */
 /* Table482 */
-  0x31e, /* LOOPNE */
+  0x3dd, /* OUT8ir */
 /* Table483 */
-  0x31d, /* LOOPE */
+  0x3db, /* OUT32ir */
 /* Table484 */
-  0x31c, /* LOOP */
+  0x11b, /* CALLpcrel32 */
 /* Table485 */
-  0x281, /* JECXZ_32 */
+  0x26b, /* JMP_4 */
 /* Table486 */
-  0x252, /* IN8ri */
+  0x1f4, /* FARJMP32i */
 /* Table487 */
-  0x24f, /* IN32ri */
+  0x269, /* JMP_1 */
 /* Table488 */
-  0x40c, /* OUT8ir */
+  0x221, /* IN8rr */
 /* Table489 */
-  0x40a, /* OUT32ir */
+  0x21f, /* IN32rr */
 /* Table490 */
-  0x145, /* CALLpcrel32 */
+  0x3de, /* OUT8rr */
 /* Table491 */
-  0x29a, /* JMP_4 */
+  0x3dc, /* OUT32rr */
 /* Table492 */
-  0x221, /* FARJMP32i */
+  0x2d2, /* LOCK_PREFIX */
 /* Table493 */
-  0x298, /* JMP_1 */
+  0x234, /* INT1 */
 /* Table494 */
-  0x253, /* IN8rr */
+  0x469, /* REPNE_PREFIX */
 /* Table495 */
-  0x250, /* IN32rr */
+  0x471, /* REP_PREFIX */
 /* Table496 */
-  0x40d, /* OUT8rr */
+  0x1f9, /* HLT */
 /* Table497 */
-  0x40b, /* OUT32rr */
+  0x125, /* CMC */
 /* Table498 */
-  0x301, /* LOCK_PREFIX */
-/* Table499 */
-  0x263, /* INT1 */
-/* Table500 */
-  0x498, /* REPNE_PREFIX */
-/* Table501 */
-  0x4a0, /* REP_PREFIX */
-/* Table502 */
-  0x228, /* HLT */
-/* Table503 */
-  0x14f, /* CMC */
-/* Table504 */
-  0x624, /* TEST8mi */
-  0x625, /* TEST8mi_alt */
-  0x3e2, /* NOT8m */
-  0x3bb, /* NEG8m */
-  0x3af, /* MUL8m */
-  0x249, /* IMUL8m */
-  0x20e, /* DIV8m */
-  0x22f, /* IDIV8m */
-  0x626, /* TEST8ri */
-  0x628, /* TEST8ri_alt */
-  0x3e3, /* NOT8r */
-  0x3bc, /* NEG8r */
-  0x3b0, /* MUL8r */
-  0x24a, /* IMUL8r */
-  0x20f, /* DIV8r */
-  0x230, /* IDIV8r */
-/* Table520 */
-  0x616, /* TEST32mi */
-  0x617, /* TEST32mi_alt */
-  0x3de, /* NOT32m */
-  0x3b7, /* NEG32m */
-  0x3ab, /* MUL32m */
-  0x239, /* IMUL32m */
-  0x20a, /* DIV32m */
-  0x22b, /* IDIV32m */
-  0x618, /* TEST32ri */
-  0x619, /* TEST32ri_alt */
-  0x3df, /* NOT32r */
-  0x3b8, /* NEG32r */
-  0x3ac, /* MUL32r */
-  0x23a, /* IMUL32r */
-  0x20b, /* DIV32r */
-  0x22c, /* IDIV32r */
+  0x5fc, /* TEST8mi */
+  0x5fd, /* TEST8mi_alt */
+  0x3b3, /* NOT8m */
+  0x38c, /* NEG8m */
+  0x380, /* MUL8m */
+  0x21a, /* IMUL8m */
+  0x1e3, /* DIV8m */
+  0x200, /* IDIV8m */
+  0x5fe, /* TEST8ri */
+  0x600, /* TEST8ri_alt */
+  0x3b4, /* NOT8r */
+  0x38d, /* NEG8r */
+  0x381, /* MUL8r */
+  0x21b, /* IMUL8r */
+  0x1e4, /* DIV8r */
+  0x201, /* IDIV8r */
+/* Table514 */
+  0x5ee, /* TEST32mi */
+  0x5ef, /* TEST32mi_alt */
+  0x3af, /* NOT32m */
+  0x388, /* NEG32m */
+  0x37c, /* MUL32m */
+  0x20a, /* IMUL32m */
+  0x1df, /* DIV32m */
+  0x1fc, /* IDIV32m */
+  0x5f0, /* TEST32ri */
+  0x5f1, /* TEST32ri_alt */
+  0x3b0, /* NOT32r */
+  0x389, /* NEG32r */
+  0x37d, /* MUL32r */
+  0x20b, /* IMUL32r */
+  0x1e0, /* DIV32r */
+  0x1fd, /* IDIV32r */
+/* Table530 */
+  0x120, /* CLC */
+/* Table531 */
+  0x5a0, /* STC */
+/* Table532 */
+  0x123, /* CLI */
+/* Table533 */
+  0x5a3, /* STI */
+/* Table534 */
+  0x121, /* CLD */
+/* Table535 */
+  0x5a1, /* STD */
 /* Table536 */
-  0x14a, /* CLC */
-/* Table537 */
-  0x5c8, /* STC */
-/* Table538 */
-  0x14d, /* CLI */
-/* Table539 */
-  0x5cb, /* STI */
-/* Table540 */
-  0x14b, /* CLD */
-/* Table541 */
-  0x5c9, /* STD */
-/* Table542 */
-  0x260, /* INC8m */
-  0x206, /* DEC8m */
+  0x22e, /* INC8m */
+  0x1db, /* DEC8m */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x261, /* INC8r */
-  0x207, /* DEC8r */
+  0x22f, /* INC8r */
+  0x1dc, /* DEC8r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table558 */
-  0x258, /* INC32m */
-  0x1fe, /* DEC32m */
-  0x13f, /* CALL32m */
-  0x21d, /* FARCALL32m */
-  0x294, /* JMP32m */
-  0x222, /* FARJMP32m */
-  0x439, /* PUSH32rmm */
+/* Table552 */
+  0x226, /* INC32m */
+  0x1d3, /* DEC32m */
+  0x115, /* CALL32m */
+  0x1f0, /* FARCALL32m */
+  0x265, /* JMP32m */
+  0x1f5, /* FARJMP32m */
+  0x40a, /* PUSH32rmm */
   0x0, /*  */
-  0x257, /* INC32_32r */
-  0x1fd, /* DEC32_32r */
-  0x140, /* CALL32r */
+  0x225, /* INC32_32r */
+  0x1d2, /* DEC32_32r */
+  0x116, /* CALL32r */
   0x0, /*  */
-  0x295, /* JMP32r */
+  0x266, /* JMP32r */
   0x0, /*  */
-  0x43a, /* PUSH32rmr */
+  0x40b, /* PUSH32rmr */
   0x0, /*  */
-/* Table574 */
-  0x4ae, /* REX64_PREFIX */
+/* Table568 */
+  0x47f, /* REX64_PREFIX */
+/* Table569 */
+  0x40f, /* PUSH64r */
+/* Table570 */
+  0x3f0, /* POP64r */
+/* Table571 */
+  0x40d, /* PUSH64i32 */
+/* Table572 */
+  0x40e, /* PUSH64i8 */
+/* Table573 */
+  0x293, /* LEA64_32r */
+  0x0, /*  */
 /* Table575 */
-  0x43e, /* PUSH64r */
-/* Table576 */
-  0x41f, /* POP64r */
-/* Table577 */
-  0x43c, /* PUSH64i32 */
-/* Table578 */
-  0x43d, /* PUSH64i8 */
-/* Table579 */
-  0x2c2, /* LEA64_32r */
-  0x0, /*  */
-/* Table581 */
-  0x420, /* POP64rmm */
+  0x3f1, /* POP64rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26454,7 +26460,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x421, /* POP64rmr */
+  0x3f2, /* POP64rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26462,211 +26468,211 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table591 */
+  0x649, /* XCHG32ar64 */
+/* Table592 */
+  0x41c, /* PUSHF64 */
+/* Table593 */
+  0x3fb, /* POPF64 */
+/* Table594 */
+  0x33e, /* MOV64o8a */
+/* Table595 */
+  0x33c, /* MOV64o32a */
+/* Table596 */
+  0x335, /* MOV64ao8 */
 /* Table597 */
-  0x671, /* XCHG32ar64 */
+  0x333, /* MOV64ao32 */
 /* Table598 */
-  0x44b, /* PUSHF64 */
+  0x47a, /* RETIQ */
 /* Table599 */
-  0x42a, /* POPF64 */
+  0x47d, /* RETQ */
 /* Table600 */
-  0x36d, /* MOV64o8a */
+  0x296, /* LEAVE64 */
 /* Table601 */
-  0x36b, /* MOV64o32a */
+  0x27e, /* JRCXZ */
 /* Table602 */
-  0x364, /* MOV64ao8 */
+  0x118, /* CALL64pcrel32 */
 /* Table603 */
-  0x362, /* MOV64ao32 */
-/* Table604 */
-  0x4a9, /* RETIQ */
-/* Table605 */
-  0x4ac, /* RETQ */
-/* Table606 */
-  0x2c5, /* LEAVE64 */
-/* Table607 */
-  0x2ad, /* JRCXZ */
-/* Table608 */
-  0x142, /* CALL64pcrel32 */
-/* Table609 */
-  0x25c, /* INC64_32m */
-  0x202, /* DEC64_32m */
-  0x141, /* CALL64m */
-  0x21d, /* FARCALL32m */
-  0x296, /* JMP64m */
-  0x222, /* FARJMP32m */
-  0x43f, /* PUSH64rmm */
+  0x22a, /* INC64_32m */
+  0x1d7, /* DEC64_32m */
+  0x117, /* CALL64m */
+  0x1f0, /* FARCALL32m */
+  0x267, /* JMP64m */
+  0x1f5, /* FARJMP32m */
+  0x410, /* PUSH64rmm */
   0x0, /*  */
-  0x25d, /* INC64_32r */
-  0x203, /* DEC64_32r */
-  0x143, /* CALL64r */
+  0x22b, /* INC64_32r */
+  0x1d8, /* DEC64_32r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x440, /* PUSH64rmr */
+  0x411, /* PUSH64rmr */
   0x0, /*  */
+/* Table619 */
+  0x45, /* ADD16mr */
+  0x4b, /* ADD16rr */
+/* Table621 */
+  0x4a, /* ADD16rm */
+  0x4d, /* ADD16rr_REV */
+/* Table623 */
+  0x42, /* ADD16i16 */
+/* Table624 */
+  0x418, /* PUSHES16 */
 /* Table625 */
-  0x44, /* ADD16mr */
-  0x4a, /* ADD16rr */
-/* Table627 */
-  0x49, /* ADD16rm */
-  0x4c, /* ADD16rr_REV */
-/* Table629 */
-  0x41, /* ADD16i16 */
+  0x3f7, /* POPES16 */
+/* Table626 */
+  0x3b8, /* OR16mr */
+  0x3bc, /* OR16rr */
+/* Table628 */
+  0x3bb, /* OR16rm */
+  0x3bd, /* OR16rr_REV */
 /* Table630 */
-  0x447, /* PUSHES16 */
+  0x3b5, /* OR16i16 */
 /* Table631 */
-  0x426, /* POPES16 */
+  0x414, /* PUSHCS16 */
 /* Table632 */
-  0x3e7, /* OR16mr */
-  0x3eb, /* OR16rr */
+  0x1f, /* ADC16mr */
+  0x23, /* ADC16rr */
 /* Table634 */
-  0x3ea, /* OR16rm */
-  0x3ec, /* OR16rr_REV */
+  0x22, /* ADC16rm */
+  0x24, /* ADC16rr_REV */
 /* Table636 */
-  0x3e4, /* OR16i16 */
+  0x1c, /* ADC16i16 */
 /* Table637 */
-  0x443, /* PUSHCS16 */
+  0x423, /* PUSHSS16 */
 /* Table638 */
-  0x1e, /* ADC16mr */
-  0x22, /* ADC16rr */
-/* Table640 */
-  0x21, /* ADC16rm */
-  0x23, /* ADC16rr_REV */
-/* Table642 */
-  0x1b, /* ADC16i16 */
+  0x402, /* POPSS16 */
+/* Table639 */
+  0x4ee, /* SBB16mr */
+  0x4f2, /* SBB16rr */
+/* Table641 */
+  0x4f1, /* SBB16rm */
+  0x4f3, /* SBB16rr_REV */
 /* Table643 */
-  0x452, /* PUSHSS16 */
+  0x4eb, /* SBB16i16 */
 /* Table644 */
-  0x431, /* POPSS16 */
+  0x416, /* PUSHDS16 */
 /* Table645 */
-  0x51d, /* SBB16mr */
-  0x521, /* SBB16rr */
-/* Table647 */
-  0x520, /* SBB16rm */
-  0x522, /* SBB16rr_REV */
-/* Table649 */
-  0x51a, /* SBB16i16 */
+  0x3f5, /* POPDS16 */
+/* Table646 */
+  0x79, /* AND16mr */
+  0x7d, /* AND16rr */
+/* Table648 */
+  0x7c, /* AND16rm */
+  0x7e, /* AND16rr_REV */
 /* Table650 */
-  0x445, /* PUSHDS16 */
+  0x76, /* AND16i16 */
 /* Table651 */
-  0x424, /* POPDS16 */
-/* Table652 */
-  0x78, /* AND16mr */
-  0x7c, /* AND16rr */
-/* Table654 */
-  0x7b, /* AND16rm */
-  0x7d, /* AND16rr_REV */
+  0x5af, /* SUB16mr */
+  0x5b3, /* SUB16rr */
+/* Table653 */
+  0x5b2, /* SUB16rm */
+  0x5b4, /* SUB16rr_REV */
+/* Table655 */
+  0x5ac, /* SUB16i16 */
 /* Table656 */
-  0x75, /* AND16i16 */
-/* Table657 */
-  0x5d7, /* SUB16mr */
-  0x5db, /* SUB16rr */
-/* Table659 */
-  0x5da, /* SUB16rm */
-  0x5dc, /* SUB16rr_REV */
+  0x65b, /* XOR16mr */
+  0x65f, /* XOR16rr */
+/* Table658 */
+  0x65e, /* XOR16rm */
+  0x660, /* XOR16rr_REV */
+/* Table660 */
+  0x658, /* XOR16i16 */
 /* Table661 */
-  0x5d4, /* SUB16i16 */
-/* Table662 */
-  0x683, /* XOR16mr */
-  0x687, /* XOR16rr */
-/* Table664 */
-  0x686, /* XOR16rm */
-  0x688, /* XOR16rr_REV */
+  0x19a, /* CMP16mr */
+  0x19e, /* CMP16rr */
+/* Table663 */
+  0x19d, /* CMP16rm */
+  0x19f, /* CMP16rr_REV */
+/* Table665 */
+  0x197, /* CMP16i16 */
 /* Table666 */
-  0x680, /* XOR16i16 */
+  0x223, /* INC16r */
 /* Table667 */
-  0x1c4, /* CMP16mr */
-  0x1c8, /* CMP16rr */
+  0x1d0, /* DEC16r */
+/* Table668 */
+  0x405, /* PUSH16r */
 /* Table669 */
-  0x1c7, /* CMP16rm */
-  0x1c9, /* CMP16rr_REV */
+  0x3ea, /* POP16r */
+/* Table670 */
+  0x412, /* PUSHA16 */
 /* Table671 */
-  0x1c1, /* CMP16i16 */
+  0x3f3, /* POPA16 */
 /* Table672 */
-  0x255, /* INC16r */
-/* Table673 */
-  0x1fb, /* DEC16r */
+  0xcf, /* BOUNDS16rm */
+  0x0, /*  */
 /* Table674 */
-  0x434, /* PUSH16r */
+  0x425, /* PUSHi16 */
 /* Table675 */
-  0x419, /* POP16r */
-/* Table676 */
-  0x441, /* PUSHA16 */
+  0x205, /* IMUL16rmi */
+  0x208, /* IMUL16rri */
 /* Table677 */
-  0x422, /* POPA16 */
+  0x404, /* PUSH16i8 */
 /* Table678 */
-  0xf9, /* BOUNDS16rm */
-  0x0, /*  */
+  0x206, /* IMUL16rmi8 */
+  0x209, /* IMUL16rri8 */
 /* Table680 */
-  0x454, /* PUSHi16 */
+  0x232, /* INSW */
 /* Table681 */
-  0x234, /* IMUL16rmi */
-  0x237, /* IMUL16rri */
-/* Table683 */
-  0x433, /* PUSH16i8 */
-/* Table684 */
-  0x235, /* IMUL16rmi8 */
-  0x238, /* IMUL16rri8 */
-/* Table686 */
-  0x24b, /* IN16 */
-/* Table687 */
-  0x410, /* OUTSW */
-/* Table688 */
-  0x42, /* ADD16mi */
-  0x3e5, /* OR16mi */
-  0x1c, /* ADC16mi */
-  0x51b, /* SBB16mi */
-  0x76, /* AND16mi */
-  0x5d5, /* SUB16mi */
-  0x681, /* XOR16mi */
-  0x1c2, /* CMP16mi */
-  0x45, /* ADD16ri */
-  0x3e8, /* OR16ri */
-  0x1f, /* ADC16ri */
-  0x51e, /* SBB16ri */
-  0x79, /* AND16ri */
-  0x5d8, /* SUB16ri */
-  0x684, /* XOR16ri */
-  0x1c5, /* CMP16ri */
-/* Table704 */
-  0x43, /* ADD16mi8 */
-  0x3e6, /* OR16mi8 */
-  0x1d, /* ADC16mi8 */
-  0x51c, /* SBB16mi8 */
-  0x77, /* AND16mi8 */
-  0x5d6, /* SUB16mi8 */
-  0x682, /* XOR16mi8 */
-  0x1c3, /* CMP16mi8 */
-  0x46, /* ADD16ri8 */
-  0x3e9, /* OR16ri8 */
-  0x20, /* ADC16ri8 */
-  0x51f, /* SBB16ri8 */
-  0x7a, /* AND16ri8 */
-  0x5d9, /* SUB16ri8 */
-  0x685, /* XOR16ri8 */
-  0x1c6, /* CMP16ri8 */
+  0x3e1, /* OUTSW */
+/* Table682 */
+  0x43, /* ADD16mi */
+  0x3b6, /* OR16mi */
+  0x1d, /* ADC16mi */
+  0x4ec, /* SBB16mi */
+  0x77, /* AND16mi */
+  0x5ad, /* SUB16mi */
+  0x659, /* XOR16mi */
+  0x198, /* CMP16mi */
+  0x46, /* ADD16ri */
+  0x3b9, /* OR16ri */
+  0x20, /* ADC16ri */
+  0x4ef, /* SBB16ri */
+  0x7a, /* AND16ri */
+  0x5b0, /* SUB16ri */
+  0x65c, /* XOR16ri */
+  0x19b, /* CMP16ri */
+/* Table698 */
+  0x44, /* ADD16mi8 */
+  0x3b7, /* OR16mi8 */
+  0x1e, /* ADC16mi8 */
+  0x4ed, /* SBB16mi8 */
+  0x78, /* AND16mi8 */
+  0x5ae, /* SUB16mi8 */
+  0x65a, /* XOR16mi8 */
+  0x199, /* CMP16mi8 */
+  0x47, /* ADD16ri8 */
+  0x3ba, /* OR16ri8 */
+  0x21, /* ADC16ri8 */
+  0x4f0, /* SBB16ri8 */
+  0x7b, /* AND16ri8 */
+  0x5b1, /* SUB16ri8 */
+  0x65d, /* XOR16ri8 */
+  0x19c, /* CMP16ri8 */
+/* Table714 */
+  0x5eb, /* TEST16rm */
+  0x5ec, /* TEST16rr */
+/* Table716 */
+  0x646, /* XCHG16rm */
+  0x647, /* XCHG16rr */
+/* Table718 */
+  0x311, /* MOV16mr */
+  0x318, /* MOV16rr */
 /* Table720 */
-  0x613, /* TEST16rm */
-  0x614, /* TEST16rr */
+  0x317, /* MOV16rm */
+  0x319, /* MOV16rr_REV */
 /* Table722 */
-  0x66e, /* XCHG16rm */
-  0x66f, /* XCHG16rr */
+  0x312, /* MOV16ms */
+  0x31a, /* MOV16rs */
 /* Table724 */
-  0x340, /* MOV16mr */
-  0x347, /* MOV16rr */
+  0x291, /* LEA16r */
+  0x0, /*  */
 /* Table726 */
-  0x346, /* MOV16rm */
-  0x348, /* MOV16rr_REV */
+  0x31b, /* MOV16sm */
+  0x31c, /* MOV16sr */
 /* Table728 */
-  0x341, /* MOV16ms */
-  0x349, /* MOV16rs */
-/* Table730 */
-  0x2c0, /* LEA16r */
-  0x0, /*  */
-/* Table732 */
-  0x34a, /* MOV16sm */
-  0x34b, /* MOV16sr */
-/* Table734 */
-  0x41a, /* POP16rmm */
+  0x3eb, /* POP16rmm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26674,7 +26680,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x41b, /* POP16rmr */
+  0x3ec, /* POP16rmr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26682,65 +26688,65 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table744 */
+  0x645, /* XCHG16ar */
+/* Table745 */
+  0x11c, /* CBW */
+/* Table746 */
+  0x1ca, /* CWD */
+/* Table747 */
+  0x1ed, /* FARCALL16i */
+/* Table748 */
+  0x41a, /* PUSHF16 */
+/* Table749 */
+  0x3f9, /* POPF16 */
 /* Table750 */
-  0x66d, /* XCHG16ar */
+  0x313, /* MOV16o16a */
 /* Table751 */
-  0x146, /* CBW */
+  0x30e, /* MOV16ao16 */
 /* Table752 */
-  0x1f5, /* CWD */
+  0x361, /* MOVSW */
 /* Table753 */
-  0x21a, /* FARCALL16i */
+  0x1bc, /* CMPSW */
 /* Table754 */
-  0x449, /* PUSHF16 */
+  0x5e6, /* TEST16i16 */
 /* Table755 */
-  0x428, /* POPF16 */
+  0x5a7, /* STOSW */
 /* Table756 */
-  0x342, /* MOV16o16a */
+  0x2ec, /* LODSW */
 /* Table757 */
-  0x33d, /* MOV16ao16 */
+  0x510, /* SCASW */
 /* Table758 */
-  0x390, /* MOVSW */
+  0x315, /* MOV16ri */
 /* Table759 */
-  0x1e3, /* CMPS16 */
-/* Table760 */
-  0x60e, /* TEST16i16 */
-/* Table761 */
-  0x5cf, /* STOSW */
-/* Table762 */
-  0x31b, /* LODSW */
-/* Table763 */
-  0x53c, /* SCAS16 */
-/* Table764 */
-  0x344, /* MOV16ri */
-/* Table765 */
-  0x4b1, /* ROL16mi */
-  0x4c9, /* ROR16mi */
-  0x458, /* RCL16mi */
-  0x470, /* RCR16mi */
-  0x56b, /* SHL16mi */
-  0x593, /* SHR16mi */
-  0x4e7, /* SAL16mi */
-  0x500, /* SAR16mi */
-  0x4b4, /* ROL16ri */
-  0x4cc, /* ROR16ri */
-  0x45b, /* RCL16ri */
-  0x473, /* RCR16ri */
-  0x56e, /* SHL16ri */
-  0x596, /* SHR16ri */
-  0x4ea, /* SAL16ri */
-  0x503, /* SAR16ri */
+  0x482, /* ROL16mi */
+  0x49a, /* ROR16mi */
+  0x429, /* RCL16mi */
+  0x441, /* RCR16mi */
+  0x544, /* SHL16mi */
+  0x56c, /* SHR16mi */
+  0x4b8, /* SAL16mi */
+  0x4d1, /* SAR16mi */
+  0x485, /* ROL16ri */
+  0x49d, /* ROR16ri */
+  0x42c, /* RCL16ri */
+  0x444, /* RCR16ri */
+  0x547, /* SHL16ri */
+  0x56f, /* SHR16ri */
+  0x4bb, /* SAL16ri */
+  0x4d4, /* SAR16ri */
+/* Table775 */
+  0x47b, /* RETIW */
+/* Table776 */
+  0x47e, /* RETW */
+/* Table777 */
+  0x297, /* LES16rm */
+  0x0, /*  */
+/* Table779 */
+  0x28f, /* LDS16rm */
+  0x0, /*  */
 /* Table781 */
-  0x4aa, /* RETIW */
-/* Table782 */
-  0x4ad, /* RETW */
-/* Table783 */
-  0x2c6, /* LES16rm */
-  0x0, /*  */
-/* Table785 */
-  0x2be, /* LDS16rm */
-  0x0, /*  */
-/* Table787 */
-  0x33f, /* MOV16mi */
+  0x310, /* MOV16mi */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26748,7 +26754,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x345, /* MOV16ri_alt */
+  0x316, /* MOV16ri_alt */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -26756,267 +26762,267 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table803 */
-  0x321, /* LRETIW */
-/* Table804 */
-  0x324, /* LRETW */
-/* Table805 */
-  0x270, /* IRET16 */
-/* Table806 */
-  0x4af, /* ROL16m1 */
-  0x4c7, /* ROR16m1 */
-  0x456, /* RCL16m1 */
-  0x46e, /* RCR16m1 */
-  0x569, /* SHL16m1 */
-  0x591, /* SHR16m1 */
-  0x4e5, /* SAL16m1 */
-  0x4fe, /* SAR16m1 */
-  0x4b2, /* ROL16r1 */
-  0x4ca, /* ROR16r1 */
-  0x459, /* RCL16r1 */
-  0x471, /* RCR16r1 */
-  0x56c, /* SHL16r1 */
-  0x594, /* SHR16r1 */
-  0x4e8, /* SAL16r1 */
-  0x501, /* SAR16r1 */
-/* Table822 */
-  0x4b0, /* ROL16mCL */
-  0x4c8, /* ROR16mCL */
-  0x457, /* RCL16mCL */
-  0x46f, /* RCR16mCL */
-  0x56a, /* SHL16mCL */
-  0x592, /* SHR16mCL */
-  0x4e6, /* SAL16mCL */
-  0x4ff, /* SAR16mCL */
-  0x4b3, /* ROL16rCL */
-  0x4cb, /* ROR16rCL */
-  0x45a, /* RCL16rCL */
-  0x472, /* RCR16rCL */
-  0x56d, /* SHL16rCL */
-  0x595, /* SHR16rCL */
-  0x4e9, /* SAL16rCL */
-  0x502, /* SAR16rCL */
+/* Table797 */
+  0x2f2, /* LRETIW */
+/* Table798 */
+  0x2f5, /* LRETW */
+/* Table799 */
+  0x241, /* IRET16 */
+/* Table800 */
+  0x480, /* ROL16m1 */
+  0x498, /* ROR16m1 */
+  0x427, /* RCL16m1 */
+  0x43f, /* RCR16m1 */
+  0x542, /* SHL16m1 */
+  0x56a, /* SHR16m1 */
+  0x4b6, /* SAL16m1 */
+  0x4cf, /* SAR16m1 */
+  0x483, /* ROL16r1 */
+  0x49b, /* ROR16r1 */
+  0x42a, /* RCL16r1 */
+  0x442, /* RCR16r1 */
+  0x545, /* SHL16r1 */
+  0x56d, /* SHR16r1 */
+  0x4b9, /* SAL16r1 */
+  0x4d2, /* SAR16r1 */
+/* Table816 */
+  0x481, /* ROL16mCL */
+  0x499, /* ROR16mCL */
+  0x428, /* RCL16mCL */
+  0x440, /* RCR16mCL */
+  0x543, /* SHL16mCL */
+  0x56b, /* SHR16mCL */
+  0x4b7, /* SAL16mCL */
+  0x4d0, /* SAR16mCL */
+  0x484, /* ROL16rCL */
+  0x49c, /* ROR16rCL */
+  0x42b, /* RCL16rCL */
+  0x443, /* RCR16rCL */
+  0x546, /* SHL16rCL */
+  0x56e, /* SHR16rCL */
+  0x4ba, /* SAL16rCL */
+  0x4d3, /* SAR16rCL */
+/* Table832 */
+  0x21c, /* IN16ri */
+/* Table833 */
+  0x3d9, /* OUT16ir */
+/* Table834 */
+  0x11a, /* CALLpcrel16 */
+/* Table835 */
+  0x26a, /* JMP_2 */
+/* Table836 */
+  0x1f2, /* FARJMP16i */
+/* Table837 */
+  0x21d, /* IN16rr */
 /* Table838 */
-  0x24c, /* IN16ri */
+  0x3da, /* OUT16rr */
 /* Table839 */
-  0x408, /* OUT16ir */
-/* Table840 */
-  0x144, /* CALLpcrel16 */
-/* Table841 */
-  0x299, /* JMP_2 */
-/* Table842 */
-  0x21f, /* FARJMP16i */
-/* Table843 */
-  0x24d, /* IN16rr */
-/* Table844 */
-  0x409, /* OUT16rr */
-/* Table845 */
-  0x60f, /* TEST16mi */
-  0x610, /* TEST16mi_alt */
-  0x3dc, /* NOT16m */
-  0x3b5, /* NEG16m */
-  0x3a9, /* MUL16m */
-  0x231, /* IMUL16m */
-  0x208, /* DIV16m */
-  0x229, /* IDIV16m */
-  0x611, /* TEST16ri */
-  0x612, /* TEST16ri_alt */
-  0x3dd, /* NOT16r */
-  0x3b6, /* NEG16r */
-  0x3aa, /* MUL16r */
-  0x232, /* IMUL16r */
-  0x209, /* DIV16r */
-  0x22a, /* IDIV16r */
-/* Table861 */
-  0x254, /* INC16m */
-  0x1fa, /* DEC16m */
-  0x13d, /* CALL16m */
-  0x21b, /* FARCALL16m */
-  0x292, /* JMP16m */
-  0x220, /* FARJMP16m */
-  0x435, /* PUSH16rmm */
+  0x5e7, /* TEST16mi */
+  0x5e8, /* TEST16mi_alt */
+  0x3ad, /* NOT16m */
+  0x386, /* NEG16m */
+  0x37a, /* MUL16m */
+  0x202, /* IMUL16m */
+  0x1dd, /* DIV16m */
+  0x1fa, /* IDIV16m */
+  0x5e9, /* TEST16ri */
+  0x5ea, /* TEST16ri_alt */
+  0x3ae, /* NOT16r */
+  0x387, /* NEG16r */
+  0x37b, /* MUL16r */
+  0x203, /* IMUL16r */
+  0x1de, /* DIV16r */
+  0x1fb, /* IDIV16r */
+/* Table855 */
+  0x222, /* INC16m */
+  0x1cf, /* DEC16m */
+  0x113, /* CALL16m */
+  0x1ee, /* FARCALL16m */
+  0x263, /* JMP16m */
+  0x1f3, /* FARJMP16m */
+  0x406, /* PUSH16rmm */
   0x0, /*  */
-  0x256, /* INC32_16r */
-  0x1fc, /* DEC32_16r */
-  0x13e, /* CALL16r */
+  0x224, /* INC32_16r */
+  0x1d1, /* DEC32_16r */
+  0x114, /* CALL16r */
   0x0, /*  */
-  0x293, /* JMP16r */
+  0x264, /* JMP16r */
   0x0, /*  */
-  0x436, /* PUSH16rmr */
+  0x407, /* PUSH16rmr */
   0x0, /*  */
+/* Table871 */
+  0x251, /* JCXZ */
+/* Table872 */
+  0x5d, /* ADD64mr */
+  0x63, /* ADD64rr */
+/* Table874 */
+  0x62, /* ADD64rm */
+  0x65, /* ADD64rr_REV */
+/* Table876 */
+  0x5a, /* ADD64i32 */
 /* Table877 */
-  0x280, /* JCXZ */
-/* Table878 */
-  0x5c, /* ADD64mr */
-  0x62, /* ADD64rr */
-/* Table880 */
-  0x61, /* ADD64rm */
-  0x64, /* ADD64rr_REV */
+  0x3cb, /* OR64mr */
+  0x3cf, /* OR64rr */
+/* Table879 */
+  0x3ce, /* OR64rm */
+  0x3d0, /* OR64rr_REV */
+/* Table881 */
+  0x3c8, /* OR64i32 */
 /* Table882 */
-  0x59, /* ADD64i32 */
-/* Table883 */
-  0x3fa, /* OR64mr */
-  0x3fe, /* OR64rr */
-/* Table885 */
-  0x3fd, /* OR64rm */
-  0x3ff, /* OR64rr_REV */
+  0x31, /* ADC64mr */
+  0x35, /* ADC64rr */
+/* Table884 */
+  0x34, /* ADC64rm */
+  0x36, /* ADC64rr_REV */
+/* Table886 */
+  0x2e, /* ADC64i32 */
 /* Table887 */
-  0x3f7, /* OR64i32 */
-/* Table888 */
-  0x30, /* ADC64mr */
-  0x34, /* ADC64rr */
-/* Table890 */
-  0x33, /* ADC64rm */
-  0x35, /* ADC64rr_REV */
+  0x500, /* SBB64mr */
+  0x504, /* SBB64rr */
+/* Table889 */
+  0x503, /* SBB64rm */
+  0x505, /* SBB64rr_REV */
+/* Table891 */
+  0x4fd, /* SBB64i32 */
 /* Table892 */
-  0x2d, /* ADC64i32 */
-/* Table893 */
-  0x52f, /* SBB64mr */
-  0x533, /* SBB64rr */
-/* Table895 */
-  0x532, /* SBB64rm */
-  0x534, /* SBB64rr_REV */
+  0x8b, /* AND64mr */
+  0x8f, /* AND64rr */
+/* Table894 */
+  0x8e, /* AND64rm */
+  0x90, /* AND64rr_REV */
+/* Table896 */
+  0x88, /* AND64i32 */
 /* Table897 */
-  0x52c, /* SBB64i32 */
-/* Table898 */
-  0x8a, /* AND64mr */
-  0x8e, /* AND64rr */
-/* Table900 */
-  0x8d, /* AND64rm */
-  0x8f, /* AND64rr_REV */
+  0x5c1, /* SUB64mr */
+  0x5c5, /* SUB64rr */
+/* Table899 */
+  0x5c4, /* SUB64rm */
+  0x5c6, /* SUB64rr_REV */
+/* Table901 */
+  0x5be, /* SUB64i32 */
 /* Table902 */
-  0x87, /* AND64i32 */
-/* Table903 */
-  0x5e9, /* SUB64mr */
-  0x5ed, /* SUB64rr */
-/* Table905 */
-  0x5ec, /* SUB64rm */
-  0x5ee, /* SUB64rr_REV */
+  0x66d, /* XOR64mr */
+  0x671, /* XOR64rr */
+/* Table904 */
+  0x670, /* XOR64rm */
+  0x672, /* XOR64rr_REV */
+/* Table906 */
+  0x66a, /* XOR64i32 */
 /* Table907 */
-  0x5e6, /* SUB64i32 */
-/* Table908 */
-  0x695, /* XOR64mr */
-  0x699, /* XOR64rr */
-/* Table910 */
-  0x698, /* XOR64rm */
-  0x69a, /* XOR64rr_REV */
+  0x1ac, /* CMP64mr */
+  0x1b0, /* CMP64rr */
+/* Table909 */
+  0x1af, /* CMP64rm */
+  0x1b1, /* CMP64rr_REV */
+/* Table911 */
+  0x1a9, /* CMP64i32 */
 /* Table912 */
-  0x692, /* XOR64i32 */
-/* Table913 */
-  0x1d6, /* CMP64mr */
-  0x1da, /* CMP64rr */
-/* Table915 */
-  0x1d9, /* CMP64rm */
-  0x1db, /* CMP64rr_REV */
-/* Table917 */
-  0x1d3, /* CMP64i32 */
+  0x369, /* MOVSX64rm32 */
+  0x36c, /* MOVSX64rr32 */
+/* Table914 */
+  0x215, /* IMUL64rmi32 */
+  0x218, /* IMUL64rri32 */
+/* Table916 */
+  0x216, /* IMUL64rmi8 */
+  0x219, /* IMUL64rri8 */
 /* Table918 */
-  0x398, /* MOVSX64rm32 */
-  0x39b, /* MOVSX64rr32 */
-/* Table920 */
-  0x244, /* IMUL64rmi32 */
-  0x247, /* IMUL64rri32 */
-/* Table922 */
-  0x245, /* IMUL64rmi8 */
-  0x248, /* IMUL64rri8 */
-/* Table924 */
-  0x5a, /* ADD64mi32 */
-  0x3f8, /* OR64mi32 */
-  0x2e, /* ADC64mi32 */
-  0x52d, /* SBB64mi32 */
-  0x88, /* AND64mi32 */
-  0x5e7, /* SUB64mi32 */
-  0x693, /* XOR64mi32 */
-  0x1d4, /* CMP64mi32 */
-  0x5d, /* ADD64ri32 */
-  0x3fb, /* OR64ri32 */
-  0x31, /* ADC64ri32 */
-  0x530, /* SBB64ri32 */
-  0x8b, /* AND64ri32 */
-  0x5ea, /* SUB64ri32 */
-  0x696, /* XOR64ri32 */
-  0x1d7, /* CMP64ri32 */
-/* Table940 */
-  0x5b, /* ADD64mi8 */
-  0x3f9, /* OR64mi8 */
-  0x2f, /* ADC64mi8 */
-  0x52e, /* SBB64mi8 */
-  0x89, /* AND64mi8 */
-  0x5e8, /* SUB64mi8 */
-  0x694, /* XOR64mi8 */
-  0x1d5, /* CMP64mi8 */
-  0x5f, /* ADD64ri8 */
-  0x3fc, /* OR64ri8 */
-  0x32, /* ADC64ri8 */
-  0x531, /* SBB64ri8 */
-  0x8c, /* AND64ri8 */
-  0x5eb, /* SUB64ri8 */
-  0x697, /* XOR64ri8 */
-  0x1d8, /* CMP64ri8 */
+  0x5b, /* ADD64mi32 */
+  0x3c9, /* OR64mi32 */
+  0x2f, /* ADC64mi32 */
+  0x4fe, /* SBB64mi32 */
+  0x89, /* AND64mi32 */
+  0x5bf, /* SUB64mi32 */
+  0x66b, /* XOR64mi32 */
+  0x1aa, /* CMP64mi32 */
+  0x5e, /* ADD64ri32 */
+  0x3cc, /* OR64ri32 */
+  0x32, /* ADC64ri32 */
+  0x501, /* SBB64ri32 */
+  0x8c, /* AND64ri32 */
+  0x5c2, /* SUB64ri32 */
+  0x66e, /* XOR64ri32 */
+  0x1ad, /* CMP64ri32 */
+/* Table934 */
+  0x5c, /* ADD64mi8 */
+  0x3ca, /* OR64mi8 */
+  0x30, /* ADC64mi8 */
+  0x4ff, /* SBB64mi8 */
+  0x8a, /* AND64mi8 */
+  0x5c0, /* SUB64mi8 */
+  0x66c, /* XOR64mi8 */
+  0x1ab, /* CMP64mi8 */
+  0x60, /* ADD64ri8 */
+  0x3cd, /* OR64ri8 */
+  0x33, /* ADC64ri8 */
+  0x502, /* SBB64ri8 */
+  0x8d, /* AND64ri8 */
+  0x5c3, /* SUB64ri8 */
+  0x66f, /* XOR64ri8 */
+  0x1ae, /* CMP64ri8 */
+/* Table950 */
+  0x5f9, /* TEST64rm */
+  0x5fa, /* TEST64rr */
+/* Table952 */
+  0x64d, /* XCHG64rm */
+  0x64e, /* XCHG64rr */
+/* Table954 */
+  0x339, /* MOV64mr */
+  0x344, /* MOV64rr */
 /* Table956 */
-  0x621, /* TEST64rm */
-  0x622, /* TEST64rr */
+  0x343, /* MOV64rm */
+  0x345, /* MOV64rr_REV */
 /* Table958 */
-  0x675, /* XCHG64rm */
-  0x676, /* XCHG64rr */
+  0x33a, /* MOV64ms */
+  0x346, /* MOV64rs */
 /* Table960 */
-  0x368, /* MOV64mr */
-  0x373, /* MOV64rr */
+  0x294, /* LEA64r */
+  0x0, /*  */
 /* Table962 */
-  0x372, /* MOV64rm */
-  0x374, /* MOV64rr_REV */
+  0x347, /* MOV64sm */
+  0x348, /* MOV64sr */
 /* Table964 */
-  0x369, /* MOV64ms */
-  0x375, /* MOV64rs */
+  0x64c, /* XCHG64ar */
+/* Table965 */
+  0x11e, /* CDQE */
 /* Table966 */
-  0x2c3, /* LEA64r */
-  0x0, /*  */
+  0x1c9, /* CQO */
+/* Table967 */
+  0x33d, /* MOV64o64a */
 /* Table968 */
-  0x376, /* MOV64sm */
-  0x377, /* MOV64sr */
+  0x334, /* MOV64ao64 */
+/* Table969 */
+  0x360, /* MOVSQ */
 /* Table970 */
-  0x674, /* XCHG64ar */
+  0x1bb, /* CMPSQ */
 /* Table971 */
-  0x148, /* CDQE */
+  0x5f4, /* TEST64i32 */
 /* Table972 */
-  0x1f3, /* CQO */
+  0x5a6, /* STOSQ */
 /* Table973 */
-  0x36c, /* MOV64o64a */
+  0x2eb, /* LODSQ */
 /* Table974 */
-  0x363, /* MOV64ao64 */
+  0x50f, /* SCASQ */
 /* Table975 */
-  0x38f, /* MOVSQ */
+  0x341, /* MOV64ri */
 /* Table976 */
-  0x1e5, /* CMPS64 */
-/* Table977 */
-  0x61c, /* TEST64i32 */
-/* Table978 */
-  0x5ce, /* STOSQ */
-/* Table979 */
-  0x31a, /* LODSQ */
-/* Table980 */
-  0x53e, /* SCAS64 */
-/* Table981 */
-  0x370, /* MOV64ri */
-/* Table982 */
-  0x4bd, /* ROL64mi */
-  0x4d5, /* ROR64mi */
-  0x464, /* RCL64mi */
-  0x47c, /* RCR64mi */
-  0x577, /* SHL64mi */
-  0x59f, /* SHR64mi */
-  0x4f3, /* SAL64mi */
-  0x50c, /* SAR64mi */
-  0x4c0, /* ROL64ri */
-  0x4d8, /* ROR64ri */
-  0x467, /* RCL64ri */
-  0x47f, /* RCR64ri */
-  0x57a, /* SHL64ri */
-  0x5a2, /* SHR64ri */
-  0x4f6, /* SAL64ri */
-  0x50f, /* SAR64ri */
-/* Table998 */
-  0x367, /* MOV64mi32 */
+  0x48e, /* ROL64mi */
+  0x4a6, /* ROR64mi */
+  0x435, /* RCL64mi */
+  0x44d, /* RCR64mi */
+  0x550, /* SHL64mi */
+  0x578, /* SHR64mi */
+  0x4c4, /* SAL64mi */
+  0x4dd, /* SAR64mi */
+  0x491, /* ROL64ri */
+  0x4a9, /* ROR64ri */
+  0x438, /* RCL64ri */
+  0x450, /* RCR64ri */
+  0x553, /* SHL64ri */
+  0x57b, /* SHR64ri */
+  0x4c7, /* SAL64ri */
+  0x4e0, /* SAR64ri */
+/* Table992 */
+  0x338, /* MOV64mi32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27024,7 +27030,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x371, /* MOV64ri32 */
+  0x342, /* MOV64ri32 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27032,188 +27038,188 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1014 */
-  0x320, /* LRETIQ */
-/* Table1015 */
-  0x323, /* LRETQ */
-/* Table1016 */
-  0x272, /* IRET64 */
-/* Table1017 */
-  0x4bb, /* ROL64m1 */
-  0x4d3, /* ROR64m1 */
-  0x462, /* RCL64m1 */
-  0x47a, /* RCR64m1 */
-  0x575, /* SHL64m1 */
-  0x59d, /* SHR64m1 */
-  0x4f1, /* SAL64m1 */
-  0x50a, /* SAR64m1 */
-  0x4be, /* ROL64r1 */
-  0x4d6, /* ROR64r1 */
-  0x465, /* RCL64r1 */
-  0x47d, /* RCR64r1 */
-  0x578, /* SHL64r1 */
-  0x5a0, /* SHR64r1 */
-  0x4f4, /* SAL64r1 */
-  0x50d, /* SAR64r1 */
-/* Table1033 */
-  0x4bc, /* ROL64mCL */
-  0x4d4, /* ROR64mCL */
-  0x463, /* RCL64mCL */
-  0x47b, /* RCR64mCL */
-  0x576, /* SHL64mCL */
-  0x59e, /* SHR64mCL */
-  0x4f2, /* SAL64mCL */
-  0x50b, /* SAR64mCL */
-  0x4bf, /* ROL64rCL */
-  0x4d7, /* ROR64rCL */
-  0x466, /* RCL64rCL */
-  0x47e, /* RCR64rCL */
-  0x579, /* SHL64rCL */
-  0x5a1, /* SHR64rCL */
-  0x4f5, /* SAL64rCL */
-  0x50e, /* SAR64rCL */
-/* Table1049 */
-  0x61d, /* TEST64mi32 */
-  0x61e, /* TEST64mi32_alt */
-  0x3e0, /* NOT64m */
-  0x3b9, /* NEG64m */
-  0x3ad, /* MUL64m */
-  0x241, /* IMUL64m */
-  0x20c, /* DIV64m */
-  0x22d, /* IDIV64m */
-  0x61f, /* TEST64ri32 */
-  0x620, /* TEST64ri32_alt */
-  0x3e1, /* NOT64r */
-  0x3ba, /* NEG64r */
-  0x3ae, /* MUL64r */
-  0x242, /* IMUL64r */
-  0x20d, /* DIV64r */
-  0x22e, /* IDIV64r */
-/* Table1065 */
-  0x25e, /* INC64m */
-  0x204, /* DEC64m */
-  0x141, /* CALL64m */
-  0x21e, /* FARCALL64 */
-  0x296, /* JMP64m */
-  0x223, /* FARJMP64 */
-  0x43f, /* PUSH64rmm */
+/* Table1008 */
+  0x2f1, /* LRETIQ */
+/* Table1009 */
+  0x2f4, /* LRETQ */
+/* Table1010 */
+  0x243, /* IRET64 */
+/* Table1011 */
+  0x48c, /* ROL64m1 */
+  0x4a4, /* ROR64m1 */
+  0x433, /* RCL64m1 */
+  0x44b, /* RCR64m1 */
+  0x54e, /* SHL64m1 */
+  0x576, /* SHR64m1 */
+  0x4c2, /* SAL64m1 */
+  0x4db, /* SAR64m1 */
+  0x48f, /* ROL64r1 */
+  0x4a7, /* ROR64r1 */
+  0x436, /* RCL64r1 */
+  0x44e, /* RCR64r1 */
+  0x551, /* SHL64r1 */
+  0x579, /* SHR64r1 */
+  0x4c5, /* SAL64r1 */
+  0x4de, /* SAR64r1 */
+/* Table1027 */
+  0x48d, /* ROL64mCL */
+  0x4a5, /* ROR64mCL */
+  0x434, /* RCL64mCL */
+  0x44c, /* RCR64mCL */
+  0x54f, /* SHL64mCL */
+  0x577, /* SHR64mCL */
+  0x4c3, /* SAL64mCL */
+  0x4dc, /* SAR64mCL */
+  0x490, /* ROL64rCL */
+  0x4a8, /* ROR64rCL */
+  0x437, /* RCL64rCL */
+  0x44f, /* RCR64rCL */
+  0x552, /* SHL64rCL */
+  0x57a, /* SHR64rCL */
+  0x4c6, /* SAL64rCL */
+  0x4df, /* SAR64rCL */
+/* Table1043 */
+  0x5f5, /* TEST64mi32 */
+  0x5f6, /* TEST64mi32_alt */
+  0x3b1, /* NOT64m */
+  0x38a, /* NEG64m */
+  0x37e, /* MUL64m */
+  0x212, /* IMUL64m */
+  0x1e1, /* DIV64m */
+  0x1fe, /* IDIV64m */
+  0x5f7, /* TEST64ri32 */
+  0x5f8, /* TEST64ri32_alt */
+  0x3b2, /* NOT64r */
+  0x38b, /* NEG64r */
+  0x37f, /* MUL64r */
+  0x213, /* IMUL64r */
+  0x1e2, /* DIV64r */
+  0x1ff, /* IDIV64r */
+/* Table1059 */
+  0x22c, /* INC64m */
+  0x1d9, /* DEC64m */
+  0x117, /* CALL64m */
+  0x1f1, /* FARCALL64 */
+  0x267, /* JMP64m */
+  0x1f6, /* FARJMP64 */
+  0x410, /* PUSH64rmm */
   0x0, /*  */
-  0x25f, /* INC64r */
-  0x205, /* DEC64r */
-  0x143, /* CALL64r */
+  0x22d, /* INC64r */
+  0x1da, /* DEC64r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x440, /* PUSH64rmr */
+  0x411, /* PUSH64rmr */
   0x0, /*  */
-/* Table1081 */
-  0x43b, /* PUSH64i16 */
-/* Table1082 */
-  0x36a, /* MOV64o16a */
-/* Table1083 */
-  0x361, /* MOV64ao16 */
-/* Table1084 */
-  0x25a, /* INC64_16m */
-  0x200, /* DEC64_16m */
-  0x141, /* CALL64m */
-  0x21b, /* FARCALL16m */
-  0x296, /* JMP64m */
-  0x220, /* FARJMP16m */
-  0x435, /* PUSH16rmm */
+/* Table1075 */
+  0x40c, /* PUSH64i16 */
+/* Table1076 */
+  0x33b, /* MOV64o16a */
+/* Table1077 */
+  0x332, /* MOV64ao16 */
+/* Table1078 */
+  0x228, /* INC64_16m */
+  0x1d5, /* DEC64_16m */
+  0x117, /* CALL64m */
+  0x1ee, /* FARCALL16m */
+  0x267, /* JMP64m */
+  0x1f3, /* FARJMP16m */
+  0x406, /* PUSH16rmm */
   0x0, /*  */
-  0x25b, /* INC64_16r */
-  0x201, /* DEC64_16r */
-  0x143, /* CALL64r */
+  0x229, /* INC64_16r */
+  0x1d6, /* DEC64_16r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x436, /* PUSH16rmr */
+  0x407, /* PUSH16rmr */
   0x0, /*  */
-/* Table1100 */
-  0x282, /* JECXZ_64 */
-/* Table1101 */
-  0x25e, /* INC64m */
-  0x204, /* DEC64m */
-  0x141, /* CALL64m */
-  0x21e, /* FARCALL64 */
-  0x296, /* JMP64m */
-  0x223, /* FARJMP64 */
-  0x435, /* PUSH16rmm */
+/* Table1094 */
+  0x253, /* JECXZ_64 */
+/* Table1095 */
+  0x22c, /* INC64m */
+  0x1d9, /* DEC64m */
+  0x117, /* CALL64m */
+  0x1f1, /* FARCALL64 */
+  0x267, /* JMP64m */
+  0x1f6, /* FARJMP64 */
+  0x406, /* PUSH16rmm */
   0x0, /*  */
-  0x25f, /* INC64r */
-  0x205, /* DEC64r */
-  0x143, /* CALL64r */
+  0x22d, /* INC64r */
+  0x1da, /* DEC64r */
+  0x119, /* CALL64r */
   0x0, /*  */
-  0x297, /* JMP64r */
+  0x268, /* JMP64r */
   0x0, /*  */
-  0x436, /* PUSH16rmr */
+  0x407, /* PUSH16rmr */
   0x0, /*  */
-/* Table1117 */
-  0x5bd, /* SLDT16m */
-  0x5d3, /* STRm */
-  0x2d4, /* LLDT16m */
-  0x32e, /* LTRm */
-  0x63f, /* VERRm */
-  0x641, /* VERWm */
+/* Table1111 */
+  0x596, /* SLDT16m */
+  0x5ab, /* STRm */
+  0x2a5, /* LLDT16m */
+  0x2ff, /* LTRm */
+  0x617, /* VERRm */
+  0x619, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x5bf, /* SLDT32r */
-  0x5d1, /* STR32r */
-  0x2d5, /* LLDT16r */
-  0x32f, /* LTRr */
-  0x640, /* VERRr */
-  0x642, /* VERWr */
+  0x598, /* SLDT32r */
+  0x5a9, /* STR32r */
+  0x2a6, /* LLDT16r */
+  0x300, /* LTRr */
+  0x618, /* VERRr */
+  0x61a, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1133 */
-  0x567, /* SGDT32m */
-  0x5ba, /* SIDT32m */
-  0x2cc, /* LGDT32m */
-  0x2d2, /* LIDT32m */
-  0x5c2, /* SMSW16m */
+/* Table1127 */
+  0x540, /* SGDT32m */
+  0x593, /* SIDT32m */
+  0x29d, /* LGDT32m */
+  0x2a3, /* LIDT32m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x651, /* VMRUN32 */
-  0x649, /* VMMCALL */
-  0x647, /* VMLOAD32 */
-  0x653, /* VMSAVE32 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26a, /* INVLPGA32 */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
+  0x629, /* VMRUN32 */
+  0x621, /* VMMCALL */
+  0x61f, /* VMLOAD32 */
+  0x62b, /* VMSAVE32 */
+  0x5a2, /* STGI */
+  0x122, /* CLGI */
+  0x595, /* SKINIT */
+  0x23b, /* INVLPGA32 */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27222,254 +27228,254 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1199 */
+  0x285, /* LAR32rm */
+  0x286, /* LAR32rr */
+/* Table1201 */
+  0x2f8, /* LSL32rm */
+  0x2f9, /* LSL32rr */
+/* Table1203 */
+  0x5d0, /* SYSCALL */
+/* Table1204 */
+  0x124, /* CLTS */
 /* Table1205 */
-  0x2b4, /* LAR32rm */
-  0x2b5, /* LAR32rr */
+  0x5d4, /* SYSRET */
+/* Table1206 */
+  0x237, /* INVD */
 /* Table1207 */
-  0x327, /* LSL32rm */
-  0x328, /* LSL32rr */
+  0x634, /* WBINVD */
+/* Table1208 */
+  0x609, /* TRAP */
 /* Table1209 */
-  0x5f8, /* SYSCALL */
-/* Table1210 */
-  0x14e, /* CLTS */
-/* Table1211 */
-  0x5fc, /* SYSRET */
-/* Table1212 */
-  0x266, /* INVD */
-/* Table1213 */
-  0x65c, /* WBINVD */
-/* Table1214 */
-  0x631, /* TRAP */
-/* Table1215 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3c6, /* NOOP18_m4 */
-  0x3c7, /* NOOP18_m5 */
-  0x3c8, /* NOOP18_m6 */
-  0x3c9, /* NOOP18_m7 */
+  0x397, /* NOOP18_m4 */
+  0x398, /* NOOP18_m5 */
+  0x399, /* NOOP18_m6 */
+  0x39a, /* NOOP18_m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3ca, /* NOOP18_r4 */
-  0x3cb, /* NOOP18_r5 */
-  0x3cc, /* NOOP18_r6 */
-  0x3cd, /* NOOP18_r7 */
+  0x39b, /* NOOP18_r4 */
+  0x39c, /* NOOP18_r5 */
+  0x39d, /* NOOP18_r6 */
+  0x39e, /* NOOP18_r7 */
+/* Table1225 */
+  0x3a0, /* NOOPL_19 */
+  0x0, /*  */
+/* Table1227 */
+  0x3a1, /* NOOPL_1a */
+  0x0, /*  */
+/* Table1229 */
+  0x3a2, /* NOOPL_1b */
+  0x0, /*  */
 /* Table1231 */
-  0x3cf, /* NOOPL_19 */
+  0x3a3, /* NOOPL_1c */
   0x0, /*  */
 /* Table1233 */
-  0x3d0, /* NOOPL_1a */
+  0x3a4, /* NOOPL_1d */
   0x0, /*  */
 /* Table1235 */
-  0x3d1, /* NOOPL_1b */
+  0x3a5, /* NOOPL_1e */
   0x0, /*  */
 /* Table1237 */
-  0x3d2, /* NOOPL_1c */
+  0x39f, /* NOOPL */
   0x0, /*  */
 /* Table1239 */
-  0x3d3, /* NOOPL_1d */
   0x0, /*  */
+  0x327, /* MOV32rc */
 /* Table1241 */
-  0x3d4, /* NOOPL_1e */
   0x0, /*  */
+  0x328, /* MOV32rd */
 /* Table1243 */
-  0x3ce, /* NOOPL */
   0x0, /*  */
+  0x31f, /* MOV32cr */
 /* Table1245 */
   0x0, /*  */
-  0x356, /* MOV32rc */
+  0x320, /* MOV32dr */
 /* Table1247 */
-  0x0, /*  */
-  0x357, /* MOV32rd */
+  0x63c, /* WRMSR */
+/* Table1248 */
+  0x463, /* RDTSC */
 /* Table1249 */
-  0x0, /*  */
-  0x34e, /* MOV32cr */
+  0x45b, /* RDMSR */
+/* Table1250 */
+  0x45c, /* RDPMC */
 /* Table1251 */
-  0x0, /*  */
-  0x34f, /* MOV32dr */
+  0x5d1, /* SYSENTER */
+/* Table1252 */
+  0x5d2, /* SYSEXIT */
 /* Table1253 */
-  0x664, /* WRMSR */
+  0x1f8, /* GETSEC */
 /* Table1254 */
-  0x492, /* RDTSC */
-/* Table1255 */
-  0x48a, /* RDMSR */
+  0x176, /* CMOVO32rm */
+  0x177, /* CMOVO32rr */
 /* Table1256 */
-  0x48b, /* RDPMC */
-/* Table1257 */
-  0x5f9, /* SYSENTER */
+  0x164, /* CMOVNO32rm */
+  0x165, /* CMOVNO32rr */
 /* Table1258 */
-  0x5fa, /* SYSEXIT */
-/* Table1259 */
-  0x226, /* GETSEC */
+  0x134, /* CMOVB32rm */
+  0x135, /* CMOVB32rr */
 /* Table1260 */
-  0x1a0, /* CMOVO32rm */
-  0x1a1, /* CMOVO32rr */
+  0x12e, /* CMOVAE32rm */
+  0x12f, /* CMOVAE32rr */
 /* Table1262 */
-  0x18e, /* CMOVNO32rm */
-  0x18f, /* CMOVNO32rr */
+  0x140, /* CMOVE32rm */
+  0x141, /* CMOVE32rr */
 /* Table1264 */
-  0x15e, /* CMOVB32rm */
-  0x15f, /* CMOVB32rr */
+  0x15e, /* CMOVNE32rm */
+  0x15f, /* CMOVNE32rr */
 /* Table1266 */
-  0x158, /* CMOVAE32rm */
-  0x159, /* CMOVAE32rr */
+  0x13a, /* CMOVBE32rm */
+  0x13b, /* CMOVBE32rr */
 /* Table1268 */
-  0x16a, /* CMOVE32rm */
-  0x16b, /* CMOVE32rr */
+  0x128, /* CMOVA32rm */
+  0x129, /* CMOVA32rr */
 /* Table1270 */
-  0x188, /* CMOVNE32rm */
-  0x189, /* CMOVNE32rr */
+  0x182, /* CMOVS32rm */
+  0x183, /* CMOVS32rr */
 /* Table1272 */
-  0x164, /* CMOVBE32rm */
-  0x165, /* CMOVBE32rr */
+  0x170, /* CMOVNS32rm */
+  0x171, /* CMOVNS32rr */
 /* Table1274 */
-  0x152, /* CMOVA32rm */
-  0x153, /* CMOVA32rr */
+  0x17c, /* CMOVP32rm */
+  0x17d, /* CMOVP32rr */
 /* Table1276 */
-  0x1ac, /* CMOVS32rm */
-  0x1ad, /* CMOVS32rr */
+  0x16a, /* CMOVNP32rm */
+  0x16b, /* CMOVNP32rr */
 /* Table1278 */
-  0x19a, /* CMOVNS32rm */
-  0x19b, /* CMOVNS32rr */
+  0x152, /* CMOVL32rm */
+  0x153, /* CMOVL32rr */
 /* Table1280 */
-  0x1a6, /* CMOVP32rm */
-  0x1a7, /* CMOVP32rr */
+  0x14c, /* CMOVGE32rm */
+  0x14d, /* CMOVGE32rr */
 /* Table1282 */
-  0x194, /* CMOVNP32rm */
-  0x195, /* CMOVNP32rr */
+  0x158, /* CMOVLE32rm */
+  0x159, /* CMOVLE32rr */
 /* Table1284 */
-  0x17c, /* CMOVL32rm */
-  0x17d, /* CMOVL32rr */
+  0x146, /* CMOVG32rm */
+  0x147, /* CMOVG32rr */
 /* Table1286 */
-  0x176, /* CMOVGE32rm */
-  0x177, /* CMOVGE32rr */
+  0x624, /* VMREAD32rm */
+  0x625, /* VMREAD32rr */
 /* Table1288 */
-  0x182, /* CMOVLE32rm */
-  0x183, /* CMOVLE32rr */
+  0x62d, /* VMWRITE32rm */
+  0x62e, /* VMWRITE32rr */
 /* Table1290 */
-  0x170, /* CMOVG32rm */
-  0x171, /* CMOVG32rr */
+  0x27a, /* JO_4 */
+/* Table1291 */
+  0x271, /* JNO_4 */
 /* Table1292 */
-  0x64c, /* VMREAD32rm */
-  0x64d, /* VMREAD32rr */
+  0x250, /* JB_4 */
+/* Table1293 */
+  0x247, /* JAE_4 */
 /* Table1294 */
-  0x655, /* VMWRITE32rm */
-  0x656, /* VMWRITE32rr */
+  0x256, /* JE_4 */
+/* Table1295 */
+  0x26e, /* JNE_4 */
 /* Table1296 */
-  0x2a9, /* JO_4 */
+  0x24d, /* JBE_4 */
 /* Table1297 */
-  0x2a0, /* JNO_4 */
+  0x24a, /* JA_4 */
 /* Table1298 */
-  0x27f, /* JB_4 */
+  0x281, /* JS_4 */
 /* Table1299 */
-  0x276, /* JAE_4 */
+  0x277, /* JNS_4 */
 /* Table1300 */
-  0x285, /* JE_4 */
+  0x27d, /* JP_4 */
 /* Table1301 */
-  0x29d, /* JNE_4 */
+  0x274, /* JNP_4 */
 /* Table1302 */
-  0x27c, /* JBE_4 */
+  0x262, /* JL_4 */
 /* Table1303 */
-  0x279, /* JA_4 */
+  0x259, /* JGE_4 */
 /* Table1304 */
-  0x2b0, /* JS_4 */
+  0x25f, /* JLE_4 */
 /* Table1305 */
-  0x2a6, /* JNS_4 */
+  0x25c, /* JG_4 */
 /* Table1306 */
-  0x2ac, /* JP_4 */
-/* Table1307 */
-  0x2a3, /* JNP_4 */
+  0x539, /* SETOm */
+  0x53a, /* SETOr */
 /* Table1308 */
-  0x291, /* JL_4 */
-/* Table1309 */
-  0x288, /* JGE_4 */
+  0x533, /* SETNOm */
+  0x534, /* SETNOr */
 /* Table1310 */
-  0x28e, /* JLE_4 */
-/* Table1311 */
-  0x28b, /* JG_4 */
+  0x525, /* SETBm */
+  0x526, /* SETBr */
 /* Table1312 */
-  0x560, /* SETOm */
-  0x561, /* SETOr */
+  0x51b, /* SETAEm */
+  0x51c, /* SETAEr */
 /* Table1314 */
-  0x55a, /* SETNOm */
-  0x55b, /* SETNOr */
+  0x527, /* SETEm */
+  0x528, /* SETEr */
 /* Table1316 */
-  0x54c, /* SETBm */
-  0x54d, /* SETBr */
+  0x531, /* SETNEm */
+  0x532, /* SETNEr */
 /* Table1318 */
-  0x542, /* SETAEm */
-  0x543, /* SETAEr */
+  0x51f, /* SETBEm */
+  0x520, /* SETBEr */
 /* Table1320 */
-  0x54e, /* SETEm */
-  0x54f, /* SETEr */
+  0x51d, /* SETAm */
+  0x51e, /* SETAr */
 /* Table1322 */
-  0x558, /* SETNEm */
-  0x559, /* SETNEr */
+  0x53d, /* SETSm */
+  0x53e, /* SETSr */
 /* Table1324 */
-  0x546, /* SETBEm */
-  0x547, /* SETBEr */
+  0x537, /* SETNSm */
+  0x538, /* SETNSr */
 /* Table1326 */
-  0x544, /* SETAm */
-  0x545, /* SETAr */
+  0x53b, /* SETPm */
+  0x53c, /* SETPr */
 /* Table1328 */
-  0x564, /* SETSm */
-  0x565, /* SETSr */
+  0x535, /* SETNPm */
+  0x536, /* SETNPr */
 /* Table1330 */
-  0x55e, /* SETNSm */
-  0x55f, /* SETNSr */
+  0x52f, /* SETLm */
+  0x530, /* SETLr */
 /* Table1332 */
-  0x562, /* SETPm */
-  0x563, /* SETPr */
+  0x529, /* SETGEm */
+  0x52a, /* SETGEr */
 /* Table1334 */
-  0x55c, /* SETNPm */
-  0x55d, /* SETNPr */
+  0x52d, /* SETLEm */
+  0x52e, /* SETLEr */
 /* Table1336 */
-  0x556, /* SETLm */
-  0x557, /* SETLr */
+  0x52b, /* SETGm */
+  0x52c, /* SETGr */
 /* Table1338 */
-  0x550, /* SETGEm */
-  0x551, /* SETGEr */
+  0x41e, /* PUSHFS32 */
+/* Table1339 */
+  0x3fd, /* POPFS32 */
 /* Table1340 */
-  0x554, /* SETLEm */
-  0x555, /* SETLEr */
-/* Table1342 */
-  0x552, /* SETGm */
-  0x553, /* SETGr */
-/* Table1344 */
-  0x44d, /* PUSHFS32 */
+  0x1c7, /* CPUID32 */
+/* Table1341 */
+  0xe4, /* BT32mr */
+  0xe6, /* BT32rr */
+/* Table1343 */
+  0x55f, /* SHLD32mri8 */
+  0x561, /* SHLD32rri8 */
 /* Table1345 */
-  0x42c, /* POPFS32 */
-/* Table1346 */
-  0x1f1, /* CPUID32 */
+  0x55e, /* SHLD32mrCL */
+  0x560, /* SHLD32rrCL */
 /* Table1347 */
-  0x10e, /* BT32mr */
-  0x110, /* BT32rr */
-/* Table1349 */
-  0x586, /* SHLD32mri8 */
-  0x588, /* SHLD32rri8 */
-/* Table1351 */
-  0x585, /* SHLD32mrCL */
-  0x587, /* SHLD32rrCL */
-/* Table1353 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27478,7 +27484,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x33a, /* MONTMUL */
+  0x30b, /* MONTMUL */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27486,7 +27492,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6aa, /* XSHA1 */
+  0x682, /* XSHA1 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27494,7 +27500,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6ab, /* XSHA256 */
+  0x683, /* XSHA256 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27542,7 +27548,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1425 */
+/* Table1419 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27551,7 +27557,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6ac, /* XSTORE */
+  0x684, /* XSTORE */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27559,7 +27565,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67c, /* XCRYPTECB */
+  0x654, /* XCRYPTECB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27567,7 +27573,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x679, /* XCRYPTCBC */
+  0x651, /* XCRYPTCBC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27575,7 +27581,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67b, /* XCRYPTCTR */
+  0x653, /* XCRYPTCTR */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27583,7 +27589,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67a, /* XCRYPTCFB */
+  0x652, /* XCRYPTCFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27591,7 +27597,7 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67d, /* XCRYPTOFB */
+  0x655, /* XCRYPTOFB */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27615,29 +27621,29 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1497 */
-  0x450, /* PUSHGS32 */
+/* Table1491 */
+  0x421, /* PUSHGS32 */
+/* Table1492 */
+  0x400, /* POPGS32 */
+/* Table1493 */
+  0x4b4, /* RSM */
+/* Table1494 */
+  0x108, /* BTS32mr */
+  0x10a, /* BTS32rr */
+/* Table1496 */
+  0x587, /* SHRD32mri8 */
+  0x589, /* SHRD32rri8 */
 /* Table1498 */
-  0x42f, /* POPGS32 */
-/* Table1499 */
-  0x4e3, /* RSM */
+  0x586, /* SHRD32mrCL */
+  0x588, /* SHRD32rrCL */
 /* Table1500 */
-  0x132, /* BTS32mr */
-  0x134, /* BTS32rr */
-/* Table1502 */
-  0x5ae, /* SHRD32mri8 */
-  0x5b0, /* SHRD32rri8 */
-/* Table1504 */
-  0x5ad, /* SHRD32mrCL */
-  0x5af, /* SHRD32rrCL */
-/* Table1506 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6a5, /* XSAVE */
-  0x6a3, /* XRSTOR */
-  0x6a7, /* XSAVEOPT */
+  0x67d, /* XSAVE */
+  0x67b, /* XRSTOR */
+  0x67f, /* XSAVEOPT */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27647,141 +27653,141 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1516 */
+  0x20c, /* IMUL32rm */
+  0x20f, /* IMUL32rr */
+/* Table1518 */
+  0x1c5, /* CMPXCHG8rm */
+  0x1c6, /* CMPXCHG8rr */
+/* Table1520 */
+  0x1c0, /* CMPXCHG32rm */
+  0x1c1, /* CMPXCHG32rr */
 /* Table1522 */
-  0x23b, /* IMUL32rm */
-  0x23e, /* IMUL32rr */
+  0x2fd, /* LSS32rm */
+  0x0, /*  */
 /* Table1524 */
-  0x1ef, /* CMPXCHG8rm */
-  0x1f0, /* CMPXCHG8rr */
+  0xfc, /* BTR32mr */
+  0xfe, /* BTR32rr */
 /* Table1526 */
-  0x1ea, /* CMPXCHG32rm */
-  0x1eb, /* CMPXCHG32rr */
+  0x29a, /* LFS32rm */
+  0x0, /*  */
 /* Table1528 */
-  0x32c, /* LSS32rm */
+  0x2a0, /* LGS32rm */
   0x0, /*  */
 /* Table1530 */
-  0x126, /* BTR32mr */
-  0x128, /* BTR32rr */
+  0x373, /* MOVZX32rm8 */
+  0x375, /* MOVZX32rr8 */
 /* Table1532 */
-  0x2c9, /* LFS32rm */
-  0x0, /*  */
+  0x372, /* MOVZX32rm16 */
+  0x374, /* MOVZX32rr16 */
 /* Table1534 */
-  0x2cf, /* LGS32rm */
-  0x0, /*  */
-/* Table1536 */
-  0x3a2, /* MOVZX32rm8 */
-  0x3a4, /* MOVZX32rr8 */
-/* Table1538 */
-  0x3a1, /* MOVZX32rm16 */
-  0x3a3, /* MOVZX32rr16 */
-/* Table1540 */
-  0x63c, /* UD2B */
-/* Table1541 */
+  0x614, /* UD2B */
+/* Table1535 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x10d, /* BT32mi8 */
-  0x131, /* BTS32mi8 */
-  0x125, /* BTR32mi8 */
-  0x119, /* BTC32mi8 */
+  0xe3, /* BT32mi8 */
+  0x107, /* BTS32mi8 */
+  0xfb, /* BTR32mi8 */
+  0xef, /* BTC32mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x10f, /* BT32ri8 */
-  0x133, /* BTS32ri8 */
-  0x127, /* BTR32ri8 */
-  0x11b, /* BTC32ri8 */
+  0xe5, /* BT32ri8 */
+  0x109, /* BTS32ri8 */
+  0xfd, /* BTR32ri8 */
+  0xf1, /* BTC32ri8 */
+/* Table1551 */
+  0xf0, /* BTC32mr */
+  0xf2, /* BTC32rr */
+/* Table1553 */
+  0xd3, /* BSF32rm */
+  0xd4, /* BSF32rr */
+/* Table1555 */
+  0xd9, /* BSR32rm */
+  0xda, /* BSR32rr */
 /* Table1557 */
-  0x11a, /* BTC32mr */
-  0x11c, /* BTC32rr */
+  0x365, /* MOVSX32rm8 */
+  0x367, /* MOVSX32rr8 */
 /* Table1559 */
-  0xfd, /* BSF32rm */
-  0xfe, /* BSF32rr */
+  0x364, /* MOVSX32rm16 */
+  0x366, /* MOVSX32rr16 */
 /* Table1561 */
-  0x103, /* BSR32rm */
-  0x104, /* BSR32rr */
+  0x643, /* XADD8rm */
+  0x644, /* XADD8rr */
 /* Table1563 */
-  0x394, /* MOVSX32rm8 */
-  0x396, /* MOVSX32rr8 */
+  0x63f, /* XADD32rm */
+  0x640, /* XADD32rr */
 /* Table1565 */
-  0x393, /* MOVSX32rm16 */
-  0x395, /* MOVSX32rr16 */
-/* Table1567 */
-  0x66b, /* XADD8rm */
-  0x66c, /* XADD8rr */
-/* Table1569 */
-  0x667, /* XADD32rm */
-  0x668, /* XADD32rr */
-/* Table1571 */
   0x0, /*  */
-  0x1ee, /* CMPXCHG8B */
+  0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x64a, /* VMPTRLDm */
-  0x64b, /* VMPTRSTm */
+  0x622, /* VMPTRLDm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48d, /* RDRAND32r */
-  0x490, /* RDSEED32r */
-/* Table1587 */
-  0x107, /* BSWAP32r */
-/* Table1588 */
-  0x568, /* SGDT64m */
-  0x5bb, /* SIDT64m */
-  0x2cd, /* LGDT64m */
-  0x2d3, /* LIDT64m */
-  0x5c2, /* SMSW16m */
+  0x45e, /* RDRAND32r */
+  0x461, /* RDSEED32r */
+/* Table1581 */
+  0xdd, /* BSWAP32r */
+/* Table1582 */
+  0x541, /* SGDT64m */
+  0x594, /* SIDT64m */
+  0x29e, /* LGDT64m */
+  0x2a4, /* LIDT64m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x652, /* VMRUN64 */
-  0x649, /* VMMCALL */
-  0x648, /* VMLOAD64 */
-  0x654, /* VMSAVE64 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26b, /* INVLPGA64 */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
-  0x5c4, /* SMSW32r */
+  0x62a, /* VMRUN64 */
+  0x621, /* VMMCALL */
+  0x620, /* VMLOAD64 */
+  0x62c, /* VMSAVE64 */
+  0x5a2, /* STGI */
+  0x122, /* CLGI */
+  0x595, /* SKINIT */
+  0x23c, /* INVLPGA64 */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
+  0x59d, /* SMSW32r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27790,116 +27796,116 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table1654 */
+  0x0, /*  */
+  0x33f, /* MOV64rc */
+/* Table1656 */
+  0x0, /*  */
+  0x340, /* MOV64rd */
+/* Table1658 */
+  0x0, /*  */
+  0x336, /* MOV64cr */
 /* Table1660 */
   0x0, /*  */
-  0x36e, /* MOV64rc */
+  0x337, /* MOV64dr */
 /* Table1662 */
-  0x0, /*  */
-  0x36f, /* MOV64rd */
+  0x626, /* VMREAD64rm */
+  0x627, /* VMREAD64rr */
 /* Table1664 */
-  0x0, /*  */
-  0x365, /* MOV64cr */
+  0x62f, /* VMWRITE64rm */
+  0x630, /* VMWRITE64rr */
 /* Table1666 */
-  0x0, /*  */
-  0x366, /* MOV64dr */
+  0x41f, /* PUSHFS64 */
+/* Table1667 */
+  0x3fe, /* POPFS64 */
 /* Table1668 */
-  0x64e, /* VMREAD64rm */
-  0x64f, /* VMREAD64rr */
+  0x1c8, /* CPUID64 */
+/* Table1669 */
+  0x422, /* PUSHGS64 */
 /* Table1670 */
-  0x657, /* VMWRITE64rm */
-  0x658, /* VMWRITE64rr */
-/* Table1672 */
-  0x44e, /* PUSHFS64 */
-/* Table1673 */
-  0x42d, /* POPFS64 */
-/* Table1674 */
-  0x1f2, /* CPUID64 */
-/* Table1675 */
-  0x451, /* PUSHGS64 */
-/* Table1676 */
-  0x430, /* POPGS64 */
-/* Table1677 */
-  0x5bd, /* SLDT16m */
-  0x5d3, /* STRm */
-  0x2d4, /* LLDT16m */
-  0x32e, /* LTRm */
-  0x63f, /* VERRm */
-  0x641, /* VERWm */
+  0x401, /* POPGS64 */
+/* Table1671 */
+  0x596, /* SLDT16m */
+  0x5ab, /* STRm */
+  0x2a5, /* LLDT16m */
+  0x2ff, /* LTRm */
+  0x617, /* VERRm */
+  0x619, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x5be, /* SLDT16r */
-  0x5d0, /* STR16r */
-  0x2d5, /* LLDT16r */
-  0x32f, /* LTRr */
-  0x640, /* VERRr */
-  0x642, /* VERWr */
+  0x597, /* SLDT16r */
+  0x5a8, /* STR16r */
+  0x2a6, /* LLDT16r */
+  0x300, /* LTRr */
+  0x618, /* VERRr */
+  0x61a, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1693 */
-  0x566, /* SGDT16m */
-  0x5b9, /* SIDT16m */
-  0x2cb, /* LGDT16m */
-  0x2d1, /* LIDT16m */
-  0x5c2, /* SMSW16m */
+/* Table1687 */
+  0x53f, /* SGDT16m */
+  0x592, /* SIDT16m */
+  0x29c, /* LGDT16m */
+  0x2a2, /* LIDT16m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x651, /* VMRUN32 */
-  0x649, /* VMMCALL */
-  0x647, /* VMLOAD32 */
-  0x653, /* VMSAVE32 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26a, /* INVLPGA32 */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
+  0x629, /* VMRUN32 */
+  0x621, /* VMMCALL */
+  0x61f, /* VMLOAD32 */
+  0x62b, /* VMSAVE32 */
+  0x5a2, /* STGI */
+  0x122, /* CLGI */
+  0x595, /* SKINIT */
+  0x23b, /* INVLPGA32 */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -27908,337 +27914,337 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table1765 */
-  0x2b2, /* LAR16rm */
-  0x2b3, /* LAR16rr */
-/* Table1767 */
-  0x325, /* LSL16rm */
-  0x326, /* LSL16rr */
-/* Table1769 */
+/* Table1759 */
+  0x283, /* LAR16rm */
+  0x284, /* LAR16rr */
+/* Table1761 */
+  0x2f6, /* LSL16rm */
+  0x2f7, /* LSL16rr */
+/* Table1763 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3be, /* NOOP18_16m4 */
-  0x3bf, /* NOOP18_16m5 */
-  0x3c0, /* NOOP18_16m6 */
-  0x3c1, /* NOOP18_16m7 */
+  0x38f, /* NOOP18_16m4 */
+  0x390, /* NOOP18_16m5 */
+  0x391, /* NOOP18_16m6 */
+  0x392, /* NOOP18_16m7 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x3c2, /* NOOP18_16r4 */
-  0x3c3, /* NOOP18_16r5 */
-  0x3c4, /* NOOP18_16r6 */
-  0x3c5, /* NOOP18_16r7 */
+  0x393, /* NOOP18_16r4 */
+  0x394, /* NOOP18_16r5 */
+  0x395, /* NOOP18_16r6 */
+  0x396, /* NOOP18_16r7 */
+/* Table1779 */
+  0x3a7, /* NOOPW_19 */
+  0x0, /*  */
+/* Table1781 */
+  0x3a8, /* NOOPW_1a */
+  0x0, /*  */
+/* Table1783 */
+  0x3a9, /* NOOPW_1b */
+  0x0, /*  */
 /* Table1785 */
-  0x3d6, /* NOOPW_19 */
+  0x3aa, /* NOOPW_1c */
   0x0, /*  */
 /* Table1787 */
-  0x3d7, /* NOOPW_1a */
+  0x3ab, /* NOOPW_1d */
   0x0, /*  */
 /* Table1789 */
-  0x3d8, /* NOOPW_1b */
+  0x3ac, /* NOOPW_1e */
   0x0, /*  */
 /* Table1791 */
-  0x3d9, /* NOOPW_1c */
+  0x3a6, /* NOOPW */
   0x0, /*  */
 /* Table1793 */
-  0x3da, /* NOOPW_1d */
-  0x0, /*  */
+  0x174, /* CMOVO16rm */
+  0x175, /* CMOVO16rr */
 /* Table1795 */
-  0x3db, /* NOOPW_1e */
-  0x0, /*  */
+  0x162, /* CMOVNO16rm */
+  0x163, /* CMOVNO16rr */
 /* Table1797 */
-  0x3d5, /* NOOPW */
-  0x0, /*  */
+  0x132, /* CMOVB16rm */
+  0x133, /* CMOVB16rr */
 /* Table1799 */
-  0x19e, /* CMOVO16rm */
-  0x19f, /* CMOVO16rr */
+  0x12c, /* CMOVAE16rm */
+  0x12d, /* CMOVAE16rr */
 /* Table1801 */
-  0x18c, /* CMOVNO16rm */
-  0x18d, /* CMOVNO16rr */
+  0x13e, /* CMOVE16rm */
+  0x13f, /* CMOVE16rr */
 /* Table1803 */
-  0x15c, /* CMOVB16rm */
-  0x15d, /* CMOVB16rr */
+  0x15c, /* CMOVNE16rm */
+  0x15d, /* CMOVNE16rr */
 /* Table1805 */
-  0x156, /* CMOVAE16rm */
-  0x157, /* CMOVAE16rr */
+  0x138, /* CMOVBE16rm */
+  0x139, /* CMOVBE16rr */
 /* Table1807 */
-  0x168, /* CMOVE16rm */
-  0x169, /* CMOVE16rr */
+  0x126, /* CMOVA16rm */
+  0x127, /* CMOVA16rr */
 /* Table1809 */
-  0x186, /* CMOVNE16rm */
-  0x187, /* CMOVNE16rr */
+  0x180, /* CMOVS16rm */
+  0x181, /* CMOVS16rr */
 /* Table1811 */
-  0x162, /* CMOVBE16rm */
-  0x163, /* CMOVBE16rr */
+  0x16e, /* CMOVNS16rm */
+  0x16f, /* CMOVNS16rr */
 /* Table1813 */
-  0x150, /* CMOVA16rm */
-  0x151, /* CMOVA16rr */
+  0x17a, /* CMOVP16rm */
+  0x17b, /* CMOVP16rr */
 /* Table1815 */
-  0x1aa, /* CMOVS16rm */
-  0x1ab, /* CMOVS16rr */
+  0x168, /* CMOVNP16rm */
+  0x169, /* CMOVNP16rr */
 /* Table1817 */
-  0x198, /* CMOVNS16rm */
-  0x199, /* CMOVNS16rr */
+  0x150, /* CMOVL16rm */
+  0x151, /* CMOVL16rr */
 /* Table1819 */
-  0x1a4, /* CMOVP16rm */
-  0x1a5, /* CMOVP16rr */
+  0x14a, /* CMOVGE16rm */
+  0x14b, /* CMOVGE16rr */
 /* Table1821 */
-  0x192, /* CMOVNP16rm */
-  0x193, /* CMOVNP16rr */
+  0x156, /* CMOVLE16rm */
+  0x157, /* CMOVLE16rr */
 /* Table1823 */
-  0x17a, /* CMOVL16rm */
-  0x17b, /* CMOVL16rr */
+  0x144, /* CMOVG16rm */
+  0x145, /* CMOVG16rr */
 /* Table1825 */
-  0x174, /* CMOVGE16rm */
-  0x175, /* CMOVGE16rr */
+  0x279, /* JO_2 */
+/* Table1826 */
+  0x270, /* JNO_2 */
 /* Table1827 */
-  0x180, /* CMOVLE16rm */
-  0x181, /* CMOVLE16rr */
+  0x24f, /* JB_2 */
+/* Table1828 */
+  0x246, /* JAE_2 */
 /* Table1829 */
-  0x16e, /* CMOVG16rm */
-  0x16f, /* CMOVG16rr */
+  0x255, /* JE_2 */
+/* Table1830 */
+  0x26d, /* JNE_2 */
 /* Table1831 */
-  0x2a8, /* JO_2 */
+  0x24c, /* JBE_2 */
 /* Table1832 */
-  0x29f, /* JNO_2 */
+  0x249, /* JA_2 */
 /* Table1833 */
-  0x27e, /* JB_2 */
+  0x280, /* JS_2 */
 /* Table1834 */
-  0x275, /* JAE_2 */
+  0x276, /* JNS_2 */
 /* Table1835 */
-  0x284, /* JE_2 */
+  0x27c, /* JP_2 */
 /* Table1836 */
-  0x29c, /* JNE_2 */
+  0x273, /* JNP_2 */
 /* Table1837 */
-  0x27b, /* JBE_2 */
+  0x261, /* JL_2 */
 /* Table1838 */
-  0x278, /* JA_2 */
+  0x258, /* JGE_2 */
 /* Table1839 */
-  0x2af, /* JS_2 */
+  0x25e, /* JLE_2 */
 /* Table1840 */
-  0x2a5, /* JNS_2 */
+  0x25b, /* JG_2 */
 /* Table1841 */
-  0x2ab, /* JP_2 */
+  0x41d, /* PUSHFS16 */
 /* Table1842 */
-  0x2a2, /* JNP_2 */
+  0x3fc, /* POPFS16 */
 /* Table1843 */
-  0x290, /* JL_2 */
-/* Table1844 */
-  0x287, /* JGE_2 */
+  0xe0, /* BT16mr */
+  0xe2, /* BT16rr */
 /* Table1845 */
-  0x28d, /* JLE_2 */
-/* Table1846 */
-  0x28a, /* JG_2 */
+  0x55b, /* SHLD16mri8 */
+  0x55d, /* SHLD16rri8 */
 /* Table1847 */
-  0x44c, /* PUSHFS16 */
-/* Table1848 */
-  0x42b, /* POPFS16 */
+  0x55a, /* SHLD16mrCL */
+  0x55c, /* SHLD16rrCL */
 /* Table1849 */
-  0x10a, /* BT16mr */
-  0x10c, /* BT16rr */
+  0x420, /* PUSHGS16 */
+/* Table1850 */
+  0x3ff, /* POPGS16 */
 /* Table1851 */
-  0x582, /* SHLD16mri8 */
-  0x584, /* SHLD16rri8 */
+  0x104, /* BTS16mr */
+  0x106, /* BTS16rr */
 /* Table1853 */
-  0x581, /* SHLD16mrCL */
-  0x583, /* SHLD16rrCL */
+  0x583, /* SHRD16mri8 */
+  0x585, /* SHRD16rri8 */
 /* Table1855 */
-  0x44f, /* PUSHGS16 */
-/* Table1856 */
-  0x42e, /* POPGS16 */
+  0x582, /* SHRD16mrCL */
+  0x584, /* SHRD16rrCL */
 /* Table1857 */
-  0x12e, /* BTS16mr */
-  0x130, /* BTS16rr */
+  0x204, /* IMUL16rm */
+  0x207, /* IMUL16rr */
 /* Table1859 */
-  0x5aa, /* SHRD16mri8 */
-  0x5ac, /* SHRD16rri8 */
+  0x1be, /* CMPXCHG16rm */
+  0x1bf, /* CMPXCHG16rr */
 /* Table1861 */
-  0x5a9, /* SHRD16mrCL */
-  0x5ab, /* SHRD16rrCL */
+  0x2fc, /* LSS16rm */
+  0x0, /*  */
 /* Table1863 */
-  0x233, /* IMUL16rm */
-  0x236, /* IMUL16rr */
+  0xf8, /* BTR16mr */
+  0xfa, /* BTR16rr */
 /* Table1865 */
-  0x1e8, /* CMPXCHG16rm */
-  0x1e9, /* CMPXCHG16rr */
+  0x299, /* LFS16rm */
+  0x0, /*  */
 /* Table1867 */
-  0x32b, /* LSS16rm */
+  0x29f, /* LGS16rm */
   0x0, /*  */
 /* Table1869 */
-  0x122, /* BTR16mr */
-  0x124, /* BTR16rr */
+  0x36e, /* MOVZX16rm8 */
+  0x36f, /* MOVZX16rr8 */
 /* Table1871 */
-  0x2c8, /* LFS16rm */
-  0x0, /*  */
-/* Table1873 */
-  0x2ce, /* LGS16rm */
-  0x0, /*  */
-/* Table1875 */
-  0x39d, /* MOVZX16rm8 */
-  0x39e, /* MOVZX16rr8 */
-/* Table1877 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x109, /* BT16mi8 */
-  0x12d, /* BTS16mi8 */
-  0x121, /* BTR16mi8 */
-  0x115, /* BTC16mi8 */
+  0xdf, /* BT16mi8 */
+  0x103, /* BTS16mi8 */
+  0xf7, /* BTR16mi8 */
+  0xeb, /* BTC16mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x10b, /* BT16ri8 */
-  0x12f, /* BTS16ri8 */
-  0x123, /* BTR16ri8 */
-  0x117, /* BTC16ri8 */
+  0xe1, /* BT16ri8 */
+  0x105, /* BTS16ri8 */
+  0xf9, /* BTR16ri8 */
+  0xed, /* BTC16ri8 */
+/* Table1887 */
+  0xec, /* BTC16mr */
+  0xee, /* BTC16rr */
+/* Table1889 */
+  0xd1, /* BSF16rm */
+  0xd2, /* BSF16rr */
+/* Table1891 */
+  0xd7, /* BSR16rm */
+  0xd8, /* BSR16rr */
 /* Table1893 */
-  0x116, /* BTC16mr */
-  0x118, /* BTC16rr */
+  0x362, /* MOVSX16rm8 */
+  0x363, /* MOVSX16rr8 */
 /* Table1895 */
-  0xfb, /* BSF16rm */
-  0xfc, /* BSF16rr */
+  0x63d, /* XADD16rm */
+  0x63e, /* XADD16rr */
 /* Table1897 */
-  0x101, /* BSR16rm */
-  0x102, /* BSR16rr */
-/* Table1899 */
-  0x391, /* MOVSX16rm8 */
-  0x392, /* MOVSX16rr8 */
-/* Table1901 */
-  0x665, /* XADD16rm */
-  0x666, /* XADD16rr */
-/* Table1903 */
   0x0, /*  */
-  0x1ee, /* CMPXCHG8B */
+  0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x644, /* VMCLEARm */
-  0x64b, /* VMPTRSTm */
+  0x61c, /* VMCLEARm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48c, /* RDRAND16r */
-  0x48f, /* RDSEED16r */
-/* Table1919 */
-  0x634, /* TZCNT32rm */
-  0x635, /* TZCNT32rr */
-/* Table1921 */
-  0x336, /* LZCNT32rm */
-  0x337, /* LZCNT32rr */
-/* Table1923 */
+  0x45d, /* RDRAND16r */
+  0x460, /* RDSEED16r */
+/* Table1913 */
+  0x60c, /* TZCNT32rm */
+  0x60d, /* TZCNT32rr */
+/* Table1915 */
+  0x307, /* LZCNT32rm */
+  0x308, /* LZCNT32rr */
+/* Table1917 */
   0x0, /*  */
-  0x1ee, /* CMPXCHG8B */
+  0x1c4, /* CMPXCHG8B */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65a, /* VMXON */
-  0x64b, /* VMPTRSTm */
+  0x632, /* VMXON */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x48d, /* RDRAND32r */
-  0x490, /* RDSEED32r */
-/* Table1939 */
-  0x632, /* TZCNT16rm */
-  0x633, /* TZCNT16rr */
-/* Table1941 */
-  0x334, /* LZCNT16rm */
-  0x335, /* LZCNT16rr */
-/* Table1943 */
-  0x5c0, /* SLDT64m */
-  0x5d3, /* STRm */
-  0x2d4, /* LLDT16m */
-  0x32e, /* LTRm */
-  0x63f, /* VERRm */
-  0x641, /* VERWm */
+  0x45e, /* RDRAND32r */
+  0x461, /* RDSEED32r */
+/* Table1933 */
+  0x60a, /* TZCNT16rm */
+  0x60b, /* TZCNT16rr */
+/* Table1935 */
+  0x305, /* LZCNT16rm */
+  0x306, /* LZCNT16rr */
+/* Table1937 */
+  0x599, /* SLDT64m */
+  0x5ab, /* STRm */
+  0x2a5, /* LLDT16m */
+  0x2ff, /* LTRm */
+  0x617, /* VERRm */
+  0x619, /* VERWm */
   0x0, /*  */
   0x0, /*  */
-  0x5c1, /* SLDT64r */
-  0x5d2, /* STR64r */
-  0x2d5, /* LLDT16r */
-  0x32f, /* LTRr */
-  0x640, /* VERRr */
-  0x642, /* VERWr */
+  0x59a, /* SLDT64r */
+  0x5aa, /* STR64r */
+  0x2a6, /* LLDT16r */
+  0x300, /* LTRr */
+  0x618, /* VERRr */
+  0x61a, /* VERWr */
   0x0, /*  */
   0x0, /*  */
-/* Table1959 */
-  0x568, /* SGDT64m */
-  0x5bb, /* SIDT64m */
-  0x2cd, /* LGDT64m */
-  0x2d3, /* LIDT64m */
-  0x5c2, /* SMSW16m */
+/* Table1953 */
+  0x541, /* SGDT64m */
+  0x594, /* SIDT64m */
+  0x29e, /* LGDT64m */
+  0x2a4, /* LIDT64m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
+  0x11f, /* CLAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x61d, /* VMFUNC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x652, /* VMRUN64 */
-  0x649, /* VMMCALL */
-  0x648, /* VMLOAD64 */
-  0x654, /* VMSAVE64 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26b, /* INVLPGA64 */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
-  0x5c5, /* SMSW64r */
+  0x62a, /* VMRUN64 */
+  0x621, /* VMMCALL */
+  0x620, /* VMLOAD64 */
+  0x62c, /* VMSAVE64 */
+  0x5a2, /* STGI */
+  0x122, /* CLGI */
+  0x595, /* SKINIT */
+  0x23c, /* INVLPGA64 */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
+  0x59e, /* SMSW64r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28247,106 +28253,106 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2025 */
+  0x287, /* LAR64rm */
+  0x288, /* LAR64rr */
+/* Table2027 */
+  0x2fa, /* LSL64rm */
+  0x2fb, /* LSL64rr */
+/* Table2029 */
+  0x5d5, /* SYSRET64 */
+/* Table2030 */
+  0x5d3, /* SYSEXIT64 */
 /* Table2031 */
-  0x2b6, /* LAR64rm */
-  0x2b7, /* LAR64rr */
+  0x178, /* CMOVO64rm */
+  0x179, /* CMOVO64rr */
 /* Table2033 */
-  0x329, /* LSL64rm */
-  0x32a, /* LSL64rr */
+  0x166, /* CMOVNO64rm */
+  0x167, /* CMOVNO64rr */
 /* Table2035 */
-  0x5fd, /* SYSRET64 */
-/* Table2036 */
-  0x5fb, /* SYSEXIT64 */
+  0x136, /* CMOVB64rm */
+  0x137, /* CMOVB64rr */
 /* Table2037 */
-  0x1a2, /* CMOVO64rm */
-  0x1a3, /* CMOVO64rr */
+  0x130, /* CMOVAE64rm */
+  0x131, /* CMOVAE64rr */
 /* Table2039 */
-  0x190, /* CMOVNO64rm */
-  0x191, /* CMOVNO64rr */
+  0x142, /* CMOVE64rm */
+  0x143, /* CMOVE64rr */
 /* Table2041 */
-  0x160, /* CMOVB64rm */
-  0x161, /* CMOVB64rr */
+  0x160, /* CMOVNE64rm */
+  0x161, /* CMOVNE64rr */
 /* Table2043 */
-  0x15a, /* CMOVAE64rm */
-  0x15b, /* CMOVAE64rr */
+  0x13c, /* CMOVBE64rm */
+  0x13d, /* CMOVBE64rr */
 /* Table2045 */
-  0x16c, /* CMOVE64rm */
-  0x16d, /* CMOVE64rr */
+  0x12a, /* CMOVA64rm */
+  0x12b, /* CMOVA64rr */
 /* Table2047 */
-  0x18a, /* CMOVNE64rm */
-  0x18b, /* CMOVNE64rr */
+  0x184, /* CMOVS64rm */
+  0x185, /* CMOVS64rr */
 /* Table2049 */
-  0x166, /* CMOVBE64rm */
-  0x167, /* CMOVBE64rr */
+  0x172, /* CMOVNS64rm */
+  0x173, /* CMOVNS64rr */
 /* Table2051 */
-  0x154, /* CMOVA64rm */
-  0x155, /* CMOVA64rr */
+  0x17e, /* CMOVP64rm */
+  0x17f, /* CMOVP64rr */
 /* Table2053 */
-  0x1ae, /* CMOVS64rm */
-  0x1af, /* CMOVS64rr */
+  0x16c, /* CMOVNP64rm */
+  0x16d, /* CMOVNP64rr */
 /* Table2055 */
-  0x19c, /* CMOVNS64rm */
-  0x19d, /* CMOVNS64rr */
+  0x154, /* CMOVL64rm */
+  0x155, /* CMOVL64rr */
 /* Table2057 */
-  0x1a8, /* CMOVP64rm */
-  0x1a9, /* CMOVP64rr */
+  0x14e, /* CMOVGE64rm */
+  0x14f, /* CMOVGE64rr */
 /* Table2059 */
-  0x196, /* CMOVNP64rm */
-  0x197, /* CMOVNP64rr */
+  0x15a, /* CMOVLE64rm */
+  0x15b, /* CMOVLE64rr */
 /* Table2061 */
-  0x17e, /* CMOVL64rm */
-  0x17f, /* CMOVL64rr */
+  0x148, /* CMOVG64rm */
+  0x149, /* CMOVG64rr */
 /* Table2063 */
-  0x178, /* CMOVGE64rm */
-  0x179, /* CMOVGE64rr */
+  0xe8, /* BT64mr */
+  0xea, /* BT64rr */
 /* Table2065 */
-  0x184, /* CMOVLE64rm */
-  0x185, /* CMOVLE64rr */
+  0x563, /* SHLD64mri8 */
+  0x565, /* SHLD64rri8 */
 /* Table2067 */
-  0x172, /* CMOVG64rm */
-  0x173, /* CMOVG64rr */
+  0x562, /* SHLD64mrCL */
+  0x564, /* SHLD64rrCL */
 /* Table2069 */
-  0x112, /* BT64mr */
-  0x114, /* BT64rr */
+  0x10c, /* BTS64mr */
+  0x10e, /* BTS64rr */
 /* Table2071 */
-  0x58a, /* SHLD64mri8 */
-  0x58c, /* SHLD64rri8 */
+  0x58b, /* SHRD64mri8 */
+  0x58d, /* SHRD64rri8 */
 /* Table2073 */
-  0x589, /* SHLD64mrCL */
-  0x58b, /* SHLD64rrCL */
+  0x58a, /* SHRD64mrCL */
+  0x58c, /* SHRD64rrCL */
 /* Table2075 */
-  0x136, /* BTS64mr */
-  0x138, /* BTS64rr */
-/* Table2077 */
-  0x5b2, /* SHRD64mri8 */
-  0x5b4, /* SHRD64rri8 */
-/* Table2079 */
-  0x5b1, /* SHRD64mrCL */
-  0x5b3, /* SHRD64rrCL */
-/* Table2081 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x6a6, /* XSAVE64 */
-  0x6a4, /* XRSTOR64 */
-  0x6a8, /* XSAVEOPT64 */
+  0x67e, /* XSAVE64 */
+  0x67c, /* XRSTOR64 */
+  0x680, /* XSAVEOPT64 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
@@ -28356,447 +28362,447 @@
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2091 */
+  0x214, /* IMUL64rm */
+  0x217, /* IMUL64rr */
+/* Table2093 */
+  0x1c2, /* CMPXCHG64rm */
+  0x1c3, /* CMPXCHG64rr */
+/* Table2095 */
+  0x2fe, /* LSS64rm */
+  0x0, /*  */
 /* Table2097 */
-  0x243, /* IMUL64rm */
-  0x246, /* IMUL64rr */
+  0x100, /* BTR64mr */
+  0x102, /* BTR64rr */
 /* Table2099 */
-  0x1ec, /* CMPXCHG64rm */
-  0x1ed, /* CMPXCHG64rr */
+  0x29b, /* LFS64rm */
+  0x0, /*  */
 /* Table2101 */
-  0x32d, /* LSS64rm */
+  0x2a1, /* LGS64rm */
   0x0, /*  */
 /* Table2103 */
-  0x12a, /* BTR64mr */
-  0x12c, /* BTR64rr */
+  0x377, /* MOVZX64rm8_Q */
+  0x379, /* MOVZX64rr8_Q */
 /* Table2105 */
-  0x2ca, /* LFS64rm */
-  0x0, /*  */
+  0x376, /* MOVZX64rm16_Q */
+  0x378, /* MOVZX64rr16_Q */
 /* Table2107 */
-  0x2d0, /* LGS64rm */
-  0x0, /*  */
-/* Table2109 */
-  0x3a6, /* MOVZX64rm8_Q */
-  0x3a8, /* MOVZX64rr8_Q */
-/* Table2111 */
-  0x3a5, /* MOVZX64rm16_Q */
-  0x3a7, /* MOVZX64rr16_Q */
-/* Table2113 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x111, /* BT64mi8 */
-  0x135, /* BTS64mi8 */
-  0x129, /* BTR64mi8 */
-  0x11d, /* BTC64mi8 */
+  0xe7, /* BT64mi8 */
+  0x10b, /* BTS64mi8 */
+  0xff, /* BTR64mi8 */
+  0xf3, /* BTC64mi8 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x113, /* BT64ri8 */
-  0x137, /* BTS64ri8 */
-  0x12b, /* BTR64ri8 */
-  0x11f, /* BTC64ri8 */
+  0xe9, /* BT64ri8 */
+  0x10d, /* BTS64ri8 */
+  0x101, /* BTR64ri8 */
+  0xf5, /* BTC64ri8 */
+/* Table2123 */
+  0xf4, /* BTC64mr */
+  0xf6, /* BTC64rr */
+/* Table2125 */
+  0xd5, /* BSF64rm */
+  0xd6, /* BSF64rr */
+/* Table2127 */
+  0xdb, /* BSR64rm */
+  0xdc, /* BSR64rr */
 /* Table2129 */
-  0x11e, /* BTC64mr */
-  0x120, /* BTC64rr */
+  0x36a, /* MOVSX64rm8 */
+  0x36d, /* MOVSX64rr8 */
 /* Table2131 */
-  0xff, /* BSF64rm */
-  0x100, /* BSF64rr */
+  0x368, /* MOVSX64rm16 */
+  0x36b, /* MOVSX64rr16 */
 /* Table2133 */
-  0x105, /* BSR64rm */
-  0x106, /* BSR64rr */
+  0x641, /* XADD64rm */
+  0x642, /* XADD64rr */
 /* Table2135 */
-  0x399, /* MOVSX64rm8 */
-  0x39c, /* MOVSX64rr8 */
-/* Table2137 */
-  0x397, /* MOVSX64rm16 */
-  0x39a, /* MOVSX64rr16 */
-/* Table2139 */
-  0x669, /* XADD64rm */
-  0x66a, /* XADD64rr */
-/* Table2141 */
+  0x0, /*  */
+  0x1bd, /* CMPXCHG16B */
+  0x0, /*  */
+  0x0, /*  */
   0x0, /*  */
-  0x1e7, /* CMPXCHG16B */
   0x0, /*  */
+  0x622, /* VMPTRLDm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x64a, /* VMPTRLDm */
-  0x64b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x45f, /* RDRAND64r */
+  0x462, /* RDSEED64r */
+/* Table2151 */
+  0xde, /* BSWAP64r */
+/* Table2152 */
+  0x541, /* SGDT64m */
+  0x594, /* SIDT64m */
+  0x29e, /* LGDT64m */
+  0x2a4, /* LIDT64m */
+  0x59b, /* SMSW16m */
   0x0, /*  */
+  0x2a7, /* LMSW16m */
+  0x23a, /* INVLPG */
   0x0, /*  */
+  0x61b, /* VMCALL */
+  0x61e, /* VMLAUNCH */
+  0x628, /* VMRESUME */
+  0x631, /* VMXOFF */
   0x0, /*  */
-  0x48e, /* RDRAND64r */
-  0x491, /* RDSEED64r */
-/* Table2157 */
-  0x108, /* BSWAP64r */
-/* Table2158 */
-  0x568, /* SGDT64m */
-  0x5bb, /* SIDT64m */
-  0x2cd, /* LGDT64m */
-  0x2d3, /* LIDT64m */
-  0x5c2, /* SMSW16m */
   0x0, /*  */
-  0x2d6, /* LMSW16m */
-  0x269, /* INVLPG */
   0x0, /*  */
-  0x643, /* VMCALL */
-  0x646, /* VMLAUNCH */
-  0x650, /* VMRESUME */
-  0x659, /* VMXOFF */
   0x0, /*  */
   0x0, /*  */
+  0x11f, /* CLAC */
+  0x59f, /* STAC */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x149, /* CLAC */
-  0x5c7, /* STAC */
   0x0, /*  */
+  0x656, /* XGETBV */
+  0x681, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
+  0x61d, /* VMFUNC */
   0x0, /*  */
-  0x67e, /* XGETBV */
-  0x6a9, /* XSETBV */
   0x0, /*  */
   0x0, /*  */
-  0x645, /* VMFUNC */
+  0x62a, /* VMRUN64 */
+  0x621, /* VMMCALL */
+  0x620, /* VMLOAD64 */
+  0x62c, /* VMSAVE64 */
+  0x5a2, /* STGI */
+  0x122, /* CLGI */
+  0x595, /* SKINIT */
+  0x23c, /* INVLPGA64 */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
+  0x59c, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x652, /* VMRUN64 */
-  0x649, /* VMMCALL */
-  0x648, /* VMLOAD64 */
-  0x654, /* VMSAVE64 */
-  0x5ca, /* STGI */
-  0x14c, /* CLGI */
-  0x5bc, /* SKINIT */
-  0x26b, /* INVLPGA64 */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
-  0x5c3, /* SMSW16r */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x2a8, /* LMSW16r */
+  0x5cf, /* SWAPGS */
+  0x464, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x2d7, /* LMSW16r */
-  0x5f7, /* SWAPGS */
-  0x493, /* RDTSCP */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2224 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2230 */
   0x0, /*  */
+  0x67d, /* XSAVE */
+  0x67b, /* XRSTOR */
+  0x67f, /* XSAVEOPT */
   0x0, /*  */
+  0x457, /* RDFSBASE */
+  0x459, /* RDGSBASE */
+  0x638, /* WRFSBASE */
+  0x63a, /* WRGSBASE */
   0x0, /*  */
   0x0, /*  */
-  0x6a5, /* XSAVE */
-  0x6a3, /* XRSTOR */
-  0x6a7, /* XSAVEOPT */
   0x0, /*  */
-  0x486, /* RDFSBASE */
-  0x488, /* RDGSBASE */
-  0x660, /* WRFSBASE */
-  0x662, /* WRGSBASE */
   0x0, /*  */
+/* Table2240 */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-/* Table2246 */
   0x0, /*  */
+  0x67e, /* XSAVE64 */
+  0x67c, /* XRSTOR64 */
+  0x680, /* XSAVEOPT64 */
   0x0, /*  */
+  0x458, /* RDFSBASE64 */
+  0x45a, /* RDGSBASE64 */
+  0x639, /* WRFSBASE64 */
+  0x63b, /* WRGSBASE64 */
   0x0, /*  */
   0x0, /*  */
-  0x6a6, /* XSAVE64 */
-  0x6a4, /* XRSTOR64 */
-  0x6a8, /* XSAVEOPT64 */
   0x0, /*  */
-  0x487, /* RDFSBASE64 */
-  0x489, /* RDGSBASE64 */
-  0x661, /* WRFSBASE64 */
-  0x663, /* WRGSBASE64 */
   0x0, /*  */
+/* Table2256 */
+  0x60e, /* TZCNT64rm */
+  0x60f, /* TZCNT64rr */
+/* Table2258 */
+  0x309, /* LZCNT64rm */
+  0x30a, /* LZCNT64rr */
+/* Table2260 */
   0x0, /*  */
+  0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
-/* Table2262 */
-  0x636, /* TZCNT64rm */
-  0x637, /* TZCNT64rr */
-/* Table2264 */
-  0x338, /* LZCNT64rm */
-  0x339, /* LZCNT64rr */
-/* Table2266 */
   0x0, /*  */
-  0x1e7, /* CMPXCHG16B */
   0x0, /*  */
+  0x632, /* VMXON */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x65a, /* VMXON */
-  0x64b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x45f, /* RDRAND64r */
+  0x462, /* RDSEED64r */
+/* Table2276 */
   0x0, /*  */
+  0x1bd, /* CMPXCHG16B */
   0x0, /*  */
   0x0, /*  */
-  0x48e, /* RDRAND64r */
-  0x491, /* RDSEED64r */
-/* Table2282 */
   0x0, /*  */
-  0x1e7, /* CMPXCHG16B */
   0x0, /*  */
+  0x61c, /* VMCLEARm */
+  0x623, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0x644, /* VMCLEARm */
-  0x64b, /* VMPTRSTm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+  0x45f, /* RDRAND64r */
+  0x462, /* RDSEED64r */
+/* Table2292 */
+  0x35a, /* MOVBE32rm */
   0x0, /*  */
+/* Table2294 */
+  0x359, /* MOVBE32mr */
   0x0, /*  */
+/* Table2296 */
+  0x238, /* INVEPT32 */
   0x0, /*  */
-  0x48e, /* RDRAND64r */
-  0x491, /* RDSEED64r */
 /* Table2298 */
-  0x389, /* MOVBE32rm */
+  0x23f, /* INVVPID32 */
   0x0, /*  */
 /* Table2300 */
-  0x388, /* MOVBE32mr */
+  0x23d, /* INVPCID32 */
   0x0, /*  */
 /* Table2302 */
-  0x267, /* INVEPT32 */
+  0x358, /* MOVBE16rm */
   0x0, /*  */
 /* Table2304 */
-  0x26e, /* INVVPID32 */
+  0x357, /* MOVBE16mr */
   0x0, /*  */
 /* Table2306 */
-  0x26c, /* INVPCID32 */
-  0x0, /*  */
+  0x3e, /* ADCX32rm */
+  0x3f, /* ADCX32rr */
 /* Table2308 */
-  0x387, /* MOVBE16rm */
-  0x0, /*  */
+  0x72, /* ADOX32rm */
+  0x73, /* ADOX32rr */
 /* Table2310 */
-  0x386, /* MOVBE16mr */
+  0x35c, /* MOVBE64rm */
   0x0, /*  */
 /* Table2312 */
-  0x3d, /* ADCX32rm */
-  0x3e, /* ADCX32rr */
+  0x35b, /* MOVBE64mr */
+  0x0, /*  */
 /* Table2314 */
-  0x71, /* ADOX32rm */
-  0x72, /* ADOX32rr */
+  0x239, /* INVEPT64 */
+  0x0, /*  */
 /* Table2316 */
-  0x38b, /* MOVBE64rm */
+  0x240, /* INVVPID64 */
   0x0, /*  */
 /* Table2318 */
-  0x38a, /* MOVBE64mr */
+  0x23e, /* INVPCID64 */
   0x0, /*  */
 /* Table2320 */
-  0x268, /* INVEPT64 */
-  0x0, /*  */
+  0x74, /* ADOX64rm */
+  0x75, /* ADOX64rr */
 /* Table2322 */
-  0x26f, /* INVVPID64 */
-  0x0, /*  */
+  0x40, /* ADCX64rm */
+  0x41, /* ADCX64rr */
 /* Table2324 */
-  0x26d, /* INVPCID64 */
-  0x0, /*  */
+  0x99, /* ANDN32rm */
+  0x9a, /* ANDN32rr */
 /* Table2326 */
-  0x73, /* ADOX64rm */
-  0x74, /* ADOX64rr */
-/* Table2328 */
-  0x3f, /* ADCX64rm */
-  0x40, /* ADCX64rr */
-/* Table2330 */
-  0x98, /* ANDN32rm */
-  0x99, /* ANDN32rr */
-/* Table2332 */
   0x0, /*  */
-  0xf5, /* BLSR32rm */
-  0xf1, /* BLSMSK32rm */
-  0xe9, /* BLSI32rm */
+  0xcb, /* BLSR32rm */
+  0xc7, /* BLSMSK32rm */
+  0xbf, /* BLSI32rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf6, /* BLSR32rr */
-  0xf2, /* BLSMSK32rr */
-  0xea, /* BLSI32rr */
+  0xcc, /* BLSR32rr */
+  0xc8, /* BLSMSK32rr */
+  0xc0, /* BLSI32rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2342 */
+  0x10f, /* BZHI32rm */
+  0x110, /* BZHI32rr */
+/* Table2344 */
+  0x9f, /* BEXTR32rm */
+  0xa0, /* BEXTR32rr */
+/* Table2346 */
+  0x3e6, /* PEXT32rm */
+  0x3e7, /* PEXT32rr */
 /* Table2348 */
-  0x139, /* BZHI32rm */
-  0x13a, /* BZHI32rr */
+  0x4e7, /* SARX32rm */
+  0x4e8, /* SARX32rr */
 /* Table2350 */
-  0xc9, /* BEXTR32rm */
-  0xca, /* BEXTR32rr */
+  0x3e2, /* PDEP32rm */
+  0x3e3, /* PDEP32rr */
 /* Table2352 */
-  0x415, /* PEXT32rm */
-  0x416, /* PEXT32rr */
+  0x382, /* MULX32rm */
+  0x383, /* MULX32rr */
 /* Table2354 */
-  0x516, /* SARX32rm */
-  0x517, /* SARX32rr */
+  0x58e, /* SHRX32rm */
+  0x58f, /* SHRX32rr */
 /* Table2356 */
-  0x411, /* PDEP32rm */
-  0x412, /* PDEP32rr */
+  0x566, /* SHLX32rm */
+  0x567, /* SHLX32rr */
 /* Table2358 */
-  0x3b1, /* MULX32rm */
-  0x3b2, /* MULX32rr */
+  0x9b, /* ANDN64rm */
+  0x9c, /* ANDN64rr */
 /* Table2360 */
-  0x5b5, /* SHRX32rm */
-  0x5b6, /* SHRX32rr */
-/* Table2362 */
-  0x58d, /* SHLX32rm */
-  0x58e, /* SHLX32rr */
-/* Table2364 */
-  0x9a, /* ANDN64rm */
-  0x9b, /* ANDN64rr */
-/* Table2366 */
   0x0, /*  */
-  0xf7, /* BLSR64rm */
-  0xf3, /* BLSMSK64rm */
-  0xeb, /* BLSI64rm */
+  0xcd, /* BLSR64rm */
+  0xc9, /* BLSMSK64rm */
+  0xc1, /* BLSI64rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xf8, /* BLSR64rr */
-  0xf4, /* BLSMSK64rr */
-  0xec, /* BLSI64rr */
+  0xce, /* BLSR64rr */
+  0xca, /* BLSMSK64rr */
+  0xc2, /* BLSI64rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
+/* Table2376 */
+  0x111, /* BZHI64rm */
+  0x112, /* BZHI64rr */
+/* Table2378 */
+  0xa1, /* BEXTR64rm */
+  0xa2, /* BEXTR64rr */
+/* Table2380 */
+  0x3e8, /* PEXT64rm */
+  0x3e9, /* PEXT64rr */
 /* Table2382 */
-  0x13b, /* BZHI64rm */
-  0x13c, /* BZHI64rr */
+  0x4e9, /* SARX64rm */
+  0x4ea, /* SARX64rr */
 /* Table2384 */
-  0xcb, /* BEXTR64rm */
-  0xcc, /* BEXTR64rr */
+  0x3e4, /* PDEP64rm */
+  0x3e5, /* PDEP64rr */
 /* Table2386 */
-  0x417, /* PEXT64rm */
-  0x418, /* PEXT64rr */
+  0x384, /* MULX64rm */
+  0x385, /* MULX64rr */
 /* Table2388 */
-  0x518, /* SARX64rm */
-  0x519, /* SARX64rr */
+  0x590, /* SHRX64rm */
+  0x591, /* SHRX64rr */
 /* Table2390 */
-  0x413, /* PDEP64rm */
-  0x414, /* PDEP64rr */
+  0x568, /* SHLX64rm */
+  0x569, /* SHLX64rr */
 /* Table2392 */
-  0x3b3, /* MULX64rm */
-  0x3b4, /* MULX64rr */
+  0x4b0, /* RORX32mi */
+  0x4b1, /* RORX32ri */
 /* Table2394 */
-  0x5b7, /* SHRX64rm */
-  0x5b8, /* SHRX64rr */
+  0x4b2, /* RORX64mi */
+  0x4b3, /* RORX64ri */
 /* Table2396 */
-  0x58f, /* SHLX64rm */
-  0x590, /* SHLX64rr */
-/* Table2398 */
-  0x4df, /* RORX32mi */
-  0x4e0, /* RORX32ri */
-/* Table2400 */
-  0x4e1, /* RORX64mi */
-  0x4e2, /* RORX64ri */
-/* Table2402 */
   0x0, /*  */
-  0xd1, /* BLCFILL32rm */
-  0xe5, /* BLSFILL32rm */
-  0xe1, /* BLCS32rm */
-  0x638, /* TZMSK32rm */
-  0xd9, /* BLCIC32rm */
-  0xed, /* BLSIC32rm */
-  0x5fe, /* T1MSKC32rm */
+  0xa7, /* BLCFILL32rm */
+  0xbb, /* BLSFILL32rm */
+  0xb7, /* BLCS32rm */
+  0x610, /* TZMSK32rm */
+  0xaf, /* BLCIC32rm */
+  0xc3, /* BLSIC32rm */
+  0x5d6, /* T1MSKC32rm */
   0x0, /*  */
-  0xd2, /* BLCFILL32rr */
-  0xe6, /* BLSFILL32rr */
-  0xe2, /* BLCS32rr */
-  0x639, /* TZMSK32rr */
-  0xda, /* BLCIC32rr */
-  0xee, /* BLSIC32rr */
-  0x5ff, /* T1MSKC32rr */
-/* Table2418 */
+  0xa8, /* BLCFILL32rr */
+  0xbc, /* BLSFILL32rr */
+  0xb8, /* BLCS32rr */
+  0x611, /* TZMSK32rr */
+  0xb0, /* BLCIC32rr */
+  0xc4, /* BLSIC32rr */
+  0x5d7, /* T1MSKC32rr */
+/* Table2412 */
   0x0, /*  */
-  0xdd, /* BLCMSK32rm */
+  0xb3, /* BLCMSK32rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd5, /* BLCI32rm */
+  0xab, /* BLCI32rm */
   0x0, /*  */
   0x0, /*  */
-  0xde, /* BLCMSK32rr */
+  0xb4, /* BLCMSK32rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd6, /* BLCI32rr */
+  0xac, /* BLCI32rr */
   0x0, /*  */
-/* Table2434 */
+/* Table2428 */
   0x0, /*  */
-  0xd3, /* BLCFILL64rm */
-  0xe7, /* BLSFILL64rm */
-  0xe3, /* BLCS64rm */
-  0x63a, /* TZMSK64rm */
-  0xdb, /* BLCIC64rm */
-  0xef, /* BLSIC64rm */
-  0x600, /* T1MSKC64rm */
+  0xa9, /* BLCFILL64rm */
+  0xbd, /* BLSFILL64rm */
+  0xb9, /* BLCS64rm */
+  0x612, /* TZMSK64rm */
+  0xb1, /* BLCIC64rm */
+  0xc5, /* BLSIC64rm */
+  0x5d8, /* T1MSKC64rm */
   0x0, /*  */
-  0xd4, /* BLCFILL64rr */
-  0xe8, /* BLSFILL64rr */
-  0xe4, /* BLCS64rr */
-  0x63b, /* TZMSK64rr */
-  0xdc, /* BLCIC64rr */
-  0xf0, /* BLSIC64rr */
-  0x601, /* T1MSKC64rr */
-/* Table2450 */
+  0xaa, /* BLCFILL64rr */
+  0xbe, /* BLSFILL64rr */
+  0xba, /* BLCS64rr */
+  0x613, /* TZMSK64rr */
+  0xb2, /* BLCIC64rr */
+  0xc6, /* BLSIC64rr */
+  0x5d9, /* T1MSKC64rr */
+/* Table2444 */
   0x0, /*  */
-  0xdf, /* BLCMSK64rm */
+  0xb5, /* BLCMSK64rm */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd7, /* BLCI64rm */
+  0xad, /* BLCI64rm */
   0x0, /*  */
   0x0, /*  */
-  0xe0, /* BLCMSK64rr */
+  0xb6, /* BLCMSK64rr */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
   0x0, /*  */
-  0xd8, /* BLCI64rr */
+  0xae, /* BLCI64rr */
   0x0, /*  */
-/* Table2466 */
-  0xcd, /* BEXTRI32mi */
-  0xce, /* BEXTRI32ri */
-/* Table2468 */
-  0xcf, /* BEXTRI64mi */
-  0xd0, /* BEXTRI64ri */
+/* Table2460 */
+  0xa3, /* BEXTRI32mi */
+  0xa4, /* BEXTRI32ri */
+/* Table2462 */
+  0xa5, /* BEXTRI64mi */
+  0xa6, /* BEXTRI64ri */
   0x0
 };
 
@@ -28995,329 +29001,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -29327,257 +29333,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -29587,302 +29593,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -29902,7 +29908,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -29927,162 +29933,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -30280,9 +30286,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -30292,37 +30298,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -30332,37 +30338,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -30372,37 +30378,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -30452,7 +30458,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -30492,82 +30498,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -30590,19 +30596,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -30612,132 +30618,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -30747,122 +30753,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -30872,282 +30878,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -31162,12 +31168,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -31187,7 +31193,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -31212,162 +31218,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -31382,7 +31388,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    625 /* Table625 */
+    619 /* Table619 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -31392,7 +31398,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    627 /* Table627 */
+    621 /* Table621 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -31402,17 +31408,17 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    629 /* Table629 */
+    623 /* Table623 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    630 /* Table630 */
+    624 /* Table624 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    631 /* Table631 */
+    625 /* Table625 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
@@ -31422,7 +31428,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    632 /* Table632 */
+    626 /* Table626 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -31432,7 +31438,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    634 /* Table634 */
+    628 /* Table628 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -31442,12 +31448,12 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    636 /* Table636 */
+    630 /* Table630 */
    },
    /* 0x0e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    637 /* Table637 */
+    631 /* Table631 */
    },
    /* 0x0f */
    { /* ModRMDecision */
@@ -31462,7 +31468,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    638 /* Table638 */
+    632 /* Table632 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -31472,7 +31478,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    640 /* Table640 */
+    634 /* Table634 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -31482,17 +31488,17 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    642 /* Table642 */
+    636 /* Table636 */
    },
    /* 0x16 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    643 /* Table643 */
+    637 /* Table637 */
    },
    /* 0x17 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    644 /* Table644 */
+    638 /* Table638 */
    },
    /* 0x18 */
    { /* struct ModRMDecision */
@@ -31502,7 +31508,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    645 /* Table645 */
+    639 /* Table639 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -31512,7 +31518,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    647 /* Table647 */
+    641 /* Table641 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -31522,17 +31528,17 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    649 /* Table649 */
+    643 /* Table643 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    650 /* Table650 */
+    644 /* Table644 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    651 /* Table651 */
+    645 /* Table645 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
@@ -31542,7 +31548,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    652 /* Table652 */
+    646 /* Table646 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -31552,7 +31558,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    654 /* Table654 */
+    648 /* Table648 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -31562,332 +31568,332 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    656 /* Table656 */
+    650 /* Table650 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    657 /* Table657 */
+    651 /* Table651 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    659 /* Table659 */
+    653 /* Table653 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    661 /* Table661 */
+    655 /* Table655 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    662 /* Table662 */
+    656 /* Table656 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    664 /* Table664 */
+    658 /* Table658 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    666 /* Table666 */
+    660 /* Table660 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    667 /* Table667 */
+    661 /* Table661 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    669 /* Table669 */
+    663 /* Table663 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    671 /* Table671 */
+    665 /* Table665 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    672 /* Table672 */
+    666 /* Table666 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    673 /* Table673 */
+    667 /* Table667 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    676 /* Table676 */
+    670 /* Table670 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    677 /* Table677 */
+    671 /* Table671 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    678 /* Table678 */
+    672 /* Table672 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -31897,257 +31903,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    680 /* Table680 */
+    674 /* Table674 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    681 /* Table681 */
+    675 /* Table675 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    683 /* Table683 */
+    677 /* Table677 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    684 /* Table684 */
+    678 /* Table678 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    686 /* Table686 */
+    680 /* Table680 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    687 /* Table687 */
+    681 /* Table681 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    688 /* Table688 */
+    682 /* Table682 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    704 /* Table704 */
+    698 /* Table698 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    720 /* Table720 */
+    714 /* Table714 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    722 /* Table722 */
+    716 /* Table716 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    724 /* Table724 */
+    718 /* Table718 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    726 /* Table726 */
+    720 /* Table720 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    728 /* Table728 */
+    722 /* Table722 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    730 /* Table730 */
+    724 /* Table724 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    732 /* Table732 */
+    726 /* Table726 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    734 /* Table734 */
+    728 /* Table728 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    751 /* Table751 */
+    745 /* Table745 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    752 /* Table752 */
+    746 /* Table746 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    753 /* Table753 */
+    747 /* Table747 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -32157,302 +32163,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    754 /* Table754 */
+    748 /* Table748 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    756 /* Table756 */
+    750 /* Table750 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    757 /* Table757 */
+    751 /* Table751 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    758 /* Table758 */
+    752 /* Table752 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    759 /* Table759 */
+    753 /* Table753 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    760 /* Table760 */
+    754 /* Table754 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    761 /* Table761 */
+    755 /* Table755 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    762 /* Table762 */
+    756 /* Table756 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    763 /* Table763 */
+    757 /* Table757 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    765 /* Table765 */
+    759 /* Table759 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    781 /* Table781 */
+    775 /* Table775 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    782 /* Table782 */
+    776 /* Table776 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    783 /* Table783 */
+    777 /* Table777 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    785 /* Table785 */
+    779 /* Table779 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    803 /* Table803 */
+    797 /* Table797 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    804 /* Table804 */
+    798 /* Table798 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    805 /* Table805 */
+    799 /* Table799 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    806 /* Table806 */
+    800 /* Table800 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    822 /* Table822 */
+    816 /* Table816 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -32472,7 +32478,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -32497,162 +32503,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    838 /* Table838 */
+    832 /* Table832 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    839 /* Table839 */
+    833 /* Table833 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    840 /* Table840 */
+    834 /* Table834 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    841 /* Table841 */
+    835 /* Table835 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    842 /* Table842 */
+    836 /* Table836 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    843 /* Table843 */
+    837 /* Table837 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    844 /* Table844 */
+    838 /* Table838 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    845 /* Table845 */
+    839 /* Table839 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    861 /* Table861 */
+    855 /* Table855 */
    }
   }
  }
@@ -32850,329 +32856,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -33182,257 +33188,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -33442,302 +33448,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -33757,7 +33763,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -33782,162 +33788,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    877 /* Table877 */
+    871 /* Table871 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -34135,329 +34141,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -34467,257 +34473,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -34727,302 +34733,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -35042,7 +35048,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -35067,162 +35073,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -35420,329 +35426,329 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    59 /* Table59 */
+    58 /* Table58 */
    },
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    71 /* Table71 */
+    69 /* Table69 */
    },
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    83 /* Table83 */
+    80 /* Table80 */
    },
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    95 /* Table95 */
+    91 /* Table91 */
    },
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    96 /* Table96 */
+    92 /* Table92 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    97 /* Table97 */
+    93 /* Table93 */
    },
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    98 /* Table98 */
+    94 /* Table94 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    99 /* Table99 */
+    95 /* Table95 */
    },
    /* 0x60 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    100 /* Table100 */
+    96 /* Table96 */
    },
    /* 0x61 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    101 /* Table101 */
+    97 /* Table97 */
    },
    /* 0x62 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    102 /* Table102 */
+    98 /* Table98 */
    },
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    104 /* Table104 */
+    100 /* Table100 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -35752,257 +35758,257 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    109 /* Table109 */
+    103 /* Table103 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    112 /* Table112 */
+    106 /* Table106 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    167 /* Table167 */
+    161 /* Table161 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    217 /* Table217 */
+    211 /* Table211 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    221 /* Table221 */
+    215 /* Table215 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    238 /* Table238 */
+    232 /* Table232 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -36012,302 +36018,302 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    242 /* Table242 */
+    236 /* Table236 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    243 /* Table243 */
+    237 /* Table237 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    246 /* Table246 */
+    240 /* Table240 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    247 /* Table247 */
+    241 /* Table241 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    248 /* Table248 */
+    242 /* Table242 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    249 /* Table249 */
+    243 /* Table243 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    296 /* Table296 */
+    290 /* Table290 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    297 /* Table297 */
+    291 /* Table291 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    335 /* Table335 */
+    329 /* Table329 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    334 /* Table334 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    406 /* Table406 */
+    400 /* Table400 */
    },
    /* 0xd5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    407 /* Table407 */
+    401 /* Table401 */
    },
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -36327,7 +36333,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -36352,162 +36358,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    485 /* Table485 */
+    479 /* Table479 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    490 /* Table490 */
+    484 /* Table484 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    558 /* Table558 */
+    552 /* Table552 */
    }
   }
  }
@@ -36522,7 +36528,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -36532,7 +36538,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -36542,7 +36548,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -36562,7 +36568,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -36572,7 +36578,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -36582,7 +36588,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -36602,7 +36608,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -36612,7 +36618,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -36622,7 +36628,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -36642,7 +36648,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -36652,7 +36658,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -36662,7 +36668,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -36682,7 +36688,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -36692,7 +36698,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -36702,12 +36708,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -36717,37 +36723,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -36757,37 +36763,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -36797,37 +36803,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -36877,7 +36883,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -36917,82 +36923,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -37012,22 +37018,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -37037,132 +37043,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -37172,122 +37178,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -37297,282 +37303,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -37587,12 +37593,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -37612,7 +37618,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -37637,162 +37643,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1065 /* Table1065 */
+    1059 /* Table1059 */
    }
   }
  }
@@ -37807,7 +37813,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    625 /* Table625 */
+    619 /* Table619 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -37817,7 +37823,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    627 /* Table627 */
+    621 /* Table621 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -37827,7 +37833,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    629 /* Table629 */
+    623 /* Table623 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -37847,7 +37853,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    632 /* Table632 */
+    626 /* Table626 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -37857,7 +37863,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    634 /* Table634 */
+    628 /* Table628 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -37867,7 +37873,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    636 /* Table636 */
+    630 /* Table630 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -37887,7 +37893,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    638 /* Table638 */
+    632 /* Table632 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -37897,7 +37903,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    640 /* Table640 */
+    634 /* Table634 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -37907,7 +37913,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    642 /* Table642 */
+    636 /* Table636 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -37927,7 +37933,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    645 /* Table645 */
+    639 /* Table639 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -37937,7 +37943,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    647 /* Table647 */
+    641 /* Table641 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -37947,7 +37953,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    649 /* Table649 */
+    643 /* Table643 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -37967,7 +37973,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    652 /* Table652 */
+    646 /* Table646 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -37977,7 +37983,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    654 /* Table654 */
+    648 /* Table648 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -37987,12 +37993,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    656 /* Table656 */
+    650 /* Table650 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -38002,37 +38008,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    657 /* Table657 */
+    651 /* Table651 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    659 /* Table659 */
+    653 /* Table653 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    661 /* Table661 */
+    655 /* Table655 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -38042,37 +38048,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    662 /* Table662 */
+    656 /* Table656 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    664 /* Table664 */
+    658 /* Table658 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    666 /* Table666 */
+    660 /* Table660 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -38082,37 +38088,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    667 /* Table667 */
+    661 /* Table661 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    669 /* Table669 */
+    663 /* Table663 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    671 /* Table671 */
+    665 /* Table665 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -38162,7 +38168,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -38202,82 +38208,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -38300,19 +38306,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -38322,132 +38328,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    681 /* Table681 */
+    675 /* Table675 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    684 /* Table684 */
+    678 /* Table678 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    686 /* Table686 */
+    680 /* Table680 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    687 /* Table687 */
+    681 /* Table681 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    688 /* Table688 */
+    682 /* Table682 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -38457,122 +38463,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    704 /* Table704 */
+    698 /* Table698 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    720 /* Table720 */
+    714 /* Table714 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    722 /* Table722 */
+    716 /* Table716 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    724 /* Table724 */
+    718 /* Table718 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    726 /* Table726 */
+    720 /* Table720 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    728 /* Table728 */
+    722 /* Table722 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    730 /* Table730 */
+    724 /* Table724 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    732 /* Table732 */
+    726 /* Table726 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    734 /* Table734 */
+    728 /* Table728 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    750 /* Table750 */
+    744 /* Table744 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    751 /* Table751 */
+    745 /* Table745 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    752 /* Table752 */
+    746 /* Table746 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    753 /* Table753 */
+    747 /* Table747 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -38582,282 +38588,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    754 /* Table754 */
+    748 /* Table748 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1082 /* Table1082 */
+    1076 /* Table1076 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1083 /* Table1083 */
+    1077 /* Table1077 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    758 /* Table758 */
+    752 /* Table752 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    759 /* Table759 */
+    753 /* Table753 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    760 /* Table760 */
+    754 /* Table754 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    761 /* Table761 */
+    755 /* Table755 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    762 /* Table762 */
+    756 /* Table756 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    763 /* Table763 */
+    757 /* Table757 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    764 /* Table764 */
+    758 /* Table758 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    765 /* Table765 */
+    759 /* Table759 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    781 /* Table781 */
+    775 /* Table775 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    782 /* Table782 */
+    776 /* Table776 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    783 /* Table783 */
+    777 /* Table777 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    785 /* Table785 */
+    779 /* Table779 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    787 /* Table787 */
+    781 /* Table781 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    803 /* Table803 */
+    797 /* Table797 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    804 /* Table804 */
+    798 /* Table798 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    805 /* Table805 */
+    799 /* Table799 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    806 /* Table806 */
+    800 /* Table800 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    822 /* Table822 */
+    816 /* Table816 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -38872,12 +38878,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -38897,7 +38903,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -38922,162 +38928,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    838 /* Table838 */
+    832 /* Table832 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    839 /* Table839 */
+    833 /* Table833 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    840 /* Table840 */
+    834 /* Table834 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    841 /* Table841 */
+    835 /* Table835 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    842 /* Table842 */
+    836 /* Table836 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    843 /* Table843 */
+    837 /* Table837 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    844 /* Table844 */
+    838 /* Table838 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    845 /* Table845 */
+    839 /* Table839 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1084 /* Table1084 */
+    1078 /* Table1078 */
    }
   }
  }
@@ -39275,9 +39281,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -39287,37 +39293,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -39327,37 +39333,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -39367,37 +39373,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -39447,7 +39453,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -39487,82 +39493,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -39585,19 +39591,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -39607,132 +39613,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -39742,122 +39748,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -39867,282 +39873,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -40157,12 +40163,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -40182,7 +40188,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -40207,162 +40213,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1100 /* Table1100 */
+    1094 /* Table1094 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -40560,9 +40566,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -40572,37 +40578,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -40612,37 +40618,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -40652,37 +40658,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -40732,7 +40738,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -40772,82 +40778,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -40870,19 +40876,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -40892,132 +40898,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -41027,122 +41033,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -41152,282 +41158,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -41442,12 +41448,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -41467,7 +41473,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -41492,162 +41498,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -41845,9 +41851,9 @@
     57 /* Table57 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -41857,37 +41863,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    62 /* Table62 */
+    61 /* Table61 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    66 /* Table66 */
+    65 /* Table65 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    69 /* Table69 */
+    68 /* Table68 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -41897,37 +41903,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    74 /* Table74 */
+    72 /* Table72 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    78 /* Table78 */
+    76 /* Table76 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    81 /* Table81 */
+    79 /* Table79 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -41937,37 +41943,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    86 /* Table86 */
+    83 /* Table83 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    90 /* Table90 */
+    87 /* Table87 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    93 /* Table93 */
+    90 /* Table90 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -42017,7 +42023,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -42057,82 +42063,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -42155,19 +42161,19 @@
     0 /* EmptyTable */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -42177,132 +42183,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    110 /* Table110 */
+    104 /* Table104 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    113 /* Table113 */
+    107 /* Table107 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    151 /* Table151 */
+    145 /* Table145 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -42312,122 +42318,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    183 /* Table183 */
+    177 /* Table177 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    201 /* Table201 */
+    195 /* Table195 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    205 /* Table205 */
+    199 /* Table199 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    209 /* Table209 */
+    203 /* Table203 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    213 /* Table213 */
+    207 /* Table207 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    215 /* Table215 */
+    209 /* Table209 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    579 /* Table579 */
+    573 /* Table573 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    219 /* Table219 */
+    213 /* Table213 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    597 /* Table597 */
+    591 /* Table591 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    239 /* Table239 */
+    233 /* Table233 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    240 /* Table240 */
+    234 /* Table234 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -42437,282 +42443,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    601 /* Table601 */
+    595 /* Table595 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    603 /* Table603 */
+    597 /* Table597 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    251 /* Table251 */
+    245 /* Table245 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    253 /* Table253 */
+    247 /* Table247 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    255 /* Table255 */
+    249 /* Table249 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    257 /* Table257 */
+    251 /* Table251 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    259 /* Table259 */
+    253 /* Table253 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    261 /* Table261 */
+    255 /* Table255 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    263 /* Table263 */
+    257 /* Table257 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    280 /* Table280 */
+    274 /* Table274 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    318 /* Table318 */
+    312 /* Table312 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    336 /* Table336 */
+    330 /* Table330 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    337 /* Table337 */
+    331 /* Table331 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    341 /* Table341 */
+    335 /* Table335 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    358 /* Table358 */
+    352 /* Table352 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    390 /* Table390 */
+    384 /* Table384 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -42727,12 +42733,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -42752,7 +42758,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -42777,162 +42783,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    520 /* Table520 */
+    514 /* Table514 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    609 /* Table609 */
+    603 /* Table603 */
    }
   }
  }
@@ -42947,7 +42953,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -42957,7 +42963,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -42967,7 +42973,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -42987,7 +42993,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -42997,7 +43003,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -43007,7 +43013,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -43027,7 +43033,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -43037,7 +43043,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -43047,7 +43053,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -43067,7 +43073,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -43077,7 +43083,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -43087,7 +43093,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -43107,7 +43113,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -43117,7 +43123,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -43127,12 +43133,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -43142,37 +43148,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -43182,37 +43188,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -43222,37 +43228,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -43302,7 +43308,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -43342,82 +43348,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -43437,22 +43443,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -43462,132 +43468,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -43597,122 +43603,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -43722,282 +43728,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -44012,12 +44018,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -44037,7 +44043,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -44062,162 +44068,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1065 /* Table1065 */
+    1059 /* Table1059 */
    }
   }
  }
@@ -44232,7 +44238,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -44242,7 +44248,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -44252,7 +44258,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -44272,7 +44278,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -44282,7 +44288,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -44292,7 +44298,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -44312,7 +44318,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -44322,7 +44328,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -44332,7 +44338,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -44352,7 +44358,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -44362,7 +44368,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -44372,7 +44378,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -44392,7 +44398,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -44402,7 +44408,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -44412,12 +44418,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -44427,37 +44433,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -44467,37 +44473,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -44507,37 +44513,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -44587,7 +44593,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -44627,82 +44633,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    575 /* Table575 */
+    569 /* Table569 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    576 /* Table576 */
+    570 /* Table570 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -44722,22 +44728,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -44747,132 +44753,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    577 /* Table577 */
+    571 /* Table571 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    116 /* Table116 */
+    110 /* Table110 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    118 /* Table118 */
+    112 /* Table112 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -44882,122 +44888,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    581 /* Table581 */
+    575 /* Table575 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    241 /* Table241 */
+    235 /* Table235 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -45007,282 +45013,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    598 /* Table598 */
+    592 /* Table592 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    599 /* Table599 */
+    593 /* Table593 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    604 /* Table604 */
+    598 /* Table598 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    605 /* Table605 */
+    599 /* Table599 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    298 /* Table298 */
+    292 /* Table292 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    300 /* Table300 */
+    294 /* Table294 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -45297,12 +45303,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -45322,7 +45328,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -45347,162 +45353,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    487 /* Table487 */
+    481 /* Table481 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    489 /* Table489 */
+    483 /* Table483 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    608 /* Table608 */
+    602 /* Table602 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    491 /* Table491 */
+    485 /* Table485 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    492 /* Table492 */
+    486 /* Table486 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    495 /* Table495 */
+    489 /* Table489 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    497 /* Table497 */
+    491 /* Table491 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1065 /* Table1065 */
+    1059 /* Table1059 */
    }
   }
  }
@@ -45517,7 +45523,7 @@
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    878 /* Table878 */
+    872 /* Table872 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
@@ -45527,7 +45533,7 @@
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    880 /* Table880 */
+    874 /* Table874 */
    },
    /* 0x04 */
    { /* struct ModRMDecision */
@@ -45537,7 +45543,7 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    882 /* Table882 */
+    876 /* Table876 */
    },
    /* 0x06 */
    { /* ModRMDecision */
@@ -45557,7 +45563,7 @@
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    883 /* Table883 */
+    877 /* Table877 */
    },
    /* 0x0a */
    { /* struct ModRMDecision */
@@ -45567,7 +45573,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    885 /* Table885 */
+    879 /* Table879 */
    },
    /* 0x0c */
    { /* struct ModRMDecision */
@@ -45577,7 +45583,7 @@
    /* 0x0d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    887 /* Table887 */
+    881 /* Table881 */
    },
    /* 0x0e */
    { /* ModRMDecision */
@@ -45597,7 +45603,7 @@
    /* 0x11 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    888 /* Table888 */
+    882 /* Table882 */
    },
    /* 0x12 */
    { /* struct ModRMDecision */
@@ -45607,7 +45613,7 @@
    /* 0x13 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    890 /* Table890 */
+    884 /* Table884 */
    },
    /* 0x14 */
    { /* struct ModRMDecision */
@@ -45617,7 +45623,7 @@
    /* 0x15 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    892 /* Table892 */
+    886 /* Table886 */
    },
    /* 0x16 */
    { /* ModRMDecision */
@@ -45637,7 +45643,7 @@
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    893 /* Table893 */
+    887 /* Table887 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
@@ -45647,7 +45653,7 @@
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    895 /* Table895 */
+    889 /* Table889 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
@@ -45657,7 +45663,7 @@
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    897 /* Table897 */
+    891 /* Table891 */
    },
    /* 0x1e */
    { /* ModRMDecision */
@@ -45677,7 +45683,7 @@
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    898 /* Table898 */
+    892 /* Table892 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
@@ -45687,7 +45693,7 @@
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    900 /* Table900 */
+    894 /* Table894 */
    },
    /* 0x24 */
    { /* struct ModRMDecision */
@@ -45697,12 +45703,12 @@
    /* 0x25 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    902 /* Table902 */
+    896 /* Table896 */
    },
    /* 0x26 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    58 /* Table58 */
+    0 /* EmptyTable */
    },
    /* 0x27 */
    { /* ModRMDecision */
@@ -45712,37 +45718,37 @@
    /* 0x28 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    60 /* Table60 */
+    59 /* Table59 */
    },
    /* 0x29 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    903 /* Table903 */
+    897 /* Table897 */
    },
    /* 0x2a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    64 /* Table64 */
+    63 /* Table63 */
    },
    /* 0x2b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    905 /* Table905 */
+    899 /* Table899 */
    },
    /* 0x2c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    68 /* Table68 */
+    67 /* Table67 */
    },
    /* 0x2d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    907 /* Table907 */
+    901 /* Table901 */
    },
    /* 0x2e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    70 /* Table70 */
+    0 /* EmptyTable */
    },
    /* 0x2f */
    { /* ModRMDecision */
@@ -45752,37 +45758,37 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    72 /* Table72 */
+    70 /* Table70 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    908 /* Table908 */
+    902 /* Table902 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    76 /* Table76 */
+    74 /* Table74 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    910 /* Table910 */
+    904 /* Table904 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    80 /* Table80 */
+    78 /* Table78 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    912 /* Table912 */
+    906 /* Table906 */
    },
    /* 0x36 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    82 /* Table82 */
+    0 /* EmptyTable */
    },
    /* 0x37 */
    { /* ModRMDecision */
@@ -45792,37 +45798,37 @@
    /* 0x38 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    84 /* Table84 */
+    81 /* Table81 */
    },
    /* 0x39 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    913 /* Table913 */
+    907 /* Table907 */
    },
    /* 0x3a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    88 /* Table88 */
+    85 /* Table85 */
    },
    /* 0x3b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    915 /* Table915 */
+    909 /* Table909 */
    },
    /* 0x3c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    92 /* Table92 */
+    89 /* Table89 */
    },
    /* 0x3d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    917 /* Table917 */
+    911 /* Table911 */
    },
    /* 0x3e */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    94 /* Table94 */
+    0 /* EmptyTable */
    },
    /* 0x3f */
    { /* ModRMDecision */
@@ -45872,7 +45878,7 @@
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    574 /* Table574 */
+    568 /* Table568 */
    },
    /* 0x49 */
    { /* ModRMDecision */
@@ -45912,82 +45918,82 @@
    /* 0x50 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x51 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x52 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x53 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x54 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x55 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x56 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x57 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    674 /* Table674 */
+    668 /* Table668 */
    },
    /* 0x58 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x59 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x5f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    675 /* Table675 */
+    669 /* Table669 */
    },
    /* 0x60 */
    { /* ModRMDecision */
@@ -46007,22 +46013,22 @@
    /* 0x63 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    918 /* Table918 */
+    912 /* Table912 */
    },
    /* 0x64 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    106 /* Table106 */
+    0 /* EmptyTable */
    },
    /* 0x65 */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    107 /* Table107 */
+    0 /* EmptyTable */
    },
    /* 0x66 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    108 /* Table108 */
+    102 /* Table102 */
    },
    /* 0x67 */
    { /* ModRMDecision */
@@ -46032,132 +46038,132 @@
    /* 0x68 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1081 /* Table1081 */
+    1075 /* Table1075 */
    },
    /* 0x69 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    920 /* Table920 */
+    914 /* Table914 */
    },
    /* 0x6a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    578 /* Table578 */
+    572 /* Table572 */
    },
    /* 0x6b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    922 /* Table922 */
+    916 /* Table916 */
    },
    /* 0x6c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    115 /* Table115 */
+    109 /* Table109 */
    },
    /* 0x6d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    686 /* Table686 */
+    680 /* Table680 */
    },
    /* 0x6e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    117 /* Table117 */
+    111 /* Table111 */
    },
    /* 0x6f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    687 /* Table687 */
+    681 /* Table681 */
    },
    /* 0x70 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    119 /* Table119 */
+    113 /* Table113 */
    },
    /* 0x71 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    120 /* Table120 */
+    114 /* Table114 */
    },
    /* 0x72 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    121 /* Table121 */
+    115 /* Table115 */
    },
    /* 0x73 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    122 /* Table122 */
+    116 /* Table116 */
    },
    /* 0x74 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    123 /* Table123 */
+    117 /* Table117 */
    },
    /* 0x75 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    124 /* Table124 */
+    118 /* Table118 */
    },
    /* 0x76 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    125 /* Table125 */
+    119 /* Table119 */
    },
    /* 0x77 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    126 /* Table126 */
+    120 /* Table120 */
    },
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    127 /* Table127 */
+    121 /* Table121 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    128 /* Table128 */
+    122 /* Table122 */
    },
    /* 0x7a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    129 /* Table129 */
+    123 /* Table123 */
    },
    /* 0x7b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    130 /* Table130 */
+    124 /* Table124 */
    },
    /* 0x7c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    131 /* Table131 */
+    125 /* Table125 */
    },
    /* 0x7d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    132 /* Table132 */
+    126 /* Table126 */
    },
    /* 0x7e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    133 /* Table133 */
+    127 /* Table127 */
    },
    /* 0x7f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    134 /* Table134 */
+    128 /* Table128 */
    },
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    135 /* Table135 */
+    129 /* Table129 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    924 /* Table924 */
+    918 /* Table918 */
    },
    /* 0x82 */
    { /* ModRMDecision */
@@ -46167,122 +46173,122 @@
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    940 /* Table940 */
+    934 /* Table934 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    199 /* Table199 */
+    193 /* Table193 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    956 /* Table956 */
+    950 /* Table950 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    203 /* Table203 */
+    197 /* Table197 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    958 /* Table958 */
+    952 /* Table952 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    207 /* Table207 */
+    201 /* Table201 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    960 /* Table960 */
+    954 /* Table954 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    211 /* Table211 */
+    205 /* Table205 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    962 /* Table962 */
+    956 /* Table956 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    964 /* Table964 */
+    958 /* Table958 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    966 /* Table966 */
+    960 /* Table960 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    968 /* Table968 */
+    962 /* Table962 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    734 /* Table734 */
+    728 /* Table728 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    237 /* Table237 */
+    231 /* Table231 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    970 /* Table970 */
+    964 /* Table964 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    971 /* Table971 */
+    965 /* Table965 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    972 /* Table972 */
+    966 /* Table966 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    753 /* Table753 */
+    747 /* Table747 */
    },
    /* 0x9b */
    { /* ModRMDecision */
@@ -46292,282 +46298,282 @@
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    754 /* Table754 */
+    748 /* Table748 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    755 /* Table755 */
+    749 /* Table749 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    244 /* Table244 */
+    238 /* Table238 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    245 /* Table245 */
+    239 /* Table239 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    600 /* Table600 */
+    594 /* Table594 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    973 /* Table973 */
+    967 /* Table967 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    602 /* Table602 */
+    596 /* Table596 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    974 /* Table974 */
+    968 /* Table968 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    250 /* Table250 */
+    244 /* Table244 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    975 /* Table975 */
+    969 /* Table969 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    252 /* Table252 */
+    246 /* Table246 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    976 /* Table976 */
+    970 /* Table970 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    254 /* Table254 */
+    248 /* Table248 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    977 /* Table977 */
+    971 /* Table971 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    256 /* Table256 */
+    250 /* Table250 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    978 /* Table978 */
+    972 /* Table972 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    258 /* Table258 */
+    252 /* Table252 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    979 /* Table979 */
+    973 /* Table973 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    260 /* Table260 */
+    254 /* Table254 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    980 /* Table980 */
+    974 /* Table974 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    262 /* Table262 */
+    256 /* Table256 */
    },
    /* 0xb8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    981 /* Table981 */
+    975 /* Table975 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    264 /* Table264 */
+    258 /* Table258 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    982 /* Table982 */
+    976 /* Table976 */
    },
    /* 0xc2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    781 /* Table781 */
+    775 /* Table775 */
    },
    /* 0xc3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    782 /* Table782 */
+    776 /* Table776 */
    },
    /* 0xc4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    783 /* Table783 */
+    777 /* Table777 */
    },
    /* 0xc5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    785 /* Table785 */
+    779 /* Table779 */
    },
    /* 0xc6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    302 /* Table302 */
+    296 /* Table296 */
    },
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    998 /* Table998 */
+    992 /* Table992 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    334 /* Table334 */
+    328 /* Table328 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    606 /* Table606 */
+    600 /* Table600 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1014 /* Table1014 */
+    1008 /* Table1008 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1015 /* Table1015 */
+    1009 /* Table1009 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    338 /* Table338 */
+    332 /* Table332 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    339 /* Table339 */
+    333 /* Table333 */
    },
    /* 0xce */
-   { /* struct ModRMDecision */
+   { /* ModRMDecision */
     MODRM_ONEENTRY,
-    340 /* Table340 */
+    0 /* EmptyTable */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1016 /* Table1016 */
+    1010 /* Table1010 */
    },
    /* 0xd0 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    342 /* Table342 */
+    336 /* Table336 */
    },
    /* 0xd1 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1017 /* Table1017 */
+    1011 /* Table1011 */
    },
    /* 0xd2 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    374 /* Table374 */
+    368 /* Table368 */
    },
    /* 0xd3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1033 /* Table1033 */
+    1027 /* Table1027 */
    },
    /* 0xd4 */
    { /* ModRMDecision */
@@ -46582,12 +46588,12 @@
    /* 0xd6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    408 /* Table408 */
+    402 /* Table402 */
    },
    /* 0xd7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    409 /* Table409 */
+    403 /* Table403 */
    },
    /* 0xd8 */
    { /* ModRMDecision */
@@ -46607,7 +46613,7 @@
    /* 0xdb */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    410 /* Table410 */
+    404 /* Table404 */
    },
    /* 0xdc */
    { /* ModRMDecision */
@@ -46632,162 +46638,162 @@
    /* 0xe0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    482 /* Table482 */
+    476 /* Table476 */
    },
    /* 0xe1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    483 /* Table483 */
+    477 /* Table477 */
    },
    /* 0xe2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    484 /* Table484 */
+    478 /* Table478 */
    },
    /* 0xe3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    607 /* Table607 */
+    601 /* Table601 */
    },
    /* 0xe4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    486 /* Table486 */
+    480 /* Table480 */
    },
    /* 0xe5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    838 /* Table838 */
+    832 /* Table832 */
    },
    /* 0xe6 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    488 /* Table488 */
+    482 /* Table482 */
    },
    /* 0xe7 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    839 /* Table839 */
+    833 /* Table833 */
    },
    /* 0xe8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    840 /* Table840 */
+    834 /* Table834 */
    },
    /* 0xe9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    841 /* Table841 */
+    835 /* Table835 */
    },
    /* 0xea */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    842 /* Table842 */
+    836 /* Table836 */
    },
    /* 0xeb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    493 /* Table493 */
+    487 /* Table487 */
    },
    /* 0xec */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    494 /* Table494 */
+    488 /* Table488 */
    },
    /* 0xed */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    843 /* Table843 */
+    837 /* Table837 */
    },
    /* 0xee */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    496 /* Table496 */
+    490 /* Table490 */
    },
    /* 0xef */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    844 /* Table844 */
+    838 /* Table838 */
    },
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    498 /* Table498 */
+    492 /* Table492 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    499 /* Table499 */
+    493 /* Table493 */
    },
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    500 /* Table500 */
+    494 /* Table494 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    501 /* Table501 */
+    495 /* Table495 */
    },
    /* 0xf4 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    502 /* Table502 */
+    496 /* Table496 */
    },
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    503 /* Table503 */
+    497 /* Table497 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    504 /* Table504 */
+    498 /* Table498 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1049 /* Table1049 */
+    1043 /* Table1043 */
    },
    /* 0xf8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    536 /* Table536 */
+    530 /* Table530 */
    },
    /* 0xf9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    537 /* Table537 */
+    531 /* Table531 */
    },
    /* 0xfa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    538 /* Table538 */
+    532 /* Table532 */
    },
    /* 0xfb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    539 /* Table539 */
+    533 /* Table533 */
    },
    /* 0xfc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    540 /* Table540 */
+    534 /* Table534 */
    },
    /* 0xfd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    541 /* Table541 */
+    535 /* Table535 */
    },
    /* 0xfe */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    542 /* Table542 */
+    536 /* Table536 */
    },
    /* 0xff */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1101 /* Table1101 */
+    1095 /* Table1095 */
    }
   }
  }
@@ -48086,22 +48092,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -48111,27 +48117,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -48141,7 +48147,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -48206,62 +48212,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -48326,32 +48332,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -48361,7 +48367,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -48406,82 +48412,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -48686,12 +48692,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -48726,282 +48732,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -49011,47 +49017,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -49081,47 +49087,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -49371,22 +49377,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -49396,27 +49402,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -49426,7 +49432,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -49491,62 +49497,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -49611,32 +49617,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -49646,7 +49652,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -49691,82 +49697,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -49971,12 +49977,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -50011,282 +50017,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -50296,47 +50302,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -50366,47 +50372,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -50656,22 +50662,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1677 /* Table1677 */
+    1671 /* Table1671 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1693 /* Table1693 */
+    1687 /* Table1687 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1765 /* Table1765 */
+    1759 /* Table1759 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -50681,27 +50687,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -50711,7 +50717,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -50776,62 +50782,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1785 /* Table1785 */
+    1779 /* Table1779 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1787 /* Table1787 */
+    1781 /* Table1781 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1789 /* Table1789 */
+    1783 /* Table1783 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1791 /* Table1791 */
+    1785 /* Table1785 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -50896,32 +50902,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -50931,7 +50937,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -50976,82 +50982,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1811 /* Table1811 */
+    1805 /* Table1805 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1813 /* Table1813 */
+    1807 /* Table1807 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1815 /* Table1815 */
+    1809 /* Table1809 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1817 /* Table1817 */
+    1811 /* Table1811 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1819 /* Table1819 */
+    1813 /* Table1813 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1821 /* Table1821 */
+    1815 /* Table1815 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1823 /* Table1823 */
+    1817 /* Table1817 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -51256,12 +51262,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -51296,282 +51302,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1832 /* Table1832 */
+    1826 /* Table1826 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1834 /* Table1834 */
+    1828 /* Table1828 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1836 /* Table1836 */
+    1830 /* Table1830 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1838 /* Table1838 */
+    1832 /* Table1832 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1840 /* Table1840 */
+    1834 /* Table1834 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1842 /* Table1842 */
+    1836 /* Table1836 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1844 /* Table1844 */
+    1838 /* Table1838 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1846 /* Table1846 */
+    1840 /* Table1840 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1848 /* Table1848 */
+    1842 /* Table1842 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1856 /* Table1856 */
+    1850 /* Table1850 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1871 /* Table1871 */
+    1865 /* Table1865 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1873 /* Table1873 */
+    1867 /* Table1867 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1875 /* Table1875 */
+    1869 /* Table1869 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -51581,47 +51587,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1877 /* Table1877 */
+    1871 /* Table1871 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1893 /* Table1893 */
+    1887 /* Table1887 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1895 /* Table1895 */
+    1889 /* Table1889 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1897 /* Table1897 */
+    1891 /* Table1891 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1899 /* Table1899 */
+    1893 /* Table1893 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1901 /* Table1901 */
+    1895 /* Table1895 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -51651,47 +51657,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1903 /* Table1903 */
+    1897 /* Table1897 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -51941,22 +51947,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -51966,27 +51972,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -51996,7 +52002,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -52061,62 +52067,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -52181,32 +52187,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -52216,7 +52222,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -52261,82 +52267,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -52541,12 +52547,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -52581,282 +52587,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -52866,47 +52872,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -52936,47 +52942,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -53226,22 +53232,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -53251,27 +53257,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -53281,7 +53287,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -53346,62 +53352,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -53466,32 +53472,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -53501,7 +53507,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -53546,82 +53552,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -53826,12 +53832,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -53866,282 +53872,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -54151,47 +54157,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -54221,47 +54227,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -54511,22 +54517,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1133 /* Table1133 */
+    1127 /* Table1127 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -54536,27 +54542,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -54566,7 +54572,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -54631,62 +54637,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1245 /* Table1245 */
+    1239 /* Table1239 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1247 /* Table1247 */
+    1241 /* Table1241 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1249 /* Table1249 */
+    1243 /* Table1243 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1251 /* Table1251 */
+    1245 /* Table1245 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -54751,32 +54757,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -54786,7 +54792,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -54831,82 +54837,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -55111,12 +55117,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1292 /* Table1292 */
+    1286 /* Table1286 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1294 /* Table1294 */
+    1288 /* Table1288 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -55151,282 +55157,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1344 /* Table1344 */
+    1338 /* Table1338 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1345 /* Table1345 */
+    1339 /* Table1339 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1346 /* Table1346 */
+    1340 /* Table1340 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1497 /* Table1497 */
+    1491 /* Table1491 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1498 /* Table1498 */
+    1492 /* Table1492 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -55436,47 +55442,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1919 /* Table1919 */
+    1913 /* Table1913 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1921 /* Table1921 */
+    1915 /* Table1915 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -55506,47 +55512,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1923 /* Table1923 */
+    1917 /* Table1917 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -56736,12 +56742,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1939 /* Table1939 */
+    1933 /* Table1933 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1941 /* Table1941 */
+    1935 /* Table1935 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -57081,22 +57087,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -57106,27 +57112,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -57136,7 +57142,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -57201,62 +57207,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -57321,32 +57327,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -57356,7 +57362,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -57401,82 +57407,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -57681,12 +57687,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -57721,282 +57727,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2081 /* Table2081 */
+    2075 /* Table2075 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -58006,47 +58012,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2131 /* Table2131 */
+    2125 /* Table2125 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2133 /* Table2133 */
+    2127 /* Table2127 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -58076,47 +58082,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2141 /* Table2141 */
+    2135 /* Table2135 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -58366,22 +58372,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1677 /* Table1677 */
+    1671 /* Table1671 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    2158 /* Table2158 */
+    2152 /* Table2152 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1765 /* Table1765 */
+    1759 /* Table1759 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1767 /* Table1767 */
+    1761 /* Table1761 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -58391,27 +58397,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -58421,7 +58427,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -58486,62 +58492,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1785 /* Table1785 */
+    1779 /* Table1779 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1787 /* Table1787 */
+    1781 /* Table1781 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1789 /* Table1789 */
+    1783 /* Table1783 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1791 /* Table1791 */
+    1785 /* Table1785 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -58606,32 +58612,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -58641,7 +58647,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -58686,82 +58692,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1799 /* Table1799 */
+    1793 /* Table1793 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1801 /* Table1801 */
+    1795 /* Table1795 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1803 /* Table1803 */
+    1797 /* Table1797 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1805 /* Table1805 */
+    1799 /* Table1799 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1807 /* Table1807 */
+    1801 /* Table1801 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1809 /* Table1809 */
+    1803 /* Table1803 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1811 /* Table1811 */
+    1805 /* Table1805 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1813 /* Table1813 */
+    1807 /* Table1807 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1815 /* Table1815 */
+    1809 /* Table1809 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1817 /* Table1817 */
+    1811 /* Table1811 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1819 /* Table1819 */
+    1813 /* Table1813 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1821 /* Table1821 */
+    1815 /* Table1815 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1823 /* Table1823 */
+    1817 /* Table1817 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1825 /* Table1825 */
+    1819 /* Table1819 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1827 /* Table1827 */
+    1821 /* Table1821 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1829 /* Table1829 */
+    1823 /* Table1823 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -58966,12 +58972,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -59006,282 +59012,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1832 /* Table1832 */
+    1826 /* Table1826 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1834 /* Table1834 */
+    1828 /* Table1828 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1836 /* Table1836 */
+    1830 /* Table1830 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1838 /* Table1838 */
+    1832 /* Table1832 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1840 /* Table1840 */
+    1834 /* Table1834 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1842 /* Table1842 */
+    1836 /* Table1836 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1844 /* Table1844 */
+    1838 /* Table1838 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1846 /* Table1846 */
+    1840 /* Table1840 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1848 /* Table1848 */
+    1842 /* Table1842 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1849 /* Table1849 */
+    1843 /* Table1843 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1851 /* Table1851 */
+    1845 /* Table1845 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1853 /* Table1853 */
+    1847 /* Table1847 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1856 /* Table1856 */
+    1850 /* Table1850 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1857 /* Table1857 */
+    1851 /* Table1851 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1859 /* Table1859 */
+    1853 /* Table1853 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1861 /* Table1861 */
+    1855 /* Table1855 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1863 /* Table1863 */
+    1857 /* Table1857 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1865 /* Table1865 */
+    1859 /* Table1859 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1867 /* Table1867 */
+    1861 /* Table1861 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1869 /* Table1869 */
+    1863 /* Table1863 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1871 /* Table1871 */
+    1865 /* Table1865 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1873 /* Table1873 */
+    1867 /* Table1867 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1875 /* Table1875 */
+    1869 /* Table1869 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -59291,47 +59297,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1877 /* Table1877 */
+    1871 /* Table1871 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1893 /* Table1893 */
+    1887 /* Table1887 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1895 /* Table1895 */
+    1889 /* Table1889 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1897 /* Table1897 */
+    1891 /* Table1891 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1899 /* Table1899 */
+    1893 /* Table1893 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1901 /* Table1901 */
+    1895 /* Table1895 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -59361,47 +59367,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1903 /* Table1903 */
+    1897 /* Table1897 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -59651,22 +59657,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -59676,27 +59682,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -59706,7 +59712,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -59771,62 +59777,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -59891,32 +59897,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -59926,7 +59932,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -59971,82 +59977,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -60251,12 +60257,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -60291,282 +60297,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -60576,47 +60582,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -60646,47 +60652,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -60936,22 +60942,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -60961,27 +60967,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -60991,7 +60997,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -61056,62 +61062,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -61176,32 +61182,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -61211,7 +61217,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -61256,82 +61262,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -61536,12 +61542,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -61576,282 +61582,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1506 /* Table1506 */
+    1500 /* Table1500 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -61861,47 +61867,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1559 /* Table1559 */
+    1553 /* Table1553 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1561 /* Table1561 */
+    1555 /* Table1555 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -61931,47 +61937,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1571 /* Table1571 */
+    1565 /* Table1565 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -62221,22 +62227,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1117 /* Table1117 */
+    1111 /* Table1111 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1588 /* Table1588 */
+    1582 /* Table1582 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1205 /* Table1205 */
+    1199 /* Table1199 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1207 /* Table1207 */
+    1201 /* Table1201 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -62246,27 +62252,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1211 /* Table1211 */
+    1205 /* Table1205 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -62276,7 +62282,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -62341,62 +62347,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -62461,32 +62467,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1258 /* Table1258 */
+    1252 /* Table1252 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -62496,7 +62502,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -62541,82 +62547,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1260 /* Table1260 */
+    1254 /* Table1254 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1262 /* Table1262 */
+    1256 /* Table1256 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1264 /* Table1264 */
+    1258 /* Table1258 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1266 /* Table1266 */
+    1260 /* Table1260 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1268 /* Table1268 */
+    1262 /* Table1262 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1270 /* Table1270 */
+    1264 /* Table1264 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1272 /* Table1272 */
+    1266 /* Table1266 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1274 /* Table1274 */
+    1268 /* Table1268 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1276 /* Table1276 */
+    1270 /* Table1270 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1278 /* Table1278 */
+    1272 /* Table1272 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1280 /* Table1280 */
+    1274 /* Table1274 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1282 /* Table1282 */
+    1276 /* Table1276 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1284 /* Table1284 */
+    1278 /* Table1278 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1286 /* Table1286 */
+    1280 /* Table1280 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1288 /* Table1288 */
+    1282 /* Table1282 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1290 /* Table1290 */
+    1284 /* Table1284 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -62821,12 +62827,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -62861,282 +62867,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1347 /* Table1347 */
+    1341 /* Table1341 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1349 /* Table1349 */
+    1343 /* Table1343 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1351 /* Table1351 */
+    1345 /* Table1345 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1500 /* Table1500 */
+    1494 /* Table1494 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1502 /* Table1502 */
+    1496 /* Table1496 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1504 /* Table1504 */
+    1498 /* Table1498 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2230 /* Table2230 */
+    2224 /* Table2224 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1522 /* Table1522 */
+    1516 /* Table1516 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1526 /* Table1526 */
+    1520 /* Table1520 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1528 /* Table1528 */
+    1522 /* Table1522 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1530 /* Table1530 */
+    1524 /* Table1524 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1532 /* Table1532 */
+    1526 /* Table1526 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1534 /* Table1534 */
+    1528 /* Table1528 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1536 /* Table1536 */
+    1530 /* Table1530 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1538 /* Table1538 */
+    1532 /* Table1532 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -63146,47 +63152,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1541 /* Table1541 */
+    1535 /* Table1535 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1557 /* Table1557 */
+    1551 /* Table1551 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1919 /* Table1919 */
+    1913 /* Table1913 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1921 /* Table1921 */
+    1915 /* Table1915 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1563 /* Table1563 */
+    1557 /* Table1557 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1565 /* Table1565 */
+    1559 /* Table1559 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1569 /* Table1569 */
+    1563 /* Table1563 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -63216,47 +63222,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1923 /* Table1923 */
+    1917 /* Table1917 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1587 /* Table1587 */
+    1581 /* Table1581 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -64446,12 +64452,12 @@
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1939 /* Table1939 */
+    1933 /* Table1933 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1941 /* Table1941 */
+    1935 /* Table1935 */
    },
    /* 0xbe */
    { /* ModRMDecision */
@@ -64791,22 +64797,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -64816,27 +64822,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -64846,7 +64852,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -64911,62 +64917,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -65031,32 +65037,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -65066,7 +65072,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -65111,82 +65117,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -65391,12 +65397,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -65431,282 +65437,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2246 /* Table2246 */
+    2240 /* Table2240 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -65716,47 +65722,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2262 /* Table2262 */
+    2256 /* Table2256 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2264 /* Table2264 */
+    2258 /* Table2258 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -65786,47 +65792,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2266 /* Table2266 */
+    2260 /* Table2260 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -66076,22 +66082,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -66101,27 +66107,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -66131,7 +66137,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -66196,62 +66202,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1215 /* Table1215 */
+    1209 /* Table1209 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1231 /* Table1231 */
+    1225 /* Table1225 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1233 /* Table1233 */
+    1227 /* Table1227 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1235 /* Table1235 */
+    1229 /* Table1229 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1237 /* Table1237 */
+    1231 /* Table1231 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1239 /* Table1239 */
+    1233 /* Table1233 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1241 /* Table1241 */
+    1235 /* Table1235 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1243 /* Table1243 */
+    1237 /* Table1237 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -66316,32 +66322,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -66351,7 +66357,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -66396,82 +66402,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -66676,12 +66682,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -66716,282 +66722,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1296 /* Table1296 */
+    1290 /* Table1290 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1297 /* Table1297 */
+    1291 /* Table1291 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1298 /* Table1298 */
+    1292 /* Table1292 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1299 /* Table1299 */
+    1293 /* Table1293 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1300 /* Table1300 */
+    1294 /* Table1294 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1301 /* Table1301 */
+    1295 /* Table1295 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1302 /* Table1302 */
+    1296 /* Table1296 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1303 /* Table1303 */
+    1297 /* Table1297 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1304 /* Table1304 */
+    1298 /* Table1298 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1305 /* Table1305 */
+    1299 /* Table1299 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1306 /* Table1306 */
+    1300 /* Table1300 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1307 /* Table1307 */
+    1301 /* Table1301 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1308 /* Table1308 */
+    1302 /* Table1302 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1309 /* Table1309 */
+    1303 /* Table1303 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1310 /* Table1310 */
+    1304 /* Table1304 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1311 /* Table1311 */
+    1305 /* Table1305 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1672 /* Table1672 */
+    1666 /* Table1666 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1673 /* Table1673 */
+    1667 /* Table1667 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1675 /* Table1675 */
+    1669 /* Table1669 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1676 /* Table1676 */
+    1670 /* Table1670 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2081 /* Table2081 */
+    2075 /* Table2075 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -67001,47 +67007,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2131 /* Table2131 */
+    2125 /* Table2125 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2133 /* Table2133 */
+    2127 /* Table2127 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -67071,47 +67077,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2141 /* Table2141 */
+    2135 /* Table2135 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -67361,22 +67367,22 @@
    /* 0x00 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1943 /* Table1943 */
+    1937 /* Table1937 */
    },
    /* 0x01 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1959 /* Table1959 */
+    1953 /* Table1953 */
    },
    /* 0x02 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2031 /* Table2031 */
+    2025 /* Table2025 */
    },
    /* 0x03 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2033 /* Table2033 */
+    2027 /* Table2027 */
    },
    /* 0x04 */
    { /* ModRMDecision */
@@ -67386,27 +67392,27 @@
    /* 0x05 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1209 /* Table1209 */
+    1203 /* Table1203 */
    },
    /* 0x06 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1210 /* Table1210 */
+    1204 /* Table1204 */
    },
    /* 0x07 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2035 /* Table2035 */
+    2029 /* Table2029 */
    },
    /* 0x08 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1212 /* Table1212 */
+    1206 /* Table1206 */
    },
    /* 0x09 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1213 /* Table1213 */
+    1207 /* Table1207 */
    },
    /* 0x0a */
    { /* ModRMDecision */
@@ -67416,7 +67422,7 @@
    /* 0x0b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1214 /* Table1214 */
+    1208 /* Table1208 */
    },
    /* 0x0c */
    { /* ModRMDecision */
@@ -67481,62 +67487,62 @@
    /* 0x18 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    1769 /* Table1769 */
+    1763 /* Table1763 */
    },
    /* 0x19 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1785 /* Table1785 */
+    1779 /* Table1779 */
    },
    /* 0x1a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1787 /* Table1787 */
+    1781 /* Table1781 */
    },
    /* 0x1b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1789 /* Table1789 */
+    1783 /* Table1783 */
    },
    /* 0x1c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1791 /* Table1791 */
+    1785 /* Table1785 */
    },
    /* 0x1d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1793 /* Table1793 */
+    1787 /* Table1787 */
    },
    /* 0x1e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1795 /* Table1795 */
+    1789 /* Table1789 */
    },
    /* 0x1f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1797 /* Table1797 */
+    1791 /* Table1791 */
    },
    /* 0x20 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1660 /* Table1660 */
+    1654 /* Table1654 */
    },
    /* 0x21 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1662 /* Table1662 */
+    1656 /* Table1656 */
    },
    /* 0x22 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1664 /* Table1664 */
+    1658 /* Table1658 */
    },
    /* 0x23 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1666 /* Table1666 */
+    1660 /* Table1660 */
    },
    /* 0x24 */
    { /* ModRMDecision */
@@ -67601,32 +67607,32 @@
    /* 0x30 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1253 /* Table1253 */
+    1247 /* Table1247 */
    },
    /* 0x31 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1254 /* Table1254 */
+    1248 /* Table1248 */
    },
    /* 0x32 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1255 /* Table1255 */
+    1249 /* Table1249 */
    },
    /* 0x33 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1256 /* Table1256 */
+    1250 /* Table1250 */
    },
    /* 0x34 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1257 /* Table1257 */
+    1251 /* Table1251 */
    },
    /* 0x35 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2036 /* Table2036 */
+    2030 /* Table2030 */
    },
    /* 0x36 */
    { /* ModRMDecision */
@@ -67636,7 +67642,7 @@
    /* 0x37 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1259 /* Table1259 */
+    1253 /* Table1253 */
    },
    /* 0x38 */
    { /* ModRMDecision */
@@ -67681,82 +67687,82 @@
    /* 0x40 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2037 /* Table2037 */
+    2031 /* Table2031 */
    },
    /* 0x41 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2039 /* Table2039 */
+    2033 /* Table2033 */
    },
    /* 0x42 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2041 /* Table2041 */
+    2035 /* Table2035 */
    },
    /* 0x43 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2043 /* Table2043 */
+    2037 /* Table2037 */
    },
    /* 0x44 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2045 /* Table2045 */
+    2039 /* Table2039 */
    },
    /* 0x45 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2047 /* Table2047 */
+    2041 /* Table2041 */
    },
    /* 0x46 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2049 /* Table2049 */
+    2043 /* Table2043 */
    },
    /* 0x47 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2051 /* Table2051 */
+    2045 /* Table2045 */
    },
    /* 0x48 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2053 /* Table2053 */
+    2047 /* Table2047 */
    },
    /* 0x49 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2055 /* Table2055 */
+    2049 /* Table2049 */
    },
    /* 0x4a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2057 /* Table2057 */
+    2051 /* Table2051 */
    },
    /* 0x4b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2059 /* Table2059 */
+    2053 /* Table2053 */
    },
    /* 0x4c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2061 /* Table2061 */
+    2055 /* Table2055 */
    },
    /* 0x4d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2063 /* Table2063 */
+    2057 /* Table2057 */
    },
    /* 0x4e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2065 /* Table2065 */
+    2059 /* Table2059 */
    },
    /* 0x4f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2067 /* Table2067 */
+    2061 /* Table2061 */
    },
    /* 0x50 */
    { /* ModRMDecision */
@@ -67961,12 +67967,12 @@
    /* 0x78 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1668 /* Table1668 */
+    1662 /* Table1662 */
    },
    /* 0x79 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1670 /* Table1670 */
+    1664 /* Table1664 */
    },
    /* 0x7a */
    { /* ModRMDecision */
@@ -68001,282 +68007,282 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1831 /* Table1831 */
+    1825 /* Table1825 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1832 /* Table1832 */
+    1826 /* Table1826 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1833 /* Table1833 */
+    1827 /* Table1827 */
    },
    /* 0x83 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1834 /* Table1834 */
+    1828 /* Table1828 */
    },
    /* 0x84 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1835 /* Table1835 */
+    1829 /* Table1829 */
    },
    /* 0x85 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1836 /* Table1836 */
+    1830 /* Table1830 */
    },
    /* 0x86 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1837 /* Table1837 */
+    1831 /* Table1831 */
    },
    /* 0x87 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1838 /* Table1838 */
+    1832 /* Table1832 */
    },
    /* 0x88 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1839 /* Table1839 */
+    1833 /* Table1833 */
    },
    /* 0x89 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1840 /* Table1840 */
+    1834 /* Table1834 */
    },
    /* 0x8a */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1841 /* Table1841 */
+    1835 /* Table1835 */
    },
    /* 0x8b */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1842 /* Table1842 */
+    1836 /* Table1836 */
    },
    /* 0x8c */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1843 /* Table1843 */
+    1837 /* Table1837 */
    },
    /* 0x8d */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1844 /* Table1844 */
+    1838 /* Table1838 */
    },
    /* 0x8e */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1845 /* Table1845 */
+    1839 /* Table1839 */
    },
    /* 0x8f */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1846 /* Table1846 */
+    1840 /* Table1840 */
    },
    /* 0x90 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1312 /* Table1312 */
+    1306 /* Table1306 */
    },
    /* 0x91 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1314 /* Table1314 */
+    1308 /* Table1308 */
    },
    /* 0x92 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1316 /* Table1316 */
+    1310 /* Table1310 */
    },
    /* 0x93 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1318 /* Table1318 */
+    1312 /* Table1312 */
    },
    /* 0x94 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1320 /* Table1320 */
+    1314 /* Table1314 */
    },
    /* 0x95 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1322 /* Table1322 */
+    1316 /* Table1316 */
    },
    /* 0x96 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1324 /* Table1324 */
+    1318 /* Table1318 */
    },
    /* 0x97 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1326 /* Table1326 */
+    1320 /* Table1320 */
    },
    /* 0x98 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1328 /* Table1328 */
+    1322 /* Table1322 */
    },
    /* 0x99 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1330 /* Table1330 */
+    1324 /* Table1324 */
    },
    /* 0x9a */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1332 /* Table1332 */
+    1326 /* Table1326 */
    },
    /* 0x9b */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1334 /* Table1334 */
+    1328 /* Table1328 */
    },
    /* 0x9c */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1336 /* Table1336 */
+    1330 /* Table1330 */
    },
    /* 0x9d */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1338 /* Table1338 */
+    1332 /* Table1332 */
    },
    /* 0x9e */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1340 /* Table1340 */
+    1334 /* Table1334 */
    },
    /* 0x9f */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1342 /* Table1342 */
+    1336 /* Table1336 */
    },
    /* 0xa0 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1847 /* Table1847 */
+    1841 /* Table1841 */
    },
    /* 0xa1 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1848 /* Table1848 */
+    1842 /* Table1842 */
    },
    /* 0xa2 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1674 /* Table1674 */
+    1668 /* Table1668 */
    },
    /* 0xa3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2069 /* Table2069 */
+    2063 /* Table2063 */
    },
    /* 0xa4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2071 /* Table2071 */
+    2065 /* Table2065 */
    },
    /* 0xa5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2073 /* Table2073 */
+    2067 /* Table2067 */
    },
    /* 0xa6 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1353 /* Table1353 */
+    1347 /* Table1347 */
    },
    /* 0xa7 */
    { /* struct ModRMDecision */
     MODRM_SPLITMISC,
-    1425 /* Table1425 */
+    1419 /* Table1419 */
    },
    /* 0xa8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1855 /* Table1855 */
+    1849 /* Table1849 */
    },
    /* 0xa9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1856 /* Table1856 */
+    1850 /* Table1850 */
    },
    /* 0xaa */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1499 /* Table1499 */
+    1493 /* Table1493 */
    },
    /* 0xab */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2075 /* Table2075 */
+    2069 /* Table2069 */
    },
    /* 0xac */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2077 /* Table2077 */
+    2071 /* Table2071 */
    },
    /* 0xad */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2079 /* Table2079 */
+    2073 /* Table2073 */
    },
    /* 0xae */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2081 /* Table2081 */
+    2075 /* Table2075 */
    },
    /* 0xaf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2097 /* Table2097 */
+    2091 /* Table2091 */
    },
    /* 0xb0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1524 /* Table1524 */
+    1518 /* Table1518 */
    },
    /* 0xb1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2099 /* Table2099 */
+    2093 /* Table2093 */
    },
    /* 0xb2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2101 /* Table2101 */
+    2095 /* Table2095 */
    },
    /* 0xb3 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2103 /* Table2103 */
+    2097 /* Table2097 */
    },
    /* 0xb4 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2105 /* Table2105 */
+    2099 /* Table2099 */
    },
    /* 0xb5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2107 /* Table2107 */
+    2101 /* Table2101 */
    },
    /* 0xb6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2109 /* Table2109 */
+    2103 /* Table2103 */
    },
    /* 0xb7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2111 /* Table2111 */
+    2105 /* Table2105 */
    },
    /* 0xb8 */
    { /* ModRMDecision */
@@ -68286,47 +68292,47 @@
    /* 0xb9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    1540 /* Table1540 */
+    1534 /* Table1534 */
    },
    /* 0xba */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2113 /* Table2113 */
+    2107 /* Table2107 */
    },
    /* 0xbb */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2129 /* Table2129 */
+    2123 /* Table2123 */
    },
    /* 0xbc */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2131 /* Table2131 */
+    2125 /* Table2125 */
    },
    /* 0xbd */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2133 /* Table2133 */
+    2127 /* Table2127 */
    },
    /* 0xbe */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2135 /* Table2135 */
+    2129 /* Table2129 */
    },
    /* 0xbf */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2137 /* Table2137 */
+    2131 /* Table2131 */
    },
    /* 0xc0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    1567 /* Table1567 */
+    1561 /* Table1561 */
    },
    /* 0xc1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2139 /* Table2139 */
+    2133 /* Table2133 */
    },
    /* 0xc2 */
    { /* ModRMDecision */
@@ -68356,47 +68362,47 @@
    /* 0xc7 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2282 /* Table2282 */
+    2276 /* Table2276 */
    },
    /* 0xc8 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xc9 */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xca */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcb */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcc */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcd */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xce */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xcf */
    { /* struct ModRMDecision */
     MODRM_ONEENTRY,
-    2157 /* Table2157 */
+    2151 /* Table2151 */
    },
    /* 0xd0 */
    { /* ModRMDecision */
@@ -71135,12 +71141,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -72420,12 +72426,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -73145,17 +73151,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2302 /* Table2302 */
+    2296 /* Table2296 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2304 /* Table2304 */
+    2298 /* Table2298 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2306 /* Table2306 */
+    2300 /* Table2300 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -73705,12 +73711,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2308 /* Table2308 */
+    2302 /* Table2302 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2310 /* Table2310 */
+    2304 /* Table2304 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -73735,7 +73741,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2312 /* Table2312 */
+    2306 /* Table2306 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -74990,12 +74996,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -76275,12 +76281,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -77560,12 +77566,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -77590,7 +77596,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2314 /* Table2314 */
+    2308 /* Table2308 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -78845,12 +78851,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -79570,17 +79576,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2320 /* Table2320 */
+    2314 /* Table2314 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2322 /* Table2322 */
+    2316 /* Table2316 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2324 /* Table2324 */
+    2318 /* Table2318 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -80130,12 +80136,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2308 /* Table2308 */
+    2302 /* Table2302 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2310 /* Table2310 */
+    2304 /* Table2304 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -80160,7 +80166,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2312 /* Table2312 */
+    2306 /* Table2306 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -81415,12 +81421,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -82700,12 +82706,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -83985,12 +83991,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2298 /* Table2298 */
+    2292 /* Table2292 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2300 /* Table2300 */
+    2294 /* Table2294 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -84015,7 +84021,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2314 /* Table2314 */
+    2308 /* Table2308 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -85270,12 +85276,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -85300,7 +85306,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2326 /* Table2326 */
+    2320 /* Table2320 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -86555,12 +86561,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -87280,17 +87286,17 @@
    /* 0x80 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2320 /* Table2320 */
+    2314 /* Table2314 */
    },
    /* 0x81 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2322 /* Table2322 */
+    2316 /* Table2316 */
    },
    /* 0x82 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2324 /* Table2324 */
+    2318 /* Table2318 */
    },
    /* 0x83 */
    { /* ModRMDecision */
@@ -87840,12 +87846,12 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2316 /* Table2316 */
+    2310 /* Table2310 */
    },
    /* 0xf1 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2318 /* Table2318 */
+    2312 /* Table2312 */
    },
    /* 0xf2 */
    { /* ModRMDecision */
@@ -87870,7 +87876,7 @@
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2328 /* Table2328 */
+    2322 /* Table2322 */
    },
    /* 0xf7 */
    { /* ModRMDecision */
@@ -89135,12 +89141,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2330 /* Table2330 */
+    2324 /* Table2324 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2332 /* Table2332 */
+    2326 /* Table2326 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -89150,7 +89156,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2348 /* Table2348 */
+    2342 /* Table2342 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -89160,7 +89166,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2350 /* Table2350 */
+    2344 /* Table2344 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -90435,7 +90441,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2352 /* Table2352 */
+    2346 /* Table2346 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -90445,7 +90451,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2354 /* Table2354 */
+    2348 /* Table2348 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -91720,17 +91726,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2356 /* Table2356 */
+    2350 /* Table2350 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2358 /* Table2358 */
+    2352 /* Table2352 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2360 /* Table2360 */
+    2354 /* Table2354 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -93015,7 +93021,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2362 /* Table2362 */
+    2356 /* Table2356 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -94275,12 +94281,12 @@
    /* 0xf2 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2364 /* Table2364 */
+    2358 /* Table2358 */
    },
    /* 0xf3 */
    { /* struct ModRMDecision */
     MODRM_SPLITREG,
-    2366 /* Table2366 */
+    2360 /* Table2360 */
    },
    /* 0xf4 */
    { /* ModRMDecision */
@@ -94290,7 +94296,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2382 /* Table2382 */
+    2376 /* Table2376 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -94300,7 +94306,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2384 /* Table2384 */
+    2378 /* Table2378 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -95575,7 +95581,7 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2386 /* Table2386 */
+    2380 /* Table2380 */
    },
    /* 0xf6 */
    { /* ModRMDecision */
@@ -95585,7 +95591,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2388 /* Table2388 */
+    2382 /* Table2382 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -96860,17 +96866,17 @@
    /* 0xf5 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2390 /* Table2390 */
+    2384 /* Table2384 */
    },
    /* 0xf6 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2392 /* Table2392 */
+    2386 /* Table2386 */
    },
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2394 /* Table2394 */
+    2388 /* Table2388 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -98155,7 +98161,7 @@
    /* 0xf7 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2396 /* Table2396 */
+    2390 /* Table2390 */
    },
    /* 0xf8 */
    { /* ModRMDecision */
@@ -100694,7 +100700,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2398 /* Table2398 */
+    2392 /* Table2392 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
@@ -101979,7 +101985,7 @@
    /* 0xf0 */
    { /* struct ModRMDecision */
     MODRM_SPLITRM,
-    2400 /* Table2400 */
+    2394 /* Table2394 */
    },
    /* 0xf1 */
    { /* ModRMDecision */
diff --git a/arch/X86/X86GenInstrInfo.inc b/arch/X86/X86GenInstrInfo.inc
index f830bcd..846be6c 100644
--- a/arch/X86/X86GenInstrInfo.inc
+++ b/arch/X86/X86GenInstrInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_INSTRINFO_ENUM
@@ -16,7 +16,7 @@
 enum {
     X86_PHI	= 0,
     X86_INLINEASM	= 1,
-    X86_PROLOG_LABEL	= 2,
+    X86_CFI_INSTRUCTION	= 2,
     X86_EH_LABEL	= 3,
     X86_GC_LABEL	= 4,
     X86_KILL	= 5,
@@ -33,5438 +33,6247 @@
     X86_LIFETIME_END	= 16,
     X86_STACKMAP	= 17,
     X86_PATCHPOINT	= 18,
-    X86_AAA	= 19,
-    X86_AAD8i8	= 20,
-    X86_AAM8i8	= 21,
-    X86_AAS	= 22,
-    X86_ABS_F	= 23,
-    X86_ABS_Fp32	= 24,
-    X86_ABS_Fp64	= 25,
-    X86_ABS_Fp80	= 26,
-    X86_ACQUIRE_MOV16rm	= 27,
-    X86_ACQUIRE_MOV32rm	= 28,
-    X86_ACQUIRE_MOV64rm	= 29,
-    X86_ACQUIRE_MOV8rm	= 30,
-    X86_ADC16i16	= 31,
-    X86_ADC16mi	= 32,
-    X86_ADC16mi8	= 33,
-    X86_ADC16mr	= 34,
-    X86_ADC16ri	= 35,
-    X86_ADC16ri8	= 36,
-    X86_ADC16rm	= 37,
-    X86_ADC16rr	= 38,
-    X86_ADC16rr_REV	= 39,
-    X86_ADC32i32	= 40,
-    X86_ADC32mi	= 41,
-    X86_ADC32mi8	= 42,
-    X86_ADC32mr	= 43,
-    X86_ADC32ri	= 44,
-    X86_ADC32ri8	= 45,
-    X86_ADC32rm	= 46,
-    X86_ADC32rr	= 47,
-    X86_ADC32rr_REV	= 48,
-    X86_ADC64i32	= 49,
-    X86_ADC64mi32	= 50,
-    X86_ADC64mi8	= 51,
-    X86_ADC64mr	= 52,
-    X86_ADC64ri32	= 53,
-    X86_ADC64ri8	= 54,
-    X86_ADC64rm	= 55,
-    X86_ADC64rr	= 56,
-    X86_ADC64rr_REV	= 57,
-    X86_ADC8i8	= 58,
-    X86_ADC8mi	= 59,
-    X86_ADC8mr	= 60,
-    X86_ADC8ri	= 61,
-    X86_ADC8rm	= 62,
-    X86_ADC8rr	= 63,
-    X86_ADC8rr_REV	= 64,
-    X86_ADCX32rm	= 65,
-    X86_ADCX32rr	= 66,
-    X86_ADCX64rm	= 67,
-    X86_ADCX64rr	= 68,
-    X86_ADD16i16	= 69,
-    X86_ADD16mi	= 70,
-    X86_ADD16mi8	= 71,
-    X86_ADD16mr	= 72,
-    X86_ADD16ri	= 73,
-    X86_ADD16ri8	= 74,
-    X86_ADD16ri8_DB	= 75,
-    X86_ADD16ri_DB	= 76,
-    X86_ADD16rm	= 77,
-    X86_ADD16rr	= 78,
-    X86_ADD16rr_DB	= 79,
-    X86_ADD16rr_REV	= 80,
-    X86_ADD32i32	= 81,
-    X86_ADD32mi	= 82,
-    X86_ADD32mi8	= 83,
-    X86_ADD32mr	= 84,
-    X86_ADD32ri	= 85,
-    X86_ADD32ri8	= 86,
-    X86_ADD32ri8_DB	= 87,
-    X86_ADD32ri_DB	= 88,
-    X86_ADD32rm	= 89,
-    X86_ADD32rr	= 90,
-    X86_ADD32rr_DB	= 91,
-    X86_ADD32rr_REV	= 92,
-    X86_ADD64i32	= 93,
-    X86_ADD64mi32	= 94,
-    X86_ADD64mi8	= 95,
-    X86_ADD64mr	= 96,
-    X86_ADD64ri32	= 97,
-    X86_ADD64ri32_DB	= 98,
-    X86_ADD64ri8	= 99,
-    X86_ADD64ri8_DB	= 100,
-    X86_ADD64rm	= 101,
-    X86_ADD64rr	= 102,
-    X86_ADD64rr_DB	= 103,
-    X86_ADD64rr_REV	= 104,
-    X86_ADD8i8	= 105,
-    X86_ADD8mi	= 106,
-    X86_ADD8mr	= 107,
-    X86_ADD8ri	= 108,
-    X86_ADD8ri8	= 109,
-    X86_ADD8rm	= 110,
-    X86_ADD8rr	= 111,
-    X86_ADD8rr_REV	= 112,
-    X86_ADDPDrm	= 113,
-    X86_ADDPDrr	= 114,
-    X86_ADDPSrm	= 115,
-    X86_ADDPSrr	= 116,
-    X86_ADDSDrm	= 117,
-    X86_ADDSDrm_Int	= 118,
-    X86_ADDSDrr	= 119,
-    X86_ADDSDrr_Int	= 120,
-    X86_ADDSSrm	= 121,
-    X86_ADDSSrm_Int	= 122,
-    X86_ADDSSrr	= 123,
-    X86_ADDSSrr_Int	= 124,
-    X86_ADDSUBPDrm	= 125,
-    X86_ADDSUBPDrr	= 126,
-    X86_ADDSUBPSrm	= 127,
-    X86_ADDSUBPSrr	= 128,
-    X86_ADD_F32m	= 129,
-    X86_ADD_F64m	= 130,
-    X86_ADD_FI16m	= 131,
-    X86_ADD_FI32m	= 132,
-    X86_ADD_FPrST0	= 133,
-    X86_ADD_FST0r	= 134,
-    X86_ADD_Fp32	= 135,
-    X86_ADD_Fp32m	= 136,
-    X86_ADD_Fp64	= 137,
-    X86_ADD_Fp64m	= 138,
-    X86_ADD_Fp64m32	= 139,
-    X86_ADD_Fp80	= 140,
-    X86_ADD_Fp80m32	= 141,
-    X86_ADD_Fp80m64	= 142,
-    X86_ADD_FpI16m32	= 143,
-    X86_ADD_FpI16m64	= 144,
-    X86_ADD_FpI16m80	= 145,
-    X86_ADD_FpI32m32	= 146,
-    X86_ADD_FpI32m64	= 147,
-    X86_ADD_FpI32m80	= 148,
-    X86_ADD_FrST0	= 149,
-    X86_ADJCALLSTACKDOWN32	= 150,
-    X86_ADJCALLSTACKDOWN64	= 151,
-    X86_ADJCALLSTACKUP32	= 152,
-    X86_ADJCALLSTACKUP64	= 153,
-    X86_ADOX32rm	= 154,
-    X86_ADOX32rr	= 155,
-    X86_ADOX64rm	= 156,
-    X86_ADOX64rr	= 157,
-    X86_AESDECLASTrm	= 158,
-    X86_AESDECLASTrr	= 159,
-    X86_AESDECrm	= 160,
-    X86_AESDECrr	= 161,
-    X86_AESENCLASTrm	= 162,
-    X86_AESENCLASTrr	= 163,
-    X86_AESENCrm	= 164,
-    X86_AESENCrr	= 165,
-    X86_AESIMCrm	= 166,
-    X86_AESIMCrr	= 167,
-    X86_AESKEYGENASSIST128rm	= 168,
-    X86_AESKEYGENASSIST128rr	= 169,
-    X86_AND16i16	= 170,
-    X86_AND16mi	= 171,
-    X86_AND16mi8	= 172,
-    X86_AND16mr	= 173,
-    X86_AND16ri	= 174,
-    X86_AND16ri8	= 175,
-    X86_AND16rm	= 176,
-    X86_AND16rr	= 177,
-    X86_AND16rr_REV	= 178,
-    X86_AND32i32	= 179,
-    X86_AND32mi	= 180,
-    X86_AND32mi8	= 181,
-    X86_AND32mr	= 182,
-    X86_AND32ri	= 183,
-    X86_AND32ri8	= 184,
-    X86_AND32rm	= 185,
-    X86_AND32rr	= 186,
-    X86_AND32rr_REV	= 187,
-    X86_AND64i32	= 188,
-    X86_AND64mi32	= 189,
-    X86_AND64mi8	= 190,
-    X86_AND64mr	= 191,
-    X86_AND64ri32	= 192,
-    X86_AND64ri8	= 193,
-    X86_AND64rm	= 194,
-    X86_AND64rr	= 195,
-    X86_AND64rr_REV	= 196,
-    X86_AND8i8	= 197,
-    X86_AND8mi	= 198,
-    X86_AND8mr	= 199,
-    X86_AND8ri	= 200,
-    X86_AND8ri8	= 201,
-    X86_AND8rm	= 202,
-    X86_AND8rr	= 203,
-    X86_AND8rr_REV	= 204,
-    X86_ANDN32rm	= 205,
-    X86_ANDN32rr	= 206,
-    X86_ANDN64rm	= 207,
-    X86_ANDN64rr	= 208,
-    X86_ANDNPDrm	= 209,
-    X86_ANDNPDrr	= 210,
-    X86_ANDNPSrm	= 211,
-    X86_ANDNPSrr	= 212,
-    X86_ANDPDrm	= 213,
-    X86_ANDPDrr	= 214,
-    X86_ANDPSrm	= 215,
-    X86_ANDPSrr	= 216,
-    X86_ARPL16mr	= 217,
-    X86_ARPL16rr	= 218,
-    X86_ATOMADD6432	= 219,
-    X86_ATOMAND16	= 220,
-    X86_ATOMAND32	= 221,
-    X86_ATOMAND64	= 222,
-    X86_ATOMAND6432	= 223,
-    X86_ATOMAND8	= 224,
-    X86_ATOMMAX16	= 225,
-    X86_ATOMMAX32	= 226,
-    X86_ATOMMAX64	= 227,
-    X86_ATOMMAX6432	= 228,
-    X86_ATOMMAX8	= 229,
-    X86_ATOMMIN16	= 230,
-    X86_ATOMMIN32	= 231,
-    X86_ATOMMIN64	= 232,
-    X86_ATOMMIN6432	= 233,
-    X86_ATOMMIN8	= 234,
-    X86_ATOMNAND16	= 235,
-    X86_ATOMNAND32	= 236,
-    X86_ATOMNAND64	= 237,
-    X86_ATOMNAND6432	= 238,
-    X86_ATOMNAND8	= 239,
-    X86_ATOMOR16	= 240,
-    X86_ATOMOR32	= 241,
-    X86_ATOMOR64	= 242,
-    X86_ATOMOR6432	= 243,
-    X86_ATOMOR8	= 244,
-    X86_ATOMSUB6432	= 245,
-    X86_ATOMSWAP6432	= 246,
-    X86_ATOMUMAX16	= 247,
-    X86_ATOMUMAX32	= 248,
-    X86_ATOMUMAX64	= 249,
-    X86_ATOMUMAX6432	= 250,
-    X86_ATOMUMAX8	= 251,
-    X86_ATOMUMIN16	= 252,
-    X86_ATOMUMIN32	= 253,
-    X86_ATOMUMIN64	= 254,
-    X86_ATOMUMIN6432	= 255,
-    X86_ATOMUMIN8	= 256,
-    X86_ATOMXOR16	= 257,
-    X86_ATOMXOR32	= 258,
-    X86_ATOMXOR64	= 259,
-    X86_ATOMXOR6432	= 260,
-    X86_ATOMXOR8	= 261,
-    X86_AVX2_SETALLONES	= 262,
-    X86_AVX512_512_SET0	= 263,
-    X86_AVX_SET0	= 264,
-    X86_BEXTR32rm	= 265,
-    X86_BEXTR32rr	= 266,
-    X86_BEXTR64rm	= 267,
-    X86_BEXTR64rr	= 268,
-    X86_BEXTRI32mi	= 269,
-    X86_BEXTRI32ri	= 270,
-    X86_BEXTRI64mi	= 271,
-    X86_BEXTRI64ri	= 272,
-    X86_BLCFILL32rm	= 273,
-    X86_BLCFILL32rr	= 274,
-    X86_BLCFILL64rm	= 275,
-    X86_BLCFILL64rr	= 276,
-    X86_BLCI32rm	= 277,
-    X86_BLCI32rr	= 278,
-    X86_BLCI64rm	= 279,
-    X86_BLCI64rr	= 280,
-    X86_BLCIC32rm	= 281,
-    X86_BLCIC32rr	= 282,
-    X86_BLCIC64rm	= 283,
-    X86_BLCIC64rr	= 284,
-    X86_BLCMSK32rm	= 285,
-    X86_BLCMSK32rr	= 286,
-    X86_BLCMSK64rm	= 287,
-    X86_BLCMSK64rr	= 288,
-    X86_BLCS32rm	= 289,
-    X86_BLCS32rr	= 290,
-    X86_BLCS64rm	= 291,
-    X86_BLCS64rr	= 292,
-    X86_BLENDPDrmi	= 293,
-    X86_BLENDPDrri	= 294,
-    X86_BLENDPSrmi	= 295,
-    X86_BLENDPSrri	= 296,
-    X86_BLENDVPDrm0	= 297,
-    X86_BLENDVPDrr0	= 298,
-    X86_BLENDVPSrm0	= 299,
-    X86_BLENDVPSrr0	= 300,
-    X86_BLSFILL32rm	= 301,
-    X86_BLSFILL32rr	= 302,
-    X86_BLSFILL64rm	= 303,
-    X86_BLSFILL64rr	= 304,
-    X86_BLSI32rm	= 305,
-    X86_BLSI32rr	= 306,
-    X86_BLSI64rm	= 307,
-    X86_BLSI64rr	= 308,
-    X86_BLSIC32rm	= 309,
-    X86_BLSIC32rr	= 310,
-    X86_BLSIC64rm	= 311,
-    X86_BLSIC64rr	= 312,
-    X86_BLSMSK32rm	= 313,
-    X86_BLSMSK32rr	= 314,
-    X86_BLSMSK64rm	= 315,
-    X86_BLSMSK64rr	= 316,
-    X86_BLSR32rm	= 317,
-    X86_BLSR32rr	= 318,
-    X86_BLSR64rm	= 319,
-    X86_BLSR64rr	= 320,
-    X86_BOUNDS16rm	= 321,
-    X86_BOUNDS32rm	= 322,
-    X86_BSF16rm	= 323,
-    X86_BSF16rr	= 324,
-    X86_BSF32rm	= 325,
-    X86_BSF32rr	= 326,
-    X86_BSF64rm	= 327,
-    X86_BSF64rr	= 328,
-    X86_BSR16rm	= 329,
-    X86_BSR16rr	= 330,
-    X86_BSR32rm	= 331,
-    X86_BSR32rr	= 332,
-    X86_BSR64rm	= 333,
-    X86_BSR64rr	= 334,
-    X86_BSWAP32r	= 335,
-    X86_BSWAP64r	= 336,
-    X86_BT16mi8	= 337,
-    X86_BT16mr	= 338,
-    X86_BT16ri8	= 339,
-    X86_BT16rr	= 340,
-    X86_BT32mi8	= 341,
-    X86_BT32mr	= 342,
-    X86_BT32ri8	= 343,
-    X86_BT32rr	= 344,
-    X86_BT64mi8	= 345,
-    X86_BT64mr	= 346,
-    X86_BT64ri8	= 347,
-    X86_BT64rr	= 348,
-    X86_BTC16mi8	= 349,
-    X86_BTC16mr	= 350,
-    X86_BTC16ri8	= 351,
-    X86_BTC16rr	= 352,
-    X86_BTC32mi8	= 353,
-    X86_BTC32mr	= 354,
-    X86_BTC32ri8	= 355,
-    X86_BTC32rr	= 356,
-    X86_BTC64mi8	= 357,
-    X86_BTC64mr	= 358,
-    X86_BTC64ri8	= 359,
-    X86_BTC64rr	= 360,
-    X86_BTR16mi8	= 361,
-    X86_BTR16mr	= 362,
-    X86_BTR16ri8	= 363,
-    X86_BTR16rr	= 364,
-    X86_BTR32mi8	= 365,
-    X86_BTR32mr	= 366,
-    X86_BTR32ri8	= 367,
-    X86_BTR32rr	= 368,
-    X86_BTR64mi8	= 369,
-    X86_BTR64mr	= 370,
-    X86_BTR64ri8	= 371,
-    X86_BTR64rr	= 372,
-    X86_BTS16mi8	= 373,
-    X86_BTS16mr	= 374,
-    X86_BTS16ri8	= 375,
-    X86_BTS16rr	= 376,
-    X86_BTS32mi8	= 377,
-    X86_BTS32mr	= 378,
-    X86_BTS32ri8	= 379,
-    X86_BTS32rr	= 380,
-    X86_BTS64mi8	= 381,
-    X86_BTS64mr	= 382,
-    X86_BTS64ri8	= 383,
-    X86_BTS64rr	= 384,
-    X86_BZHI32rm	= 385,
-    X86_BZHI32rr	= 386,
-    X86_BZHI64rm	= 387,
-    X86_BZHI64rr	= 388,
-    X86_CALL16m	= 389,
-    X86_CALL16r	= 390,
-    X86_CALL32m	= 391,
-    X86_CALL32r	= 392,
-    X86_CALL64m	= 393,
-    X86_CALL64pcrel32	= 394,
-    X86_CALL64r	= 395,
-    X86_CALLpcrel16	= 396,
-    X86_CALLpcrel32	= 397,
-    X86_CBW	= 398,
-    X86_CDQ	= 399,
-    X86_CDQE	= 400,
-    X86_CHS_F	= 401,
-    X86_CHS_Fp32	= 402,
-    X86_CHS_Fp64	= 403,
-    X86_CHS_Fp80	= 404,
-    X86_CLAC	= 405,
-    X86_CLC	= 406,
-    X86_CLD	= 407,
-    X86_CLFLUSH	= 408,
-    X86_CLGI	= 409,
-    X86_CLI	= 410,
-    X86_CLTS	= 411,
-    X86_CMC	= 412,
-    X86_CMOVA16rm	= 413,
-    X86_CMOVA16rr	= 414,
-    X86_CMOVA32rm	= 415,
-    X86_CMOVA32rr	= 416,
-    X86_CMOVA64rm	= 417,
-    X86_CMOVA64rr	= 418,
-    X86_CMOVAE16rm	= 419,
-    X86_CMOVAE16rr	= 420,
-    X86_CMOVAE32rm	= 421,
-    X86_CMOVAE32rr	= 422,
-    X86_CMOVAE64rm	= 423,
-    X86_CMOVAE64rr	= 424,
-    X86_CMOVB16rm	= 425,
-    X86_CMOVB16rr	= 426,
-    X86_CMOVB32rm	= 427,
-    X86_CMOVB32rr	= 428,
-    X86_CMOVB64rm	= 429,
-    X86_CMOVB64rr	= 430,
-    X86_CMOVBE16rm	= 431,
-    X86_CMOVBE16rr	= 432,
-    X86_CMOVBE32rm	= 433,
-    X86_CMOVBE32rr	= 434,
-    X86_CMOVBE64rm	= 435,
-    X86_CMOVBE64rr	= 436,
-    X86_CMOVBE_F	= 437,
-    X86_CMOVBE_Fp32	= 438,
-    X86_CMOVBE_Fp64	= 439,
-    X86_CMOVBE_Fp80	= 440,
-    X86_CMOVB_F	= 441,
-    X86_CMOVB_Fp32	= 442,
-    X86_CMOVB_Fp64	= 443,
-    X86_CMOVB_Fp80	= 444,
-    X86_CMOVE16rm	= 445,
-    X86_CMOVE16rr	= 446,
-    X86_CMOVE32rm	= 447,
-    X86_CMOVE32rr	= 448,
-    X86_CMOVE64rm	= 449,
-    X86_CMOVE64rr	= 450,
-    X86_CMOVE_F	= 451,
-    X86_CMOVE_Fp32	= 452,
-    X86_CMOVE_Fp64	= 453,
-    X86_CMOVE_Fp80	= 454,
-    X86_CMOVG16rm	= 455,
-    X86_CMOVG16rr	= 456,
-    X86_CMOVG32rm	= 457,
-    X86_CMOVG32rr	= 458,
-    X86_CMOVG64rm	= 459,
-    X86_CMOVG64rr	= 460,
-    X86_CMOVGE16rm	= 461,
-    X86_CMOVGE16rr	= 462,
-    X86_CMOVGE32rm	= 463,
-    X86_CMOVGE32rr	= 464,
-    X86_CMOVGE64rm	= 465,
-    X86_CMOVGE64rr	= 466,
-    X86_CMOVL16rm	= 467,
-    X86_CMOVL16rr	= 468,
-    X86_CMOVL32rm	= 469,
-    X86_CMOVL32rr	= 470,
-    X86_CMOVL64rm	= 471,
-    X86_CMOVL64rr	= 472,
-    X86_CMOVLE16rm	= 473,
-    X86_CMOVLE16rr	= 474,
-    X86_CMOVLE32rm	= 475,
-    X86_CMOVLE32rr	= 476,
-    X86_CMOVLE64rm	= 477,
-    X86_CMOVLE64rr	= 478,
-    X86_CMOVNBE_F	= 479,
-    X86_CMOVNBE_Fp32	= 480,
-    X86_CMOVNBE_Fp64	= 481,
-    X86_CMOVNBE_Fp80	= 482,
-    X86_CMOVNB_F	= 483,
-    X86_CMOVNB_Fp32	= 484,
-    X86_CMOVNB_Fp64	= 485,
-    X86_CMOVNB_Fp80	= 486,
-    X86_CMOVNE16rm	= 487,
-    X86_CMOVNE16rr	= 488,
-    X86_CMOVNE32rm	= 489,
-    X86_CMOVNE32rr	= 490,
-    X86_CMOVNE64rm	= 491,
-    X86_CMOVNE64rr	= 492,
-    X86_CMOVNE_F	= 493,
-    X86_CMOVNE_Fp32	= 494,
-    X86_CMOVNE_Fp64	= 495,
-    X86_CMOVNE_Fp80	= 496,
-    X86_CMOVNO16rm	= 497,
-    X86_CMOVNO16rr	= 498,
-    X86_CMOVNO32rm	= 499,
-    X86_CMOVNO32rr	= 500,
-    X86_CMOVNO64rm	= 501,
-    X86_CMOVNO64rr	= 502,
-    X86_CMOVNP16rm	= 503,
-    X86_CMOVNP16rr	= 504,
-    X86_CMOVNP32rm	= 505,
-    X86_CMOVNP32rr	= 506,
-    X86_CMOVNP64rm	= 507,
-    X86_CMOVNP64rr	= 508,
-    X86_CMOVNP_F	= 509,
-    X86_CMOVNP_Fp32	= 510,
-    X86_CMOVNP_Fp64	= 511,
-    X86_CMOVNP_Fp80	= 512,
-    X86_CMOVNS16rm	= 513,
-    X86_CMOVNS16rr	= 514,
-    X86_CMOVNS32rm	= 515,
-    X86_CMOVNS32rr	= 516,
-    X86_CMOVNS64rm	= 517,
-    X86_CMOVNS64rr	= 518,
-    X86_CMOVO16rm	= 519,
-    X86_CMOVO16rr	= 520,
-    X86_CMOVO32rm	= 521,
-    X86_CMOVO32rr	= 522,
-    X86_CMOVO64rm	= 523,
-    X86_CMOVO64rr	= 524,
-    X86_CMOVP16rm	= 525,
-    X86_CMOVP16rr	= 526,
-    X86_CMOVP32rm	= 527,
-    X86_CMOVP32rr	= 528,
-    X86_CMOVP64rm	= 529,
-    X86_CMOVP64rr	= 530,
-    X86_CMOVP_F	= 531,
-    X86_CMOVP_Fp32	= 532,
-    X86_CMOVP_Fp64	= 533,
-    X86_CMOVP_Fp80	= 534,
-    X86_CMOVS16rm	= 535,
-    X86_CMOVS16rr	= 536,
-    X86_CMOVS32rm	= 537,
-    X86_CMOVS32rr	= 538,
-    X86_CMOVS64rm	= 539,
-    X86_CMOVS64rr	= 540,
-    X86_CMOV_FR32	= 541,
-    X86_CMOV_FR64	= 542,
-    X86_CMOV_GR16	= 543,
-    X86_CMOV_GR32	= 544,
-    X86_CMOV_GR8	= 545,
-    X86_CMOV_RFP32	= 546,
-    X86_CMOV_RFP64	= 547,
-    X86_CMOV_RFP80	= 548,
-    X86_CMOV_V16F32	= 549,
-    X86_CMOV_V2F64	= 550,
-    X86_CMOV_V2I64	= 551,
-    X86_CMOV_V4F32	= 552,
-    X86_CMOV_V4F64	= 553,
-    X86_CMOV_V4I64	= 554,
-    X86_CMOV_V8F32	= 555,
-    X86_CMOV_V8F64	= 556,
-    X86_CMOV_V8I64	= 557,
-    X86_CMP16i16	= 558,
-    X86_CMP16mi	= 559,
-    X86_CMP16mi8	= 560,
-    X86_CMP16mr	= 561,
-    X86_CMP16ri	= 562,
-    X86_CMP16ri8	= 563,
-    X86_CMP16rm	= 564,
-    X86_CMP16rr	= 565,
-    X86_CMP16rr_REV	= 566,
-    X86_CMP32i32	= 567,
-    X86_CMP32mi	= 568,
-    X86_CMP32mi8	= 569,
-    X86_CMP32mr	= 570,
-    X86_CMP32ri	= 571,
-    X86_CMP32ri8	= 572,
-    X86_CMP32rm	= 573,
-    X86_CMP32rr	= 574,
-    X86_CMP32rr_REV	= 575,
-    X86_CMP64i32	= 576,
-    X86_CMP64mi32	= 577,
-    X86_CMP64mi8	= 578,
-    X86_CMP64mr	= 579,
-    X86_CMP64ri32	= 580,
-    X86_CMP64ri8	= 581,
-    X86_CMP64rm	= 582,
-    X86_CMP64rr	= 583,
-    X86_CMP64rr_REV	= 584,
-    X86_CMP8i8	= 585,
-    X86_CMP8mi	= 586,
-    X86_CMP8mr	= 587,
-    X86_CMP8ri	= 588,
-    X86_CMP8rm	= 589,
-    X86_CMP8rr	= 590,
-    X86_CMP8rr_REV	= 591,
-    X86_CMPPDrmi	= 592,
-    X86_CMPPDrmi_alt	= 593,
-    X86_CMPPDrri	= 594,
-    X86_CMPPDrri_alt	= 595,
-    X86_CMPPSrmi	= 596,
-    X86_CMPPSrmi_alt	= 597,
-    X86_CMPPSrri	= 598,
-    X86_CMPPSrri_alt	= 599,
-    X86_CMPS16	= 600,
-    X86_CMPS32	= 601,
-    X86_CMPS64	= 602,
-    X86_CMPS8	= 603,
-    X86_CMPSDrm	= 604,
-    X86_CMPSDrm_alt	= 605,
-    X86_CMPSDrr	= 606,
-    X86_CMPSDrr_alt	= 607,
-    X86_CMPSSrm	= 608,
-    X86_CMPSSrm_alt	= 609,
-    X86_CMPSSrr	= 610,
-    X86_CMPSSrr_alt	= 611,
-    X86_CMPXCHG16B	= 612,
-    X86_CMPXCHG16rm	= 613,
-    X86_CMPXCHG16rr	= 614,
-    X86_CMPXCHG32rm	= 615,
-    X86_CMPXCHG32rr	= 616,
-    X86_CMPXCHG64rm	= 617,
-    X86_CMPXCHG64rr	= 618,
-    X86_CMPXCHG8B	= 619,
-    X86_CMPXCHG8rm	= 620,
-    X86_CMPXCHG8rr	= 621,
-    X86_COMISDrm	= 622,
-    X86_COMISDrr	= 623,
-    X86_COMISSrm	= 624,
-    X86_COMISSrr	= 625,
-    X86_COMP_FST0r	= 626,
-    X86_COM_FIPr	= 627,
-    X86_COM_FIr	= 628,
-    X86_COM_FST0r	= 629,
-    X86_COS_F	= 630,
-    X86_COS_Fp32	= 631,
-    X86_COS_Fp64	= 632,
-    X86_COS_Fp80	= 633,
-    X86_CPUID32	= 634,
-    X86_CPUID64	= 635,
-    X86_CQO	= 636,
-    X86_CRC32r32m16	= 637,
-    X86_CRC32r32m32	= 638,
-    X86_CRC32r32m8	= 639,
-    X86_CRC32r32r16	= 640,
-    X86_CRC32r32r32	= 641,
-    X86_CRC32r32r8	= 642,
-    X86_CRC32r64m64	= 643,
-    X86_CRC32r64m8	= 644,
-    X86_CRC32r64r64	= 645,
-    X86_CRC32r64r8	= 646,
-    X86_CS_PREFIX	= 647,
-    X86_CVTDQ2PDrm	= 648,
-    X86_CVTDQ2PDrr	= 649,
-    X86_CVTDQ2PSrm	= 650,
-    X86_CVTDQ2PSrr	= 651,
-    X86_CVTPD2DQrm	= 652,
-    X86_CVTPD2DQrr	= 653,
-    X86_CVTPD2PSrm	= 654,
-    X86_CVTPD2PSrr	= 655,
-    X86_CVTPS2DQrm	= 656,
-    X86_CVTPS2DQrr	= 657,
-    X86_CVTPS2PDrm	= 658,
-    X86_CVTPS2PDrr	= 659,
-    X86_CVTSD2SI64rm	= 660,
-    X86_CVTSD2SI64rr	= 661,
-    X86_CVTSD2SIrm	= 662,
-    X86_CVTSD2SIrr	= 663,
-    X86_CVTSD2SSrm	= 664,
-    X86_CVTSD2SSrr	= 665,
-    X86_CVTSI2SD64rm	= 666,
-    X86_CVTSI2SD64rr	= 667,
-    X86_CVTSI2SDrm	= 668,
-    X86_CVTSI2SDrr	= 669,
-    X86_CVTSI2SS64rm	= 670,
-    X86_CVTSI2SS64rr	= 671,
-    X86_CVTSI2SSrm	= 672,
-    X86_CVTSI2SSrr	= 673,
-    X86_CVTSS2SDrm	= 674,
-    X86_CVTSS2SDrr	= 675,
-    X86_CVTSS2SI64rm	= 676,
-    X86_CVTSS2SI64rr	= 677,
-    X86_CVTSS2SIrm	= 678,
-    X86_CVTSS2SIrr	= 679,
-    X86_CVTTPD2DQrm	= 680,
-    X86_CVTTPD2DQrr	= 681,
-    X86_CVTTPS2DQrm	= 682,
-    X86_CVTTPS2DQrr	= 683,
-    X86_CVTTSD2SI64rm	= 684,
-    X86_CVTTSD2SI64rr	= 685,
-    X86_CVTTSD2SIrm	= 686,
-    X86_CVTTSD2SIrr	= 687,
-    X86_CVTTSS2SI64rm	= 688,
-    X86_CVTTSS2SI64rr	= 689,
-    X86_CVTTSS2SIrm	= 690,
-    X86_CVTTSS2SIrr	= 691,
-    X86_CWD	= 692,
-    X86_CWDE	= 693,
-    X86_DAA	= 694,
-    X86_DAS	= 695,
-    X86_DATA16_PREFIX	= 696,
-    X86_DEC16m	= 697,
-    X86_DEC16r	= 698,
-    X86_DEC32_16r	= 699,
-    X86_DEC32_32r	= 700,
-    X86_DEC32m	= 701,
-    X86_DEC32r	= 702,
-    X86_DEC64_16m	= 703,
-    X86_DEC64_16r	= 704,
-    X86_DEC64_32m	= 705,
-    X86_DEC64_32r	= 706,
-    X86_DEC64m	= 707,
-    X86_DEC64r	= 708,
-    X86_DEC8m	= 709,
-    X86_DEC8r	= 710,
-    X86_DIV16m	= 711,
-    X86_DIV16r	= 712,
-    X86_DIV32m	= 713,
-    X86_DIV32r	= 714,
-    X86_DIV64m	= 715,
-    X86_DIV64r	= 716,
-    X86_DIV8m	= 717,
-    X86_DIV8r	= 718,
-    X86_DIVPDrm	= 719,
-    X86_DIVPDrr	= 720,
-    X86_DIVPSrm	= 721,
-    X86_DIVPSrr	= 722,
-    X86_DIVR_F32m	= 723,
-    X86_DIVR_F64m	= 724,
-    X86_DIVR_FI16m	= 725,
-    X86_DIVR_FI32m	= 726,
-    X86_DIVR_FPrST0	= 727,
-    X86_DIVR_FST0r	= 728,
-    X86_DIVR_Fp32m	= 729,
-    X86_DIVR_Fp64m	= 730,
-    X86_DIVR_Fp64m32	= 731,
-    X86_DIVR_Fp80m32	= 732,
-    X86_DIVR_Fp80m64	= 733,
-    X86_DIVR_FpI16m32	= 734,
-    X86_DIVR_FpI16m64	= 735,
-    X86_DIVR_FpI16m80	= 736,
-    X86_DIVR_FpI32m32	= 737,
-    X86_DIVR_FpI32m64	= 738,
-    X86_DIVR_FpI32m80	= 739,
-    X86_DIVR_FrST0	= 740,
-    X86_DIVSDrm	= 741,
-    X86_DIVSDrm_Int	= 742,
-    X86_DIVSDrr	= 743,
-    X86_DIVSDrr_Int	= 744,
-    X86_DIVSSrm	= 745,
-    X86_DIVSSrm_Int	= 746,
-    X86_DIVSSrr	= 747,
-    X86_DIVSSrr_Int	= 748,
-    X86_DIV_F32m	= 749,
-    X86_DIV_F64m	= 750,
-    X86_DIV_FI16m	= 751,
-    X86_DIV_FI32m	= 752,
-    X86_DIV_FPrST0	= 753,
-    X86_DIV_FST0r	= 754,
-    X86_DIV_Fp32	= 755,
-    X86_DIV_Fp32m	= 756,
-    X86_DIV_Fp64	= 757,
-    X86_DIV_Fp64m	= 758,
-    X86_DIV_Fp64m32	= 759,
-    X86_DIV_Fp80	= 760,
-    X86_DIV_Fp80m32	= 761,
-    X86_DIV_Fp80m64	= 762,
-    X86_DIV_FpI16m32	= 763,
-    X86_DIV_FpI16m64	= 764,
-    X86_DIV_FpI16m80	= 765,
-    X86_DIV_FpI32m32	= 766,
-    X86_DIV_FpI32m64	= 767,
-    X86_DIV_FpI32m80	= 768,
-    X86_DIV_FrST0	= 769,
-    X86_DPPDrmi	= 770,
-    X86_DPPDrri	= 771,
-    X86_DPPSrmi	= 772,
-    X86_DPPSrri	= 773,
-    X86_DS_PREFIX	= 774,
-    X86_EH_RETURN	= 775,
-    X86_EH_RETURN64	= 776,
-    X86_EH_SjLj_LongJmp32	= 777,
-    X86_EH_SjLj_LongJmp64	= 778,
-    X86_EH_SjLj_SetJmp32	= 779,
-    X86_EH_SjLj_SetJmp64	= 780,
-    X86_EH_SjLj_Setup	= 781,
-    X86_ENTER	= 782,
-    X86_ES_PREFIX	= 783,
-    X86_EXTRACTPSmr	= 784,
-    X86_EXTRACTPSrr	= 785,
-    X86_EXTRQ	= 786,
-    X86_EXTRQI	= 787,
-    X86_F2XM1	= 788,
-    X86_FARCALL16i	= 789,
-    X86_FARCALL16m	= 790,
-    X86_FARCALL32i	= 791,
-    X86_FARCALL32m	= 792,
-    X86_FARCALL64	= 793,
-    X86_FARJMP16i	= 794,
-    X86_FARJMP16m	= 795,
-    X86_FARJMP32i	= 796,
-    X86_FARJMP32m	= 797,
-    X86_FARJMP64	= 798,
-    X86_FBLDm	= 799,
-    X86_FBSTPm	= 800,
-    X86_FCOM32m	= 801,
-    X86_FCOM64m	= 802,
-    X86_FCOMP32m	= 803,
-    X86_FCOMP64m	= 804,
-    X86_FCOMPP	= 805,
-    X86_FDECSTP	= 806,
-    X86_FEMMS	= 807,
-    X86_FFREE	= 808,
-    X86_FICOM16m	= 809,
-    X86_FICOM32m	= 810,
-    X86_FICOMP16m	= 811,
-    X86_FICOMP32m	= 812,
-    X86_FINCSTP	= 813,
-    X86_FLDCW16m	= 814,
-    X86_FLDENVm	= 815,
-    X86_FLDL2E	= 816,
-    X86_FLDL2T	= 817,
-    X86_FLDLG2	= 818,
-    X86_FLDLN2	= 819,
-    X86_FLDPI	= 820,
-    X86_FNCLEX	= 821,
-    X86_FNINIT	= 822,
-    X86_FNOP	= 823,
-    X86_FNSTCW16m	= 824,
-    X86_FNSTSW16r	= 825,
-    X86_FNSTSWm	= 826,
-    X86_FP32_TO_INT16_IN_MEM	= 827,
-    X86_FP32_TO_INT32_IN_MEM	= 828,
-    X86_FP32_TO_INT64_IN_MEM	= 829,
-    X86_FP64_TO_INT16_IN_MEM	= 830,
-    X86_FP64_TO_INT32_IN_MEM	= 831,
-    X86_FP64_TO_INT64_IN_MEM	= 832,
-    X86_FP80_TO_INT16_IN_MEM	= 833,
-    X86_FP80_TO_INT32_IN_MEM	= 834,
-    X86_FP80_TO_INT64_IN_MEM	= 835,
-    X86_FPATAN	= 836,
-    X86_FPREM	= 837,
-    X86_FPREM1	= 838,
-    X86_FPTAN	= 839,
-    X86_FRNDINT	= 840,
-    X86_FRSTORm	= 841,
-    X86_FSAVEm	= 842,
-    X86_FSCALE	= 843,
-    X86_FSETPM	= 844,
-    X86_FSINCOS	= 845,
-    X86_FSTENVm	= 846,
-    X86_FS_PREFIX	= 847,
-    X86_FXAM	= 848,
-    X86_FXRSTOR	= 849,
-    X86_FXRSTOR64	= 850,
-    X86_FXSAVE	= 851,
-    X86_FXSAVE64	= 852,
-    X86_FXTRACT	= 853,
-    X86_FYL2X	= 854,
-    X86_FYL2XP1	= 855,
-    X86_FpPOP_RETVAL	= 856,
-    X86_FsANDNPDrm	= 857,
-    X86_FsANDNPDrr	= 858,
-    X86_FsANDNPSrm	= 859,
-    X86_FsANDNPSrr	= 860,
-    X86_FsANDPDrm	= 861,
-    X86_FsANDPDrr	= 862,
-    X86_FsANDPSrm	= 863,
-    X86_FsANDPSrr	= 864,
-    X86_FsFLD0SD	= 865,
-    X86_FsFLD0SS	= 866,
-    X86_FsMOVAPDrm	= 867,
-    X86_FsMOVAPSrm	= 868,
-    X86_FsORPDrm	= 869,
-    X86_FsORPDrr	= 870,
-    X86_FsORPSrm	= 871,
-    X86_FsORPSrr	= 872,
-    X86_FsVMOVAPDrm	= 873,
-    X86_FsVMOVAPSrm	= 874,
-    X86_FsXORPDrm	= 875,
-    X86_FsXORPDrr	= 876,
-    X86_FsXORPSrm	= 877,
-    X86_FsXORPSrr	= 878,
-    X86_GETSEC	= 879,
-    X86_GS_PREFIX	= 880,
-    X86_HADDPDrm	= 881,
-    X86_HADDPDrr	= 882,
-    X86_HADDPSrm	= 883,
-    X86_HADDPSrr	= 884,
-    X86_HLT	= 885,
-    X86_HSUBPDrm	= 886,
-    X86_HSUBPDrr	= 887,
-    X86_HSUBPSrm	= 888,
-    X86_HSUBPSrr	= 889,
-    X86_IDIV16m	= 890,
-    X86_IDIV16r	= 891,
-    X86_IDIV32m	= 892,
-    X86_IDIV32r	= 893,
-    X86_IDIV64m	= 894,
-    X86_IDIV64r	= 895,
-    X86_IDIV8m	= 896,
-    X86_IDIV8r	= 897,
-    X86_ILD_F16m	= 898,
-    X86_ILD_F32m	= 899,
-    X86_ILD_F64m	= 900,
-    X86_ILD_Fp16m32	= 901,
-    X86_ILD_Fp16m64	= 902,
-    X86_ILD_Fp16m80	= 903,
-    X86_ILD_Fp32m32	= 904,
-    X86_ILD_Fp32m64	= 905,
-    X86_ILD_Fp32m80	= 906,
-    X86_ILD_Fp64m32	= 907,
-    X86_ILD_Fp64m64	= 908,
-    X86_ILD_Fp64m80	= 909,
-    X86_IMUL16m	= 910,
-    X86_IMUL16r	= 911,
-    X86_IMUL16rm	= 912,
-    X86_IMUL16rmi	= 913,
-    X86_IMUL16rmi8	= 914,
-    X86_IMUL16rr	= 915,
-    X86_IMUL16rri	= 916,
-    X86_IMUL16rri8	= 917,
-    X86_IMUL32m	= 918,
-    X86_IMUL32r	= 919,
-    X86_IMUL32rm	= 920,
-    X86_IMUL32rmi	= 921,
-    X86_IMUL32rmi8	= 922,
-    X86_IMUL32rr	= 923,
-    X86_IMUL32rri	= 924,
-    X86_IMUL32rri8	= 925,
-    X86_IMUL64m	= 926,
-    X86_IMUL64r	= 927,
-    X86_IMUL64rm	= 928,
-    X86_IMUL64rmi32	= 929,
-    X86_IMUL64rmi8	= 930,
-    X86_IMUL64rr	= 931,
-    X86_IMUL64rri32	= 932,
-    X86_IMUL64rri8	= 933,
-    X86_IMUL8m	= 934,
-    X86_IMUL8r	= 935,
-    X86_IN16	= 936,
-    X86_IN16ri	= 937,
-    X86_IN16rr	= 938,
-    X86_IN32	= 939,
-    X86_IN32ri	= 940,
-    X86_IN32rr	= 941,
-    X86_IN8	= 942,
-    X86_IN8ri	= 943,
-    X86_IN8rr	= 944,
-    X86_INC16m	= 945,
-    X86_INC16r	= 946,
-    X86_INC32_16r	= 947,
-    X86_INC32_32r	= 948,
-    X86_INC32m	= 949,
-    X86_INC32r	= 950,
-    X86_INC64_16m	= 951,
-    X86_INC64_16r	= 952,
-    X86_INC64_32m	= 953,
-    X86_INC64_32r	= 954,
-    X86_INC64m	= 955,
-    X86_INC64r	= 956,
-    X86_INC8m	= 957,
-    X86_INC8r	= 958,
-    X86_INSERTPSrm	= 959,
-    X86_INSERTPSrr	= 960,
-    X86_INSERTQ	= 961,
-    X86_INSERTQI	= 962,
-    X86_INT	= 963,
-    X86_INT1	= 964,
-    X86_INT3	= 965,
-    X86_INTO	= 966,
-    X86_INVD	= 967,
-    X86_INVEPT32	= 968,
-    X86_INVEPT64	= 969,
-    X86_INVLPG	= 970,
-    X86_INVLPGA32	= 971,
-    X86_INVLPGA64	= 972,
-    X86_INVPCID32	= 973,
-    X86_INVPCID64	= 974,
-    X86_INVVPID32	= 975,
-    X86_INVVPID64	= 976,
-    X86_IRET16	= 977,
-    X86_IRET32	= 978,
-    X86_IRET64	= 979,
-    X86_ISTT_FP16m	= 980,
-    X86_ISTT_FP32m	= 981,
-    X86_ISTT_FP64m	= 982,
-    X86_ISTT_Fp16m32	= 983,
-    X86_ISTT_Fp16m64	= 984,
-    X86_ISTT_Fp16m80	= 985,
-    X86_ISTT_Fp32m32	= 986,
-    X86_ISTT_Fp32m64	= 987,
-    X86_ISTT_Fp32m80	= 988,
-    X86_ISTT_Fp64m32	= 989,
-    X86_ISTT_Fp64m64	= 990,
-    X86_ISTT_Fp64m80	= 991,
-    X86_IST_F16m	= 992,
-    X86_IST_F32m	= 993,
-    X86_IST_FP16m	= 994,
-    X86_IST_FP32m	= 995,
-    X86_IST_FP64m	= 996,
-    X86_IST_Fp16m32	= 997,
-    X86_IST_Fp16m64	= 998,
-    X86_IST_Fp16m80	= 999,
-    X86_IST_Fp32m32	= 1000,
-    X86_IST_Fp32m64	= 1001,
-    X86_IST_Fp32m80	= 1002,
-    X86_IST_Fp64m32	= 1003,
-    X86_IST_Fp64m64	= 1004,
-    X86_IST_Fp64m80	= 1005,
-    X86_Int_CMPSDrm	= 1006,
-    X86_Int_CMPSDrr	= 1007,
-    X86_Int_CMPSSrm	= 1008,
-    X86_Int_CMPSSrr	= 1009,
-    X86_Int_COMISDrm	= 1010,
-    X86_Int_COMISDrr	= 1011,
-    X86_Int_COMISSrm	= 1012,
-    X86_Int_COMISSrr	= 1013,
-    X86_Int_CVTSD2SSrm	= 1014,
-    X86_Int_CVTSD2SSrr	= 1015,
-    X86_Int_CVTSI2SD64rm	= 1016,
-    X86_Int_CVTSI2SD64rr	= 1017,
-    X86_Int_CVTSI2SDrm	= 1018,
-    X86_Int_CVTSI2SDrr	= 1019,
-    X86_Int_CVTSI2SS64rm	= 1020,
-    X86_Int_CVTSI2SS64rr	= 1021,
-    X86_Int_CVTSI2SSrm	= 1022,
-    X86_Int_CVTSI2SSrr	= 1023,
-    X86_Int_CVTSS2SDrm	= 1024,
-    X86_Int_CVTSS2SDrr	= 1025,
-    X86_Int_CVTTSD2SI64rm	= 1026,
-    X86_Int_CVTTSD2SI64rr	= 1027,
-    X86_Int_CVTTSD2SIrm	= 1028,
-    X86_Int_CVTTSD2SIrr	= 1029,
-    X86_Int_CVTTSS2SI64rm	= 1030,
-    X86_Int_CVTTSS2SI64rr	= 1031,
-    X86_Int_CVTTSS2SIrm	= 1032,
-    X86_Int_CVTTSS2SIrr	= 1033,
-    X86_Int_MemBarrier	= 1034,
-    X86_Int_UCOMISDrm	= 1035,
-    X86_Int_UCOMISDrr	= 1036,
-    X86_Int_UCOMISSrm	= 1037,
-    X86_Int_UCOMISSrr	= 1038,
-    X86_Int_VCMPSDrm	= 1039,
-    X86_Int_VCMPSDrr	= 1040,
-    X86_Int_VCMPSSrm	= 1041,
-    X86_Int_VCMPSSrr	= 1042,
-    X86_Int_VCOMISDZrm	= 1043,
-    X86_Int_VCOMISDZrr	= 1044,
-    X86_Int_VCOMISDrm	= 1045,
-    X86_Int_VCOMISDrr	= 1046,
-    X86_Int_VCOMISSZrm	= 1047,
-    X86_Int_VCOMISSZrr	= 1048,
-    X86_Int_VCOMISSrm	= 1049,
-    X86_Int_VCOMISSrr	= 1050,
-    X86_Int_VCVTSD2SSrm	= 1051,
-    X86_Int_VCVTSD2SSrr	= 1052,
-    X86_Int_VCVTSI2SD64Zrm	= 1053,
-    X86_Int_VCVTSI2SD64Zrr	= 1054,
-    X86_Int_VCVTSI2SD64rm	= 1055,
-    X86_Int_VCVTSI2SD64rr	= 1056,
-    X86_Int_VCVTSI2SDZrm	= 1057,
-    X86_Int_VCVTSI2SDZrr	= 1058,
-    X86_Int_VCVTSI2SDrm	= 1059,
-    X86_Int_VCVTSI2SDrr	= 1060,
-    X86_Int_VCVTSI2SS64Zrm	= 1061,
-    X86_Int_VCVTSI2SS64Zrr	= 1062,
-    X86_Int_VCVTSI2SS64rm	= 1063,
-    X86_Int_VCVTSI2SS64rr	= 1064,
-    X86_Int_VCVTSI2SSZrm	= 1065,
-    X86_Int_VCVTSI2SSZrr	= 1066,
-    X86_Int_VCVTSI2SSrm	= 1067,
-    X86_Int_VCVTSI2SSrr	= 1068,
-    X86_Int_VCVTSS2SDrm	= 1069,
-    X86_Int_VCVTSS2SDrr	= 1070,
-    X86_Int_VCVTTSD2SI64Zrm	= 1071,
-    X86_Int_VCVTTSD2SI64Zrr	= 1072,
-    X86_Int_VCVTTSD2SI64rm	= 1073,
-    X86_Int_VCVTTSD2SI64rr	= 1074,
-    X86_Int_VCVTTSD2SIZrm	= 1075,
-    X86_Int_VCVTTSD2SIZrr	= 1076,
-    X86_Int_VCVTTSD2SIrm	= 1077,
-    X86_Int_VCVTTSD2SIrr	= 1078,
-    X86_Int_VCVTTSD2USI64Zrm	= 1079,
-    X86_Int_VCVTTSD2USI64Zrr	= 1080,
-    X86_Int_VCVTTSD2USIZrm	= 1081,
-    X86_Int_VCVTTSD2USIZrr	= 1082,
-    X86_Int_VCVTTSS2SI64Zrm	= 1083,
-    X86_Int_VCVTTSS2SI64Zrr	= 1084,
-    X86_Int_VCVTTSS2SI64rm	= 1085,
-    X86_Int_VCVTTSS2SI64rr	= 1086,
-    X86_Int_VCVTTSS2SIZrm	= 1087,
-    X86_Int_VCVTTSS2SIZrr	= 1088,
-    X86_Int_VCVTTSS2SIrm	= 1089,
-    X86_Int_VCVTTSS2SIrr	= 1090,
-    X86_Int_VCVTTSS2USI64Zrm	= 1091,
-    X86_Int_VCVTTSS2USI64Zrr	= 1092,
-    X86_Int_VCVTTSS2USIZrm	= 1093,
-    X86_Int_VCVTTSS2USIZrr	= 1094,
-    X86_Int_VCVTUSI2SD64Zrm	= 1095,
-    X86_Int_VCVTUSI2SD64Zrr	= 1096,
-    X86_Int_VCVTUSI2SDZrm	= 1097,
-    X86_Int_VCVTUSI2SDZrr	= 1098,
-    X86_Int_VCVTUSI2SS64Zrm	= 1099,
-    X86_Int_VCVTUSI2SS64Zrr	= 1100,
-    X86_Int_VCVTUSI2SSZrm	= 1101,
-    X86_Int_VCVTUSI2SSZrr	= 1102,
-    X86_Int_VUCOMISDZrm	= 1103,
-    X86_Int_VUCOMISDZrr	= 1104,
-    X86_Int_VUCOMISDrm	= 1105,
-    X86_Int_VUCOMISDrr	= 1106,
-    X86_Int_VUCOMISSZrm	= 1107,
-    X86_Int_VUCOMISSZrr	= 1108,
-    X86_Int_VUCOMISSrm	= 1109,
-    X86_Int_VUCOMISSrr	= 1110,
-    X86_JAE_1	= 1111,
-    X86_JAE_2	= 1112,
-    X86_JAE_4	= 1113,
-    X86_JA_1	= 1114,
-    X86_JA_2	= 1115,
-    X86_JA_4	= 1116,
-    X86_JBE_1	= 1117,
-    X86_JBE_2	= 1118,
-    X86_JBE_4	= 1119,
-    X86_JB_1	= 1120,
-    X86_JB_2	= 1121,
-    X86_JB_4	= 1122,
-    X86_JCXZ	= 1123,
-    X86_JECXZ_32	= 1124,
-    X86_JECXZ_64	= 1125,
-    X86_JE_1	= 1126,
-    X86_JE_2	= 1127,
-    X86_JE_4	= 1128,
-    X86_JGE_1	= 1129,
-    X86_JGE_2	= 1130,
-    X86_JGE_4	= 1131,
-    X86_JG_1	= 1132,
-    X86_JG_2	= 1133,
-    X86_JG_4	= 1134,
-    X86_JLE_1	= 1135,
-    X86_JLE_2	= 1136,
-    X86_JLE_4	= 1137,
-    X86_JL_1	= 1138,
-    X86_JL_2	= 1139,
-    X86_JL_4	= 1140,
-    X86_JMP16m	= 1141,
-    X86_JMP16r	= 1142,
-    X86_JMP32m	= 1143,
-    X86_JMP32r	= 1144,
-    X86_JMP64m	= 1145,
-    X86_JMP64r	= 1146,
-    X86_JMP_1	= 1147,
-    X86_JMP_2	= 1148,
-    X86_JMP_4	= 1149,
-    X86_JNE_1	= 1150,
-    X86_JNE_2	= 1151,
-    X86_JNE_4	= 1152,
-    X86_JNO_1	= 1153,
-    X86_JNO_2	= 1154,
-    X86_JNO_4	= 1155,
-    X86_JNP_1	= 1156,
-    X86_JNP_2	= 1157,
-    X86_JNP_4	= 1158,
-    X86_JNS_1	= 1159,
-    X86_JNS_2	= 1160,
-    X86_JNS_4	= 1161,
-    X86_JO_1	= 1162,
-    X86_JO_2	= 1163,
-    X86_JO_4	= 1164,
-    X86_JP_1	= 1165,
-    X86_JP_2	= 1166,
-    X86_JP_4	= 1167,
-    X86_JRCXZ	= 1168,
-    X86_JS_1	= 1169,
-    X86_JS_2	= 1170,
-    X86_JS_4	= 1171,
-    X86_KANDNWrr	= 1172,
-    X86_KANDWrr	= 1173,
-    X86_KMOVWkk	= 1174,
-    X86_KMOVWkm	= 1175,
-    X86_KMOVWkr	= 1176,
-    X86_KMOVWmk	= 1177,
-    X86_KMOVWrk	= 1178,
-    X86_KNOTWrr	= 1179,
-    X86_KORTESTWrr	= 1180,
-    X86_KORWrr	= 1181,
-    X86_KSET0B	= 1182,
-    X86_KSET0W	= 1183,
-    X86_KSET1B	= 1184,
-    X86_KSET1W	= 1185,
-    X86_KSHIFTLWri	= 1186,
-    X86_KSHIFTRWri	= 1187,
-    X86_KUNPCKBWrr	= 1188,
-    X86_KXNORWrr	= 1189,
-    X86_KXORWrr	= 1190,
-    X86_LAHF	= 1191,
-    X86_LAR16rm	= 1192,
-    X86_LAR16rr	= 1193,
-    X86_LAR32rm	= 1194,
-    X86_LAR32rr	= 1195,
-    X86_LAR64rm	= 1196,
-    X86_LAR64rr	= 1197,
-    X86_LCMPXCHG16	= 1198,
-    X86_LCMPXCHG16B	= 1199,
-    X86_LCMPXCHG32	= 1200,
-    X86_LCMPXCHG64	= 1201,
-    X86_LCMPXCHG8	= 1202,
-    X86_LCMPXCHG8B	= 1203,
-    X86_LDDQUrm	= 1204,
-    X86_LDMXCSR	= 1205,
-    X86_LDS16rm	= 1206,
-    X86_LDS32rm	= 1207,
-    X86_LD_F0	= 1208,
-    X86_LD_F1	= 1209,
-    X86_LD_F32m	= 1210,
-    X86_LD_F64m	= 1211,
-    X86_LD_F80m	= 1212,
-    X86_LD_Fp032	= 1213,
-    X86_LD_Fp064	= 1214,
-    X86_LD_Fp080	= 1215,
-    X86_LD_Fp132	= 1216,
-    X86_LD_Fp164	= 1217,
-    X86_LD_Fp180	= 1218,
-    X86_LD_Fp32m	= 1219,
-    X86_LD_Fp32m64	= 1220,
-    X86_LD_Fp32m80	= 1221,
-    X86_LD_Fp64m	= 1222,
-    X86_LD_Fp64m80	= 1223,
-    X86_LD_Fp80m	= 1224,
-    X86_LD_Frr	= 1225,
-    X86_LEA16r	= 1226,
-    X86_LEA32r	= 1227,
-    X86_LEA64_32r	= 1228,
-    X86_LEA64r	= 1229,
-    X86_LEAVE	= 1230,
-    X86_LEAVE64	= 1231,
-    X86_LES16rm	= 1232,
-    X86_LES32rm	= 1233,
-    X86_LFENCE	= 1234,
-    X86_LFS16rm	= 1235,
-    X86_LFS32rm	= 1236,
-    X86_LFS64rm	= 1237,
-    X86_LGDT16m	= 1238,
-    X86_LGDT32m	= 1239,
-    X86_LGDT64m	= 1240,
-    X86_LGS16rm	= 1241,
-    X86_LGS32rm	= 1242,
-    X86_LGS64rm	= 1243,
-    X86_LIDT16m	= 1244,
-    X86_LIDT32m	= 1245,
-    X86_LIDT64m	= 1246,
-    X86_LLDT16m	= 1247,
-    X86_LLDT16r	= 1248,
-    X86_LMSW16m	= 1249,
-    X86_LMSW16r	= 1250,
-    X86_LOCK_ADD16mi	= 1251,
-    X86_LOCK_ADD16mi8	= 1252,
-    X86_LOCK_ADD16mr	= 1253,
-    X86_LOCK_ADD32mi	= 1254,
-    X86_LOCK_ADD32mi8	= 1255,
-    X86_LOCK_ADD32mr	= 1256,
-    X86_LOCK_ADD64mi32	= 1257,
-    X86_LOCK_ADD64mi8	= 1258,
-    X86_LOCK_ADD64mr	= 1259,
-    X86_LOCK_ADD8mi	= 1260,
-    X86_LOCK_ADD8mr	= 1261,
-    X86_LOCK_AND16mi	= 1262,
-    X86_LOCK_AND16mi8	= 1263,
-    X86_LOCK_AND16mr	= 1264,
-    X86_LOCK_AND32mi	= 1265,
-    X86_LOCK_AND32mi8	= 1266,
-    X86_LOCK_AND32mr	= 1267,
-    X86_LOCK_AND64mi32	= 1268,
-    X86_LOCK_AND64mi8	= 1269,
-    X86_LOCK_AND64mr	= 1270,
-    X86_LOCK_AND8mi	= 1271,
-    X86_LOCK_AND8mr	= 1272,
-    X86_LOCK_DEC16m	= 1273,
-    X86_LOCK_DEC32m	= 1274,
-    X86_LOCK_DEC64m	= 1275,
-    X86_LOCK_DEC8m	= 1276,
-    X86_LOCK_INC16m	= 1277,
-    X86_LOCK_INC32m	= 1278,
-    X86_LOCK_INC64m	= 1279,
-    X86_LOCK_INC8m	= 1280,
-    X86_LOCK_OR16mi	= 1281,
-    X86_LOCK_OR16mi8	= 1282,
-    X86_LOCK_OR16mr	= 1283,
-    X86_LOCK_OR32mi	= 1284,
-    X86_LOCK_OR32mi8	= 1285,
-    X86_LOCK_OR32mr	= 1286,
-    X86_LOCK_OR64mi32	= 1287,
-    X86_LOCK_OR64mi8	= 1288,
-    X86_LOCK_OR64mr	= 1289,
-    X86_LOCK_OR8mi	= 1290,
-    X86_LOCK_OR8mr	= 1291,
-    X86_LOCK_PREFIX	= 1292,
-    X86_LOCK_SUB16mi	= 1293,
-    X86_LOCK_SUB16mi8	= 1294,
-    X86_LOCK_SUB16mr	= 1295,
-    X86_LOCK_SUB32mi	= 1296,
-    X86_LOCK_SUB32mi8	= 1297,
-    X86_LOCK_SUB32mr	= 1298,
-    X86_LOCK_SUB64mi32	= 1299,
-    X86_LOCK_SUB64mi8	= 1300,
-    X86_LOCK_SUB64mr	= 1301,
-    X86_LOCK_SUB8mi	= 1302,
-    X86_LOCK_SUB8mr	= 1303,
-    X86_LOCK_XOR16mi	= 1304,
-    X86_LOCK_XOR16mi8	= 1305,
-    X86_LOCK_XOR16mr	= 1306,
-    X86_LOCK_XOR32mi	= 1307,
-    X86_LOCK_XOR32mi8	= 1308,
-    X86_LOCK_XOR32mr	= 1309,
-    X86_LOCK_XOR64mi32	= 1310,
-    X86_LOCK_XOR64mi8	= 1311,
-    X86_LOCK_XOR64mr	= 1312,
-    X86_LOCK_XOR8mi	= 1313,
-    X86_LOCK_XOR8mr	= 1314,
-    X86_LODSB	= 1315,
-    X86_LODSL	= 1316,
-    X86_LODSQ	= 1317,
-    X86_LODSW	= 1318,
-    X86_LOOP	= 1319,
-    X86_LOOPE	= 1320,
-    X86_LOOPNE	= 1321,
-    X86_LRETIL	= 1322,
-    X86_LRETIQ	= 1323,
-    X86_LRETIW	= 1324,
-    X86_LRETL	= 1325,
-    X86_LRETQ	= 1326,
-    X86_LRETW	= 1327,
-    X86_LSL16rm	= 1328,
-    X86_LSL16rr	= 1329,
-    X86_LSL32rm	= 1330,
-    X86_LSL32rr	= 1331,
-    X86_LSL64rm	= 1332,
-    X86_LSL64rr	= 1333,
-    X86_LSS16rm	= 1334,
-    X86_LSS32rm	= 1335,
-    X86_LSS64rm	= 1336,
-    X86_LTRm	= 1337,
-    X86_LTRr	= 1338,
-    X86_LXADD16	= 1339,
-    X86_LXADD32	= 1340,
-    X86_LXADD64	= 1341,
-    X86_LXADD8	= 1342,
-    X86_LZCNT16rm	= 1343,
-    X86_LZCNT16rr	= 1344,
-    X86_LZCNT32rm	= 1345,
-    X86_LZCNT32rr	= 1346,
-    X86_LZCNT64rm	= 1347,
-    X86_LZCNT64rr	= 1348,
-    X86_MASKMOVDQU	= 1349,
-    X86_MASKMOVDQU64	= 1350,
-    X86_MAXCPDrm	= 1351,
-    X86_MAXCPDrr	= 1352,
-    X86_MAXCPSrm	= 1353,
-    X86_MAXCPSrr	= 1354,
-    X86_MAXCSDrm	= 1355,
-    X86_MAXCSDrr	= 1356,
-    X86_MAXCSSrm	= 1357,
-    X86_MAXCSSrr	= 1358,
-    X86_MAXPDrm	= 1359,
-    X86_MAXPDrr	= 1360,
-    X86_MAXPSrm	= 1361,
-    X86_MAXPSrr	= 1362,
-    X86_MAXSDrm	= 1363,
-    X86_MAXSDrm_Int	= 1364,
-    X86_MAXSDrr	= 1365,
-    X86_MAXSDrr_Int	= 1366,
-    X86_MAXSSrm	= 1367,
-    X86_MAXSSrm_Int	= 1368,
-    X86_MAXSSrr	= 1369,
-    X86_MAXSSrr_Int	= 1370,
-    X86_MFENCE	= 1371,
-    X86_MINCPDrm	= 1372,
-    X86_MINCPDrr	= 1373,
-    X86_MINCPSrm	= 1374,
-    X86_MINCPSrr	= 1375,
-    X86_MINCSDrm	= 1376,
-    X86_MINCSDrr	= 1377,
-    X86_MINCSSrm	= 1378,
-    X86_MINCSSrr	= 1379,
-    X86_MINPDrm	= 1380,
-    X86_MINPDrr	= 1381,
-    X86_MINPSrm	= 1382,
-    X86_MINPSrr	= 1383,
-    X86_MINSDrm	= 1384,
-    X86_MINSDrm_Int	= 1385,
-    X86_MINSDrr	= 1386,
-    X86_MINSDrr_Int	= 1387,
-    X86_MINSSrm	= 1388,
-    X86_MINSSrm_Int	= 1389,
-    X86_MINSSrr	= 1390,
-    X86_MINSSrr_Int	= 1391,
-    X86_MMX_CVTPD2PIirm	= 1392,
-    X86_MMX_CVTPD2PIirr	= 1393,
-    X86_MMX_CVTPI2PDirm	= 1394,
-    X86_MMX_CVTPI2PDirr	= 1395,
-    X86_MMX_CVTPI2PSirm	= 1396,
-    X86_MMX_CVTPI2PSirr	= 1397,
-    X86_MMX_CVTPS2PIirm	= 1398,
-    X86_MMX_CVTPS2PIirr	= 1399,
-    X86_MMX_CVTTPD2PIirm	= 1400,
-    X86_MMX_CVTTPD2PIirr	= 1401,
-    X86_MMX_CVTTPS2PIirm	= 1402,
-    X86_MMX_CVTTPS2PIirr	= 1403,
-    X86_MMX_EMMS	= 1404,
-    X86_MMX_MASKMOVQ	= 1405,
-    X86_MMX_MASKMOVQ64	= 1406,
-    X86_MMX_MOVD64from64rr	= 1407,
-    X86_MMX_MOVD64grr	= 1408,
-    X86_MMX_MOVD64mr	= 1409,
-    X86_MMX_MOVD64rm	= 1410,
-    X86_MMX_MOVD64rr	= 1411,
-    X86_MMX_MOVD64to64rr	= 1412,
-    X86_MMX_MOVDQ2Qrr	= 1413,
-    X86_MMX_MOVFR642Qrr	= 1414,
-    X86_MMX_MOVNTQmr	= 1415,
-    X86_MMX_MOVQ2DQrr	= 1416,
-    X86_MMX_MOVQ2FR64rr	= 1417,
-    X86_MMX_MOVQ64mr	= 1418,
-    X86_MMX_MOVQ64rm	= 1419,
-    X86_MMX_MOVQ64rr	= 1420,
-    X86_MMX_PABSBrm64	= 1421,
-    X86_MMX_PABSBrr64	= 1422,
-    X86_MMX_PABSDrm64	= 1423,
-    X86_MMX_PABSDrr64	= 1424,
-    X86_MMX_PABSWrm64	= 1425,
-    X86_MMX_PABSWrr64	= 1426,
-    X86_MMX_PACKSSDWirm	= 1427,
-    X86_MMX_PACKSSDWirr	= 1428,
-    X86_MMX_PACKSSWBirm	= 1429,
-    X86_MMX_PACKSSWBirr	= 1430,
-    X86_MMX_PACKUSWBirm	= 1431,
-    X86_MMX_PACKUSWBirr	= 1432,
-    X86_MMX_PADDBirm	= 1433,
-    X86_MMX_PADDBirr	= 1434,
-    X86_MMX_PADDDirm	= 1435,
-    X86_MMX_PADDDirr	= 1436,
-    X86_MMX_PADDQirm	= 1437,
-    X86_MMX_PADDQirr	= 1438,
-    X86_MMX_PADDSBirm	= 1439,
-    X86_MMX_PADDSBirr	= 1440,
-    X86_MMX_PADDSWirm	= 1441,
-    X86_MMX_PADDSWirr	= 1442,
-    X86_MMX_PADDUSBirm	= 1443,
-    X86_MMX_PADDUSBirr	= 1444,
-    X86_MMX_PADDUSWirm	= 1445,
-    X86_MMX_PADDUSWirr	= 1446,
-    X86_MMX_PADDWirm	= 1447,
-    X86_MMX_PADDWirr	= 1448,
-    X86_MMX_PALIGNR64irm	= 1449,
-    X86_MMX_PALIGNR64irr	= 1450,
-    X86_MMX_PANDNirm	= 1451,
-    X86_MMX_PANDNirr	= 1452,
-    X86_MMX_PANDirm	= 1453,
-    X86_MMX_PANDirr	= 1454,
-    X86_MMX_PAVGBirm	= 1455,
-    X86_MMX_PAVGBirr	= 1456,
-    X86_MMX_PAVGWirm	= 1457,
-    X86_MMX_PAVGWirr	= 1458,
-    X86_MMX_PCMPEQBirm	= 1459,
-    X86_MMX_PCMPEQBirr	= 1460,
-    X86_MMX_PCMPEQDirm	= 1461,
-    X86_MMX_PCMPEQDirr	= 1462,
-    X86_MMX_PCMPEQWirm	= 1463,
-    X86_MMX_PCMPEQWirr	= 1464,
-    X86_MMX_PCMPGTBirm	= 1465,
-    X86_MMX_PCMPGTBirr	= 1466,
-    X86_MMX_PCMPGTDirm	= 1467,
-    X86_MMX_PCMPGTDirr	= 1468,
-    X86_MMX_PCMPGTWirm	= 1469,
-    X86_MMX_PCMPGTWirr	= 1470,
-    X86_MMX_PEXTRWirri	= 1471,
-    X86_MMX_PHADDSWrm64	= 1472,
-    X86_MMX_PHADDSWrr64	= 1473,
-    X86_MMX_PHADDWrm64	= 1474,
-    X86_MMX_PHADDWrr64	= 1475,
-    X86_MMX_PHADDrm64	= 1476,
-    X86_MMX_PHADDrr64	= 1477,
-    X86_MMX_PHSUBDrm64	= 1478,
-    X86_MMX_PHSUBDrr64	= 1479,
-    X86_MMX_PHSUBSWrm64	= 1480,
-    X86_MMX_PHSUBSWrr64	= 1481,
-    X86_MMX_PHSUBWrm64	= 1482,
-    X86_MMX_PHSUBWrr64	= 1483,
-    X86_MMX_PINSRWirmi	= 1484,
-    X86_MMX_PINSRWirri	= 1485,
-    X86_MMX_PMADDUBSWrm64	= 1486,
-    X86_MMX_PMADDUBSWrr64	= 1487,
-    X86_MMX_PMADDWDirm	= 1488,
-    X86_MMX_PMADDWDirr	= 1489,
-    X86_MMX_PMAXSWirm	= 1490,
-    X86_MMX_PMAXSWirr	= 1491,
-    X86_MMX_PMAXUBirm	= 1492,
-    X86_MMX_PMAXUBirr	= 1493,
-    X86_MMX_PMINSWirm	= 1494,
-    X86_MMX_PMINSWirr	= 1495,
-    X86_MMX_PMINUBirm	= 1496,
-    X86_MMX_PMINUBirr	= 1497,
-    X86_MMX_PMOVMSKBrr	= 1498,
-    X86_MMX_PMULHRSWrm64	= 1499,
-    X86_MMX_PMULHRSWrr64	= 1500,
-    X86_MMX_PMULHUWirm	= 1501,
-    X86_MMX_PMULHUWirr	= 1502,
-    X86_MMX_PMULHWirm	= 1503,
-    X86_MMX_PMULHWirr	= 1504,
-    X86_MMX_PMULLWirm	= 1505,
-    X86_MMX_PMULLWirr	= 1506,
-    X86_MMX_PMULUDQirm	= 1507,
-    X86_MMX_PMULUDQirr	= 1508,
-    X86_MMX_PORirm	= 1509,
-    X86_MMX_PORirr	= 1510,
-    X86_MMX_PSADBWirm	= 1511,
-    X86_MMX_PSADBWirr	= 1512,
-    X86_MMX_PSHUFBrm64	= 1513,
-    X86_MMX_PSHUFBrr64	= 1514,
-    X86_MMX_PSHUFWmi	= 1515,
-    X86_MMX_PSHUFWri	= 1516,
-    X86_MMX_PSIGNBrm64	= 1517,
-    X86_MMX_PSIGNBrr64	= 1518,
-    X86_MMX_PSIGNDrm64	= 1519,
-    X86_MMX_PSIGNDrr64	= 1520,
-    X86_MMX_PSIGNWrm64	= 1521,
-    X86_MMX_PSIGNWrr64	= 1522,
-    X86_MMX_PSLLDri	= 1523,
-    X86_MMX_PSLLDrm	= 1524,
-    X86_MMX_PSLLDrr	= 1525,
-    X86_MMX_PSLLQri	= 1526,
-    X86_MMX_PSLLQrm	= 1527,
-    X86_MMX_PSLLQrr	= 1528,
-    X86_MMX_PSLLWri	= 1529,
-    X86_MMX_PSLLWrm	= 1530,
-    X86_MMX_PSLLWrr	= 1531,
-    X86_MMX_PSRADri	= 1532,
-    X86_MMX_PSRADrm	= 1533,
-    X86_MMX_PSRADrr	= 1534,
-    X86_MMX_PSRAWri	= 1535,
-    X86_MMX_PSRAWrm	= 1536,
-    X86_MMX_PSRAWrr	= 1537,
-    X86_MMX_PSRLDri	= 1538,
-    X86_MMX_PSRLDrm	= 1539,
-    X86_MMX_PSRLDrr	= 1540,
-    X86_MMX_PSRLQri	= 1541,
-    X86_MMX_PSRLQrm	= 1542,
-    X86_MMX_PSRLQrr	= 1543,
-    X86_MMX_PSRLWri	= 1544,
-    X86_MMX_PSRLWrm	= 1545,
-    X86_MMX_PSRLWrr	= 1546,
-    X86_MMX_PSUBBirm	= 1547,
-    X86_MMX_PSUBBirr	= 1548,
-    X86_MMX_PSUBDirm	= 1549,
-    X86_MMX_PSUBDirr	= 1550,
-    X86_MMX_PSUBQirm	= 1551,
-    X86_MMX_PSUBQirr	= 1552,
-    X86_MMX_PSUBSBirm	= 1553,
-    X86_MMX_PSUBSBirr	= 1554,
-    X86_MMX_PSUBSWirm	= 1555,
-    X86_MMX_PSUBSWirr	= 1556,
-    X86_MMX_PSUBUSBirm	= 1557,
-    X86_MMX_PSUBUSBirr	= 1558,
-    X86_MMX_PSUBUSWirm	= 1559,
-    X86_MMX_PSUBUSWirr	= 1560,
-    X86_MMX_PSUBWirm	= 1561,
-    X86_MMX_PSUBWirr	= 1562,
-    X86_MMX_PUNPCKHBWirm	= 1563,
-    X86_MMX_PUNPCKHBWirr	= 1564,
-    X86_MMX_PUNPCKHDQirm	= 1565,
-    X86_MMX_PUNPCKHDQirr	= 1566,
-    X86_MMX_PUNPCKHWDirm	= 1567,
-    X86_MMX_PUNPCKHWDirr	= 1568,
-    X86_MMX_PUNPCKLBWirm	= 1569,
-    X86_MMX_PUNPCKLBWirr	= 1570,
-    X86_MMX_PUNPCKLDQirm	= 1571,
-    X86_MMX_PUNPCKLDQirr	= 1572,
-    X86_MMX_PUNPCKLWDirm	= 1573,
-    X86_MMX_PUNPCKLWDirr	= 1574,
-    X86_MMX_PXORirm	= 1575,
-    X86_MMX_PXORirr	= 1576,
-    X86_MONITOR	= 1577,
-    X86_MONITORrrr	= 1578,
-    X86_MONTMUL	= 1579,
-    X86_MORESTACK_RET	= 1580,
-    X86_MORESTACK_RET_RESTORE_R10	= 1581,
-    X86_MOV16ao16	= 1582,
-    X86_MOV16ao16_16	= 1583,
-    X86_MOV16mi	= 1584,
-    X86_MOV16mr	= 1585,
-    X86_MOV16ms	= 1586,
-    X86_MOV16o16a	= 1587,
-    X86_MOV16o16a_16	= 1588,
-    X86_MOV16ri	= 1589,
-    X86_MOV16ri_alt	= 1590,
-    X86_MOV16rm	= 1591,
-    X86_MOV16rr	= 1592,
-    X86_MOV16rr_REV	= 1593,
-    X86_MOV16rs	= 1594,
-    X86_MOV16sm	= 1595,
-    X86_MOV16sr	= 1596,
-    X86_MOV32ao32	= 1597,
-    X86_MOV32ao32_16	= 1598,
-    X86_MOV32cr	= 1599,
-    X86_MOV32dr	= 1600,
-    X86_MOV32mi	= 1601,
-    X86_MOV32mr	= 1602,
-    X86_MOV32ms	= 1603,
-    X86_MOV32o32a	= 1604,
-    X86_MOV32o32a_16	= 1605,
-    X86_MOV32r0	= 1606,
-    X86_MOV32rc	= 1607,
-    X86_MOV32rd	= 1608,
-    X86_MOV32ri	= 1609,
-    X86_MOV32ri64	= 1610,
-    X86_MOV32ri_alt	= 1611,
-    X86_MOV32rm	= 1612,
-    X86_MOV32rr	= 1613,
-    X86_MOV32rr_REV	= 1614,
-    X86_MOV32rs	= 1615,
-    X86_MOV32sm	= 1616,
-    X86_MOV32sr	= 1617,
-    X86_MOV64ao16	= 1618,
-    X86_MOV64ao32	= 1619,
-    X86_MOV64ao64	= 1620,
-    X86_MOV64ao8	= 1621,
-    X86_MOV64cr	= 1622,
-    X86_MOV64dr	= 1623,
-    X86_MOV64mi32	= 1624,
-    X86_MOV64mr	= 1625,
-    X86_MOV64ms	= 1626,
-    X86_MOV64o16a	= 1627,
-    X86_MOV64o32a	= 1628,
-    X86_MOV64o64a	= 1629,
-    X86_MOV64o8a	= 1630,
-    X86_MOV64rc	= 1631,
-    X86_MOV64rd	= 1632,
-    X86_MOV64ri	= 1633,
-    X86_MOV64ri32	= 1634,
-    X86_MOV64rm	= 1635,
-    X86_MOV64rr	= 1636,
-    X86_MOV64rr_REV	= 1637,
-    X86_MOV64rs	= 1638,
-    X86_MOV64sm	= 1639,
-    X86_MOV64sr	= 1640,
-    X86_MOV64toPQIrr	= 1641,
-    X86_MOV64toSDrm	= 1642,
-    X86_MOV64toSDrr	= 1643,
-    X86_MOV8ao8	= 1644,
-    X86_MOV8ao8_16	= 1645,
-    X86_MOV8mi	= 1646,
-    X86_MOV8mr	= 1647,
-    X86_MOV8mr_NOREX	= 1648,
-    X86_MOV8o8a	= 1649,
-    X86_MOV8o8a_16	= 1650,
-    X86_MOV8ri	= 1651,
-    X86_MOV8ri_alt	= 1652,
-    X86_MOV8rm	= 1653,
-    X86_MOV8rm_NOREX	= 1654,
-    X86_MOV8rr	= 1655,
-    X86_MOV8rr_NOREX	= 1656,
-    X86_MOV8rr_REV	= 1657,
-    X86_MOVAPDmr	= 1658,
-    X86_MOVAPDrm	= 1659,
-    X86_MOVAPDrr	= 1660,
-    X86_MOVAPDrr_REV	= 1661,
-    X86_MOVAPSmr	= 1662,
-    X86_MOVAPSrm	= 1663,
-    X86_MOVAPSrr	= 1664,
-    X86_MOVAPSrr_REV	= 1665,
-    X86_MOVBE16mr	= 1666,
-    X86_MOVBE16rm	= 1667,
-    X86_MOVBE32mr	= 1668,
-    X86_MOVBE32rm	= 1669,
-    X86_MOVBE64mr	= 1670,
-    X86_MOVBE64rm	= 1671,
-    X86_MOVDDUPrm	= 1672,
-    X86_MOVDDUPrr	= 1673,
-    X86_MOVDI2PDIrm	= 1674,
-    X86_MOVDI2PDIrr	= 1675,
-    X86_MOVDI2SSrm	= 1676,
-    X86_MOVDI2SSrr	= 1677,
-    X86_MOVDQAmr	= 1678,
-    X86_MOVDQArm	= 1679,
-    X86_MOVDQArr	= 1680,
-    X86_MOVDQArr_REV	= 1681,
-    X86_MOVDQUmr	= 1682,
-    X86_MOVDQUrm	= 1683,
-    X86_MOVDQUrr	= 1684,
-    X86_MOVDQUrr_REV	= 1685,
-    X86_MOVHLPSrr	= 1686,
-    X86_MOVHPDmr	= 1687,
-    X86_MOVHPDrm	= 1688,
-    X86_MOVHPSmr	= 1689,
-    X86_MOVHPSrm	= 1690,
-    X86_MOVLHPSrr	= 1691,
-    X86_MOVLPDmr	= 1692,
-    X86_MOVLPDrm	= 1693,
-    X86_MOVLPSmr	= 1694,
-    X86_MOVLPSrm	= 1695,
-    X86_MOVMSKPDrr	= 1696,
-    X86_MOVMSKPSrr	= 1697,
-    X86_MOVNTDQArm	= 1698,
-    X86_MOVNTDQmr	= 1699,
-    X86_MOVNTI_64mr	= 1700,
-    X86_MOVNTImr	= 1701,
-    X86_MOVNTPDmr	= 1702,
-    X86_MOVNTPSmr	= 1703,
-    X86_MOVNTSD	= 1704,
-    X86_MOVNTSS	= 1705,
-    X86_MOVPC32r	= 1706,
-    X86_MOVPDI2DImr	= 1707,
-    X86_MOVPDI2DIrr	= 1708,
-    X86_MOVPQI2QImr	= 1709,
-    X86_MOVPQI2QIrr	= 1710,
-    X86_MOVPQIto64rr	= 1711,
-    X86_MOVQI2PQIrm	= 1712,
-    X86_MOVSB	= 1713,
-    X86_MOVSDmr	= 1714,
-    X86_MOVSDrm	= 1715,
-    X86_MOVSDrr	= 1716,
-    X86_MOVSDrr_REV	= 1717,
-    X86_MOVSDto64mr	= 1718,
-    X86_MOVSDto64rr	= 1719,
-    X86_MOVSHDUPrm	= 1720,
-    X86_MOVSHDUPrr	= 1721,
-    X86_MOVSL	= 1722,
-    X86_MOVSLDUPrm	= 1723,
-    X86_MOVSLDUPrr	= 1724,
-    X86_MOVSQ	= 1725,
-    X86_MOVSS2DImr	= 1726,
-    X86_MOVSS2DIrr	= 1727,
-    X86_MOVSSmr	= 1728,
-    X86_MOVSSrm	= 1729,
-    X86_MOVSSrr	= 1730,
-    X86_MOVSSrr_REV	= 1731,
-    X86_MOVSW	= 1732,
-    X86_MOVSX16rm8	= 1733,
-    X86_MOVSX16rr8	= 1734,
-    X86_MOVSX32rm16	= 1735,
-    X86_MOVSX32rm8	= 1736,
-    X86_MOVSX32rr16	= 1737,
-    X86_MOVSX32rr8	= 1738,
-    X86_MOVSX64rm16	= 1739,
-    X86_MOVSX64rm32	= 1740,
-    X86_MOVSX64rm8	= 1741,
-    X86_MOVSX64rr16	= 1742,
-    X86_MOVSX64rr32	= 1743,
-    X86_MOVSX64rr8	= 1744,
-    X86_MOVUPDmr	= 1745,
-    X86_MOVUPDrm	= 1746,
-    X86_MOVUPDrr	= 1747,
-    X86_MOVUPDrr_REV	= 1748,
-    X86_MOVUPSmr	= 1749,
-    X86_MOVUPSrm	= 1750,
-    X86_MOVUPSrr	= 1751,
-    X86_MOVUPSrr_REV	= 1752,
-    X86_MOVZPQILo2PQIrm	= 1753,
-    X86_MOVZPQILo2PQIrr	= 1754,
-    X86_MOVZQI2PQIrm	= 1755,
-    X86_MOVZQI2PQIrr	= 1756,
-    X86_MOVZX16rm8	= 1757,
-    X86_MOVZX16rr8	= 1758,
-    X86_MOVZX32_NOREXrm8	= 1759,
-    X86_MOVZX32_NOREXrr8	= 1760,
-    X86_MOVZX32rm16	= 1761,
-    X86_MOVZX32rm8	= 1762,
-    X86_MOVZX32rr16	= 1763,
-    X86_MOVZX32rr8	= 1764,
-    X86_MOVZX64rm16_Q	= 1765,
-    X86_MOVZX64rm8_Q	= 1766,
-    X86_MOVZX64rr16_Q	= 1767,
-    X86_MOVZX64rr8_Q	= 1768,
-    X86_MPSADBWrmi	= 1769,
-    X86_MPSADBWrri	= 1770,
-    X86_MUL16m	= 1771,
-    X86_MUL16r	= 1772,
-    X86_MUL32m	= 1773,
-    X86_MUL32r	= 1774,
-    X86_MUL64m	= 1775,
-    X86_MUL64r	= 1776,
-    X86_MUL8m	= 1777,
-    X86_MUL8r	= 1778,
-    X86_MULPDrm	= 1779,
-    X86_MULPDrr	= 1780,
-    X86_MULPSrm	= 1781,
-    X86_MULPSrr	= 1782,
-    X86_MULSDrm	= 1783,
-    X86_MULSDrm_Int	= 1784,
-    X86_MULSDrr	= 1785,
-    X86_MULSDrr_Int	= 1786,
-    X86_MULSSrm	= 1787,
-    X86_MULSSrm_Int	= 1788,
-    X86_MULSSrr	= 1789,
-    X86_MULSSrr_Int	= 1790,
-    X86_MULX32rm	= 1791,
-    X86_MULX32rr	= 1792,
-    X86_MULX64rm	= 1793,
-    X86_MULX64rr	= 1794,
-    X86_MUL_F32m	= 1795,
-    X86_MUL_F64m	= 1796,
-    X86_MUL_FI16m	= 1797,
-    X86_MUL_FI32m	= 1798,
-    X86_MUL_FPrST0	= 1799,
-    X86_MUL_FST0r	= 1800,
-    X86_MUL_Fp32	= 1801,
-    X86_MUL_Fp32m	= 1802,
-    X86_MUL_Fp64	= 1803,
-    X86_MUL_Fp64m	= 1804,
-    X86_MUL_Fp64m32	= 1805,
-    X86_MUL_Fp80	= 1806,
-    X86_MUL_Fp80m32	= 1807,
-    X86_MUL_Fp80m64	= 1808,
-    X86_MUL_FpI16m32	= 1809,
-    X86_MUL_FpI16m64	= 1810,
-    X86_MUL_FpI16m80	= 1811,
-    X86_MUL_FpI32m32	= 1812,
-    X86_MUL_FpI32m64	= 1813,
-    X86_MUL_FpI32m80	= 1814,
-    X86_MUL_FrST0	= 1815,
-    X86_MWAITrr	= 1816,
-    X86_NEG16m	= 1817,
-    X86_NEG16r	= 1818,
-    X86_NEG32m	= 1819,
-    X86_NEG32r	= 1820,
-    X86_NEG64m	= 1821,
-    X86_NEG64r	= 1822,
-    X86_NEG8m	= 1823,
-    X86_NEG8r	= 1824,
-    X86_NOOP	= 1825,
-    X86_NOOP18_16m4	= 1826,
-    X86_NOOP18_16m5	= 1827,
-    X86_NOOP18_16m6	= 1828,
-    X86_NOOP18_16m7	= 1829,
-    X86_NOOP18_16r4	= 1830,
-    X86_NOOP18_16r5	= 1831,
-    X86_NOOP18_16r6	= 1832,
-    X86_NOOP18_16r7	= 1833,
-    X86_NOOP18_m4	= 1834,
-    X86_NOOP18_m5	= 1835,
-    X86_NOOP18_m6	= 1836,
-    X86_NOOP18_m7	= 1837,
-    X86_NOOP18_r4	= 1838,
-    X86_NOOP18_r5	= 1839,
-    X86_NOOP18_r6	= 1840,
-    X86_NOOP18_r7	= 1841,
-    X86_NOOPL	= 1842,
-    X86_NOOPL_19	= 1843,
-    X86_NOOPL_1a	= 1844,
-    X86_NOOPL_1b	= 1845,
-    X86_NOOPL_1c	= 1846,
-    X86_NOOPL_1d	= 1847,
-    X86_NOOPL_1e	= 1848,
-    X86_NOOPW	= 1849,
-    X86_NOOPW_19	= 1850,
-    X86_NOOPW_1a	= 1851,
-    X86_NOOPW_1b	= 1852,
-    X86_NOOPW_1c	= 1853,
-    X86_NOOPW_1d	= 1854,
-    X86_NOOPW_1e	= 1855,
-    X86_NOT16m	= 1856,
-    X86_NOT16r	= 1857,
-    X86_NOT32m	= 1858,
-    X86_NOT32r	= 1859,
-    X86_NOT64m	= 1860,
-    X86_NOT64r	= 1861,
-    X86_NOT8m	= 1862,
-    X86_NOT8r	= 1863,
-    X86_OR16i16	= 1864,
-    X86_OR16mi	= 1865,
-    X86_OR16mi8	= 1866,
-    X86_OR16mr	= 1867,
-    X86_OR16ri	= 1868,
-    X86_OR16ri8	= 1869,
-    X86_OR16rm	= 1870,
-    X86_OR16rr	= 1871,
-    X86_OR16rr_REV	= 1872,
-    X86_OR32i32	= 1873,
-    X86_OR32mi	= 1874,
-    X86_OR32mi8	= 1875,
-    X86_OR32mr	= 1876,
-    X86_OR32mrLocked	= 1877,
-    X86_OR32ri	= 1878,
-    X86_OR32ri8	= 1879,
-    X86_OR32rm	= 1880,
-    X86_OR32rr	= 1881,
-    X86_OR32rr_REV	= 1882,
-    X86_OR64i32	= 1883,
-    X86_OR64mi32	= 1884,
-    X86_OR64mi8	= 1885,
-    X86_OR64mr	= 1886,
-    X86_OR64ri32	= 1887,
-    X86_OR64ri8	= 1888,
-    X86_OR64rm	= 1889,
-    X86_OR64rr	= 1890,
-    X86_OR64rr_REV	= 1891,
-    X86_OR8i8	= 1892,
-    X86_OR8mi	= 1893,
-    X86_OR8mr	= 1894,
-    X86_OR8ri	= 1895,
-    X86_OR8ri8	= 1896,
-    X86_OR8rm	= 1897,
-    X86_OR8rr	= 1898,
-    X86_OR8rr_REV	= 1899,
-    X86_ORPDrm	= 1900,
-    X86_ORPDrr	= 1901,
-    X86_ORPSrm	= 1902,
-    X86_ORPSrr	= 1903,
-    X86_OUT16ir	= 1904,
-    X86_OUT16rr	= 1905,
-    X86_OUT32ir	= 1906,
-    X86_OUT32rr	= 1907,
-    X86_OUT8ir	= 1908,
-    X86_OUT8rr	= 1909,
-    X86_OUTSB	= 1910,
-    X86_OUTSL	= 1911,
-    X86_OUTSW	= 1912,
-    X86_PABSBrm128	= 1913,
-    X86_PABSBrr128	= 1914,
-    X86_PABSDrm128	= 1915,
-    X86_PABSDrr128	= 1916,
-    X86_PABSWrm128	= 1917,
-    X86_PABSWrr128	= 1918,
-    X86_PACKSSDWrm	= 1919,
-    X86_PACKSSDWrr	= 1920,
-    X86_PACKSSWBrm	= 1921,
-    X86_PACKSSWBrr	= 1922,
-    X86_PACKUSDWrm	= 1923,
-    X86_PACKUSDWrr	= 1924,
-    X86_PACKUSWBrm	= 1925,
-    X86_PACKUSWBrr	= 1926,
-    X86_PADDBrm	= 1927,
-    X86_PADDBrr	= 1928,
-    X86_PADDDrm	= 1929,
-    X86_PADDDrr	= 1930,
-    X86_PADDQrm	= 1931,
-    X86_PADDQrr	= 1932,
-    X86_PADDSBrm	= 1933,
-    X86_PADDSBrr	= 1934,
-    X86_PADDSWrm	= 1935,
-    X86_PADDSWrr	= 1936,
-    X86_PADDUSBrm	= 1937,
-    X86_PADDUSBrr	= 1938,
-    X86_PADDUSWrm	= 1939,
-    X86_PADDUSWrr	= 1940,
-    X86_PADDWrm	= 1941,
-    X86_PADDWrr	= 1942,
-    X86_PALIGNR128rm	= 1943,
-    X86_PALIGNR128rr	= 1944,
-    X86_PANDNrm	= 1945,
-    X86_PANDNrr	= 1946,
-    X86_PANDrm	= 1947,
-    X86_PANDrr	= 1948,
-    X86_PAUSE	= 1949,
-    X86_PAVGBrm	= 1950,
-    X86_PAVGBrr	= 1951,
-    X86_PAVGUSBrm	= 1952,
-    X86_PAVGUSBrr	= 1953,
-    X86_PAVGWrm	= 1954,
-    X86_PAVGWrr	= 1955,
-    X86_PBLENDVBrm0	= 1956,
-    X86_PBLENDVBrr0	= 1957,
-    X86_PBLENDWrmi	= 1958,
-    X86_PBLENDWrri	= 1959,
-    X86_PCLMULQDQrm	= 1960,
-    X86_PCLMULQDQrr	= 1961,
-    X86_PCMPEQBrm	= 1962,
-    X86_PCMPEQBrr	= 1963,
-    X86_PCMPEQDrm	= 1964,
-    X86_PCMPEQDrr	= 1965,
-    X86_PCMPEQQrm	= 1966,
-    X86_PCMPEQQrr	= 1967,
-    X86_PCMPEQWrm	= 1968,
-    X86_PCMPEQWrr	= 1969,
-    X86_PCMPESTRIMEM	= 1970,
-    X86_PCMPESTRIREG	= 1971,
-    X86_PCMPESTRIrm	= 1972,
-    X86_PCMPESTRIrr	= 1973,
-    X86_PCMPESTRM128MEM	= 1974,
-    X86_PCMPESTRM128REG	= 1975,
-    X86_PCMPESTRM128rm	= 1976,
-    X86_PCMPESTRM128rr	= 1977,
-    X86_PCMPGTBrm	= 1978,
-    X86_PCMPGTBrr	= 1979,
-    X86_PCMPGTDrm	= 1980,
-    X86_PCMPGTDrr	= 1981,
-    X86_PCMPGTQrm	= 1982,
-    X86_PCMPGTQrr	= 1983,
-    X86_PCMPGTWrm	= 1984,
-    X86_PCMPGTWrr	= 1985,
-    X86_PCMPISTRIMEM	= 1986,
-    X86_PCMPISTRIREG	= 1987,
-    X86_PCMPISTRIrm	= 1988,
-    X86_PCMPISTRIrr	= 1989,
-    X86_PCMPISTRM128MEM	= 1990,
-    X86_PCMPISTRM128REG	= 1991,
-    X86_PCMPISTRM128rm	= 1992,
-    X86_PCMPISTRM128rr	= 1993,
-    X86_PDEP32rm	= 1994,
-    X86_PDEP32rr	= 1995,
-    X86_PDEP64rm	= 1996,
-    X86_PDEP64rr	= 1997,
-    X86_PEXT32rm	= 1998,
-    X86_PEXT32rr	= 1999,
-    X86_PEXT64rm	= 2000,
-    X86_PEXT64rr	= 2001,
-    X86_PEXTRBmr	= 2002,
-    X86_PEXTRBrr	= 2003,
-    X86_PEXTRDmr	= 2004,
-    X86_PEXTRDrr	= 2005,
-    X86_PEXTRQmr	= 2006,
-    X86_PEXTRQrr	= 2007,
-    X86_PEXTRWmr	= 2008,
-    X86_PEXTRWri	= 2009,
-    X86_PEXTRWrr_REV	= 2010,
-    X86_PF2IDrm	= 2011,
-    X86_PF2IDrr	= 2012,
-    X86_PF2IWrm	= 2013,
-    X86_PF2IWrr	= 2014,
-    X86_PFACCrm	= 2015,
-    X86_PFACCrr	= 2016,
-    X86_PFADDrm	= 2017,
-    X86_PFADDrr	= 2018,
-    X86_PFCMPEQrm	= 2019,
-    X86_PFCMPEQrr	= 2020,
-    X86_PFCMPGErm	= 2021,
-    X86_PFCMPGErr	= 2022,
-    X86_PFCMPGTrm	= 2023,
-    X86_PFCMPGTrr	= 2024,
-    X86_PFMAXrm	= 2025,
-    X86_PFMAXrr	= 2026,
-    X86_PFMINrm	= 2027,
-    X86_PFMINrr	= 2028,
-    X86_PFMULrm	= 2029,
-    X86_PFMULrr	= 2030,
-    X86_PFNACCrm	= 2031,
-    X86_PFNACCrr	= 2032,
-    X86_PFPNACCrm	= 2033,
-    X86_PFPNACCrr	= 2034,
-    X86_PFRCPIT1rm	= 2035,
-    X86_PFRCPIT1rr	= 2036,
-    X86_PFRCPIT2rm	= 2037,
-    X86_PFRCPIT2rr	= 2038,
-    X86_PFRCPrm	= 2039,
-    X86_PFRCPrr	= 2040,
-    X86_PFRSQIT1rm	= 2041,
-    X86_PFRSQIT1rr	= 2042,
-    X86_PFRSQRTrm	= 2043,
-    X86_PFRSQRTrr	= 2044,
-    X86_PFSUBRrm	= 2045,
-    X86_PFSUBRrr	= 2046,
-    X86_PFSUBrm	= 2047,
-    X86_PFSUBrr	= 2048,
-    X86_PHADDDrm	= 2049,
-    X86_PHADDDrr	= 2050,
-    X86_PHADDSWrm128	= 2051,
-    X86_PHADDSWrr128	= 2052,
-    X86_PHADDWrm	= 2053,
-    X86_PHADDWrr	= 2054,
-    X86_PHMINPOSUWrm128	= 2055,
-    X86_PHMINPOSUWrr128	= 2056,
-    X86_PHSUBDrm	= 2057,
-    X86_PHSUBDrr	= 2058,
-    X86_PHSUBSWrm128	= 2059,
-    X86_PHSUBSWrr128	= 2060,
-    X86_PHSUBWrm	= 2061,
-    X86_PHSUBWrr	= 2062,
-    X86_PI2FDrm	= 2063,
-    X86_PI2FDrr	= 2064,
-    X86_PI2FWrm	= 2065,
-    X86_PI2FWrr	= 2066,
-    X86_PINSRBrm	= 2067,
-    X86_PINSRBrr	= 2068,
-    X86_PINSRDrm	= 2069,
-    X86_PINSRDrr	= 2070,
-    X86_PINSRQrm	= 2071,
-    X86_PINSRQrr	= 2072,
-    X86_PINSRWrmi	= 2073,
-    X86_PINSRWrri	= 2074,
-    X86_PMADDUBSWrm128	= 2075,
-    X86_PMADDUBSWrr128	= 2076,
-    X86_PMADDWDrm	= 2077,
-    X86_PMADDWDrr	= 2078,
-    X86_PMAXSBrm	= 2079,
-    X86_PMAXSBrr	= 2080,
-    X86_PMAXSDrm	= 2081,
-    X86_PMAXSDrr	= 2082,
-    X86_PMAXSWrm	= 2083,
-    X86_PMAXSWrr	= 2084,
-    X86_PMAXUBrm	= 2085,
-    X86_PMAXUBrr	= 2086,
-    X86_PMAXUDrm	= 2087,
-    X86_PMAXUDrr	= 2088,
-    X86_PMAXUWrm	= 2089,
-    X86_PMAXUWrr	= 2090,
-    X86_PMINSBrm	= 2091,
-    X86_PMINSBrr	= 2092,
-    X86_PMINSDrm	= 2093,
-    X86_PMINSDrr	= 2094,
-    X86_PMINSWrm	= 2095,
-    X86_PMINSWrr	= 2096,
-    X86_PMINUBrm	= 2097,
-    X86_PMINUBrr	= 2098,
-    X86_PMINUDrm	= 2099,
-    X86_PMINUDrr	= 2100,
-    X86_PMINUWrm	= 2101,
-    X86_PMINUWrr	= 2102,
-    X86_PMOVMSKBrr	= 2103,
-    X86_PMOVSXBDrm	= 2104,
-    X86_PMOVSXBDrr	= 2105,
-    X86_PMOVSXBQrm	= 2106,
-    X86_PMOVSXBQrr	= 2107,
-    X86_PMOVSXBWrm	= 2108,
-    X86_PMOVSXBWrr	= 2109,
-    X86_PMOVSXDQrm	= 2110,
-    X86_PMOVSXDQrr	= 2111,
-    X86_PMOVSXWDrm	= 2112,
-    X86_PMOVSXWDrr	= 2113,
-    X86_PMOVSXWQrm	= 2114,
-    X86_PMOVSXWQrr	= 2115,
-    X86_PMOVZXBDrm	= 2116,
-    X86_PMOVZXBDrr	= 2117,
-    X86_PMOVZXBQrm	= 2118,
-    X86_PMOVZXBQrr	= 2119,
-    X86_PMOVZXBWrm	= 2120,
-    X86_PMOVZXBWrr	= 2121,
-    X86_PMOVZXDQrm	= 2122,
-    X86_PMOVZXDQrr	= 2123,
-    X86_PMOVZXWDrm	= 2124,
-    X86_PMOVZXWDrr	= 2125,
-    X86_PMOVZXWQrm	= 2126,
-    X86_PMOVZXWQrr	= 2127,
-    X86_PMULDQrm	= 2128,
-    X86_PMULDQrr	= 2129,
-    X86_PMULHRSWrm128	= 2130,
-    X86_PMULHRSWrr128	= 2131,
-    X86_PMULHRWrm	= 2132,
-    X86_PMULHRWrr	= 2133,
-    X86_PMULHUWrm	= 2134,
-    X86_PMULHUWrr	= 2135,
-    X86_PMULHWrm	= 2136,
-    X86_PMULHWrr	= 2137,
-    X86_PMULLDrm	= 2138,
-    X86_PMULLDrr	= 2139,
-    X86_PMULLWrm	= 2140,
-    X86_PMULLWrr	= 2141,
-    X86_PMULUDQrm	= 2142,
-    X86_PMULUDQrr	= 2143,
-    X86_POP16r	= 2144,
-    X86_POP16rmm	= 2145,
-    X86_POP16rmr	= 2146,
-    X86_POP32r	= 2147,
-    X86_POP32rmm	= 2148,
-    X86_POP32rmr	= 2149,
-    X86_POP64r	= 2150,
-    X86_POP64rmm	= 2151,
-    X86_POP64rmr	= 2152,
-    X86_POPA16	= 2153,
-    X86_POPA32	= 2154,
-    X86_POPCNT16rm	= 2155,
-    X86_POPCNT16rr	= 2156,
-    X86_POPCNT32rm	= 2157,
-    X86_POPCNT32rr	= 2158,
-    X86_POPCNT64rm	= 2159,
-    X86_POPCNT64rr	= 2160,
-    X86_POPDS16	= 2161,
-    X86_POPDS32	= 2162,
-    X86_POPES16	= 2163,
-    X86_POPES32	= 2164,
-    X86_POPF16	= 2165,
-    X86_POPF32	= 2166,
-    X86_POPF64	= 2167,
-    X86_POPFS16	= 2168,
-    X86_POPFS32	= 2169,
-    X86_POPFS64	= 2170,
-    X86_POPGS16	= 2171,
-    X86_POPGS32	= 2172,
-    X86_POPGS64	= 2173,
-    X86_POPSS16	= 2174,
-    X86_POPSS32	= 2175,
-    X86_PORrm	= 2176,
-    X86_PORrr	= 2177,
-    X86_PREFETCH	= 2178,
-    X86_PREFETCHNTA	= 2179,
-    X86_PREFETCHT0	= 2180,
-    X86_PREFETCHT1	= 2181,
-    X86_PREFETCHT2	= 2182,
-    X86_PREFETCHW	= 2183,
-    X86_PSADBWrm	= 2184,
-    X86_PSADBWrr	= 2185,
-    X86_PSHUFBrm	= 2186,
-    X86_PSHUFBrr	= 2187,
-    X86_PSHUFDmi	= 2188,
-    X86_PSHUFDri	= 2189,
-    X86_PSHUFHWmi	= 2190,
-    X86_PSHUFHWri	= 2191,
-    X86_PSHUFLWmi	= 2192,
-    X86_PSHUFLWri	= 2193,
-    X86_PSIGNBrm	= 2194,
-    X86_PSIGNBrr	= 2195,
-    X86_PSIGNDrm	= 2196,
-    X86_PSIGNDrr	= 2197,
-    X86_PSIGNWrm	= 2198,
-    X86_PSIGNWrr	= 2199,
-    X86_PSLLDQri	= 2200,
-    X86_PSLLDri	= 2201,
-    X86_PSLLDrm	= 2202,
-    X86_PSLLDrr	= 2203,
-    X86_PSLLQri	= 2204,
-    X86_PSLLQrm	= 2205,
-    X86_PSLLQrr	= 2206,
-    X86_PSLLWri	= 2207,
-    X86_PSLLWrm	= 2208,
-    X86_PSLLWrr	= 2209,
-    X86_PSRADri	= 2210,
-    X86_PSRADrm	= 2211,
-    X86_PSRADrr	= 2212,
-    X86_PSRAWri	= 2213,
-    X86_PSRAWrm	= 2214,
-    X86_PSRAWrr	= 2215,
-    X86_PSRLDQri	= 2216,
-    X86_PSRLDri	= 2217,
-    X86_PSRLDrm	= 2218,
-    X86_PSRLDrr	= 2219,
-    X86_PSRLQri	= 2220,
-    X86_PSRLQrm	= 2221,
-    X86_PSRLQrr	= 2222,
-    X86_PSRLWri	= 2223,
-    X86_PSRLWrm	= 2224,
-    X86_PSRLWrr	= 2225,
-    X86_PSUBBrm	= 2226,
-    X86_PSUBBrr	= 2227,
-    X86_PSUBDrm	= 2228,
-    X86_PSUBDrr	= 2229,
-    X86_PSUBQrm	= 2230,
-    X86_PSUBQrr	= 2231,
-    X86_PSUBSBrm	= 2232,
-    X86_PSUBSBrr	= 2233,
-    X86_PSUBSWrm	= 2234,
-    X86_PSUBSWrr	= 2235,
-    X86_PSUBUSBrm	= 2236,
-    X86_PSUBUSBrr	= 2237,
-    X86_PSUBUSWrm	= 2238,
-    X86_PSUBUSWrr	= 2239,
-    X86_PSUBWrm	= 2240,
-    X86_PSUBWrr	= 2241,
-    X86_PSWAPDrm	= 2242,
-    X86_PSWAPDrr	= 2243,
-    X86_PTESTrm	= 2244,
-    X86_PTESTrr	= 2245,
-    X86_PUNPCKHBWrm	= 2246,
-    X86_PUNPCKHBWrr	= 2247,
-    X86_PUNPCKHDQrm	= 2248,
-    X86_PUNPCKHDQrr	= 2249,
-    X86_PUNPCKHQDQrm	= 2250,
-    X86_PUNPCKHQDQrr	= 2251,
-    X86_PUNPCKHWDrm	= 2252,
-    X86_PUNPCKHWDrr	= 2253,
-    X86_PUNPCKLBWrm	= 2254,
-    X86_PUNPCKLBWrr	= 2255,
-    X86_PUNPCKLDQrm	= 2256,
-    X86_PUNPCKLDQrr	= 2257,
-    X86_PUNPCKLQDQrm	= 2258,
-    X86_PUNPCKLQDQrr	= 2259,
-    X86_PUNPCKLWDrm	= 2260,
-    X86_PUNPCKLWDrr	= 2261,
-    X86_PUSH16i8	= 2262,
-    X86_PUSH16r	= 2263,
-    X86_PUSH16rmm	= 2264,
-    X86_PUSH16rmr	= 2265,
-    X86_PUSH32i8	= 2266,
-    X86_PUSH32r	= 2267,
-    X86_PUSH32rmm	= 2268,
-    X86_PUSH32rmr	= 2269,
-    X86_PUSH64i16	= 2270,
-    X86_PUSH64i32	= 2271,
-    X86_PUSH64i8	= 2272,
-    X86_PUSH64r	= 2273,
-    X86_PUSH64rmm	= 2274,
-    X86_PUSH64rmr	= 2275,
-    X86_PUSHA16	= 2276,
-    X86_PUSHA32	= 2277,
-    X86_PUSHCS16	= 2278,
-    X86_PUSHCS32	= 2279,
-    X86_PUSHDS16	= 2280,
-    X86_PUSHDS32	= 2281,
-    X86_PUSHES16	= 2282,
-    X86_PUSHES32	= 2283,
-    X86_PUSHF16	= 2284,
-    X86_PUSHF32	= 2285,
-    X86_PUSHF64	= 2286,
-    X86_PUSHFS16	= 2287,
-    X86_PUSHFS32	= 2288,
-    X86_PUSHFS64	= 2289,
-    X86_PUSHGS16	= 2290,
-    X86_PUSHGS32	= 2291,
-    X86_PUSHGS64	= 2292,
-    X86_PUSHSS16	= 2293,
-    X86_PUSHSS32	= 2294,
-    X86_PUSHi16	= 2295,
-    X86_PUSHi32	= 2296,
-    X86_PXORrm	= 2297,
-    X86_PXORrr	= 2298,
-    X86_RCL16m1	= 2299,
-    X86_RCL16mCL	= 2300,
-    X86_RCL16mi	= 2301,
-    X86_RCL16r1	= 2302,
-    X86_RCL16rCL	= 2303,
-    X86_RCL16ri	= 2304,
-    X86_RCL32m1	= 2305,
-    X86_RCL32mCL	= 2306,
-    X86_RCL32mi	= 2307,
-    X86_RCL32r1	= 2308,
-    X86_RCL32rCL	= 2309,
-    X86_RCL32ri	= 2310,
-    X86_RCL64m1	= 2311,
-    X86_RCL64mCL	= 2312,
-    X86_RCL64mi	= 2313,
-    X86_RCL64r1	= 2314,
-    X86_RCL64rCL	= 2315,
-    X86_RCL64ri	= 2316,
-    X86_RCL8m1	= 2317,
-    X86_RCL8mCL	= 2318,
-    X86_RCL8mi	= 2319,
-    X86_RCL8r1	= 2320,
-    X86_RCL8rCL	= 2321,
-    X86_RCL8ri	= 2322,
-    X86_RCPPSm	= 2323,
-    X86_RCPPSm_Int	= 2324,
-    X86_RCPPSr	= 2325,
-    X86_RCPPSr_Int	= 2326,
-    X86_RCPSSm	= 2327,
-    X86_RCPSSm_Int	= 2328,
-    X86_RCPSSr	= 2329,
-    X86_RCPSSr_Int	= 2330,
-    X86_RCR16m1	= 2331,
-    X86_RCR16mCL	= 2332,
-    X86_RCR16mi	= 2333,
-    X86_RCR16r1	= 2334,
-    X86_RCR16rCL	= 2335,
-    X86_RCR16ri	= 2336,
-    X86_RCR32m1	= 2337,
-    X86_RCR32mCL	= 2338,
-    X86_RCR32mi	= 2339,
-    X86_RCR32r1	= 2340,
-    X86_RCR32rCL	= 2341,
-    X86_RCR32ri	= 2342,
-    X86_RCR64m1	= 2343,
-    X86_RCR64mCL	= 2344,
-    X86_RCR64mi	= 2345,
-    X86_RCR64r1	= 2346,
-    X86_RCR64rCL	= 2347,
-    X86_RCR64ri	= 2348,
-    X86_RCR8m1	= 2349,
-    X86_RCR8mCL	= 2350,
-    X86_RCR8mi	= 2351,
-    X86_RCR8r1	= 2352,
-    X86_RCR8rCL	= 2353,
-    X86_RCR8ri	= 2354,
-    X86_RDFSBASE	= 2355,
-    X86_RDFSBASE64	= 2356,
-    X86_RDGSBASE	= 2357,
-    X86_RDGSBASE64	= 2358,
-    X86_RDMSR	= 2359,
-    X86_RDPMC	= 2360,
-    X86_RDRAND16r	= 2361,
-    X86_RDRAND32r	= 2362,
-    X86_RDRAND64r	= 2363,
-    X86_RDSEED16r	= 2364,
-    X86_RDSEED32r	= 2365,
-    X86_RDSEED64r	= 2366,
-    X86_RDTSC	= 2367,
-    X86_RDTSCP	= 2368,
-    X86_RELEASE_MOV16mr	= 2369,
-    X86_RELEASE_MOV32mr	= 2370,
-    X86_RELEASE_MOV64mr	= 2371,
-    X86_RELEASE_MOV8mr	= 2372,
-    X86_REPNE_PREFIX	= 2373,
-    X86_REP_MOVSB_32	= 2374,
-    X86_REP_MOVSB_64	= 2375,
-    X86_REP_MOVSD_32	= 2376,
-    X86_REP_MOVSD_64	= 2377,
-    X86_REP_MOVSQ_64	= 2378,
-    X86_REP_MOVSW_32	= 2379,
-    X86_REP_MOVSW_64	= 2380,
-    X86_REP_PREFIX	= 2381,
-    X86_REP_STOSB_32	= 2382,
-    X86_REP_STOSB_64	= 2383,
-    X86_REP_STOSD_32	= 2384,
-    X86_REP_STOSD_64	= 2385,
-    X86_REP_STOSQ_64	= 2386,
-    X86_REP_STOSW_32	= 2387,
-    X86_REP_STOSW_64	= 2388,
-    X86_RETIL	= 2389,
-    X86_RETIQ	= 2390,
-    X86_RETIW	= 2391,
-    X86_RETL	= 2392,
-    X86_RETQ	= 2393,
-    X86_RETW	= 2394,
-    X86_REX64_PREFIX	= 2395,
-    X86_ROL16m1	= 2396,
-    X86_ROL16mCL	= 2397,
-    X86_ROL16mi	= 2398,
-    X86_ROL16r1	= 2399,
-    X86_ROL16rCL	= 2400,
-    X86_ROL16ri	= 2401,
-    X86_ROL32m1	= 2402,
-    X86_ROL32mCL	= 2403,
-    X86_ROL32mi	= 2404,
-    X86_ROL32r1	= 2405,
-    X86_ROL32rCL	= 2406,
-    X86_ROL32ri	= 2407,
-    X86_ROL64m1	= 2408,
-    X86_ROL64mCL	= 2409,
-    X86_ROL64mi	= 2410,
-    X86_ROL64r1	= 2411,
-    X86_ROL64rCL	= 2412,
-    X86_ROL64ri	= 2413,
-    X86_ROL8m1	= 2414,
-    X86_ROL8mCL	= 2415,
-    X86_ROL8mi	= 2416,
-    X86_ROL8r1	= 2417,
-    X86_ROL8rCL	= 2418,
-    X86_ROL8ri	= 2419,
-    X86_ROR16m1	= 2420,
-    X86_ROR16mCL	= 2421,
-    X86_ROR16mi	= 2422,
-    X86_ROR16r1	= 2423,
-    X86_ROR16rCL	= 2424,
-    X86_ROR16ri	= 2425,
-    X86_ROR32m1	= 2426,
-    X86_ROR32mCL	= 2427,
-    X86_ROR32mi	= 2428,
-    X86_ROR32r1	= 2429,
-    X86_ROR32rCL	= 2430,
-    X86_ROR32ri	= 2431,
-    X86_ROR64m1	= 2432,
-    X86_ROR64mCL	= 2433,
-    X86_ROR64mi	= 2434,
-    X86_ROR64r1	= 2435,
-    X86_ROR64rCL	= 2436,
-    X86_ROR64ri	= 2437,
-    X86_ROR8m1	= 2438,
-    X86_ROR8mCL	= 2439,
-    X86_ROR8mi	= 2440,
-    X86_ROR8r1	= 2441,
-    X86_ROR8rCL	= 2442,
-    X86_ROR8ri	= 2443,
-    X86_RORX32mi	= 2444,
-    X86_RORX32ri	= 2445,
-    X86_RORX64mi	= 2446,
-    X86_RORX64ri	= 2447,
-    X86_ROUNDPDm	= 2448,
-    X86_ROUNDPDr	= 2449,
-    X86_ROUNDPSm	= 2450,
-    X86_ROUNDPSr	= 2451,
-    X86_ROUNDSDm	= 2452,
-    X86_ROUNDSDr	= 2453,
-    X86_ROUNDSDr_Int	= 2454,
-    X86_ROUNDSSm	= 2455,
-    X86_ROUNDSSr	= 2456,
-    X86_ROUNDSSr_Int	= 2457,
-    X86_RSM	= 2458,
-    X86_RSQRTPSm	= 2459,
-    X86_RSQRTPSm_Int	= 2460,
-    X86_RSQRTPSr	= 2461,
-    X86_RSQRTPSr_Int	= 2462,
-    X86_RSQRTSSm	= 2463,
-    X86_RSQRTSSm_Int	= 2464,
-    X86_RSQRTSSr	= 2465,
-    X86_RSQRTSSr_Int	= 2466,
-    X86_SAHF	= 2467,
-    X86_SAL16m1	= 2468,
-    X86_SAL16mCL	= 2469,
-    X86_SAL16mi	= 2470,
-    X86_SAL16r1	= 2471,
-    X86_SAL16rCL	= 2472,
-    X86_SAL16ri	= 2473,
-    X86_SAL32m1	= 2474,
-    X86_SAL32mCL	= 2475,
-    X86_SAL32mi	= 2476,
-    X86_SAL32r1	= 2477,
-    X86_SAL32rCL	= 2478,
-    X86_SAL32ri	= 2479,
-    X86_SAL64m1	= 2480,
-    X86_SAL64mCL	= 2481,
-    X86_SAL64mi	= 2482,
-    X86_SAL64r1	= 2483,
-    X86_SAL64rCL	= 2484,
-    X86_SAL64ri	= 2485,
-    X86_SAL8m1	= 2486,
-    X86_SAL8mCL	= 2487,
-    X86_SAL8mi	= 2488,
-    X86_SAL8r1	= 2489,
-    X86_SAL8rCL	= 2490,
-    X86_SAL8ri	= 2491,
-    X86_SALC	= 2492,
-    X86_SAR16m1	= 2493,
-    X86_SAR16mCL	= 2494,
-    X86_SAR16mi	= 2495,
-    X86_SAR16r1	= 2496,
-    X86_SAR16rCL	= 2497,
-    X86_SAR16ri	= 2498,
-    X86_SAR32m1	= 2499,
-    X86_SAR32mCL	= 2500,
-    X86_SAR32mi	= 2501,
-    X86_SAR32r1	= 2502,
-    X86_SAR32rCL	= 2503,
-    X86_SAR32ri	= 2504,
-    X86_SAR64m1	= 2505,
-    X86_SAR64mCL	= 2506,
-    X86_SAR64mi	= 2507,
-    X86_SAR64r1	= 2508,
-    X86_SAR64rCL	= 2509,
-    X86_SAR64ri	= 2510,
-    X86_SAR8m1	= 2511,
-    X86_SAR8mCL	= 2512,
-    X86_SAR8mi	= 2513,
-    X86_SAR8r1	= 2514,
-    X86_SAR8rCL	= 2515,
-    X86_SAR8ri	= 2516,
-    X86_SARX32rm	= 2517,
-    X86_SARX32rr	= 2518,
-    X86_SARX64rm	= 2519,
-    X86_SARX64rr	= 2520,
-    X86_SBB16i16	= 2521,
-    X86_SBB16mi	= 2522,
-    X86_SBB16mi8	= 2523,
-    X86_SBB16mr	= 2524,
-    X86_SBB16ri	= 2525,
-    X86_SBB16ri8	= 2526,
-    X86_SBB16rm	= 2527,
-    X86_SBB16rr	= 2528,
-    X86_SBB16rr_REV	= 2529,
-    X86_SBB32i32	= 2530,
-    X86_SBB32mi	= 2531,
-    X86_SBB32mi8	= 2532,
-    X86_SBB32mr	= 2533,
-    X86_SBB32ri	= 2534,
-    X86_SBB32ri8	= 2535,
-    X86_SBB32rm	= 2536,
-    X86_SBB32rr	= 2537,
-    X86_SBB32rr_REV	= 2538,
-    X86_SBB64i32	= 2539,
-    X86_SBB64mi32	= 2540,
-    X86_SBB64mi8	= 2541,
-    X86_SBB64mr	= 2542,
-    X86_SBB64ri32	= 2543,
-    X86_SBB64ri8	= 2544,
-    X86_SBB64rm	= 2545,
-    X86_SBB64rr	= 2546,
-    X86_SBB64rr_REV	= 2547,
-    X86_SBB8i8	= 2548,
-    X86_SBB8mi	= 2549,
-    X86_SBB8mr	= 2550,
-    X86_SBB8ri	= 2551,
-    X86_SBB8rm	= 2552,
-    X86_SBB8rr	= 2553,
-    X86_SBB8rr_REV	= 2554,
-    X86_SCAS16	= 2555,
-    X86_SCAS32	= 2556,
-    X86_SCAS64	= 2557,
-    X86_SCAS8	= 2558,
-    X86_SEG_ALLOCA_32	= 2559,
-    X86_SEG_ALLOCA_64	= 2560,
-    X86_SETAEm	= 2561,
-    X86_SETAEr	= 2562,
-    X86_SETAm	= 2563,
-    X86_SETAr	= 2564,
-    X86_SETBEm	= 2565,
-    X86_SETBEr	= 2566,
-    X86_SETB_C16r	= 2567,
-    X86_SETB_C32r	= 2568,
-    X86_SETB_C64r	= 2569,
-    X86_SETB_C8r	= 2570,
-    X86_SETBm	= 2571,
-    X86_SETBr	= 2572,
-    X86_SETEm	= 2573,
-    X86_SETEr	= 2574,
-    X86_SETGEm	= 2575,
-    X86_SETGEr	= 2576,
-    X86_SETGm	= 2577,
-    X86_SETGr	= 2578,
-    X86_SETLEm	= 2579,
-    X86_SETLEr	= 2580,
-    X86_SETLm	= 2581,
-    X86_SETLr	= 2582,
-    X86_SETNEm	= 2583,
-    X86_SETNEr	= 2584,
-    X86_SETNOm	= 2585,
-    X86_SETNOr	= 2586,
-    X86_SETNPm	= 2587,
-    X86_SETNPr	= 2588,
-    X86_SETNSm	= 2589,
-    X86_SETNSr	= 2590,
-    X86_SETOm	= 2591,
-    X86_SETOr	= 2592,
-    X86_SETPm	= 2593,
-    X86_SETPr	= 2594,
-    X86_SETSm	= 2595,
-    X86_SETSr	= 2596,
-    X86_SFENCE	= 2597,
-    X86_SGDT16m	= 2598,
-    X86_SGDT32m	= 2599,
-    X86_SGDT64m	= 2600,
-    X86_SHA1MSG1rm	= 2601,
-    X86_SHA1MSG1rr	= 2602,
-    X86_SHA1MSG2rm	= 2603,
-    X86_SHA1MSG2rr	= 2604,
-    X86_SHA1NEXTErm	= 2605,
-    X86_SHA1NEXTErr	= 2606,
-    X86_SHA1RNDS4rmi	= 2607,
-    X86_SHA1RNDS4rri	= 2608,
-    X86_SHA256MSG1rm	= 2609,
-    X86_SHA256MSG1rr	= 2610,
-    X86_SHA256MSG2rm	= 2611,
-    X86_SHA256MSG2rr	= 2612,
-    X86_SHA256RNDS2rm	= 2613,
-    X86_SHA256RNDS2rr	= 2614,
-    X86_SHL16m1	= 2615,
-    X86_SHL16mCL	= 2616,
-    X86_SHL16mi	= 2617,
-    X86_SHL16r1	= 2618,
-    X86_SHL16rCL	= 2619,
-    X86_SHL16ri	= 2620,
-    X86_SHL32m1	= 2621,
-    X86_SHL32mCL	= 2622,
-    X86_SHL32mi	= 2623,
-    X86_SHL32r1	= 2624,
-    X86_SHL32rCL	= 2625,
-    X86_SHL32ri	= 2626,
-    X86_SHL64m1	= 2627,
-    X86_SHL64mCL	= 2628,
-    X86_SHL64mi	= 2629,
-    X86_SHL64r1	= 2630,
-    X86_SHL64rCL	= 2631,
-    X86_SHL64ri	= 2632,
-    X86_SHL8m1	= 2633,
-    X86_SHL8mCL	= 2634,
-    X86_SHL8mi	= 2635,
-    X86_SHL8r1	= 2636,
-    X86_SHL8rCL	= 2637,
-    X86_SHL8ri	= 2638,
-    X86_SHLD16mrCL	= 2639,
-    X86_SHLD16mri8	= 2640,
-    X86_SHLD16rrCL	= 2641,
-    X86_SHLD16rri8	= 2642,
-    X86_SHLD32mrCL	= 2643,
-    X86_SHLD32mri8	= 2644,
-    X86_SHLD32rrCL	= 2645,
-    X86_SHLD32rri8	= 2646,
-    X86_SHLD64mrCL	= 2647,
-    X86_SHLD64mri8	= 2648,
-    X86_SHLD64rrCL	= 2649,
-    X86_SHLD64rri8	= 2650,
-    X86_SHLX32rm	= 2651,
-    X86_SHLX32rr	= 2652,
-    X86_SHLX64rm	= 2653,
-    X86_SHLX64rr	= 2654,
-    X86_SHR16m1	= 2655,
-    X86_SHR16mCL	= 2656,
-    X86_SHR16mi	= 2657,
-    X86_SHR16r1	= 2658,
-    X86_SHR16rCL	= 2659,
-    X86_SHR16ri	= 2660,
-    X86_SHR32m1	= 2661,
-    X86_SHR32mCL	= 2662,
-    X86_SHR32mi	= 2663,
-    X86_SHR32r1	= 2664,
-    X86_SHR32rCL	= 2665,
-    X86_SHR32ri	= 2666,
-    X86_SHR64m1	= 2667,
-    X86_SHR64mCL	= 2668,
-    X86_SHR64mi	= 2669,
-    X86_SHR64r1	= 2670,
-    X86_SHR64rCL	= 2671,
-    X86_SHR64ri	= 2672,
-    X86_SHR8m1	= 2673,
-    X86_SHR8mCL	= 2674,
-    X86_SHR8mi	= 2675,
-    X86_SHR8r1	= 2676,
-    X86_SHR8rCL	= 2677,
-    X86_SHR8ri	= 2678,
-    X86_SHRD16mrCL	= 2679,
-    X86_SHRD16mri8	= 2680,
-    X86_SHRD16rrCL	= 2681,
-    X86_SHRD16rri8	= 2682,
-    X86_SHRD32mrCL	= 2683,
-    X86_SHRD32mri8	= 2684,
-    X86_SHRD32rrCL	= 2685,
-    X86_SHRD32rri8	= 2686,
-    X86_SHRD64mrCL	= 2687,
-    X86_SHRD64mri8	= 2688,
-    X86_SHRD64rrCL	= 2689,
-    X86_SHRD64rri8	= 2690,
-    X86_SHRX32rm	= 2691,
-    X86_SHRX32rr	= 2692,
-    X86_SHRX64rm	= 2693,
-    X86_SHRX64rr	= 2694,
-    X86_SHUFPDrmi	= 2695,
-    X86_SHUFPDrri	= 2696,
-    X86_SHUFPSrmi	= 2697,
-    X86_SHUFPSrri	= 2698,
-    X86_SIDT16m	= 2699,
-    X86_SIDT32m	= 2700,
-    X86_SIDT64m	= 2701,
-    X86_SIN_F	= 2702,
-    X86_SIN_Fp32	= 2703,
-    X86_SIN_Fp64	= 2704,
-    X86_SIN_Fp80	= 2705,
-    X86_SKINIT	= 2706,
-    X86_SLDT16m	= 2707,
-    X86_SLDT16r	= 2708,
-    X86_SLDT32r	= 2709,
-    X86_SLDT64m	= 2710,
-    X86_SLDT64r	= 2711,
-    X86_SMSW16m	= 2712,
-    X86_SMSW16r	= 2713,
-    X86_SMSW32r	= 2714,
-    X86_SMSW64r	= 2715,
-    X86_SQRTPDm	= 2716,
-    X86_SQRTPDr	= 2717,
-    X86_SQRTPSm	= 2718,
-    X86_SQRTPSr	= 2719,
-    X86_SQRTSDm	= 2720,
-    X86_SQRTSDm_Int	= 2721,
-    X86_SQRTSDr	= 2722,
-    X86_SQRTSDr_Int	= 2723,
-    X86_SQRTSSm	= 2724,
-    X86_SQRTSSm_Int	= 2725,
-    X86_SQRTSSr	= 2726,
-    X86_SQRTSSr_Int	= 2727,
-    X86_SQRT_F	= 2728,
-    X86_SQRT_Fp32	= 2729,
-    X86_SQRT_Fp64	= 2730,
-    X86_SQRT_Fp80	= 2731,
-    X86_SS_PREFIX	= 2732,
-    X86_STAC	= 2733,
-    X86_STC	= 2734,
-    X86_STD	= 2735,
-    X86_STGI	= 2736,
-    X86_STI	= 2737,
-    X86_STMXCSR	= 2738,
-    X86_STOSB	= 2739,
-    X86_STOSL	= 2740,
-    X86_STOSQ	= 2741,
-    X86_STOSW	= 2742,
-    X86_STR16r	= 2743,
-    X86_STR32r	= 2744,
-    X86_STR64r	= 2745,
-    X86_STRm	= 2746,
-    X86_ST_F32m	= 2747,
-    X86_ST_F64m	= 2748,
-    X86_ST_FP32m	= 2749,
-    X86_ST_FP64m	= 2750,
-    X86_ST_FP80m	= 2751,
-    X86_ST_FPNCE	= 2752,
-    X86_ST_FPr0r7	= 2753,
-    X86_ST_FPrr	= 2754,
-    X86_ST_Fp32m	= 2755,
-    X86_ST_Fp64m	= 2756,
-    X86_ST_Fp64m32	= 2757,
-    X86_ST_Fp80m32	= 2758,
-    X86_ST_Fp80m64	= 2759,
-    X86_ST_FpP32m	= 2760,
-    X86_ST_FpP64m	= 2761,
-    X86_ST_FpP64m32	= 2762,
-    X86_ST_FpP80m	= 2763,
-    X86_ST_FpP80m32	= 2764,
-    X86_ST_FpP80m64	= 2765,
-    X86_ST_Frr	= 2766,
-    X86_SUB16i16	= 2767,
-    X86_SUB16mi	= 2768,
-    X86_SUB16mi8	= 2769,
-    X86_SUB16mr	= 2770,
-    X86_SUB16ri	= 2771,
-    X86_SUB16ri8	= 2772,
-    X86_SUB16rm	= 2773,
-    X86_SUB16rr	= 2774,
-    X86_SUB16rr_REV	= 2775,
-    X86_SUB32i32	= 2776,
-    X86_SUB32mi	= 2777,
-    X86_SUB32mi8	= 2778,
-    X86_SUB32mr	= 2779,
-    X86_SUB32ri	= 2780,
-    X86_SUB32ri8	= 2781,
-    X86_SUB32rm	= 2782,
-    X86_SUB32rr	= 2783,
-    X86_SUB32rr_REV	= 2784,
-    X86_SUB64i32	= 2785,
-    X86_SUB64mi32	= 2786,
-    X86_SUB64mi8	= 2787,
-    X86_SUB64mr	= 2788,
-    X86_SUB64ri32	= 2789,
-    X86_SUB64ri8	= 2790,
-    X86_SUB64rm	= 2791,
-    X86_SUB64rr	= 2792,
-    X86_SUB64rr_REV	= 2793,
-    X86_SUB8i8	= 2794,
-    X86_SUB8mi	= 2795,
-    X86_SUB8mr	= 2796,
-    X86_SUB8ri	= 2797,
-    X86_SUB8ri8	= 2798,
-    X86_SUB8rm	= 2799,
-    X86_SUB8rr	= 2800,
-    X86_SUB8rr_REV	= 2801,
-    X86_SUBPDrm	= 2802,
-    X86_SUBPDrr	= 2803,
-    X86_SUBPSrm	= 2804,
-    X86_SUBPSrr	= 2805,
-    X86_SUBR_F32m	= 2806,
-    X86_SUBR_F64m	= 2807,
-    X86_SUBR_FI16m	= 2808,
-    X86_SUBR_FI32m	= 2809,
-    X86_SUBR_FPrST0	= 2810,
-    X86_SUBR_FST0r	= 2811,
-    X86_SUBR_Fp32m	= 2812,
-    X86_SUBR_Fp64m	= 2813,
-    X86_SUBR_Fp64m32	= 2814,
-    X86_SUBR_Fp80m32	= 2815,
-    X86_SUBR_Fp80m64	= 2816,
-    X86_SUBR_FpI16m32	= 2817,
-    X86_SUBR_FpI16m64	= 2818,
-    X86_SUBR_FpI16m80	= 2819,
-    X86_SUBR_FpI32m32	= 2820,
-    X86_SUBR_FpI32m64	= 2821,
-    X86_SUBR_FpI32m80	= 2822,
-    X86_SUBR_FrST0	= 2823,
-    X86_SUBSDrm	= 2824,
-    X86_SUBSDrm_Int	= 2825,
-    X86_SUBSDrr	= 2826,
-    X86_SUBSDrr_Int	= 2827,
-    X86_SUBSSrm	= 2828,
-    X86_SUBSSrm_Int	= 2829,
-    X86_SUBSSrr	= 2830,
-    X86_SUBSSrr_Int	= 2831,
-    X86_SUB_F32m	= 2832,
-    X86_SUB_F64m	= 2833,
-    X86_SUB_FI16m	= 2834,
-    X86_SUB_FI32m	= 2835,
-    X86_SUB_FPrST0	= 2836,
-    X86_SUB_FST0r	= 2837,
-    X86_SUB_Fp32	= 2838,
-    X86_SUB_Fp32m	= 2839,
-    X86_SUB_Fp64	= 2840,
-    X86_SUB_Fp64m	= 2841,
-    X86_SUB_Fp64m32	= 2842,
-    X86_SUB_Fp80	= 2843,
-    X86_SUB_Fp80m32	= 2844,
-    X86_SUB_Fp80m64	= 2845,
-    X86_SUB_FpI16m32	= 2846,
-    X86_SUB_FpI16m64	= 2847,
-    X86_SUB_FpI16m80	= 2848,
-    X86_SUB_FpI32m32	= 2849,
-    X86_SUB_FpI32m64	= 2850,
-    X86_SUB_FpI32m80	= 2851,
-    X86_SUB_FrST0	= 2852,
-    X86_SWAPGS	= 2853,
-    X86_SYSCALL	= 2854,
-    X86_SYSENTER	= 2855,
-    X86_SYSEXIT	= 2856,
-    X86_SYSEXIT64	= 2857,
-    X86_SYSRET	= 2858,
-    X86_SYSRET64	= 2859,
-    X86_T1MSKC32rm	= 2860,
-    X86_T1MSKC32rr	= 2861,
-    X86_T1MSKC64rm	= 2862,
-    X86_T1MSKC64rr	= 2863,
-    X86_TAILJMPd	= 2864,
-    X86_TAILJMPd64	= 2865,
-    X86_TAILJMPm	= 2866,
-    X86_TAILJMPm64	= 2867,
-    X86_TAILJMPr	= 2868,
-    X86_TAILJMPr64	= 2869,
-    X86_TCRETURNdi	= 2870,
-    X86_TCRETURNdi64	= 2871,
-    X86_TCRETURNmi	= 2872,
-    X86_TCRETURNmi64	= 2873,
-    X86_TCRETURNri	= 2874,
-    X86_TCRETURNri64	= 2875,
-    X86_TEST16i16	= 2876,
-    X86_TEST16mi	= 2877,
-    X86_TEST16mi_alt	= 2878,
-    X86_TEST16ri	= 2879,
-    X86_TEST16ri_alt	= 2880,
-    X86_TEST16rm	= 2881,
-    X86_TEST16rr	= 2882,
-    X86_TEST32i32	= 2883,
-    X86_TEST32mi	= 2884,
-    X86_TEST32mi_alt	= 2885,
-    X86_TEST32ri	= 2886,
-    X86_TEST32ri_alt	= 2887,
-    X86_TEST32rm	= 2888,
-    X86_TEST32rr	= 2889,
-    X86_TEST64i32	= 2890,
-    X86_TEST64mi32	= 2891,
-    X86_TEST64mi32_alt	= 2892,
-    X86_TEST64ri32	= 2893,
-    X86_TEST64ri32_alt	= 2894,
-    X86_TEST64rm	= 2895,
-    X86_TEST64rr	= 2896,
-    X86_TEST8i8	= 2897,
-    X86_TEST8mi	= 2898,
-    X86_TEST8mi_alt	= 2899,
-    X86_TEST8ri	= 2900,
-    X86_TEST8ri_NOREX	= 2901,
-    X86_TEST8ri_alt	= 2902,
-    X86_TEST8rm	= 2903,
-    X86_TEST8rr	= 2904,
-    X86_TLSCall_32	= 2905,
-    X86_TLSCall_64	= 2906,
-    X86_TLS_addr32	= 2907,
-    X86_TLS_addr64	= 2908,
-    X86_TLS_base_addr32	= 2909,
-    X86_TLS_base_addr64	= 2910,
-    X86_TRAP	= 2911,
-    X86_TST_F	= 2912,
-    X86_TST_Fp32	= 2913,
-    X86_TST_Fp64	= 2914,
-    X86_TST_Fp80	= 2915,
-    X86_TZCNT16rm	= 2916,
-    X86_TZCNT16rr	= 2917,
-    X86_TZCNT32rm	= 2918,
-    X86_TZCNT32rr	= 2919,
-    X86_TZCNT64rm	= 2920,
-    X86_TZCNT64rr	= 2921,
-    X86_TZMSK32rm	= 2922,
-    X86_TZMSK32rr	= 2923,
-    X86_TZMSK64rm	= 2924,
-    X86_TZMSK64rr	= 2925,
-    X86_UCOMISDrm	= 2926,
-    X86_UCOMISDrr	= 2927,
-    X86_UCOMISSrm	= 2928,
-    X86_UCOMISSrr	= 2929,
-    X86_UCOM_FIPr	= 2930,
-    X86_UCOM_FIr	= 2931,
-    X86_UCOM_FPPr	= 2932,
-    X86_UCOM_FPr	= 2933,
-    X86_UCOM_FpIr32	= 2934,
-    X86_UCOM_FpIr64	= 2935,
-    X86_UCOM_FpIr80	= 2936,
-    X86_UCOM_Fpr32	= 2937,
-    X86_UCOM_Fpr64	= 2938,
-    X86_UCOM_Fpr80	= 2939,
-    X86_UCOM_Fr	= 2940,
-    X86_UD2B	= 2941,
-    X86_UNPCKHPDrm	= 2942,
-    X86_UNPCKHPDrr	= 2943,
-    X86_UNPCKHPSrm	= 2944,
-    X86_UNPCKHPSrr	= 2945,
-    X86_UNPCKLPDrm	= 2946,
-    X86_UNPCKLPDrr	= 2947,
-    X86_UNPCKLPSrm	= 2948,
-    X86_UNPCKLPSrr	= 2949,
-    X86_VAARG_64	= 2950,
-    X86_VADDPDYrm	= 2951,
-    X86_VADDPDYrr	= 2952,
-    X86_VADDPDZrm	= 2953,
-    X86_VADDPDZrmb	= 2954,
-    X86_VADDPDZrr	= 2955,
-    X86_VADDPDrm	= 2956,
-    X86_VADDPDrr	= 2957,
-    X86_VADDPSYrm	= 2958,
-    X86_VADDPSYrr	= 2959,
-    X86_VADDPSZrm	= 2960,
-    X86_VADDPSZrmb	= 2961,
-    X86_VADDPSZrr	= 2962,
-    X86_VADDPSrm	= 2963,
-    X86_VADDPSrr	= 2964,
-    X86_VADDSDZrm	= 2965,
-    X86_VADDSDZrr	= 2966,
-    X86_VADDSDrm	= 2967,
-    X86_VADDSDrm_Int	= 2968,
-    X86_VADDSDrr	= 2969,
-    X86_VADDSDrr_Int	= 2970,
-    X86_VADDSSZrm	= 2971,
-    X86_VADDSSZrr	= 2972,
-    X86_VADDSSrm	= 2973,
-    X86_VADDSSrm_Int	= 2974,
-    X86_VADDSSrr	= 2975,
-    X86_VADDSSrr_Int	= 2976,
-    X86_VADDSUBPDYrm	= 2977,
-    X86_VADDSUBPDYrr	= 2978,
-    X86_VADDSUBPDrm	= 2979,
-    X86_VADDSUBPDrr	= 2980,
-    X86_VADDSUBPSYrm	= 2981,
-    X86_VADDSUBPSYrr	= 2982,
-    X86_VADDSUBPSrm	= 2983,
-    X86_VADDSUBPSrr	= 2984,
-    X86_VAESDECLASTrm	= 2985,
-    X86_VAESDECLASTrr	= 2986,
-    X86_VAESDECrm	= 2987,
-    X86_VAESDECrr	= 2988,
-    X86_VAESENCLASTrm	= 2989,
-    X86_VAESENCLASTrr	= 2990,
-    X86_VAESENCrm	= 2991,
-    X86_VAESENCrr	= 2992,
-    X86_VAESIMCrm	= 2993,
-    X86_VAESIMCrr	= 2994,
-    X86_VAESKEYGENASSIST128rm	= 2995,
-    X86_VAESKEYGENASSIST128rr	= 2996,
-    X86_VALIGNDrmi	= 2997,
-    X86_VALIGNDrri	= 2998,
-    X86_VALIGNQrmi	= 2999,
-    X86_VALIGNQrri	= 3000,
-    X86_VANDNPDYrm	= 3001,
-    X86_VANDNPDYrr	= 3002,
-    X86_VANDNPDrm	= 3003,
-    X86_VANDNPDrr	= 3004,
-    X86_VANDNPSYrm	= 3005,
-    X86_VANDNPSYrr	= 3006,
-    X86_VANDNPSrm	= 3007,
-    X86_VANDNPSrr	= 3008,
-    X86_VANDPDYrm	= 3009,
-    X86_VANDPDYrr	= 3010,
-    X86_VANDPDrm	= 3011,
-    X86_VANDPDrr	= 3012,
-    X86_VANDPSYrm	= 3013,
-    X86_VANDPSYrr	= 3014,
-    X86_VANDPSrm	= 3015,
-    X86_VANDPSrr	= 3016,
-    X86_VASTART_SAVE_XMM_REGS	= 3017,
-    X86_VBLENDMPDZrm	= 3018,
-    X86_VBLENDMPDZrr	= 3019,
-    X86_VBLENDMPSZrm	= 3020,
-    X86_VBLENDMPSZrr	= 3021,
-    X86_VBLENDPDYrmi	= 3022,
-    X86_VBLENDPDYrri	= 3023,
-    X86_VBLENDPDrmi	= 3024,
-    X86_VBLENDPDrri	= 3025,
-    X86_VBLENDPSYrmi	= 3026,
-    X86_VBLENDPSYrri	= 3027,
-    X86_VBLENDPSrmi	= 3028,
-    X86_VBLENDPSrri	= 3029,
-    X86_VBLENDVPDYrm	= 3030,
-    X86_VBLENDVPDYrr	= 3031,
-    X86_VBLENDVPDrm	= 3032,
-    X86_VBLENDVPDrr	= 3033,
-    X86_VBLENDVPSYrm	= 3034,
-    X86_VBLENDVPSYrr	= 3035,
-    X86_VBLENDVPSrm	= 3036,
-    X86_VBLENDVPSrr	= 3037,
-    X86_VBROADCASTF128	= 3038,
-    X86_VBROADCASTI128	= 3039,
-    X86_VBROADCASTSDYrm	= 3040,
-    X86_VBROADCASTSDYrr	= 3041,
-    X86_VBROADCASTSDZrm	= 3042,
-    X86_VBROADCASTSDZrr	= 3043,
-    X86_VBROADCASTSSYrm	= 3044,
-    X86_VBROADCASTSSYrr	= 3045,
-    X86_VBROADCASTSSZrm	= 3046,
-    X86_VBROADCASTSSZrr	= 3047,
-    X86_VBROADCASTSSrm	= 3048,
-    X86_VBROADCASTSSrr	= 3049,
-    X86_VCMPPDYrmi	= 3050,
-    X86_VCMPPDYrmi_alt	= 3051,
-    X86_VCMPPDYrri	= 3052,
-    X86_VCMPPDYrri_alt	= 3053,
-    X86_VCMPPDZrmi	= 3054,
-    X86_VCMPPDZrmi_alt	= 3055,
-    X86_VCMPPDZrri	= 3056,
-    X86_VCMPPDZrri_alt	= 3057,
-    X86_VCMPPDZrrib	= 3058,
-    X86_VCMPPDrmi	= 3059,
-    X86_VCMPPDrmi_alt	= 3060,
-    X86_VCMPPDrri	= 3061,
-    X86_VCMPPDrri_alt	= 3062,
-    X86_VCMPPSYrmi	= 3063,
-    X86_VCMPPSYrmi_alt	= 3064,
-    X86_VCMPPSYrri	= 3065,
-    X86_VCMPPSYrri_alt	= 3066,
-    X86_VCMPPSZrmi	= 3067,
-    X86_VCMPPSZrmi_alt	= 3068,
-    X86_VCMPPSZrri	= 3069,
-    X86_VCMPPSZrri_alt	= 3070,
-    X86_VCMPPSZrrib	= 3071,
-    X86_VCMPPSrmi	= 3072,
-    X86_VCMPPSrmi_alt	= 3073,
-    X86_VCMPPSrri	= 3074,
-    X86_VCMPPSrri_alt	= 3075,
-    X86_VCMPSDZrm	= 3076,
-    X86_VCMPSDZrmi_alt	= 3077,
-    X86_VCMPSDZrr	= 3078,
-    X86_VCMPSDZrri_alt	= 3079,
-    X86_VCMPSDrm	= 3080,
-    X86_VCMPSDrm_alt	= 3081,
-    X86_VCMPSDrr	= 3082,
-    X86_VCMPSDrr_alt	= 3083,
-    X86_VCMPSSZrm	= 3084,
-    X86_VCMPSSZrmi_alt	= 3085,
-    X86_VCMPSSZrr	= 3086,
-    X86_VCMPSSZrri_alt	= 3087,
-    X86_VCMPSSrm	= 3088,
-    X86_VCMPSSrm_alt	= 3089,
-    X86_VCMPSSrr	= 3090,
-    X86_VCMPSSrr_alt	= 3091,
-    X86_VCOMISDZrm	= 3092,
-    X86_VCOMISDZrr	= 3093,
-    X86_VCOMISDrm	= 3094,
-    X86_VCOMISDrr	= 3095,
-    X86_VCOMISSZrm	= 3096,
-    X86_VCOMISSZrr	= 3097,
-    X86_VCOMISSrm	= 3098,
-    X86_VCOMISSrr	= 3099,
-    X86_VCVTDQ2PDYrm	= 3100,
-    X86_VCVTDQ2PDYrr	= 3101,
-    X86_VCVTDQ2PDZrm	= 3102,
-    X86_VCVTDQ2PDZrr	= 3103,
-    X86_VCVTDQ2PDrm	= 3104,
-    X86_VCVTDQ2PDrr	= 3105,
-    X86_VCVTDQ2PSYrm	= 3106,
-    X86_VCVTDQ2PSYrr	= 3107,
-    X86_VCVTDQ2PSZrm	= 3108,
-    X86_VCVTDQ2PSZrr	= 3109,
-    X86_VCVTDQ2PSZrrb	= 3110,
-    X86_VCVTDQ2PSrm	= 3111,
-    X86_VCVTDQ2PSrr	= 3112,
-    X86_VCVTPD2DQXrm	= 3113,
-    X86_VCVTPD2DQYrm	= 3114,
-    X86_VCVTPD2DQYrr	= 3115,
-    X86_VCVTPD2DQZrm	= 3116,
-    X86_VCVTPD2DQZrr	= 3117,
-    X86_VCVTPD2DQZrrb	= 3118,
-    X86_VCVTPD2DQrr	= 3119,
-    X86_VCVTPD2PSXrm	= 3120,
-    X86_VCVTPD2PSYrm	= 3121,
-    X86_VCVTPD2PSYrr	= 3122,
-    X86_VCVTPD2PSZrm	= 3123,
-    X86_VCVTPD2PSZrr	= 3124,
-    X86_VCVTPD2PSZrrb	= 3125,
-    X86_VCVTPD2PSrr	= 3126,
-    X86_VCVTPD2UDQZrm	= 3127,
-    X86_VCVTPD2UDQZrr	= 3128,
-    X86_VCVTPD2UDQZrrb	= 3129,
-    X86_VCVTPH2PSYrm	= 3130,
-    X86_VCVTPH2PSYrr	= 3131,
-    X86_VCVTPH2PSZrm	= 3132,
-    X86_VCVTPH2PSZrr	= 3133,
-    X86_VCVTPH2PSrm	= 3134,
-    X86_VCVTPH2PSrr	= 3135,
-    X86_VCVTPS2DQYrm	= 3136,
-    X86_VCVTPS2DQYrr	= 3137,
-    X86_VCVTPS2DQZrm	= 3138,
-    X86_VCVTPS2DQZrr	= 3139,
-    X86_VCVTPS2DQZrrb	= 3140,
-    X86_VCVTPS2DQrm	= 3141,
-    X86_VCVTPS2DQrr	= 3142,
-    X86_VCVTPS2PDYrm	= 3143,
-    X86_VCVTPS2PDYrr	= 3144,
-    X86_VCVTPS2PDZrm	= 3145,
-    X86_VCVTPS2PDZrr	= 3146,
-    X86_VCVTPS2PDrm	= 3147,
-    X86_VCVTPS2PDrr	= 3148,
-    X86_VCVTPS2PHYmr	= 3149,
-    X86_VCVTPS2PHYrr	= 3150,
-    X86_VCVTPS2PHZmr	= 3151,
-    X86_VCVTPS2PHZrr	= 3152,
-    X86_VCVTPS2PHmr	= 3153,
-    X86_VCVTPS2PHrr	= 3154,
-    X86_VCVTPS2UDQZrm	= 3155,
-    X86_VCVTPS2UDQZrr	= 3156,
-    X86_VCVTPS2UDQZrrb	= 3157,
-    X86_VCVTSD2SI64Zrm	= 3158,
-    X86_VCVTSD2SI64Zrr	= 3159,
-    X86_VCVTSD2SI64rm	= 3160,
-    X86_VCVTSD2SI64rr	= 3161,
-    X86_VCVTSD2SIZrm	= 3162,
-    X86_VCVTSD2SIZrr	= 3163,
-    X86_VCVTSD2SIrm	= 3164,
-    X86_VCVTSD2SIrr	= 3165,
-    X86_VCVTSD2SSZrm	= 3166,
-    X86_VCVTSD2SSZrr	= 3167,
-    X86_VCVTSD2SSrm	= 3168,
-    X86_VCVTSD2SSrr	= 3169,
-    X86_VCVTSD2USI64Zrm	= 3170,
-    X86_VCVTSD2USI64Zrr	= 3171,
-    X86_VCVTSD2USIZrm	= 3172,
-    X86_VCVTSD2USIZrr	= 3173,
-    X86_VCVTSI2SD64rm	= 3174,
-    X86_VCVTSI2SD64rr	= 3175,
-    X86_VCVTSI2SDZrm	= 3176,
-    X86_VCVTSI2SDZrr	= 3177,
-    X86_VCVTSI2SDrm	= 3178,
-    X86_VCVTSI2SDrr	= 3179,
-    X86_VCVTSI2SS64rm	= 3180,
-    X86_VCVTSI2SS64rr	= 3181,
-    X86_VCVTSI2SSZrm	= 3182,
-    X86_VCVTSI2SSZrr	= 3183,
-    X86_VCVTSI2SSrm	= 3184,
-    X86_VCVTSI2SSrr	= 3185,
-    X86_VCVTSI642SDZrm	= 3186,
-    X86_VCVTSI642SDZrr	= 3187,
-    X86_VCVTSI642SSZrm	= 3188,
-    X86_VCVTSI642SSZrr	= 3189,
-    X86_VCVTSS2SDZrm	= 3190,
-    X86_VCVTSS2SDZrr	= 3191,
-    X86_VCVTSS2SDrm	= 3192,
-    X86_VCVTSS2SDrr	= 3193,
-    X86_VCVTSS2SI64Zrm	= 3194,
-    X86_VCVTSS2SI64Zrr	= 3195,
-    X86_VCVTSS2SI64rm	= 3196,
-    X86_VCVTSS2SI64rr	= 3197,
-    X86_VCVTSS2SIZrm	= 3198,
-    X86_VCVTSS2SIZrr	= 3199,
-    X86_VCVTSS2SIrm	= 3200,
-    X86_VCVTSS2SIrr	= 3201,
-    X86_VCVTSS2USI64Zrm	= 3202,
-    X86_VCVTSS2USI64Zrr	= 3203,
-    X86_VCVTSS2USIZrm	= 3204,
-    X86_VCVTSS2USIZrr	= 3205,
-    X86_VCVTTPD2DQXrm	= 3206,
-    X86_VCVTTPD2DQYrm	= 3207,
-    X86_VCVTTPD2DQYrr	= 3208,
-    X86_VCVTTPD2DQZrm	= 3209,
-    X86_VCVTTPD2DQZrr	= 3210,
-    X86_VCVTTPD2DQrr	= 3211,
-    X86_VCVTTPD2UDQZrm	= 3212,
-    X86_VCVTTPD2UDQZrr	= 3213,
-    X86_VCVTTPS2DQYrm	= 3214,
-    X86_VCVTTPS2DQYrr	= 3215,
-    X86_VCVTTPS2DQZrm	= 3216,
-    X86_VCVTTPS2DQZrr	= 3217,
-    X86_VCVTTPS2DQrm	= 3218,
-    X86_VCVTTPS2DQrr	= 3219,
-    X86_VCVTTPS2UDQZrm	= 3220,
-    X86_VCVTTPS2UDQZrr	= 3221,
-    X86_VCVTTSD2SI64Zrm	= 3222,
-    X86_VCVTTSD2SI64Zrr	= 3223,
-    X86_VCVTTSD2SI64rm	= 3224,
-    X86_VCVTTSD2SI64rr	= 3225,
-    X86_VCVTTSD2SIZrm	= 3226,
-    X86_VCVTTSD2SIZrr	= 3227,
-    X86_VCVTTSD2SIrm	= 3228,
-    X86_VCVTTSD2SIrr	= 3229,
-    X86_VCVTTSD2USI64Zrm	= 3230,
-    X86_VCVTTSD2USI64Zrr	= 3231,
-    X86_VCVTTSD2USIZrm	= 3232,
-    X86_VCVTTSD2USIZrr	= 3233,
-    X86_VCVTTSS2SI64Zrm	= 3234,
-    X86_VCVTTSS2SI64Zrr	= 3235,
-    X86_VCVTTSS2SI64rm	= 3236,
-    X86_VCVTTSS2SI64rr	= 3237,
-    X86_VCVTTSS2SIZrm	= 3238,
-    X86_VCVTTSS2SIZrr	= 3239,
-    X86_VCVTTSS2SIrm	= 3240,
-    X86_VCVTTSS2SIrr	= 3241,
-    X86_VCVTTSS2USI64Zrm	= 3242,
-    X86_VCVTTSS2USI64Zrr	= 3243,
-    X86_VCVTTSS2USIZrm	= 3244,
-    X86_VCVTTSS2USIZrr	= 3245,
-    X86_VCVTUDQ2PDZrm	= 3246,
-    X86_VCVTUDQ2PDZrr	= 3247,
-    X86_VCVTUDQ2PSZrm	= 3248,
-    X86_VCVTUDQ2PSZrr	= 3249,
-    X86_VCVTUDQ2PSZrrb	= 3250,
-    X86_VCVTUSI2SDZrm	= 3251,
-    X86_VCVTUSI2SDZrr	= 3252,
-    X86_VCVTUSI2SSZrm	= 3253,
-    X86_VCVTUSI2SSZrr	= 3254,
-    X86_VCVTUSI642SDZrm	= 3255,
-    X86_VCVTUSI642SDZrr	= 3256,
-    X86_VCVTUSI642SSZrm	= 3257,
-    X86_VCVTUSI642SSZrr	= 3258,
-    X86_VDIVPDYrm	= 3259,
-    X86_VDIVPDYrr	= 3260,
-    X86_VDIVPDZrm	= 3261,
-    X86_VDIVPDZrmb	= 3262,
-    X86_VDIVPDZrr	= 3263,
-    X86_VDIVPDrm	= 3264,
-    X86_VDIVPDrr	= 3265,
-    X86_VDIVPSYrm	= 3266,
-    X86_VDIVPSYrr	= 3267,
-    X86_VDIVPSZrm	= 3268,
-    X86_VDIVPSZrmb	= 3269,
-    X86_VDIVPSZrr	= 3270,
-    X86_VDIVPSrm	= 3271,
-    X86_VDIVPSrr	= 3272,
-    X86_VDIVSDZrm	= 3273,
-    X86_VDIVSDZrr	= 3274,
-    X86_VDIVSDrm	= 3275,
-    X86_VDIVSDrm_Int	= 3276,
-    X86_VDIVSDrr	= 3277,
-    X86_VDIVSDrr_Int	= 3278,
-    X86_VDIVSSZrm	= 3279,
-    X86_VDIVSSZrr	= 3280,
-    X86_VDIVSSrm	= 3281,
-    X86_VDIVSSrm_Int	= 3282,
-    X86_VDIVSSrr	= 3283,
-    X86_VDIVSSrr_Int	= 3284,
-    X86_VDPPDrmi	= 3285,
-    X86_VDPPDrri	= 3286,
-    X86_VDPPSYrmi	= 3287,
-    X86_VDPPSYrri	= 3288,
-    X86_VDPPSrmi	= 3289,
-    X86_VDPPSrri	= 3290,
-    X86_VERRm	= 3291,
-    X86_VERRr	= 3292,
-    X86_VERWm	= 3293,
-    X86_VERWr	= 3294,
-    X86_VEXTRACTF128mr	= 3295,
-    X86_VEXTRACTF128rr	= 3296,
-    X86_VEXTRACTF32x4mr	= 3297,
-    X86_VEXTRACTF32x4rr	= 3298,
-    X86_VEXTRACTF64x4mr	= 3299,
-    X86_VEXTRACTF64x4rr	= 3300,
-    X86_VEXTRACTI128mr	= 3301,
-    X86_VEXTRACTI128rr	= 3302,
-    X86_VEXTRACTI32x4mr	= 3303,
-    X86_VEXTRACTI32x4rr	= 3304,
-    X86_VEXTRACTI64x4mr	= 3305,
-    X86_VEXTRACTI64x4rr	= 3306,
-    X86_VEXTRACTPSmr	= 3307,
-    X86_VEXTRACTPSrr	= 3308,
-    X86_VEXTRACTPSzmr	= 3309,
-    X86_VEXTRACTPSzrr	= 3310,
-    X86_VFMADD132PDZm	= 3311,
-    X86_VFMADD132PDZmb	= 3312,
-    X86_VFMADD132PSZm	= 3313,
-    X86_VFMADD132PSZmb	= 3314,
-    X86_VFMADD213PDZm	= 3315,
-    X86_VFMADD213PDZmb	= 3316,
-    X86_VFMADD213PDZr	= 3317,
-    X86_VFMADD213PSZm	= 3318,
-    X86_VFMADD213PSZmb	= 3319,
-    X86_VFMADD213PSZr	= 3320,
-    X86_VFMADDPD4mr	= 3321,
-    X86_VFMADDPD4mrY	= 3322,
-    X86_VFMADDPD4rm	= 3323,
-    X86_VFMADDPD4rmY	= 3324,
-    X86_VFMADDPD4rr	= 3325,
-    X86_VFMADDPD4rrY	= 3326,
-    X86_VFMADDPD4rrY_REV	= 3327,
-    X86_VFMADDPD4rr_REV	= 3328,
-    X86_VFMADDPDr132m	= 3329,
-    X86_VFMADDPDr132mY	= 3330,
-    X86_VFMADDPDr132r	= 3331,
-    X86_VFMADDPDr132rY	= 3332,
-    X86_VFMADDPDr213m	= 3333,
-    X86_VFMADDPDr213mY	= 3334,
-    X86_VFMADDPDr213r	= 3335,
-    X86_VFMADDPDr213rY	= 3336,
-    X86_VFMADDPDr231m	= 3337,
-    X86_VFMADDPDr231mY	= 3338,
-    X86_VFMADDPDr231r	= 3339,
-    X86_VFMADDPDr231rY	= 3340,
-    X86_VFMADDPS4mr	= 3341,
-    X86_VFMADDPS4mrY	= 3342,
-    X86_VFMADDPS4rm	= 3343,
-    X86_VFMADDPS4rmY	= 3344,
-    X86_VFMADDPS4rr	= 3345,
-    X86_VFMADDPS4rrY	= 3346,
-    X86_VFMADDPS4rrY_REV	= 3347,
-    X86_VFMADDPS4rr_REV	= 3348,
-    X86_VFMADDPSr132m	= 3349,
-    X86_VFMADDPSr132mY	= 3350,
-    X86_VFMADDPSr132r	= 3351,
-    X86_VFMADDPSr132rY	= 3352,
-    X86_VFMADDPSr213m	= 3353,
-    X86_VFMADDPSr213mY	= 3354,
-    X86_VFMADDPSr213r	= 3355,
-    X86_VFMADDPSr213rY	= 3356,
-    X86_VFMADDPSr231m	= 3357,
-    X86_VFMADDPSr231mY	= 3358,
-    X86_VFMADDPSr231r	= 3359,
-    X86_VFMADDPSr231rY	= 3360,
-    X86_VFMADDSD4mr	= 3361,
-    X86_VFMADDSD4mr_Int	= 3362,
-    X86_VFMADDSD4rm	= 3363,
-    X86_VFMADDSD4rm_Int	= 3364,
-    X86_VFMADDSD4rr	= 3365,
-    X86_VFMADDSD4rr_Int	= 3366,
-    X86_VFMADDSD4rr_REV	= 3367,
-    X86_VFMADDSDZm	= 3368,
-    X86_VFMADDSDZr	= 3369,
-    X86_VFMADDSDr132m	= 3370,
-    X86_VFMADDSDr132r	= 3371,
-    X86_VFMADDSDr213m	= 3372,
-    X86_VFMADDSDr213r	= 3373,
-    X86_VFMADDSDr231m	= 3374,
-    X86_VFMADDSDr231r	= 3375,
-    X86_VFMADDSS4mr	= 3376,
-    X86_VFMADDSS4mr_Int	= 3377,
-    X86_VFMADDSS4rm	= 3378,
-    X86_VFMADDSS4rm_Int	= 3379,
-    X86_VFMADDSS4rr	= 3380,
-    X86_VFMADDSS4rr_Int	= 3381,
-    X86_VFMADDSS4rr_REV	= 3382,
-    X86_VFMADDSSZm	= 3383,
-    X86_VFMADDSSZr	= 3384,
-    X86_VFMADDSSr132m	= 3385,
-    X86_VFMADDSSr132r	= 3386,
-    X86_VFMADDSSr213m	= 3387,
-    X86_VFMADDSSr213r	= 3388,
-    X86_VFMADDSSr231m	= 3389,
-    X86_VFMADDSSr231r	= 3390,
-    X86_VFMADDSUB132PDZm	= 3391,
-    X86_VFMADDSUB132PDZmb	= 3392,
-    X86_VFMADDSUB132PSZm	= 3393,
-    X86_VFMADDSUB132PSZmb	= 3394,
-    X86_VFMADDSUB213PDZm	= 3395,
-    X86_VFMADDSUB213PDZmb	= 3396,
-    X86_VFMADDSUB213PDZr	= 3397,
-    X86_VFMADDSUB213PSZm	= 3398,
-    X86_VFMADDSUB213PSZmb	= 3399,
-    X86_VFMADDSUB213PSZr	= 3400,
-    X86_VFMADDSUBPD4mr	= 3401,
-    X86_VFMADDSUBPD4mrY	= 3402,
-    X86_VFMADDSUBPD4rm	= 3403,
-    X86_VFMADDSUBPD4rmY	= 3404,
-    X86_VFMADDSUBPD4rr	= 3405,
-    X86_VFMADDSUBPD4rrY	= 3406,
-    X86_VFMADDSUBPD4rrY_REV	= 3407,
-    X86_VFMADDSUBPD4rr_REV	= 3408,
-    X86_VFMADDSUBPDr132m	= 3409,
-    X86_VFMADDSUBPDr132mY	= 3410,
-    X86_VFMADDSUBPDr132r	= 3411,
-    X86_VFMADDSUBPDr132rY	= 3412,
-    X86_VFMADDSUBPDr213m	= 3413,
-    X86_VFMADDSUBPDr213mY	= 3414,
-    X86_VFMADDSUBPDr213r	= 3415,
-    X86_VFMADDSUBPDr213rY	= 3416,
-    X86_VFMADDSUBPDr231m	= 3417,
-    X86_VFMADDSUBPDr231mY	= 3418,
-    X86_VFMADDSUBPDr231r	= 3419,
-    X86_VFMADDSUBPDr231rY	= 3420,
-    X86_VFMADDSUBPS4mr	= 3421,
-    X86_VFMADDSUBPS4mrY	= 3422,
-    X86_VFMADDSUBPS4rm	= 3423,
-    X86_VFMADDSUBPS4rmY	= 3424,
-    X86_VFMADDSUBPS4rr	= 3425,
-    X86_VFMADDSUBPS4rrY	= 3426,
-    X86_VFMADDSUBPS4rrY_REV	= 3427,
-    X86_VFMADDSUBPS4rr_REV	= 3428,
-    X86_VFMADDSUBPSr132m	= 3429,
-    X86_VFMADDSUBPSr132mY	= 3430,
-    X86_VFMADDSUBPSr132r	= 3431,
-    X86_VFMADDSUBPSr132rY	= 3432,
-    X86_VFMADDSUBPSr213m	= 3433,
-    X86_VFMADDSUBPSr213mY	= 3434,
-    X86_VFMADDSUBPSr213r	= 3435,
-    X86_VFMADDSUBPSr213rY	= 3436,
-    X86_VFMADDSUBPSr231m	= 3437,
-    X86_VFMADDSUBPSr231mY	= 3438,
-    X86_VFMADDSUBPSr231r	= 3439,
-    X86_VFMADDSUBPSr231rY	= 3440,
-    X86_VFMSUB132PDZm	= 3441,
-    X86_VFMSUB132PDZmb	= 3442,
-    X86_VFMSUB132PSZm	= 3443,
-    X86_VFMSUB132PSZmb	= 3444,
-    X86_VFMSUB213PDZm	= 3445,
-    X86_VFMSUB213PDZmb	= 3446,
-    X86_VFMSUB213PDZr	= 3447,
-    X86_VFMSUB213PSZm	= 3448,
-    X86_VFMSUB213PSZmb	= 3449,
-    X86_VFMSUB213PSZr	= 3450,
-    X86_VFMSUBADD132PDZm	= 3451,
-    X86_VFMSUBADD132PDZmb	= 3452,
-    X86_VFMSUBADD132PSZm	= 3453,
-    X86_VFMSUBADD132PSZmb	= 3454,
-    X86_VFMSUBADD213PDZm	= 3455,
-    X86_VFMSUBADD213PDZmb	= 3456,
-    X86_VFMSUBADD213PDZr	= 3457,
-    X86_VFMSUBADD213PSZm	= 3458,
-    X86_VFMSUBADD213PSZmb	= 3459,
-    X86_VFMSUBADD213PSZr	= 3460,
-    X86_VFMSUBADDPD4mr	= 3461,
-    X86_VFMSUBADDPD4mrY	= 3462,
-    X86_VFMSUBADDPD4rm	= 3463,
-    X86_VFMSUBADDPD4rmY	= 3464,
-    X86_VFMSUBADDPD4rr	= 3465,
-    X86_VFMSUBADDPD4rrY	= 3466,
-    X86_VFMSUBADDPD4rrY_REV	= 3467,
-    X86_VFMSUBADDPD4rr_REV	= 3468,
-    X86_VFMSUBADDPDr132m	= 3469,
-    X86_VFMSUBADDPDr132mY	= 3470,
-    X86_VFMSUBADDPDr132r	= 3471,
-    X86_VFMSUBADDPDr132rY	= 3472,
-    X86_VFMSUBADDPDr213m	= 3473,
-    X86_VFMSUBADDPDr213mY	= 3474,
-    X86_VFMSUBADDPDr213r	= 3475,
-    X86_VFMSUBADDPDr213rY	= 3476,
-    X86_VFMSUBADDPDr231m	= 3477,
-    X86_VFMSUBADDPDr231mY	= 3478,
-    X86_VFMSUBADDPDr231r	= 3479,
-    X86_VFMSUBADDPDr231rY	= 3480,
-    X86_VFMSUBADDPS4mr	= 3481,
-    X86_VFMSUBADDPS4mrY	= 3482,
-    X86_VFMSUBADDPS4rm	= 3483,
-    X86_VFMSUBADDPS4rmY	= 3484,
-    X86_VFMSUBADDPS4rr	= 3485,
-    X86_VFMSUBADDPS4rrY	= 3486,
-    X86_VFMSUBADDPS4rrY_REV	= 3487,
-    X86_VFMSUBADDPS4rr_REV	= 3488,
-    X86_VFMSUBADDPSr132m	= 3489,
-    X86_VFMSUBADDPSr132mY	= 3490,
-    X86_VFMSUBADDPSr132r	= 3491,
-    X86_VFMSUBADDPSr132rY	= 3492,
-    X86_VFMSUBADDPSr213m	= 3493,
-    X86_VFMSUBADDPSr213mY	= 3494,
-    X86_VFMSUBADDPSr213r	= 3495,
-    X86_VFMSUBADDPSr213rY	= 3496,
-    X86_VFMSUBADDPSr231m	= 3497,
-    X86_VFMSUBADDPSr231mY	= 3498,
-    X86_VFMSUBADDPSr231r	= 3499,
-    X86_VFMSUBADDPSr231rY	= 3500,
-    X86_VFMSUBPD4mr	= 3501,
-    X86_VFMSUBPD4mrY	= 3502,
-    X86_VFMSUBPD4rm	= 3503,
-    X86_VFMSUBPD4rmY	= 3504,
-    X86_VFMSUBPD4rr	= 3505,
-    X86_VFMSUBPD4rrY	= 3506,
-    X86_VFMSUBPD4rrY_REV	= 3507,
-    X86_VFMSUBPD4rr_REV	= 3508,
-    X86_VFMSUBPDr132m	= 3509,
-    X86_VFMSUBPDr132mY	= 3510,
-    X86_VFMSUBPDr132r	= 3511,
-    X86_VFMSUBPDr132rY	= 3512,
-    X86_VFMSUBPDr213m	= 3513,
-    X86_VFMSUBPDr213mY	= 3514,
-    X86_VFMSUBPDr213r	= 3515,
-    X86_VFMSUBPDr213rY	= 3516,
-    X86_VFMSUBPDr231m	= 3517,
-    X86_VFMSUBPDr231mY	= 3518,
-    X86_VFMSUBPDr231r	= 3519,
-    X86_VFMSUBPDr231rY	= 3520,
-    X86_VFMSUBPS4mr	= 3521,
-    X86_VFMSUBPS4mrY	= 3522,
-    X86_VFMSUBPS4rm	= 3523,
-    X86_VFMSUBPS4rmY	= 3524,
-    X86_VFMSUBPS4rr	= 3525,
-    X86_VFMSUBPS4rrY	= 3526,
-    X86_VFMSUBPS4rrY_REV	= 3527,
-    X86_VFMSUBPS4rr_REV	= 3528,
-    X86_VFMSUBPSr132m	= 3529,
-    X86_VFMSUBPSr132mY	= 3530,
-    X86_VFMSUBPSr132r	= 3531,
-    X86_VFMSUBPSr132rY	= 3532,
-    X86_VFMSUBPSr213m	= 3533,
-    X86_VFMSUBPSr213mY	= 3534,
-    X86_VFMSUBPSr213r	= 3535,
-    X86_VFMSUBPSr213rY	= 3536,
-    X86_VFMSUBPSr231m	= 3537,
-    X86_VFMSUBPSr231mY	= 3538,
-    X86_VFMSUBPSr231r	= 3539,
-    X86_VFMSUBPSr231rY	= 3540,
-    X86_VFMSUBSD4mr	= 3541,
-    X86_VFMSUBSD4mr_Int	= 3542,
-    X86_VFMSUBSD4rm	= 3543,
-    X86_VFMSUBSD4rm_Int	= 3544,
-    X86_VFMSUBSD4rr	= 3545,
-    X86_VFMSUBSD4rr_Int	= 3546,
-    X86_VFMSUBSD4rr_REV	= 3547,
-    X86_VFMSUBSDZm	= 3548,
-    X86_VFMSUBSDZr	= 3549,
-    X86_VFMSUBSDr132m	= 3550,
-    X86_VFMSUBSDr132r	= 3551,
-    X86_VFMSUBSDr213m	= 3552,
-    X86_VFMSUBSDr213r	= 3553,
-    X86_VFMSUBSDr231m	= 3554,
-    X86_VFMSUBSDr231r	= 3555,
-    X86_VFMSUBSS4mr	= 3556,
-    X86_VFMSUBSS4mr_Int	= 3557,
-    X86_VFMSUBSS4rm	= 3558,
-    X86_VFMSUBSS4rm_Int	= 3559,
-    X86_VFMSUBSS4rr	= 3560,
-    X86_VFMSUBSS4rr_Int	= 3561,
-    X86_VFMSUBSS4rr_REV	= 3562,
-    X86_VFMSUBSSZm	= 3563,
-    X86_VFMSUBSSZr	= 3564,
-    X86_VFMSUBSSr132m	= 3565,
-    X86_VFMSUBSSr132r	= 3566,
-    X86_VFMSUBSSr213m	= 3567,
-    X86_VFMSUBSSr213r	= 3568,
-    X86_VFMSUBSSr231m	= 3569,
-    X86_VFMSUBSSr231r	= 3570,
-    X86_VFNMADD132PDZm	= 3571,
-    X86_VFNMADD132PDZmb	= 3572,
-    X86_VFNMADD132PSZm	= 3573,
-    X86_VFNMADD132PSZmb	= 3574,
-    X86_VFNMADD213PDZm	= 3575,
-    X86_VFNMADD213PDZmb	= 3576,
-    X86_VFNMADD213PDZr	= 3577,
-    X86_VFNMADD213PSZm	= 3578,
-    X86_VFNMADD213PSZmb	= 3579,
-    X86_VFNMADD213PSZr	= 3580,
-    X86_VFNMADDPD4mr	= 3581,
-    X86_VFNMADDPD4mrY	= 3582,
-    X86_VFNMADDPD4rm	= 3583,
-    X86_VFNMADDPD4rmY	= 3584,
-    X86_VFNMADDPD4rr	= 3585,
-    X86_VFNMADDPD4rrY	= 3586,
-    X86_VFNMADDPD4rrY_REV	= 3587,
-    X86_VFNMADDPD4rr_REV	= 3588,
-    X86_VFNMADDPDr132m	= 3589,
-    X86_VFNMADDPDr132mY	= 3590,
-    X86_VFNMADDPDr132r	= 3591,
-    X86_VFNMADDPDr132rY	= 3592,
-    X86_VFNMADDPDr213m	= 3593,
-    X86_VFNMADDPDr213mY	= 3594,
-    X86_VFNMADDPDr213r	= 3595,
-    X86_VFNMADDPDr213rY	= 3596,
-    X86_VFNMADDPDr231m	= 3597,
-    X86_VFNMADDPDr231mY	= 3598,
-    X86_VFNMADDPDr231r	= 3599,
-    X86_VFNMADDPDr231rY	= 3600,
-    X86_VFNMADDPS4mr	= 3601,
-    X86_VFNMADDPS4mrY	= 3602,
-    X86_VFNMADDPS4rm	= 3603,
-    X86_VFNMADDPS4rmY	= 3604,
-    X86_VFNMADDPS4rr	= 3605,
-    X86_VFNMADDPS4rrY	= 3606,
-    X86_VFNMADDPS4rrY_REV	= 3607,
-    X86_VFNMADDPS4rr_REV	= 3608,
-    X86_VFNMADDPSr132m	= 3609,
-    X86_VFNMADDPSr132mY	= 3610,
-    X86_VFNMADDPSr132r	= 3611,
-    X86_VFNMADDPSr132rY	= 3612,
-    X86_VFNMADDPSr213m	= 3613,
-    X86_VFNMADDPSr213mY	= 3614,
-    X86_VFNMADDPSr213r	= 3615,
-    X86_VFNMADDPSr213rY	= 3616,
-    X86_VFNMADDPSr231m	= 3617,
-    X86_VFNMADDPSr231mY	= 3618,
-    X86_VFNMADDPSr231r	= 3619,
-    X86_VFNMADDPSr231rY	= 3620,
-    X86_VFNMADDSD4mr	= 3621,
-    X86_VFNMADDSD4mr_Int	= 3622,
-    X86_VFNMADDSD4rm	= 3623,
-    X86_VFNMADDSD4rm_Int	= 3624,
-    X86_VFNMADDSD4rr	= 3625,
-    X86_VFNMADDSD4rr_Int	= 3626,
-    X86_VFNMADDSD4rr_REV	= 3627,
-    X86_VFNMADDSDZm	= 3628,
-    X86_VFNMADDSDZr	= 3629,
-    X86_VFNMADDSDr132m	= 3630,
-    X86_VFNMADDSDr132r	= 3631,
-    X86_VFNMADDSDr213m	= 3632,
-    X86_VFNMADDSDr213r	= 3633,
-    X86_VFNMADDSDr231m	= 3634,
-    X86_VFNMADDSDr231r	= 3635,
-    X86_VFNMADDSS4mr	= 3636,
-    X86_VFNMADDSS4mr_Int	= 3637,
-    X86_VFNMADDSS4rm	= 3638,
-    X86_VFNMADDSS4rm_Int	= 3639,
-    X86_VFNMADDSS4rr	= 3640,
-    X86_VFNMADDSS4rr_Int	= 3641,
-    X86_VFNMADDSS4rr_REV	= 3642,
-    X86_VFNMADDSSZm	= 3643,
-    X86_VFNMADDSSZr	= 3644,
-    X86_VFNMADDSSr132m	= 3645,
-    X86_VFNMADDSSr132r	= 3646,
-    X86_VFNMADDSSr213m	= 3647,
-    X86_VFNMADDSSr213r	= 3648,
-    X86_VFNMADDSSr231m	= 3649,
-    X86_VFNMADDSSr231r	= 3650,
-    X86_VFNMSUB132PDZm	= 3651,
-    X86_VFNMSUB132PDZmb	= 3652,
-    X86_VFNMSUB132PSZm	= 3653,
-    X86_VFNMSUB132PSZmb	= 3654,
-    X86_VFNMSUB213PDZm	= 3655,
-    X86_VFNMSUB213PDZmb	= 3656,
-    X86_VFNMSUB213PDZr	= 3657,
-    X86_VFNMSUB213PSZm	= 3658,
-    X86_VFNMSUB213PSZmb	= 3659,
-    X86_VFNMSUB213PSZr	= 3660,
-    X86_VFNMSUBPD4mr	= 3661,
-    X86_VFNMSUBPD4mrY	= 3662,
-    X86_VFNMSUBPD4rm	= 3663,
-    X86_VFNMSUBPD4rmY	= 3664,
-    X86_VFNMSUBPD4rr	= 3665,
-    X86_VFNMSUBPD4rrY	= 3666,
-    X86_VFNMSUBPD4rrY_REV	= 3667,
-    X86_VFNMSUBPD4rr_REV	= 3668,
-    X86_VFNMSUBPDr132m	= 3669,
-    X86_VFNMSUBPDr132mY	= 3670,
-    X86_VFNMSUBPDr132r	= 3671,
-    X86_VFNMSUBPDr132rY	= 3672,
-    X86_VFNMSUBPDr213m	= 3673,
-    X86_VFNMSUBPDr213mY	= 3674,
-    X86_VFNMSUBPDr213r	= 3675,
-    X86_VFNMSUBPDr213rY	= 3676,
-    X86_VFNMSUBPDr231m	= 3677,
-    X86_VFNMSUBPDr231mY	= 3678,
-    X86_VFNMSUBPDr231r	= 3679,
-    X86_VFNMSUBPDr231rY	= 3680,
-    X86_VFNMSUBPS4mr	= 3681,
-    X86_VFNMSUBPS4mrY	= 3682,
-    X86_VFNMSUBPS4rm	= 3683,
-    X86_VFNMSUBPS4rmY	= 3684,
-    X86_VFNMSUBPS4rr	= 3685,
-    X86_VFNMSUBPS4rrY	= 3686,
-    X86_VFNMSUBPS4rrY_REV	= 3687,
-    X86_VFNMSUBPS4rr_REV	= 3688,
-    X86_VFNMSUBPSr132m	= 3689,
-    X86_VFNMSUBPSr132mY	= 3690,
-    X86_VFNMSUBPSr132r	= 3691,
-    X86_VFNMSUBPSr132rY	= 3692,
-    X86_VFNMSUBPSr213m	= 3693,
-    X86_VFNMSUBPSr213mY	= 3694,
-    X86_VFNMSUBPSr213r	= 3695,
-    X86_VFNMSUBPSr213rY	= 3696,
-    X86_VFNMSUBPSr231m	= 3697,
-    X86_VFNMSUBPSr231mY	= 3698,
-    X86_VFNMSUBPSr231r	= 3699,
-    X86_VFNMSUBPSr231rY	= 3700,
-    X86_VFNMSUBSD4mr	= 3701,
-    X86_VFNMSUBSD4mr_Int	= 3702,
-    X86_VFNMSUBSD4rm	= 3703,
-    X86_VFNMSUBSD4rm_Int	= 3704,
-    X86_VFNMSUBSD4rr	= 3705,
-    X86_VFNMSUBSD4rr_Int	= 3706,
-    X86_VFNMSUBSD4rr_REV	= 3707,
-    X86_VFNMSUBSDZm	= 3708,
-    X86_VFNMSUBSDZr	= 3709,
-    X86_VFNMSUBSDr132m	= 3710,
-    X86_VFNMSUBSDr132r	= 3711,
-    X86_VFNMSUBSDr213m	= 3712,
-    X86_VFNMSUBSDr213r	= 3713,
-    X86_VFNMSUBSDr231m	= 3714,
-    X86_VFNMSUBSDr231r	= 3715,
-    X86_VFNMSUBSS4mr	= 3716,
-    X86_VFNMSUBSS4mr_Int	= 3717,
-    X86_VFNMSUBSS4rm	= 3718,
-    X86_VFNMSUBSS4rm_Int	= 3719,
-    X86_VFNMSUBSS4rr	= 3720,
-    X86_VFNMSUBSS4rr_Int	= 3721,
-    X86_VFNMSUBSS4rr_REV	= 3722,
-    X86_VFNMSUBSSZm	= 3723,
-    X86_VFNMSUBSSZr	= 3724,
-    X86_VFNMSUBSSr132m	= 3725,
-    X86_VFNMSUBSSr132r	= 3726,
-    X86_VFNMSUBSSr213m	= 3727,
-    X86_VFNMSUBSSr213r	= 3728,
-    X86_VFNMSUBSSr231m	= 3729,
-    X86_VFNMSUBSSr231r	= 3730,
-    X86_VFRCZPDrm	= 3731,
-    X86_VFRCZPDrmY	= 3732,
-    X86_VFRCZPDrr	= 3733,
-    X86_VFRCZPDrrY	= 3734,
-    X86_VFRCZPSrm	= 3735,
-    X86_VFRCZPSrmY	= 3736,
-    X86_VFRCZPSrr	= 3737,
-    X86_VFRCZPSrrY	= 3738,
-    X86_VFRCZSDrm	= 3739,
-    X86_VFRCZSDrr	= 3740,
-    X86_VFRCZSSrm	= 3741,
-    X86_VFRCZSSrr	= 3742,
-    X86_VFsANDNPDrm	= 3743,
-    X86_VFsANDNPDrr	= 3744,
-    X86_VFsANDNPSrm	= 3745,
-    X86_VFsANDNPSrr	= 3746,
-    X86_VFsANDPDrm	= 3747,
-    X86_VFsANDPDrr	= 3748,
-    X86_VFsANDPSrm	= 3749,
-    X86_VFsANDPSrr	= 3750,
-    X86_VFsORPDrm	= 3751,
-    X86_VFsORPDrr	= 3752,
-    X86_VFsORPSrm	= 3753,
-    X86_VFsORPSrr	= 3754,
-    X86_VFsXORPDrm	= 3755,
-    X86_VFsXORPDrr	= 3756,
-    X86_VFsXORPSrm	= 3757,
-    X86_VFsXORPSrr	= 3758,
-    X86_VGATHERDPDYrm	= 3759,
-    X86_VGATHERDPDZrm	= 3760,
-    X86_VGATHERDPDrm	= 3761,
-    X86_VGATHERDPSYrm	= 3762,
-    X86_VGATHERDPSZrm	= 3763,
-    X86_VGATHERDPSrm	= 3764,
-    X86_VGATHERQPDYrm	= 3765,
-    X86_VGATHERQPDZrm	= 3766,
-    X86_VGATHERQPDrm	= 3767,
-    X86_VGATHERQPSYrm	= 3768,
-    X86_VGATHERQPSZrm	= 3769,
-    X86_VGATHERQPSrm	= 3770,
-    X86_VHADDPDYrm	= 3771,
-    X86_VHADDPDYrr	= 3772,
-    X86_VHADDPDrm	= 3773,
-    X86_VHADDPDrr	= 3774,
-    X86_VHADDPSYrm	= 3775,
-    X86_VHADDPSYrr	= 3776,
-    X86_VHADDPSrm	= 3777,
-    X86_VHADDPSrr	= 3778,
-    X86_VHSUBPDYrm	= 3779,
-    X86_VHSUBPDYrr	= 3780,
-    X86_VHSUBPDrm	= 3781,
-    X86_VHSUBPDrr	= 3782,
-    X86_VHSUBPSYrm	= 3783,
-    X86_VHSUBPSYrr	= 3784,
-    X86_VHSUBPSrm	= 3785,
-    X86_VHSUBPSrr	= 3786,
-    X86_VINSERTF128rm	= 3787,
-    X86_VINSERTF128rr	= 3788,
-    X86_VINSERTF32x4rm	= 3789,
-    X86_VINSERTF32x4rr	= 3790,
-    X86_VINSERTF64x4rm	= 3791,
-    X86_VINSERTF64x4rr	= 3792,
-    X86_VINSERTI128rm	= 3793,
-    X86_VINSERTI128rr	= 3794,
-    X86_VINSERTI32x4rm	= 3795,
-    X86_VINSERTI32x4rr	= 3796,
-    X86_VINSERTI64x4rm	= 3797,
-    X86_VINSERTI64x4rr	= 3798,
-    X86_VINSERTPSrm	= 3799,
-    X86_VINSERTPSrr	= 3800,
-    X86_VINSERTPSzrm	= 3801,
-    X86_VINSERTPSzrr	= 3802,
-    X86_VLDDQUYrm	= 3803,
-    X86_VLDDQUrm	= 3804,
-    X86_VLDMXCSR	= 3805,
-    X86_VMASKMOVDQU	= 3806,
-    X86_VMASKMOVDQU64	= 3807,
-    X86_VMASKMOVPDYmr	= 3808,
-    X86_VMASKMOVPDYrm	= 3809,
-    X86_VMASKMOVPDmr	= 3810,
-    X86_VMASKMOVPDrm	= 3811,
-    X86_VMASKMOVPSYmr	= 3812,
-    X86_VMASKMOVPSYrm	= 3813,
-    X86_VMASKMOVPSmr	= 3814,
-    X86_VMASKMOVPSrm	= 3815,
-    X86_VMAXCPDYrm	= 3816,
-    X86_VMAXCPDYrr	= 3817,
-    X86_VMAXCPDrm	= 3818,
-    X86_VMAXCPDrr	= 3819,
-    X86_VMAXCPSYrm	= 3820,
-    X86_VMAXCPSYrr	= 3821,
-    X86_VMAXCPSrm	= 3822,
-    X86_VMAXCPSrr	= 3823,
-    X86_VMAXCSDrm	= 3824,
-    X86_VMAXCSDrr	= 3825,
-    X86_VMAXCSSrm	= 3826,
-    X86_VMAXCSSrr	= 3827,
-    X86_VMAXPDYrm	= 3828,
-    X86_VMAXPDYrr	= 3829,
-    X86_VMAXPDZrm	= 3830,
-    X86_VMAXPDZrmb	= 3831,
-    X86_VMAXPDZrr	= 3832,
-    X86_VMAXPDrm	= 3833,
-    X86_VMAXPDrr	= 3834,
-    X86_VMAXPSYrm	= 3835,
-    X86_VMAXPSYrr	= 3836,
-    X86_VMAXPSZrm	= 3837,
-    X86_VMAXPSZrmb	= 3838,
-    X86_VMAXPSZrr	= 3839,
-    X86_VMAXPSrm	= 3840,
-    X86_VMAXPSrr	= 3841,
-    X86_VMAXSDZrm	= 3842,
-    X86_VMAXSDZrr	= 3843,
-    X86_VMAXSDrm	= 3844,
-    X86_VMAXSDrm_Int	= 3845,
-    X86_VMAXSDrr	= 3846,
-    X86_VMAXSDrr_Int	= 3847,
-    X86_VMAXSSZrm	= 3848,
-    X86_VMAXSSZrr	= 3849,
-    X86_VMAXSSrm	= 3850,
-    X86_VMAXSSrm_Int	= 3851,
-    X86_VMAXSSrr	= 3852,
-    X86_VMAXSSrr_Int	= 3853,
-    X86_VMCALL	= 3854,
-    X86_VMCLEARm	= 3855,
-    X86_VMFUNC	= 3856,
-    X86_VMINCPDYrm	= 3857,
-    X86_VMINCPDYrr	= 3858,
-    X86_VMINCPDrm	= 3859,
-    X86_VMINCPDrr	= 3860,
-    X86_VMINCPSYrm	= 3861,
-    X86_VMINCPSYrr	= 3862,
-    X86_VMINCPSrm	= 3863,
-    X86_VMINCPSrr	= 3864,
-    X86_VMINCSDrm	= 3865,
-    X86_VMINCSDrr	= 3866,
-    X86_VMINCSSrm	= 3867,
-    X86_VMINCSSrr	= 3868,
-    X86_VMINPDYrm	= 3869,
-    X86_VMINPDYrr	= 3870,
-    X86_VMINPDZrm	= 3871,
-    X86_VMINPDZrmb	= 3872,
-    X86_VMINPDZrr	= 3873,
-    X86_VMINPDrm	= 3874,
-    X86_VMINPDrr	= 3875,
-    X86_VMINPSYrm	= 3876,
-    X86_VMINPSYrr	= 3877,
-    X86_VMINPSZrm	= 3878,
-    X86_VMINPSZrmb	= 3879,
-    X86_VMINPSZrr	= 3880,
-    X86_VMINPSrm	= 3881,
-    X86_VMINPSrr	= 3882,
-    X86_VMINSDZrm	= 3883,
-    X86_VMINSDZrr	= 3884,
-    X86_VMINSDrm	= 3885,
-    X86_VMINSDrm_Int	= 3886,
-    X86_VMINSDrr	= 3887,
-    X86_VMINSDrr_Int	= 3888,
-    X86_VMINSSZrm	= 3889,
-    X86_VMINSSZrr	= 3890,
-    X86_VMINSSrm	= 3891,
-    X86_VMINSSrm_Int	= 3892,
-    X86_VMINSSrr	= 3893,
-    X86_VMINSSrr_Int	= 3894,
-    X86_VMLAUNCH	= 3895,
-    X86_VMLOAD32	= 3896,
-    X86_VMLOAD64	= 3897,
-    X86_VMMCALL	= 3898,
-    X86_VMOV64toPQIZrr	= 3899,
-    X86_VMOV64toPQIrr	= 3900,
-    X86_VMOV64toSDZrr	= 3901,
-    X86_VMOV64toSDrm	= 3902,
-    X86_VMOV64toSDrr	= 3903,
-    X86_VMOVAPDYmr	= 3904,
-    X86_VMOVAPDYrm	= 3905,
-    X86_VMOVAPDYrr	= 3906,
-    X86_VMOVAPDYrr_REV	= 3907,
-    X86_VMOVAPDZmr	= 3908,
-    X86_VMOVAPDZrm	= 3909,
-    X86_VMOVAPDZrmk	= 3910,
-    X86_VMOVAPDZrr	= 3911,
-    X86_VMOVAPDZrrk	= 3912,
-    X86_VMOVAPDmr	= 3913,
-    X86_VMOVAPDrm	= 3914,
-    X86_VMOVAPDrr	= 3915,
-    X86_VMOVAPDrr_REV	= 3916,
-    X86_VMOVAPSYmr	= 3917,
-    X86_VMOVAPSYrm	= 3918,
-    X86_VMOVAPSYrr	= 3919,
-    X86_VMOVAPSYrr_REV	= 3920,
-    X86_VMOVAPSZmr	= 3921,
-    X86_VMOVAPSZrm	= 3922,
-    X86_VMOVAPSZrmk	= 3923,
-    X86_VMOVAPSZrr	= 3924,
-    X86_VMOVAPSZrrk	= 3925,
-    X86_VMOVAPSmr	= 3926,
-    X86_VMOVAPSrm	= 3927,
-    X86_VMOVAPSrr	= 3928,
-    X86_VMOVAPSrr_REV	= 3929,
-    X86_VMOVDDUPYrm	= 3930,
-    X86_VMOVDDUPYrr	= 3931,
-    X86_VMOVDDUPZrm	= 3932,
-    X86_VMOVDDUPZrr	= 3933,
-    X86_VMOVDDUPrm	= 3934,
-    X86_VMOVDDUPrr	= 3935,
-    X86_VMOVDI2PDIZrm	= 3936,
-    X86_VMOVDI2PDIZrr	= 3937,
-    X86_VMOVDI2PDIrm	= 3938,
-    X86_VMOVDI2PDIrr	= 3939,
-    X86_VMOVDI2SSZrm	= 3940,
-    X86_VMOVDI2SSZrr	= 3941,
-    X86_VMOVDI2SSrm	= 3942,
-    X86_VMOVDI2SSrr	= 3943,
-    X86_VMOVDQA32mr	= 3944,
-    X86_VMOVDQA32rm	= 3945,
-    X86_VMOVDQA32rr	= 3946,
-    X86_VMOVDQA64mr	= 3947,
-    X86_VMOVDQA64rm	= 3948,
-    X86_VMOVDQA64rr	= 3949,
-    X86_VMOVDQAYmr	= 3950,
-    X86_VMOVDQAYrm	= 3951,
-    X86_VMOVDQAYrr	= 3952,
-    X86_VMOVDQAYrr_REV	= 3953,
-    X86_VMOVDQAmr	= 3954,
-    X86_VMOVDQArm	= 3955,
-    X86_VMOVDQArr	= 3956,
-    X86_VMOVDQArr_REV	= 3957,
-    X86_VMOVDQU32mr	= 3958,
-    X86_VMOVDQU32rm	= 3959,
-    X86_VMOVDQU32rmk	= 3960,
-    X86_VMOVDQU32rr	= 3961,
-    X86_VMOVDQU32rrk	= 3962,
-    X86_VMOVDQU32rrkz	= 3963,
-    X86_VMOVDQU64mr	= 3964,
-    X86_VMOVDQU64rm	= 3965,
-    X86_VMOVDQU64rmk	= 3966,
-    X86_VMOVDQU64rr	= 3967,
-    X86_VMOVDQU64rrk	= 3968,
-    X86_VMOVDQU64rrkz	= 3969,
-    X86_VMOVDQUYmr	= 3970,
-    X86_VMOVDQUYrm	= 3971,
-    X86_VMOVDQUYrr	= 3972,
-    X86_VMOVDQUYrr_REV	= 3973,
-    X86_VMOVDQUmr	= 3974,
-    X86_VMOVDQUrm	= 3975,
-    X86_VMOVDQUrr	= 3976,
-    X86_VMOVDQUrr_REV	= 3977,
-    X86_VMOVHLPSZrr	= 3978,
-    X86_VMOVHLPSrr	= 3979,
-    X86_VMOVHPDmr	= 3980,
-    X86_VMOVHPDrm	= 3981,
-    X86_VMOVHPSmr	= 3982,
-    X86_VMOVHPSrm	= 3983,
-    X86_VMOVLHPSZrr	= 3984,
-    X86_VMOVLHPSrr	= 3985,
-    X86_VMOVLPDmr	= 3986,
-    X86_VMOVLPDrm	= 3987,
-    X86_VMOVLPSmr	= 3988,
-    X86_VMOVLPSrm	= 3989,
-    X86_VMOVMSKPDYrr	= 3990,
-    X86_VMOVMSKPDrr	= 3991,
-    X86_VMOVMSKPSYrr	= 3992,
-    X86_VMOVMSKPSrr	= 3993,
-    X86_VMOVNTDQAYrm	= 3994,
-    X86_VMOVNTDQArm	= 3995,
-    X86_VMOVNTDQYmr	= 3996,
-    X86_VMOVNTDQmr	= 3997,
-    X86_VMOVNTPDYmr	= 3998,
-    X86_VMOVNTPDmr	= 3999,
-    X86_VMOVNTPSYmr	= 4000,
-    X86_VMOVNTPSmr	= 4001,
-    X86_VMOVPDI2DIZmr	= 4002,
-    X86_VMOVPDI2DIZrr	= 4003,
-    X86_VMOVPDI2DImr	= 4004,
-    X86_VMOVPDI2DIrr	= 4005,
-    X86_VMOVPQI2QImr	= 4006,
-    X86_VMOVPQI2QIrr	= 4007,
-    X86_VMOVPQIto64Zmr	= 4008,
-    X86_VMOVPQIto64Zrr	= 4009,
-    X86_VMOVPQIto64rr	= 4010,
-    X86_VMOVQI2PQIZrm	= 4011,
-    X86_VMOVQI2PQIrm	= 4012,
-    X86_VMOVSDZmr	= 4013,
-    X86_VMOVSDZrm	= 4014,
-    X86_VMOVSDZrr	= 4015,
-    X86_VMOVSDZrr_REV	= 4016,
-    X86_VMOVSDZrrk	= 4017,
-    X86_VMOVSDmr	= 4018,
-    X86_VMOVSDrm	= 4019,
-    X86_VMOVSDrr	= 4020,
-    X86_VMOVSDrr_REV	= 4021,
-    X86_VMOVSDto64Zmr	= 4022,
-    X86_VMOVSDto64Zrr	= 4023,
-    X86_VMOVSDto64mr	= 4024,
-    X86_VMOVSDto64rr	= 4025,
-    X86_VMOVSHDUPYrm	= 4026,
-    X86_VMOVSHDUPYrr	= 4027,
-    X86_VMOVSHDUPZrm	= 4028,
-    X86_VMOVSHDUPZrr	= 4029,
-    X86_VMOVSHDUPrm	= 4030,
-    X86_VMOVSHDUPrr	= 4031,
-    X86_VMOVSLDUPYrm	= 4032,
-    X86_VMOVSLDUPYrr	= 4033,
-    X86_VMOVSLDUPZrm	= 4034,
-    X86_VMOVSLDUPZrr	= 4035,
-    X86_VMOVSLDUPrm	= 4036,
-    X86_VMOVSLDUPrr	= 4037,
-    X86_VMOVSS2DIZmr	= 4038,
-    X86_VMOVSS2DIZrr	= 4039,
-    X86_VMOVSS2DImr	= 4040,
-    X86_VMOVSS2DIrr	= 4041,
-    X86_VMOVSSZmr	= 4042,
-    X86_VMOVSSZrm	= 4043,
-    X86_VMOVSSZrr	= 4044,
-    X86_VMOVSSZrr_REV	= 4045,
-    X86_VMOVSSZrrk	= 4046,
-    X86_VMOVSSmr	= 4047,
-    X86_VMOVSSrm	= 4048,
-    X86_VMOVSSrr	= 4049,
-    X86_VMOVSSrr_REV	= 4050,
-    X86_VMOVUPDYmr	= 4051,
-    X86_VMOVUPDYrm	= 4052,
-    X86_VMOVUPDYrr	= 4053,
-    X86_VMOVUPDYrr_REV	= 4054,
-    X86_VMOVUPDZmr	= 4055,
-    X86_VMOVUPDZrm	= 4056,
-    X86_VMOVUPDZrmk	= 4057,
-    X86_VMOVUPDZrr	= 4058,
-    X86_VMOVUPDZrrk	= 4059,
-    X86_VMOVUPDmr	= 4060,
-    X86_VMOVUPDrm	= 4061,
-    X86_VMOVUPDrr	= 4062,
-    X86_VMOVUPDrr_REV	= 4063,
-    X86_VMOVUPSYmr	= 4064,
-    X86_VMOVUPSYrm	= 4065,
-    X86_VMOVUPSYrr	= 4066,
-    X86_VMOVUPSYrr_REV	= 4067,
-    X86_VMOVUPSZmr	= 4068,
-    X86_VMOVUPSZrm	= 4069,
-    X86_VMOVUPSZrmk	= 4070,
-    X86_VMOVUPSZrr	= 4071,
-    X86_VMOVUPSZrrk	= 4072,
-    X86_VMOVUPSmr	= 4073,
-    X86_VMOVUPSrm	= 4074,
-    X86_VMOVUPSrr	= 4075,
-    X86_VMOVUPSrr_REV	= 4076,
-    X86_VMOVZPQILo2PQIZrm	= 4077,
-    X86_VMOVZPQILo2PQIZrr	= 4078,
-    X86_VMOVZPQILo2PQIrm	= 4079,
-    X86_VMOVZPQILo2PQIrr	= 4080,
-    X86_VMOVZQI2PQIrm	= 4081,
-    X86_VMOVZQI2PQIrr	= 4082,
-    X86_VMPSADBWYrmi	= 4083,
-    X86_VMPSADBWYrri	= 4084,
-    X86_VMPSADBWrmi	= 4085,
-    X86_VMPSADBWrri	= 4086,
-    X86_VMPTRLDm	= 4087,
-    X86_VMPTRSTm	= 4088,
-    X86_VMREAD32rm	= 4089,
-    X86_VMREAD32rr	= 4090,
-    X86_VMREAD64rm	= 4091,
-    X86_VMREAD64rr	= 4092,
-    X86_VMRESUME	= 4093,
-    X86_VMRUN32	= 4094,
-    X86_VMRUN64	= 4095,
-    X86_VMSAVE32	= 4096,
-    X86_VMSAVE64	= 4097,
-    X86_VMULPDYrm	= 4098,
-    X86_VMULPDYrr	= 4099,
-    X86_VMULPDZrm	= 4100,
-    X86_VMULPDZrmb	= 4101,
-    X86_VMULPDZrr	= 4102,
-    X86_VMULPDrm	= 4103,
-    X86_VMULPDrr	= 4104,
-    X86_VMULPSYrm	= 4105,
-    X86_VMULPSYrr	= 4106,
-    X86_VMULPSZrm	= 4107,
-    X86_VMULPSZrmb	= 4108,
-    X86_VMULPSZrr	= 4109,
-    X86_VMULPSrm	= 4110,
-    X86_VMULPSrr	= 4111,
-    X86_VMULSDZrm	= 4112,
-    X86_VMULSDZrr	= 4113,
-    X86_VMULSDrm	= 4114,
-    X86_VMULSDrm_Int	= 4115,
-    X86_VMULSDrr	= 4116,
-    X86_VMULSDrr_Int	= 4117,
-    X86_VMULSSZrm	= 4118,
-    X86_VMULSSZrr	= 4119,
-    X86_VMULSSrm	= 4120,
-    X86_VMULSSrm_Int	= 4121,
-    X86_VMULSSrr	= 4122,
-    X86_VMULSSrr_Int	= 4123,
-    X86_VMWRITE32rm	= 4124,
-    X86_VMWRITE32rr	= 4125,
-    X86_VMWRITE64rm	= 4126,
-    X86_VMWRITE64rr	= 4127,
-    X86_VMXOFF	= 4128,
-    X86_VMXON	= 4129,
-    X86_VORPDYrm	= 4130,
-    X86_VORPDYrr	= 4131,
-    X86_VORPDrm	= 4132,
-    X86_VORPDrr	= 4133,
-    X86_VORPSYrm	= 4134,
-    X86_VORPSYrr	= 4135,
-    X86_VORPSrm	= 4136,
-    X86_VORPSrr	= 4137,
-    X86_VPABSBrm128	= 4138,
-    X86_VPABSBrm256	= 4139,
-    X86_VPABSBrr128	= 4140,
-    X86_VPABSBrr256	= 4141,
-    X86_VPABSDrm	= 4142,
-    X86_VPABSDrm128	= 4143,
-    X86_VPABSDrm256	= 4144,
-    X86_VPABSDrr	= 4145,
-    X86_VPABSDrr128	= 4146,
-    X86_VPABSDrr256	= 4147,
-    X86_VPABSQrm	= 4148,
-    X86_VPABSQrr	= 4149,
-    X86_VPABSWrm128	= 4150,
-    X86_VPABSWrm256	= 4151,
-    X86_VPABSWrr128	= 4152,
-    X86_VPABSWrr256	= 4153,
-    X86_VPACKSSDWYrm	= 4154,
-    X86_VPACKSSDWYrr	= 4155,
-    X86_VPACKSSDWrm	= 4156,
-    X86_VPACKSSDWrr	= 4157,
-    X86_VPACKSSWBYrm	= 4158,
-    X86_VPACKSSWBYrr	= 4159,
-    X86_VPACKSSWBrm	= 4160,
-    X86_VPACKSSWBrr	= 4161,
-    X86_VPACKUSDWYrm	= 4162,
-    X86_VPACKUSDWYrr	= 4163,
-    X86_VPACKUSDWrm	= 4164,
-    X86_VPACKUSDWrr	= 4165,
-    X86_VPACKUSWBYrm	= 4166,
-    X86_VPACKUSWBYrr	= 4167,
-    X86_VPACKUSWBrm	= 4168,
-    X86_VPACKUSWBrr	= 4169,
-    X86_VPADDBYrm	= 4170,
-    X86_VPADDBYrr	= 4171,
-    X86_VPADDBrm	= 4172,
-    X86_VPADDBrr	= 4173,
-    X86_VPADDDYrm	= 4174,
-    X86_VPADDDYrr	= 4175,
-    X86_VPADDDZrm	= 4176,
-    X86_VPADDDZrmb	= 4177,
-    X86_VPADDDZrr	= 4178,
-    X86_VPADDDrm	= 4179,
-    X86_VPADDDrr	= 4180,
-    X86_VPADDQYrm	= 4181,
-    X86_VPADDQYrr	= 4182,
-    X86_VPADDQZrm	= 4183,
-    X86_VPADDQZrmb	= 4184,
-    X86_VPADDQZrr	= 4185,
-    X86_VPADDQrm	= 4186,
-    X86_VPADDQrr	= 4187,
-    X86_VPADDSBYrm	= 4188,
-    X86_VPADDSBYrr	= 4189,
-    X86_VPADDSBrm	= 4190,
-    X86_VPADDSBrr	= 4191,
-    X86_VPADDSWYrm	= 4192,
-    X86_VPADDSWYrr	= 4193,
-    X86_VPADDSWrm	= 4194,
-    X86_VPADDSWrr	= 4195,
-    X86_VPADDUSBYrm	= 4196,
-    X86_VPADDUSBYrr	= 4197,
-    X86_VPADDUSBrm	= 4198,
-    X86_VPADDUSBrr	= 4199,
-    X86_VPADDUSWYrm	= 4200,
-    X86_VPADDUSWYrr	= 4201,
-    X86_VPADDUSWrm	= 4202,
-    X86_VPADDUSWrr	= 4203,
-    X86_VPADDWYrm	= 4204,
-    X86_VPADDWYrr	= 4205,
-    X86_VPADDWrm	= 4206,
-    X86_VPADDWrr	= 4207,
-    X86_VPALIGNR128rm	= 4208,
-    X86_VPALIGNR128rr	= 4209,
-    X86_VPALIGNR256rm	= 4210,
-    X86_VPALIGNR256rr	= 4211,
-    X86_VPANDDZrm	= 4212,
-    X86_VPANDDZrmb	= 4213,
-    X86_VPANDDZrr	= 4214,
-    X86_VPANDNDZrm	= 4215,
-    X86_VPANDNDZrmb	= 4216,
-    X86_VPANDNDZrr	= 4217,
-    X86_VPANDNQZrm	= 4218,
-    X86_VPANDNQZrmb	= 4219,
-    X86_VPANDNQZrr	= 4220,
-    X86_VPANDNYrm	= 4221,
-    X86_VPANDNYrr	= 4222,
-    X86_VPANDNrm	= 4223,
-    X86_VPANDNrr	= 4224,
-    X86_VPANDQZrm	= 4225,
-    X86_VPANDQZrmb	= 4226,
-    X86_VPANDQZrr	= 4227,
-    X86_VPANDYrm	= 4228,
-    X86_VPANDYrr	= 4229,
-    X86_VPANDrm	= 4230,
-    X86_VPANDrr	= 4231,
-    X86_VPAVGBYrm	= 4232,
-    X86_VPAVGBYrr	= 4233,
-    X86_VPAVGBrm	= 4234,
-    X86_VPAVGBrr	= 4235,
-    X86_VPAVGWYrm	= 4236,
-    X86_VPAVGWYrr	= 4237,
-    X86_VPAVGWrm	= 4238,
-    X86_VPAVGWrr	= 4239,
-    X86_VPBLENDDYrmi	= 4240,
-    X86_VPBLENDDYrri	= 4241,
-    X86_VPBLENDDrmi	= 4242,
-    X86_VPBLENDDrri	= 4243,
-    X86_VPBLENDMDZrm	= 4244,
-    X86_VPBLENDMDZrr	= 4245,
-    X86_VPBLENDMQZrm	= 4246,
-    X86_VPBLENDMQZrr	= 4247,
-    X86_VPBLENDVBYrm	= 4248,
-    X86_VPBLENDVBYrr	= 4249,
-    X86_VPBLENDVBrm	= 4250,
-    X86_VPBLENDVBrr	= 4251,
-    X86_VPBLENDWYrmi	= 4252,
-    X86_VPBLENDWYrri	= 4253,
-    X86_VPBLENDWrmi	= 4254,
-    X86_VPBLENDWrri	= 4255,
-    X86_VPBROADCASTBYrm	= 4256,
-    X86_VPBROADCASTBYrr	= 4257,
-    X86_VPBROADCASTBrm	= 4258,
-    X86_VPBROADCASTBrr	= 4259,
-    X86_VPBROADCASTDYrm	= 4260,
-    X86_VPBROADCASTDYrr	= 4261,
-    X86_VPBROADCASTDZkrm	= 4262,
-    X86_VPBROADCASTDZkrr	= 4263,
-    X86_VPBROADCASTDZrm	= 4264,
-    X86_VPBROADCASTDZrr	= 4265,
-    X86_VPBROADCASTDrZkrr	= 4266,
-    X86_VPBROADCASTDrZrr	= 4267,
-    X86_VPBROADCASTDrm	= 4268,
-    X86_VPBROADCASTDrr	= 4269,
-    X86_VPBROADCASTMB2Qrr	= 4270,
-    X86_VPBROADCASTMW2Drr	= 4271,
-    X86_VPBROADCASTQYrm	= 4272,
-    X86_VPBROADCASTQYrr	= 4273,
-    X86_VPBROADCASTQZkrm	= 4274,
-    X86_VPBROADCASTQZkrr	= 4275,
-    X86_VPBROADCASTQZrm	= 4276,
-    X86_VPBROADCASTQZrr	= 4277,
-    X86_VPBROADCASTQrZkrr	= 4278,
-    X86_VPBROADCASTQrZrr	= 4279,
-    X86_VPBROADCASTQrm	= 4280,
-    X86_VPBROADCASTQrr	= 4281,
-    X86_VPBROADCASTWYrm	= 4282,
-    X86_VPBROADCASTWYrr	= 4283,
-    X86_VPBROADCASTWrm	= 4284,
-    X86_VPBROADCASTWrr	= 4285,
-    X86_VPCLMULQDQrm	= 4286,
-    X86_VPCLMULQDQrr	= 4287,
-    X86_VPCMOVmr	= 4288,
-    X86_VPCMOVmrY	= 4289,
-    X86_VPCMOVrm	= 4290,
-    X86_VPCMOVrmY	= 4291,
-    X86_VPCMOVrr	= 4292,
-    X86_VPCMOVrrY	= 4293,
-    X86_VPCMPDZrmi	= 4294,
-    X86_VPCMPDZrmi_alt	= 4295,
-    X86_VPCMPDZrri	= 4296,
-    X86_VPCMPDZrri_alt	= 4297,
-    X86_VPCMPEQBYrm	= 4298,
-    X86_VPCMPEQBYrr	= 4299,
-    X86_VPCMPEQBrm	= 4300,
-    X86_VPCMPEQBrr	= 4301,
-    X86_VPCMPEQDYrm	= 4302,
-    X86_VPCMPEQDYrr	= 4303,
-    X86_VPCMPEQDZrm	= 4304,
-    X86_VPCMPEQDZrr	= 4305,
-    X86_VPCMPEQDrm	= 4306,
-    X86_VPCMPEQDrr	= 4307,
-    X86_VPCMPEQQYrm	= 4308,
-    X86_VPCMPEQQYrr	= 4309,
-    X86_VPCMPEQQZrm	= 4310,
-    X86_VPCMPEQQZrr	= 4311,
-    X86_VPCMPEQQrm	= 4312,
-    X86_VPCMPEQQrr	= 4313,
-    X86_VPCMPEQWYrm	= 4314,
-    X86_VPCMPEQWYrr	= 4315,
-    X86_VPCMPEQWrm	= 4316,
-    X86_VPCMPEQWrr	= 4317,
-    X86_VPCMPESTRIMEM	= 4318,
-    X86_VPCMPESTRIREG	= 4319,
-    X86_VPCMPESTRIrm	= 4320,
-    X86_VPCMPESTRIrr	= 4321,
-    X86_VPCMPESTRM128MEM	= 4322,
-    X86_VPCMPESTRM128REG	= 4323,
-    X86_VPCMPESTRM128rm	= 4324,
-    X86_VPCMPESTRM128rr	= 4325,
-    X86_VPCMPGTBYrm	= 4326,
-    X86_VPCMPGTBYrr	= 4327,
-    X86_VPCMPGTBrm	= 4328,
-    X86_VPCMPGTBrr	= 4329,
-    X86_VPCMPGTDYrm	= 4330,
-    X86_VPCMPGTDYrr	= 4331,
-    X86_VPCMPGTDZrm	= 4332,
-    X86_VPCMPGTDZrr	= 4333,
-    X86_VPCMPGTDrm	= 4334,
-    X86_VPCMPGTDrr	= 4335,
-    X86_VPCMPGTQYrm	= 4336,
-    X86_VPCMPGTQYrr	= 4337,
-    X86_VPCMPGTQZrm	= 4338,
-    X86_VPCMPGTQZrr	= 4339,
-    X86_VPCMPGTQrm	= 4340,
-    X86_VPCMPGTQrr	= 4341,
-    X86_VPCMPGTWYrm	= 4342,
-    X86_VPCMPGTWYrr	= 4343,
-    X86_VPCMPGTWrm	= 4344,
-    X86_VPCMPGTWrr	= 4345,
-    X86_VPCMPISTRIMEM	= 4346,
-    X86_VPCMPISTRIREG	= 4347,
-    X86_VPCMPISTRIrm	= 4348,
-    X86_VPCMPISTRIrr	= 4349,
-    X86_VPCMPISTRM128MEM	= 4350,
-    X86_VPCMPISTRM128REG	= 4351,
-    X86_VPCMPISTRM128rm	= 4352,
-    X86_VPCMPISTRM128rr	= 4353,
-    X86_VPCMPQZrmi	= 4354,
-    X86_VPCMPQZrmi_alt	= 4355,
-    X86_VPCMPQZrri	= 4356,
-    X86_VPCMPQZrri_alt	= 4357,
-    X86_VPCMPUDZrmi	= 4358,
-    X86_VPCMPUDZrmi_alt	= 4359,
-    X86_VPCMPUDZrri	= 4360,
-    X86_VPCMPUDZrri_alt	= 4361,
-    X86_VPCMPUQZrmi	= 4362,
-    X86_VPCMPUQZrmi_alt	= 4363,
-    X86_VPCMPUQZrri	= 4364,
-    X86_VPCMPUQZrri_alt	= 4365,
-    X86_VPCOMBmi	= 4366,
-    X86_VPCOMBri	= 4367,
-    X86_VPCOMDmi	= 4368,
-    X86_VPCOMDri	= 4369,
-    X86_VPCOMQmi	= 4370,
-    X86_VPCOMQri	= 4371,
-    X86_VPCOMUBmi	= 4372,
-    X86_VPCOMUBri	= 4373,
-    X86_VPCOMUDmi	= 4374,
-    X86_VPCOMUDri	= 4375,
-    X86_VPCOMUQmi	= 4376,
-    X86_VPCOMUQri	= 4377,
-    X86_VPCOMUWmi	= 4378,
-    X86_VPCOMUWri	= 4379,
-    X86_VPCOMWmi	= 4380,
-    X86_VPCOMWri	= 4381,
-    X86_VPCONFLICTDrm	= 4382,
-    X86_VPCONFLICTDrmb	= 4383,
-    X86_VPCONFLICTDrmbk	= 4384,
-    X86_VPCONFLICTDrmbkz	= 4385,
-    X86_VPCONFLICTDrmk	= 4386,
-    X86_VPCONFLICTDrmkz	= 4387,
-    X86_VPCONFLICTDrr	= 4388,
-    X86_VPCONFLICTDrrk	= 4389,
-    X86_VPCONFLICTDrrkz	= 4390,
-    X86_VPCONFLICTQrm	= 4391,
-    X86_VPCONFLICTQrmb	= 4392,
-    X86_VPCONFLICTQrmbk	= 4393,
-    X86_VPCONFLICTQrmbkz	= 4394,
-    X86_VPCONFLICTQrmk	= 4395,
-    X86_VPCONFLICTQrmkz	= 4396,
-    X86_VPCONFLICTQrr	= 4397,
-    X86_VPCONFLICTQrrk	= 4398,
-    X86_VPCONFLICTQrrkz	= 4399,
-    X86_VPERM2F128rm	= 4400,
-    X86_VPERM2F128rr	= 4401,
-    X86_VPERM2I128rm	= 4402,
-    X86_VPERM2I128rr	= 4403,
-    X86_VPERMDYrm	= 4404,
-    X86_VPERMDYrr	= 4405,
-    X86_VPERMDZrm	= 4406,
-    X86_VPERMDZrr	= 4407,
-    X86_VPERMI2Drm	= 4408,
-    X86_VPERMI2Drr	= 4409,
-    X86_VPERMI2PDrm	= 4410,
-    X86_VPERMI2PDrr	= 4411,
-    X86_VPERMI2PSrm	= 4412,
-    X86_VPERMI2PSrr	= 4413,
-    X86_VPERMI2Qrm	= 4414,
-    X86_VPERMI2Qrr	= 4415,
-    X86_VPERMIL2PDmr	= 4416,
-    X86_VPERMIL2PDmrY	= 4417,
-    X86_VPERMIL2PDrm	= 4418,
-    X86_VPERMIL2PDrmY	= 4419,
-    X86_VPERMIL2PDrr	= 4420,
-    X86_VPERMIL2PDrrY	= 4421,
-    X86_VPERMIL2PSmr	= 4422,
-    X86_VPERMIL2PSmrY	= 4423,
-    X86_VPERMIL2PSrm	= 4424,
-    X86_VPERMIL2PSrmY	= 4425,
-    X86_VPERMIL2PSrr	= 4426,
-    X86_VPERMIL2PSrrY	= 4427,
-    X86_VPERMILPDYmi	= 4428,
-    X86_VPERMILPDYri	= 4429,
-    X86_VPERMILPDYrm	= 4430,
-    X86_VPERMILPDYrr	= 4431,
-    X86_VPERMILPDZmi	= 4432,
-    X86_VPERMILPDZri	= 4433,
-    X86_VPERMILPDmi	= 4434,
-    X86_VPERMILPDri	= 4435,
-    X86_VPERMILPDrm	= 4436,
-    X86_VPERMILPDrr	= 4437,
-    X86_VPERMILPSYmi	= 4438,
-    X86_VPERMILPSYri	= 4439,
-    X86_VPERMILPSYrm	= 4440,
-    X86_VPERMILPSYrr	= 4441,
-    X86_VPERMILPSZmi	= 4442,
-    X86_VPERMILPSZri	= 4443,
-    X86_VPERMILPSmi	= 4444,
-    X86_VPERMILPSri	= 4445,
-    X86_VPERMILPSrm	= 4446,
-    X86_VPERMILPSrr	= 4447,
-    X86_VPERMPDYmi	= 4448,
-    X86_VPERMPDYri	= 4449,
-    X86_VPERMPDZmi	= 4450,
-    X86_VPERMPDZri	= 4451,
-    X86_VPERMPDZrm	= 4452,
-    X86_VPERMPDZrr	= 4453,
-    X86_VPERMPSYrm	= 4454,
-    X86_VPERMPSYrr	= 4455,
-    X86_VPERMPSZrm	= 4456,
-    X86_VPERMPSZrr	= 4457,
-    X86_VPERMQYmi	= 4458,
-    X86_VPERMQYri	= 4459,
-    X86_VPERMQZmi	= 4460,
-    X86_VPERMQZri	= 4461,
-    X86_VPERMQZrm	= 4462,
-    X86_VPERMQZrr	= 4463,
-    X86_VPERMT2Drm	= 4464,
-    X86_VPERMT2Drr	= 4465,
-    X86_VPERMT2PDrm	= 4466,
-    X86_VPERMT2PDrr	= 4467,
-    X86_VPERMT2PSrm	= 4468,
-    X86_VPERMT2PSrr	= 4469,
-    X86_VPERMT2Qrm	= 4470,
-    X86_VPERMT2Qrr	= 4471,
-    X86_VPEXTRBmr	= 4472,
-    X86_VPEXTRBrr	= 4473,
-    X86_VPEXTRDmr	= 4474,
-    X86_VPEXTRDrr	= 4475,
-    X86_VPEXTRQmr	= 4476,
-    X86_VPEXTRQrr	= 4477,
-    X86_VPEXTRWmr	= 4478,
-    X86_VPEXTRWri	= 4479,
-    X86_VPEXTRWrr_REV	= 4480,
-    X86_VPGATHERDDYrm	= 4481,
-    X86_VPGATHERDDZrm	= 4482,
-    X86_VPGATHERDDrm	= 4483,
-    X86_VPGATHERDQYrm	= 4484,
-    X86_VPGATHERDQZrm	= 4485,
-    X86_VPGATHERDQrm	= 4486,
-    X86_VPGATHERQDYrm	= 4487,
-    X86_VPGATHERQDZrm	= 4488,
-    X86_VPGATHERQDrm	= 4489,
-    X86_VPGATHERQQYrm	= 4490,
-    X86_VPGATHERQQZrm	= 4491,
-    X86_VPGATHERQQrm	= 4492,
-    X86_VPHADDBDrm	= 4493,
-    X86_VPHADDBDrr	= 4494,
-    X86_VPHADDBQrm	= 4495,
-    X86_VPHADDBQrr	= 4496,
-    X86_VPHADDBWrm	= 4497,
-    X86_VPHADDBWrr	= 4498,
-    X86_VPHADDDQrm	= 4499,
-    X86_VPHADDDQrr	= 4500,
-    X86_VPHADDDYrm	= 4501,
-    X86_VPHADDDYrr	= 4502,
-    X86_VPHADDDrm	= 4503,
-    X86_VPHADDDrr	= 4504,
-    X86_VPHADDSWrm128	= 4505,
-    X86_VPHADDSWrm256	= 4506,
-    X86_VPHADDSWrr128	= 4507,
-    X86_VPHADDSWrr256	= 4508,
-    X86_VPHADDUBDrm	= 4509,
-    X86_VPHADDUBDrr	= 4510,
-    X86_VPHADDUBQrm	= 4511,
-    X86_VPHADDUBQrr	= 4512,
-    X86_VPHADDUBWrm	= 4513,
-    X86_VPHADDUBWrr	= 4514,
-    X86_VPHADDUDQrm	= 4515,
-    X86_VPHADDUDQrr	= 4516,
-    X86_VPHADDUWDrm	= 4517,
-    X86_VPHADDUWDrr	= 4518,
-    X86_VPHADDUWQrm	= 4519,
-    X86_VPHADDUWQrr	= 4520,
-    X86_VPHADDWDrm	= 4521,
-    X86_VPHADDWDrr	= 4522,
-    X86_VPHADDWQrm	= 4523,
-    X86_VPHADDWQrr	= 4524,
-    X86_VPHADDWYrm	= 4525,
-    X86_VPHADDWYrr	= 4526,
-    X86_VPHADDWrm	= 4527,
-    X86_VPHADDWrr	= 4528,
-    X86_VPHMINPOSUWrm128	= 4529,
-    X86_VPHMINPOSUWrr128	= 4530,
-    X86_VPHSUBBWrm	= 4531,
-    X86_VPHSUBBWrr	= 4532,
-    X86_VPHSUBDQrm	= 4533,
-    X86_VPHSUBDQrr	= 4534,
-    X86_VPHSUBDYrm	= 4535,
-    X86_VPHSUBDYrr	= 4536,
-    X86_VPHSUBDrm	= 4537,
-    X86_VPHSUBDrr	= 4538,
-    X86_VPHSUBSWrm128	= 4539,
-    X86_VPHSUBSWrm256	= 4540,
-    X86_VPHSUBSWrr128	= 4541,
-    X86_VPHSUBSWrr256	= 4542,
-    X86_VPHSUBWDrm	= 4543,
-    X86_VPHSUBWDrr	= 4544,
-    X86_VPHSUBWYrm	= 4545,
-    X86_VPHSUBWYrr	= 4546,
-    X86_VPHSUBWrm	= 4547,
-    X86_VPHSUBWrr	= 4548,
-    X86_VPINSRBrm	= 4549,
-    X86_VPINSRBrr	= 4550,
-    X86_VPINSRDrm	= 4551,
-    X86_VPINSRDrr	= 4552,
-    X86_VPINSRQrm	= 4553,
-    X86_VPINSRQrr	= 4554,
-    X86_VPINSRWrmi	= 4555,
-    X86_VPINSRWrri	= 4556,
-    X86_VPMACSDDrm	= 4557,
-    X86_VPMACSDDrr	= 4558,
-    X86_VPMACSDQHrm	= 4559,
-    X86_VPMACSDQHrr	= 4560,
-    X86_VPMACSDQLrm	= 4561,
-    X86_VPMACSDQLrr	= 4562,
-    X86_VPMACSSDDrm	= 4563,
-    X86_VPMACSSDDrr	= 4564,
-    X86_VPMACSSDQHrm	= 4565,
-    X86_VPMACSSDQHrr	= 4566,
-    X86_VPMACSSDQLrm	= 4567,
-    X86_VPMACSSDQLrr	= 4568,
-    X86_VPMACSSWDrm	= 4569,
-    X86_VPMACSSWDrr	= 4570,
-    X86_VPMACSSWWrm	= 4571,
-    X86_VPMACSSWWrr	= 4572,
-    X86_VPMACSWDrm	= 4573,
-    X86_VPMACSWDrr	= 4574,
-    X86_VPMACSWWrm	= 4575,
-    X86_VPMACSWWrr	= 4576,
-    X86_VPMADCSSWDrm	= 4577,
-    X86_VPMADCSSWDrr	= 4578,
-    X86_VPMADCSWDrm	= 4579,
-    X86_VPMADCSWDrr	= 4580,
-    X86_VPMADDUBSWrm128	= 4581,
-    X86_VPMADDUBSWrm256	= 4582,
-    X86_VPMADDUBSWrr128	= 4583,
-    X86_VPMADDUBSWrr256	= 4584,
-    X86_VPMADDWDYrm	= 4585,
-    X86_VPMADDWDYrr	= 4586,
-    X86_VPMADDWDrm	= 4587,
-    X86_VPMADDWDrr	= 4588,
-    X86_VPMASKMOVDYmr	= 4589,
-    X86_VPMASKMOVDYrm	= 4590,
-    X86_VPMASKMOVDmr	= 4591,
-    X86_VPMASKMOVDrm	= 4592,
-    X86_VPMASKMOVQYmr	= 4593,
-    X86_VPMASKMOVQYrm	= 4594,
-    X86_VPMASKMOVQmr	= 4595,
-    X86_VPMASKMOVQrm	= 4596,
-    X86_VPMAXSBYrm	= 4597,
-    X86_VPMAXSBYrr	= 4598,
-    X86_VPMAXSBrm	= 4599,
-    X86_VPMAXSBrr	= 4600,
-    X86_VPMAXSDYrm	= 4601,
-    X86_VPMAXSDYrr	= 4602,
-    X86_VPMAXSDZrm	= 4603,
-    X86_VPMAXSDZrmb	= 4604,
-    X86_VPMAXSDZrr	= 4605,
-    X86_VPMAXSDrm	= 4606,
-    X86_VPMAXSDrr	= 4607,
-    X86_VPMAXSQZrm	= 4608,
-    X86_VPMAXSQZrmb	= 4609,
-    X86_VPMAXSQZrr	= 4610,
-    X86_VPMAXSWYrm	= 4611,
-    X86_VPMAXSWYrr	= 4612,
-    X86_VPMAXSWrm	= 4613,
-    X86_VPMAXSWrr	= 4614,
-    X86_VPMAXUBYrm	= 4615,
-    X86_VPMAXUBYrr	= 4616,
-    X86_VPMAXUBrm	= 4617,
-    X86_VPMAXUBrr	= 4618,
-    X86_VPMAXUDYrm	= 4619,
-    X86_VPMAXUDYrr	= 4620,
-    X86_VPMAXUDZrm	= 4621,
-    X86_VPMAXUDZrmb	= 4622,
-    X86_VPMAXUDZrr	= 4623,
-    X86_VPMAXUDrm	= 4624,
-    X86_VPMAXUDrr	= 4625,
-    X86_VPMAXUQZrm	= 4626,
-    X86_VPMAXUQZrmb	= 4627,
-    X86_VPMAXUQZrr	= 4628,
-    X86_VPMAXUWYrm	= 4629,
-    X86_VPMAXUWYrr	= 4630,
-    X86_VPMAXUWrm	= 4631,
-    X86_VPMAXUWrr	= 4632,
-    X86_VPMINSBYrm	= 4633,
-    X86_VPMINSBYrr	= 4634,
-    X86_VPMINSBrm	= 4635,
-    X86_VPMINSBrr	= 4636,
-    X86_VPMINSDYrm	= 4637,
-    X86_VPMINSDYrr	= 4638,
-    X86_VPMINSDZrm	= 4639,
-    X86_VPMINSDZrmb	= 4640,
-    X86_VPMINSDZrr	= 4641,
-    X86_VPMINSDrm	= 4642,
-    X86_VPMINSDrr	= 4643,
-    X86_VPMINSQZrm	= 4644,
-    X86_VPMINSQZrmb	= 4645,
-    X86_VPMINSQZrr	= 4646,
-    X86_VPMINSWYrm	= 4647,
-    X86_VPMINSWYrr	= 4648,
-    X86_VPMINSWrm	= 4649,
-    X86_VPMINSWrr	= 4650,
-    X86_VPMINUBYrm	= 4651,
-    X86_VPMINUBYrr	= 4652,
-    X86_VPMINUBrm	= 4653,
-    X86_VPMINUBrr	= 4654,
-    X86_VPMINUDYrm	= 4655,
-    X86_VPMINUDYrr	= 4656,
-    X86_VPMINUDZrm	= 4657,
-    X86_VPMINUDZrmb	= 4658,
-    X86_VPMINUDZrr	= 4659,
-    X86_VPMINUDrm	= 4660,
-    X86_VPMINUDrr	= 4661,
-    X86_VPMINUQZrm	= 4662,
-    X86_VPMINUQZrmb	= 4663,
-    X86_VPMINUQZrr	= 4664,
-    X86_VPMINUWYrm	= 4665,
-    X86_VPMINUWYrr	= 4666,
-    X86_VPMINUWrm	= 4667,
-    X86_VPMINUWrr	= 4668,
-    X86_VPMOVDBkrr	= 4669,
-    X86_VPMOVDBmr	= 4670,
-    X86_VPMOVDBrr	= 4671,
-    X86_VPMOVDWkrr	= 4672,
-    X86_VPMOVDWmr	= 4673,
-    X86_VPMOVDWrr	= 4674,
-    X86_VPMOVMSKBYrr	= 4675,
-    X86_VPMOVMSKBrr	= 4676,
-    X86_VPMOVQBkrr	= 4677,
-    X86_VPMOVQBmr	= 4678,
-    X86_VPMOVQBrr	= 4679,
-    X86_VPMOVQDkrr	= 4680,
-    X86_VPMOVQDmr	= 4681,
-    X86_VPMOVQDrr	= 4682,
-    X86_VPMOVQWkrr	= 4683,
-    X86_VPMOVQWmr	= 4684,
-    X86_VPMOVQWrr	= 4685,
-    X86_VPMOVSDBkrr	= 4686,
-    X86_VPMOVSDBmr	= 4687,
-    X86_VPMOVSDBrr	= 4688,
-    X86_VPMOVSDWkrr	= 4689,
-    X86_VPMOVSDWmr	= 4690,
-    X86_VPMOVSDWrr	= 4691,
-    X86_VPMOVSQBkrr	= 4692,
-    X86_VPMOVSQBmr	= 4693,
-    X86_VPMOVSQBrr	= 4694,
-    X86_VPMOVSQDkrr	= 4695,
-    X86_VPMOVSQDmr	= 4696,
-    X86_VPMOVSQDrr	= 4697,
-    X86_VPMOVSQWkrr	= 4698,
-    X86_VPMOVSQWmr	= 4699,
-    X86_VPMOVSQWrr	= 4700,
-    X86_VPMOVSXBDYrm	= 4701,
-    X86_VPMOVSXBDYrr	= 4702,
-    X86_VPMOVSXBDZrm	= 4703,
-    X86_VPMOVSXBDZrr	= 4704,
-    X86_VPMOVSXBDrm	= 4705,
-    X86_VPMOVSXBDrr	= 4706,
-    X86_VPMOVSXBQYrm	= 4707,
-    X86_VPMOVSXBQYrr	= 4708,
-    X86_VPMOVSXBQZrm	= 4709,
-    X86_VPMOVSXBQZrr	= 4710,
-    X86_VPMOVSXBQrm	= 4711,
-    X86_VPMOVSXBQrr	= 4712,
-    X86_VPMOVSXBWYrm	= 4713,
-    X86_VPMOVSXBWYrr	= 4714,
-    X86_VPMOVSXBWrm	= 4715,
-    X86_VPMOVSXBWrr	= 4716,
-    X86_VPMOVSXDQYrm	= 4717,
-    X86_VPMOVSXDQYrr	= 4718,
-    X86_VPMOVSXDQZrm	= 4719,
-    X86_VPMOVSXDQZrr	= 4720,
-    X86_VPMOVSXDQrm	= 4721,
-    X86_VPMOVSXDQrr	= 4722,
-    X86_VPMOVSXWDYrm	= 4723,
-    X86_VPMOVSXWDYrr	= 4724,
-    X86_VPMOVSXWDZrm	= 4725,
-    X86_VPMOVSXWDZrr	= 4726,
-    X86_VPMOVSXWDrm	= 4727,
-    X86_VPMOVSXWDrr	= 4728,
-    X86_VPMOVSXWQYrm	= 4729,
-    X86_VPMOVSXWQYrr	= 4730,
-    X86_VPMOVSXWQZrm	= 4731,
-    X86_VPMOVSXWQZrr	= 4732,
-    X86_VPMOVSXWQrm	= 4733,
-    X86_VPMOVSXWQrr	= 4734,
-    X86_VPMOVUSDBkrr	= 4735,
-    X86_VPMOVUSDBmr	= 4736,
-    X86_VPMOVUSDBrr	= 4737,
-    X86_VPMOVUSDWkrr	= 4738,
-    X86_VPMOVUSDWmr	= 4739,
-    X86_VPMOVUSDWrr	= 4740,
-    X86_VPMOVUSQBkrr	= 4741,
-    X86_VPMOVUSQBmr	= 4742,
-    X86_VPMOVUSQBrr	= 4743,
-    X86_VPMOVUSQDkrr	= 4744,
-    X86_VPMOVUSQDmr	= 4745,
-    X86_VPMOVUSQDrr	= 4746,
-    X86_VPMOVUSQWkrr	= 4747,
-    X86_VPMOVUSQWmr	= 4748,
-    X86_VPMOVUSQWrr	= 4749,
-    X86_VPMOVZXBDYrm	= 4750,
-    X86_VPMOVZXBDYrr	= 4751,
-    X86_VPMOVZXBDZrm	= 4752,
-    X86_VPMOVZXBDZrr	= 4753,
-    X86_VPMOVZXBDrm	= 4754,
-    X86_VPMOVZXBDrr	= 4755,
-    X86_VPMOVZXBQYrm	= 4756,
-    X86_VPMOVZXBQYrr	= 4757,
-    X86_VPMOVZXBQZrm	= 4758,
-    X86_VPMOVZXBQZrr	= 4759,
-    X86_VPMOVZXBQrm	= 4760,
-    X86_VPMOVZXBQrr	= 4761,
-    X86_VPMOVZXBWYrm	= 4762,
-    X86_VPMOVZXBWYrr	= 4763,
-    X86_VPMOVZXBWrm	= 4764,
-    X86_VPMOVZXBWrr	= 4765,
-    X86_VPMOVZXDQYrm	= 4766,
-    X86_VPMOVZXDQYrr	= 4767,
-    X86_VPMOVZXDQZrm	= 4768,
-    X86_VPMOVZXDQZrr	= 4769,
-    X86_VPMOVZXDQrm	= 4770,
-    X86_VPMOVZXDQrr	= 4771,
-    X86_VPMOVZXWDYrm	= 4772,
-    X86_VPMOVZXWDYrr	= 4773,
-    X86_VPMOVZXWDZrm	= 4774,
-    X86_VPMOVZXWDZrr	= 4775,
-    X86_VPMOVZXWDrm	= 4776,
-    X86_VPMOVZXWDrr	= 4777,
-    X86_VPMOVZXWQYrm	= 4778,
-    X86_VPMOVZXWQYrr	= 4779,
-    X86_VPMOVZXWQZrm	= 4780,
-    X86_VPMOVZXWQZrr	= 4781,
-    X86_VPMOVZXWQrm	= 4782,
-    X86_VPMOVZXWQrr	= 4783,
-    X86_VPMULDQYrm	= 4784,
-    X86_VPMULDQYrr	= 4785,
-    X86_VPMULDQZrm	= 4786,
-    X86_VPMULDQZrr	= 4787,
-    X86_VPMULDQrm	= 4788,
-    X86_VPMULDQrr	= 4789,
-    X86_VPMULHRSWrm128	= 4790,
-    X86_VPMULHRSWrm256	= 4791,
-    X86_VPMULHRSWrr128	= 4792,
-    X86_VPMULHRSWrr256	= 4793,
-    X86_VPMULHUWYrm	= 4794,
-    X86_VPMULHUWYrr	= 4795,
-    X86_VPMULHUWrm	= 4796,
-    X86_VPMULHUWrr	= 4797,
-    X86_VPMULHWYrm	= 4798,
-    X86_VPMULHWYrr	= 4799,
-    X86_VPMULHWrm	= 4800,
-    X86_VPMULHWrr	= 4801,
-    X86_VPMULLDYrm	= 4802,
-    X86_VPMULLDYrr	= 4803,
-    X86_VPMULLDZrm	= 4804,
-    X86_VPMULLDZrmb	= 4805,
-    X86_VPMULLDZrr	= 4806,
-    X86_VPMULLDrm	= 4807,
-    X86_VPMULLDrr	= 4808,
-    X86_VPMULLWYrm	= 4809,
-    X86_VPMULLWYrr	= 4810,
-    X86_VPMULLWrm	= 4811,
-    X86_VPMULLWrr	= 4812,
-    X86_VPMULUDQYrm	= 4813,
-    X86_VPMULUDQYrr	= 4814,
-    X86_VPMULUDQZrm	= 4815,
-    X86_VPMULUDQZrr	= 4816,
-    X86_VPMULUDQrm	= 4817,
-    X86_VPMULUDQrr	= 4818,
-    X86_VPORDZrm	= 4819,
-    X86_VPORDZrmb	= 4820,
-    X86_VPORDZrr	= 4821,
-    X86_VPORQZrm	= 4822,
-    X86_VPORQZrmb	= 4823,
-    X86_VPORQZrr	= 4824,
-    X86_VPORYrm	= 4825,
-    X86_VPORYrr	= 4826,
-    X86_VPORrm	= 4827,
-    X86_VPORrr	= 4828,
-    X86_VPPERMmr	= 4829,
-    X86_VPPERMrm	= 4830,
-    X86_VPPERMrr	= 4831,
-    X86_VPROTBmi	= 4832,
-    X86_VPROTBmr	= 4833,
-    X86_VPROTBri	= 4834,
-    X86_VPROTBrm	= 4835,
-    X86_VPROTBrr	= 4836,
-    X86_VPROTDmi	= 4837,
-    X86_VPROTDmr	= 4838,
-    X86_VPROTDri	= 4839,
-    X86_VPROTDrm	= 4840,
-    X86_VPROTDrr	= 4841,
-    X86_VPROTQmi	= 4842,
-    X86_VPROTQmr	= 4843,
-    X86_VPROTQri	= 4844,
-    X86_VPROTQrm	= 4845,
-    X86_VPROTQrr	= 4846,
-    X86_VPROTWmi	= 4847,
-    X86_VPROTWmr	= 4848,
-    X86_VPROTWri	= 4849,
-    X86_VPROTWrm	= 4850,
-    X86_VPROTWrr	= 4851,
-    X86_VPSADBWYrm	= 4852,
-    X86_VPSADBWYrr	= 4853,
-    X86_VPSADBWrm	= 4854,
-    X86_VPSADBWrr	= 4855,
-    X86_VPSCATTERDDZmr	= 4856,
-    X86_VPSCATTERDQZmr	= 4857,
-    X86_VPSCATTERQDZmr	= 4858,
-    X86_VPSCATTERQQZmr	= 4859,
-    X86_VPSHABmr	= 4860,
-    X86_VPSHABrm	= 4861,
-    X86_VPSHABrr	= 4862,
-    X86_VPSHADmr	= 4863,
-    X86_VPSHADrm	= 4864,
-    X86_VPSHADrr	= 4865,
-    X86_VPSHAQmr	= 4866,
-    X86_VPSHAQrm	= 4867,
-    X86_VPSHAQrr	= 4868,
-    X86_VPSHAWmr	= 4869,
-    X86_VPSHAWrm	= 4870,
-    X86_VPSHAWrr	= 4871,
-    X86_VPSHLBmr	= 4872,
-    X86_VPSHLBrm	= 4873,
-    X86_VPSHLBrr	= 4874,
-    X86_VPSHLDmr	= 4875,
-    X86_VPSHLDrm	= 4876,
-    X86_VPSHLDrr	= 4877,
-    X86_VPSHLQmr	= 4878,
-    X86_VPSHLQrm	= 4879,
-    X86_VPSHLQrr	= 4880,
-    X86_VPSHLWmr	= 4881,
-    X86_VPSHLWrm	= 4882,
-    X86_VPSHLWrr	= 4883,
-    X86_VPSHUFBYrm	= 4884,
-    X86_VPSHUFBYrr	= 4885,
-    X86_VPSHUFBrm	= 4886,
-    X86_VPSHUFBrr	= 4887,
-    X86_VPSHUFDYmi	= 4888,
-    X86_VPSHUFDYri	= 4889,
-    X86_VPSHUFDZmi	= 4890,
-    X86_VPSHUFDZri	= 4891,
-    X86_VPSHUFDmi	= 4892,
-    X86_VPSHUFDri	= 4893,
-    X86_VPSHUFHWYmi	= 4894,
-    X86_VPSHUFHWYri	= 4895,
-    X86_VPSHUFHWmi	= 4896,
-    X86_VPSHUFHWri	= 4897,
-    X86_VPSHUFLWYmi	= 4898,
-    X86_VPSHUFLWYri	= 4899,
-    X86_VPSHUFLWmi	= 4900,
-    X86_VPSHUFLWri	= 4901,
-    X86_VPSIGNBYrm	= 4902,
-    X86_VPSIGNBYrr	= 4903,
-    X86_VPSIGNBrm	= 4904,
-    X86_VPSIGNBrr	= 4905,
-    X86_VPSIGNDYrm	= 4906,
-    X86_VPSIGNDYrr	= 4907,
-    X86_VPSIGNDrm	= 4908,
-    X86_VPSIGNDrr	= 4909,
-    X86_VPSIGNWYrm	= 4910,
-    X86_VPSIGNWYrr	= 4911,
-    X86_VPSIGNWrm	= 4912,
-    X86_VPSIGNWrr	= 4913,
-    X86_VPSLLDQYri	= 4914,
-    X86_VPSLLDQri	= 4915,
-    X86_VPSLLDYri	= 4916,
-    X86_VPSLLDYrm	= 4917,
-    X86_VPSLLDYrr	= 4918,
-    X86_VPSLLDZmi	= 4919,
-    X86_VPSLLDZmik	= 4920,
-    X86_VPSLLDZri	= 4921,
-    X86_VPSLLDZrik	= 4922,
-    X86_VPSLLDZrm	= 4923,
-    X86_VPSLLDZrmk	= 4924,
-    X86_VPSLLDZrr	= 4925,
-    X86_VPSLLDZrrk	= 4926,
-    X86_VPSLLDri	= 4927,
-    X86_VPSLLDrm	= 4928,
-    X86_VPSLLDrr	= 4929,
-    X86_VPSLLQYri	= 4930,
-    X86_VPSLLQYrm	= 4931,
-    X86_VPSLLQYrr	= 4932,
-    X86_VPSLLQZmi	= 4933,
-    X86_VPSLLQZmik	= 4934,
-    X86_VPSLLQZri	= 4935,
-    X86_VPSLLQZrik	= 4936,
-    X86_VPSLLQZrm	= 4937,
-    X86_VPSLLQZrmk	= 4938,
-    X86_VPSLLQZrr	= 4939,
-    X86_VPSLLQZrrk	= 4940,
-    X86_VPSLLQri	= 4941,
-    X86_VPSLLQrm	= 4942,
-    X86_VPSLLQrr	= 4943,
-    X86_VPSLLVDYrm	= 4944,
-    X86_VPSLLVDYrr	= 4945,
-    X86_VPSLLVDZrm	= 4946,
-    X86_VPSLLVDZrr	= 4947,
-    X86_VPSLLVDrm	= 4948,
-    X86_VPSLLVDrr	= 4949,
-    X86_VPSLLVQYrm	= 4950,
-    X86_VPSLLVQYrr	= 4951,
-    X86_VPSLLVQZrm	= 4952,
-    X86_VPSLLVQZrr	= 4953,
-    X86_VPSLLVQrm	= 4954,
-    X86_VPSLLVQrr	= 4955,
-    X86_VPSLLWYri	= 4956,
-    X86_VPSLLWYrm	= 4957,
-    X86_VPSLLWYrr	= 4958,
-    X86_VPSLLWri	= 4959,
-    X86_VPSLLWrm	= 4960,
-    X86_VPSLLWrr	= 4961,
-    X86_VPSRADYri	= 4962,
-    X86_VPSRADYrm	= 4963,
-    X86_VPSRADYrr	= 4964,
-    X86_VPSRADZmi	= 4965,
-    X86_VPSRADZmik	= 4966,
-    X86_VPSRADZri	= 4967,
-    X86_VPSRADZrik	= 4968,
-    X86_VPSRADZrm	= 4969,
-    X86_VPSRADZrmk	= 4970,
-    X86_VPSRADZrr	= 4971,
-    X86_VPSRADZrrk	= 4972,
-    X86_VPSRADri	= 4973,
-    X86_VPSRADrm	= 4974,
-    X86_VPSRADrr	= 4975,
-    X86_VPSRAQZmi	= 4976,
-    X86_VPSRAQZmik	= 4977,
-    X86_VPSRAQZri	= 4978,
-    X86_VPSRAQZrik	= 4979,
-    X86_VPSRAQZrm	= 4980,
-    X86_VPSRAQZrmk	= 4981,
-    X86_VPSRAQZrr	= 4982,
-    X86_VPSRAQZrrk	= 4983,
-    X86_VPSRAVDYrm	= 4984,
-    X86_VPSRAVDYrr	= 4985,
-    X86_VPSRAVDZrm	= 4986,
-    X86_VPSRAVDZrr	= 4987,
-    X86_VPSRAVDrm	= 4988,
-    X86_VPSRAVDrr	= 4989,
-    X86_VPSRAVQZrm	= 4990,
-    X86_VPSRAVQZrr	= 4991,
-    X86_VPSRAWYri	= 4992,
-    X86_VPSRAWYrm	= 4993,
-    X86_VPSRAWYrr	= 4994,
-    X86_VPSRAWri	= 4995,
-    X86_VPSRAWrm	= 4996,
-    X86_VPSRAWrr	= 4997,
-    X86_VPSRLDQYri	= 4998,
-    X86_VPSRLDQri	= 4999,
-    X86_VPSRLDYri	= 5000,
-    X86_VPSRLDYrm	= 5001,
-    X86_VPSRLDYrr	= 5002,
-    X86_VPSRLDZmi	= 5003,
-    X86_VPSRLDZmik	= 5004,
-    X86_VPSRLDZri	= 5005,
-    X86_VPSRLDZrik	= 5006,
-    X86_VPSRLDZrm	= 5007,
-    X86_VPSRLDZrmk	= 5008,
-    X86_VPSRLDZrr	= 5009,
-    X86_VPSRLDZrrk	= 5010,
-    X86_VPSRLDri	= 5011,
-    X86_VPSRLDrm	= 5012,
-    X86_VPSRLDrr	= 5013,
-    X86_VPSRLQYri	= 5014,
-    X86_VPSRLQYrm	= 5015,
-    X86_VPSRLQYrr	= 5016,
-    X86_VPSRLQZmi	= 5017,
-    X86_VPSRLQZmik	= 5018,
-    X86_VPSRLQZri	= 5019,
-    X86_VPSRLQZrik	= 5020,
-    X86_VPSRLQZrm	= 5021,
-    X86_VPSRLQZrmk	= 5022,
-    X86_VPSRLQZrr	= 5023,
-    X86_VPSRLQZrrk	= 5024,
-    X86_VPSRLQri	= 5025,
-    X86_VPSRLQrm	= 5026,
-    X86_VPSRLQrr	= 5027,
-    X86_VPSRLVDYrm	= 5028,
-    X86_VPSRLVDYrr	= 5029,
-    X86_VPSRLVDZrm	= 5030,
-    X86_VPSRLVDZrr	= 5031,
-    X86_VPSRLVDrm	= 5032,
-    X86_VPSRLVDrr	= 5033,
-    X86_VPSRLVQYrm	= 5034,
-    X86_VPSRLVQYrr	= 5035,
-    X86_VPSRLVQZrm	= 5036,
-    X86_VPSRLVQZrr	= 5037,
-    X86_VPSRLVQrm	= 5038,
-    X86_VPSRLVQrr	= 5039,
-    X86_VPSRLWYri	= 5040,
-    X86_VPSRLWYrm	= 5041,
-    X86_VPSRLWYrr	= 5042,
-    X86_VPSRLWri	= 5043,
-    X86_VPSRLWrm	= 5044,
-    X86_VPSRLWrr	= 5045,
-    X86_VPSUBBYrm	= 5046,
-    X86_VPSUBBYrr	= 5047,
-    X86_VPSUBBrm	= 5048,
-    X86_VPSUBBrr	= 5049,
-    X86_VPSUBDYrm	= 5050,
-    X86_VPSUBDYrr	= 5051,
-    X86_VPSUBDZrm	= 5052,
-    X86_VPSUBDZrmb	= 5053,
-    X86_VPSUBDZrr	= 5054,
-    X86_VPSUBDrm	= 5055,
-    X86_VPSUBDrr	= 5056,
-    X86_VPSUBQYrm	= 5057,
-    X86_VPSUBQYrr	= 5058,
-    X86_VPSUBQZrm	= 5059,
-    X86_VPSUBQZrmb	= 5060,
-    X86_VPSUBQZrr	= 5061,
-    X86_VPSUBQrm	= 5062,
-    X86_VPSUBQrr	= 5063,
-    X86_VPSUBSBYrm	= 5064,
-    X86_VPSUBSBYrr	= 5065,
-    X86_VPSUBSBrm	= 5066,
-    X86_VPSUBSBrr	= 5067,
-    X86_VPSUBSWYrm	= 5068,
-    X86_VPSUBSWYrr	= 5069,
-    X86_VPSUBSWrm	= 5070,
-    X86_VPSUBSWrr	= 5071,
-    X86_VPSUBUSBYrm	= 5072,
-    X86_VPSUBUSBYrr	= 5073,
-    X86_VPSUBUSBrm	= 5074,
-    X86_VPSUBUSBrr	= 5075,
-    X86_VPSUBUSWYrm	= 5076,
-    X86_VPSUBUSWYrr	= 5077,
-    X86_VPSUBUSWrm	= 5078,
-    X86_VPSUBUSWrr	= 5079,
-    X86_VPSUBWYrm	= 5080,
-    X86_VPSUBWYrr	= 5081,
-    X86_VPSUBWrm	= 5082,
-    X86_VPSUBWrr	= 5083,
-    X86_VPTESTMDZrm	= 5084,
-    X86_VPTESTMDZrr	= 5085,
-    X86_VPTESTMQZrm	= 5086,
-    X86_VPTESTMQZrr	= 5087,
-    X86_VPTESTNMDZrm	= 5088,
-    X86_VPTESTNMDZrr	= 5089,
-    X86_VPTESTNMQZrm	= 5090,
-    X86_VPTESTNMQZrr	= 5091,
-    X86_VPTESTYrm	= 5092,
-    X86_VPTESTYrr	= 5093,
-    X86_VPTESTrm	= 5094,
-    X86_VPTESTrr	= 5095,
-    X86_VPUNPCKHBWYrm	= 5096,
-    X86_VPUNPCKHBWYrr	= 5097,
-    X86_VPUNPCKHBWrm	= 5098,
-    X86_VPUNPCKHBWrr	= 5099,
-    X86_VPUNPCKHDQYrm	= 5100,
-    X86_VPUNPCKHDQYrr	= 5101,
-    X86_VPUNPCKHDQZrm	= 5102,
-    X86_VPUNPCKHDQZrr	= 5103,
-    X86_VPUNPCKHDQrm	= 5104,
-    X86_VPUNPCKHDQrr	= 5105,
-    X86_VPUNPCKHQDQYrm	= 5106,
-    X86_VPUNPCKHQDQYrr	= 5107,
-    X86_VPUNPCKHQDQZrm	= 5108,
-    X86_VPUNPCKHQDQZrr	= 5109,
-    X86_VPUNPCKHQDQrm	= 5110,
-    X86_VPUNPCKHQDQrr	= 5111,
-    X86_VPUNPCKHWDYrm	= 5112,
-    X86_VPUNPCKHWDYrr	= 5113,
-    X86_VPUNPCKHWDrm	= 5114,
-    X86_VPUNPCKHWDrr	= 5115,
-    X86_VPUNPCKLBWYrm	= 5116,
-    X86_VPUNPCKLBWYrr	= 5117,
-    X86_VPUNPCKLBWrm	= 5118,
-    X86_VPUNPCKLBWrr	= 5119,
-    X86_VPUNPCKLDQYrm	= 5120,
-    X86_VPUNPCKLDQYrr	= 5121,
-    X86_VPUNPCKLDQZrm	= 5122,
-    X86_VPUNPCKLDQZrr	= 5123,
-    X86_VPUNPCKLDQrm	= 5124,
-    X86_VPUNPCKLDQrr	= 5125,
-    X86_VPUNPCKLQDQYrm	= 5126,
-    X86_VPUNPCKLQDQYrr	= 5127,
-    X86_VPUNPCKLQDQZrm	= 5128,
-    X86_VPUNPCKLQDQZrr	= 5129,
-    X86_VPUNPCKLQDQrm	= 5130,
-    X86_VPUNPCKLQDQrr	= 5131,
-    X86_VPUNPCKLWDYrm	= 5132,
-    X86_VPUNPCKLWDYrr	= 5133,
-    X86_VPUNPCKLWDrm	= 5134,
-    X86_VPUNPCKLWDrr	= 5135,
-    X86_VPXORDZrm	= 5136,
-    X86_VPXORDZrmb	= 5137,
-    X86_VPXORDZrr	= 5138,
-    X86_VPXORQZrm	= 5139,
-    X86_VPXORQZrmb	= 5140,
-    X86_VPXORQZrr	= 5141,
-    X86_VPXORYrm	= 5142,
-    X86_VPXORYrr	= 5143,
-    X86_VPXORrm	= 5144,
-    X86_VPXORrr	= 5145,
-    X86_VRCP14PDZm	= 5146,
-    X86_VRCP14PDZr	= 5147,
-    X86_VRCP14PSZm	= 5148,
-    X86_VRCP14PSZr	= 5149,
-    X86_VRCP14SDrm	= 5150,
-    X86_VRCP14SDrr	= 5151,
-    X86_VRCP14SSrm	= 5152,
-    X86_VRCP14SSrr	= 5153,
-    X86_VRCP28PDZm	= 5154,
-    X86_VRCP28PDZr	= 5155,
-    X86_VRCP28PDZrb	= 5156,
-    X86_VRCP28PSZm	= 5157,
-    X86_VRCP28PSZr	= 5158,
-    X86_VRCP28PSZrb	= 5159,
-    X86_VRCP28SDrm	= 5160,
-    X86_VRCP28SDrr	= 5161,
-    X86_VRCP28SDrrb	= 5162,
-    X86_VRCP28SSrm	= 5163,
-    X86_VRCP28SSrr	= 5164,
-    X86_VRCP28SSrrb	= 5165,
-    X86_VRCPPSYm	= 5166,
-    X86_VRCPPSYm_Int	= 5167,
-    X86_VRCPPSYr	= 5168,
-    X86_VRCPPSYr_Int	= 5169,
-    X86_VRCPPSm	= 5170,
-    X86_VRCPPSm_Int	= 5171,
-    X86_VRCPPSr	= 5172,
-    X86_VRCPPSr_Int	= 5173,
-    X86_VRCPSSm	= 5174,
-    X86_VRCPSSm_Int	= 5175,
-    X86_VRCPSSr	= 5176,
-    X86_VRNDSCALEPDZm	= 5177,
-    X86_VRNDSCALEPDZr	= 5178,
-    X86_VRNDSCALEPSZm	= 5179,
-    X86_VRNDSCALEPSZr	= 5180,
-    X86_VRNDSCALESDm	= 5181,
-    X86_VRNDSCALESDr	= 5182,
-    X86_VRNDSCALESSm	= 5183,
-    X86_VRNDSCALESSr	= 5184,
-    X86_VROUNDPDm	= 5185,
-    X86_VROUNDPDr	= 5186,
-    X86_VROUNDPSm	= 5187,
-    X86_VROUNDPSr	= 5188,
-    X86_VROUNDSDm	= 5189,
-    X86_VROUNDSDr	= 5190,
-    X86_VROUNDSDr_Int	= 5191,
-    X86_VROUNDSSm	= 5192,
-    X86_VROUNDSSr	= 5193,
-    X86_VROUNDSSr_Int	= 5194,
-    X86_VROUNDYPDm	= 5195,
-    X86_VROUNDYPDr	= 5196,
-    X86_VROUNDYPSm	= 5197,
-    X86_VROUNDYPSr	= 5198,
-    X86_VRSQRT14PDZm	= 5199,
-    X86_VRSQRT14PDZr	= 5200,
-    X86_VRSQRT14PSZm	= 5201,
-    X86_VRSQRT14PSZr	= 5202,
-    X86_VRSQRT14SDrm	= 5203,
-    X86_VRSQRT14SDrr	= 5204,
-    X86_VRSQRT14SSrm	= 5205,
-    X86_VRSQRT14SSrr	= 5206,
-    X86_VRSQRT28PDZm	= 5207,
-    X86_VRSQRT28PDZr	= 5208,
-    X86_VRSQRT28PDZrb	= 5209,
-    X86_VRSQRT28PSZm	= 5210,
-    X86_VRSQRT28PSZr	= 5211,
-    X86_VRSQRT28PSZrb	= 5212,
-    X86_VRSQRT28SDrm	= 5213,
-    X86_VRSQRT28SDrr	= 5214,
-    X86_VRSQRT28SDrrb	= 5215,
-    X86_VRSQRT28SSrm	= 5216,
-    X86_VRSQRT28SSrr	= 5217,
-    X86_VRSQRT28SSrrb	= 5218,
-    X86_VRSQRTPSYm	= 5219,
-    X86_VRSQRTPSYm_Int	= 5220,
-    X86_VRSQRTPSYr	= 5221,
-    X86_VRSQRTPSYr_Int	= 5222,
-    X86_VRSQRTPSm	= 5223,
-    X86_VRSQRTPSm_Int	= 5224,
-    X86_VRSQRTPSr	= 5225,
-    X86_VRSQRTPSr_Int	= 5226,
-    X86_VRSQRTSSm	= 5227,
-    X86_VRSQRTSSm_Int	= 5228,
-    X86_VRSQRTSSr	= 5229,
-    X86_VSCATTERDPDZmr	= 5230,
-    X86_VSCATTERDPSZmr	= 5231,
-    X86_VSCATTERQPDZmr	= 5232,
-    X86_VSCATTERQPSZmr	= 5233,
-    X86_VSHUFPDYrmi	= 5234,
-    X86_VSHUFPDYrri	= 5235,
-    X86_VSHUFPDZrmi	= 5236,
-    X86_VSHUFPDZrri	= 5237,
-    X86_VSHUFPDrmi	= 5238,
-    X86_VSHUFPDrri	= 5239,
-    X86_VSHUFPSYrmi	= 5240,
-    X86_VSHUFPSYrri	= 5241,
-    X86_VSHUFPSZrmi	= 5242,
-    X86_VSHUFPSZrri	= 5243,
-    X86_VSHUFPSrmi	= 5244,
-    X86_VSHUFPSrri	= 5245,
-    X86_VSQRTPDYm	= 5246,
-    X86_VSQRTPDYr	= 5247,
-    X86_VSQRTPDZm_Int	= 5248,
-    X86_VSQRTPDZr_Int	= 5249,
-    X86_VSQRTPDZrm	= 5250,
-    X86_VSQRTPDZrr	= 5251,
-    X86_VSQRTPDm	= 5252,
-    X86_VSQRTPDr	= 5253,
-    X86_VSQRTPSYm	= 5254,
-    X86_VSQRTPSYr	= 5255,
-    X86_VSQRTPSZm_Int	= 5256,
-    X86_VSQRTPSZr_Int	= 5257,
-    X86_VSQRTPSZrm	= 5258,
-    X86_VSQRTPSZrr	= 5259,
-    X86_VSQRTPSm	= 5260,
-    X86_VSQRTPSr	= 5261,
-    X86_VSQRTSDZm	= 5262,
-    X86_VSQRTSDZm_Int	= 5263,
-    X86_VSQRTSDZr	= 5264,
-    X86_VSQRTSDZr_Int	= 5265,
-    X86_VSQRTSDm	= 5266,
-    X86_VSQRTSDm_Int	= 5267,
-    X86_VSQRTSDr	= 5268,
-    X86_VSQRTSSZm	= 5269,
-    X86_VSQRTSSZm_Int	= 5270,
-    X86_VSQRTSSZr	= 5271,
-    X86_VSQRTSSZr_Int	= 5272,
-    X86_VSQRTSSm	= 5273,
-    X86_VSQRTSSm_Int	= 5274,
-    X86_VSQRTSSr	= 5275,
-    X86_VSTMXCSR	= 5276,
-    X86_VSUBPDYrm	= 5277,
-    X86_VSUBPDYrr	= 5278,
-    X86_VSUBPDZrm	= 5279,
-    X86_VSUBPDZrmb	= 5280,
-    X86_VSUBPDZrr	= 5281,
-    X86_VSUBPDrm	= 5282,
-    X86_VSUBPDrr	= 5283,
-    X86_VSUBPSYrm	= 5284,
-    X86_VSUBPSYrr	= 5285,
-    X86_VSUBPSZrm	= 5286,
-    X86_VSUBPSZrmb	= 5287,
-    X86_VSUBPSZrr	= 5288,
-    X86_VSUBPSrm	= 5289,
-    X86_VSUBPSrr	= 5290,
-    X86_VSUBSDZrm	= 5291,
-    X86_VSUBSDZrr	= 5292,
-    X86_VSUBSDrm	= 5293,
-    X86_VSUBSDrm_Int	= 5294,
-    X86_VSUBSDrr	= 5295,
-    X86_VSUBSDrr_Int	= 5296,
-    X86_VSUBSSZrm	= 5297,
-    X86_VSUBSSZrr	= 5298,
-    X86_VSUBSSrm	= 5299,
-    X86_VSUBSSrm_Int	= 5300,
-    X86_VSUBSSrr	= 5301,
-    X86_VSUBSSrr_Int	= 5302,
-    X86_VTESTPDYrm	= 5303,
-    X86_VTESTPDYrr	= 5304,
-    X86_VTESTPDrm	= 5305,
-    X86_VTESTPDrr	= 5306,
-    X86_VTESTPSYrm	= 5307,
-    X86_VTESTPSYrr	= 5308,
-    X86_VTESTPSrm	= 5309,
-    X86_VTESTPSrr	= 5310,
-    X86_VUCOMISDZrm	= 5311,
-    X86_VUCOMISDZrr	= 5312,
-    X86_VUCOMISDrm	= 5313,
-    X86_VUCOMISDrr	= 5314,
-    X86_VUCOMISSZrm	= 5315,
-    X86_VUCOMISSZrr	= 5316,
-    X86_VUCOMISSrm	= 5317,
-    X86_VUCOMISSrr	= 5318,
-    X86_VUNPCKHPDYrm	= 5319,
-    X86_VUNPCKHPDYrr	= 5320,
-    X86_VUNPCKHPDZrm	= 5321,
-    X86_VUNPCKHPDZrr	= 5322,
-    X86_VUNPCKHPDrm	= 5323,
-    X86_VUNPCKHPDrr	= 5324,
-    X86_VUNPCKHPSYrm	= 5325,
-    X86_VUNPCKHPSYrr	= 5326,
-    X86_VUNPCKHPSZrm	= 5327,
-    X86_VUNPCKHPSZrr	= 5328,
-    X86_VUNPCKHPSrm	= 5329,
-    X86_VUNPCKHPSrr	= 5330,
-    X86_VUNPCKLPDYrm	= 5331,
-    X86_VUNPCKLPDYrr	= 5332,
-    X86_VUNPCKLPDZrm	= 5333,
-    X86_VUNPCKLPDZrr	= 5334,
-    X86_VUNPCKLPDrm	= 5335,
-    X86_VUNPCKLPDrr	= 5336,
-    X86_VUNPCKLPSYrm	= 5337,
-    X86_VUNPCKLPSYrr	= 5338,
-    X86_VUNPCKLPSZrm	= 5339,
-    X86_VUNPCKLPSZrr	= 5340,
-    X86_VUNPCKLPSrm	= 5341,
-    X86_VUNPCKLPSrr	= 5342,
-    X86_VXORPDYrm	= 5343,
-    X86_VXORPDYrr	= 5344,
-    X86_VXORPDrm	= 5345,
-    X86_VXORPDrr	= 5346,
-    X86_VXORPSYrm	= 5347,
-    X86_VXORPSYrr	= 5348,
-    X86_VXORPSrm	= 5349,
-    X86_VXORPSrr	= 5350,
-    X86_VZEROALL	= 5351,
-    X86_VZEROUPPER	= 5352,
-    X86_V_SET0	= 5353,
-    X86_V_SETALLONES	= 5354,
-    X86_W64ALLOCA	= 5355,
-    X86_WAIT	= 5356,
-    X86_WBINVD	= 5357,
-    X86_WIN_ALLOCA	= 5358,
-    X86_WIN_FTOL_32	= 5359,
-    X86_WIN_FTOL_64	= 5360,
-    X86_WRFSBASE	= 5361,
-    X86_WRFSBASE64	= 5362,
-    X86_WRGSBASE	= 5363,
-    X86_WRGSBASE64	= 5364,
-    X86_WRMSR	= 5365,
-    X86_XABORT	= 5366,
-    X86_XACQUIRE_PREFIX	= 5367,
-    X86_XADD16rm	= 5368,
-    X86_XADD16rr	= 5369,
-    X86_XADD32rm	= 5370,
-    X86_XADD32rr	= 5371,
-    X86_XADD64rm	= 5372,
-    X86_XADD64rr	= 5373,
-    X86_XADD8rm	= 5374,
-    X86_XADD8rr	= 5375,
-    X86_XBEGIN	= 5376,
-    X86_XBEGIN_4	= 5377,
-    X86_XCHG16ar	= 5378,
-    X86_XCHG16rm	= 5379,
-    X86_XCHG16rr	= 5380,
-    X86_XCHG32ar	= 5381,
-    X86_XCHG32ar64	= 5382,
-    X86_XCHG32rm	= 5383,
-    X86_XCHG32rr	= 5384,
-    X86_XCHG64ar	= 5385,
-    X86_XCHG64rm	= 5386,
-    X86_XCHG64rr	= 5387,
-    X86_XCHG8rm	= 5388,
-    X86_XCHG8rr	= 5389,
-    X86_XCH_F	= 5390,
-    X86_XCRYPTCBC	= 5391,
-    X86_XCRYPTCFB	= 5392,
-    X86_XCRYPTCTR	= 5393,
-    X86_XCRYPTECB	= 5394,
-    X86_XCRYPTOFB	= 5395,
-    X86_XEND	= 5396,
-    X86_XGETBV	= 5397,
-    X86_XLAT	= 5398,
-    X86_XOR16i16	= 5399,
-    X86_XOR16mi	= 5400,
-    X86_XOR16mi8	= 5401,
-    X86_XOR16mr	= 5402,
-    X86_XOR16ri	= 5403,
-    X86_XOR16ri8	= 5404,
-    X86_XOR16rm	= 5405,
-    X86_XOR16rr	= 5406,
-    X86_XOR16rr_REV	= 5407,
-    X86_XOR32i32	= 5408,
-    X86_XOR32mi	= 5409,
-    X86_XOR32mi8	= 5410,
-    X86_XOR32mr	= 5411,
-    X86_XOR32ri	= 5412,
-    X86_XOR32ri8	= 5413,
-    X86_XOR32rm	= 5414,
-    X86_XOR32rr	= 5415,
-    X86_XOR32rr_REV	= 5416,
-    X86_XOR64i32	= 5417,
-    X86_XOR64mi32	= 5418,
-    X86_XOR64mi8	= 5419,
-    X86_XOR64mr	= 5420,
-    X86_XOR64ri32	= 5421,
-    X86_XOR64ri8	= 5422,
-    X86_XOR64rm	= 5423,
-    X86_XOR64rr	= 5424,
-    X86_XOR64rr_REV	= 5425,
-    X86_XOR8i8	= 5426,
-    X86_XOR8mi	= 5427,
-    X86_XOR8mr	= 5428,
-    X86_XOR8ri	= 5429,
-    X86_XOR8ri8	= 5430,
-    X86_XOR8rm	= 5431,
-    X86_XOR8rr	= 5432,
-    X86_XOR8rr_REV	= 5433,
-    X86_XORPDrm	= 5434,
-    X86_XORPDrr	= 5435,
-    X86_XORPSrm	= 5436,
-    X86_XORPSrr	= 5437,
-    X86_XRELEASE_PREFIX	= 5438,
-    X86_XRSTOR	= 5439,
-    X86_XRSTOR64	= 5440,
-    X86_XSAVE	= 5441,
-    X86_XSAVE64	= 5442,
-    X86_XSAVEOPT	= 5443,
-    X86_XSAVEOPT64	= 5444,
-    X86_XSETBV	= 5445,
-    X86_XSHA1	= 5446,
-    X86_XSHA256	= 5447,
-    X86_XSTORE	= 5448,
-    X86_XTEST	= 5449,
-    X86_INSTRUCTION_LIST_END = 5450
+    X86_LOAD_STACK_GUARD	= 19,
+    X86_AAA	= 20,
+    X86_AAD8i8	= 21,
+    X86_AAM8i8	= 22,
+    X86_AAS	= 23,
+    X86_ABS_F	= 24,
+    X86_ABS_Fp32	= 25,
+    X86_ABS_Fp64	= 26,
+    X86_ABS_Fp80	= 27,
+    X86_ACQUIRE_MOV16rm	= 28,
+    X86_ACQUIRE_MOV32rm	= 29,
+    X86_ACQUIRE_MOV64rm	= 30,
+    X86_ACQUIRE_MOV8rm	= 31,
+    X86_ADC16i16	= 32,
+    X86_ADC16mi	= 33,
+    X86_ADC16mi8	= 34,
+    X86_ADC16mr	= 35,
+    X86_ADC16ri	= 36,
+    X86_ADC16ri8	= 37,
+    X86_ADC16rm	= 38,
+    X86_ADC16rr	= 39,
+    X86_ADC16rr_REV	= 40,
+    X86_ADC32i32	= 41,
+    X86_ADC32mi	= 42,
+    X86_ADC32mi8	= 43,
+    X86_ADC32mr	= 44,
+    X86_ADC32ri	= 45,
+    X86_ADC32ri8	= 46,
+    X86_ADC32rm	= 47,
+    X86_ADC32rr	= 48,
+    X86_ADC32rr_REV	= 49,
+    X86_ADC64i32	= 50,
+    X86_ADC64mi32	= 51,
+    X86_ADC64mi8	= 52,
+    X86_ADC64mr	= 53,
+    X86_ADC64ri32	= 54,
+    X86_ADC64ri8	= 55,
+    X86_ADC64rm	= 56,
+    X86_ADC64rr	= 57,
+    X86_ADC64rr_REV	= 58,
+    X86_ADC8i8	= 59,
+    X86_ADC8mi	= 60,
+    X86_ADC8mr	= 61,
+    X86_ADC8ri	= 62,
+    X86_ADC8rm	= 63,
+    X86_ADC8rr	= 64,
+    X86_ADC8rr_REV	= 65,
+    X86_ADCX32rm	= 66,
+    X86_ADCX32rr	= 67,
+    X86_ADCX64rm	= 68,
+    X86_ADCX64rr	= 69,
+    X86_ADD16i16	= 70,
+    X86_ADD16mi	= 71,
+    X86_ADD16mi8	= 72,
+    X86_ADD16mr	= 73,
+    X86_ADD16ri	= 74,
+    X86_ADD16ri8	= 75,
+    X86_ADD16ri8_DB	= 76,
+    X86_ADD16ri_DB	= 77,
+    X86_ADD16rm	= 78,
+    X86_ADD16rr	= 79,
+    X86_ADD16rr_DB	= 80,
+    X86_ADD16rr_REV	= 81,
+    X86_ADD32i32	= 82,
+    X86_ADD32mi	= 83,
+    X86_ADD32mi8	= 84,
+    X86_ADD32mr	= 85,
+    X86_ADD32ri	= 86,
+    X86_ADD32ri8	= 87,
+    X86_ADD32ri8_DB	= 88,
+    X86_ADD32ri_DB	= 89,
+    X86_ADD32rm	= 90,
+    X86_ADD32rr	= 91,
+    X86_ADD32rr_DB	= 92,
+    X86_ADD32rr_REV	= 93,
+    X86_ADD64i32	= 94,
+    X86_ADD64mi32	= 95,
+    X86_ADD64mi8	= 96,
+    X86_ADD64mr	= 97,
+    X86_ADD64ri32	= 98,
+    X86_ADD64ri32_DB	= 99,
+    X86_ADD64ri8	= 100,
+    X86_ADD64ri8_DB	= 101,
+    X86_ADD64rm	= 102,
+    X86_ADD64rr	= 103,
+    X86_ADD64rr_DB	= 104,
+    X86_ADD64rr_REV	= 105,
+    X86_ADD8i8	= 106,
+    X86_ADD8mi	= 107,
+    X86_ADD8mr	= 108,
+    X86_ADD8ri	= 109,
+    X86_ADD8ri8	= 110,
+    X86_ADD8rm	= 111,
+    X86_ADD8rr	= 112,
+    X86_ADD8rr_REV	= 113,
+    X86_ADDPDrm	= 114,
+    X86_ADDPDrr	= 115,
+    X86_ADDPSrm	= 116,
+    X86_ADDPSrr	= 117,
+    X86_ADDSDrm	= 118,
+    X86_ADDSDrm_Int	= 119,
+    X86_ADDSDrr	= 120,
+    X86_ADDSDrr_Int	= 121,
+    X86_ADDSSrm	= 122,
+    X86_ADDSSrm_Int	= 123,
+    X86_ADDSSrr	= 124,
+    X86_ADDSSrr_Int	= 125,
+    X86_ADDSUBPDrm	= 126,
+    X86_ADDSUBPDrr	= 127,
+    X86_ADDSUBPSrm	= 128,
+    X86_ADDSUBPSrr	= 129,
+    X86_ADD_F32m	= 130,
+    X86_ADD_F64m	= 131,
+    X86_ADD_FI16m	= 132,
+    X86_ADD_FI32m	= 133,
+    X86_ADD_FPrST0	= 134,
+    X86_ADD_FST0r	= 135,
+    X86_ADD_Fp32	= 136,
+    X86_ADD_Fp32m	= 137,
+    X86_ADD_Fp64	= 138,
+    X86_ADD_Fp64m	= 139,
+    X86_ADD_Fp64m32	= 140,
+    X86_ADD_Fp80	= 141,
+    X86_ADD_Fp80m32	= 142,
+    X86_ADD_Fp80m64	= 143,
+    X86_ADD_FpI16m32	= 144,
+    X86_ADD_FpI16m64	= 145,
+    X86_ADD_FpI16m80	= 146,
+    X86_ADD_FpI32m32	= 147,
+    X86_ADD_FpI32m64	= 148,
+    X86_ADD_FpI32m80	= 149,
+    X86_ADD_FrST0	= 150,
+    X86_ADJCALLSTACKDOWN32	= 151,
+    X86_ADJCALLSTACKDOWN64	= 152,
+    X86_ADJCALLSTACKUP32	= 153,
+    X86_ADJCALLSTACKUP64	= 154,
+    X86_ADOX32rm	= 155,
+    X86_ADOX32rr	= 156,
+    X86_ADOX64rm	= 157,
+    X86_ADOX64rr	= 158,
+    X86_AESDECLASTrm	= 159,
+    X86_AESDECLASTrr	= 160,
+    X86_AESDECrm	= 161,
+    X86_AESDECrr	= 162,
+    X86_AESENCLASTrm	= 163,
+    X86_AESENCLASTrr	= 164,
+    X86_AESENCrm	= 165,
+    X86_AESENCrr	= 166,
+    X86_AESIMCrm	= 167,
+    X86_AESIMCrr	= 168,
+    X86_AESKEYGENASSIST128rm	= 169,
+    X86_AESKEYGENASSIST128rr	= 170,
+    X86_AND16i16	= 171,
+    X86_AND16mi	= 172,
+    X86_AND16mi8	= 173,
+    X86_AND16mr	= 174,
+    X86_AND16ri	= 175,
+    X86_AND16ri8	= 176,
+    X86_AND16rm	= 177,
+    X86_AND16rr	= 178,
+    X86_AND16rr_REV	= 179,
+    X86_AND32i32	= 180,
+    X86_AND32mi	= 181,
+    X86_AND32mi8	= 182,
+    X86_AND32mr	= 183,
+    X86_AND32ri	= 184,
+    X86_AND32ri8	= 185,
+    X86_AND32rm	= 186,
+    X86_AND32rr	= 187,
+    X86_AND32rr_REV	= 188,
+    X86_AND64i32	= 189,
+    X86_AND64mi32	= 190,
+    X86_AND64mi8	= 191,
+    X86_AND64mr	= 192,
+    X86_AND64ri32	= 193,
+    X86_AND64ri8	= 194,
+    X86_AND64rm	= 195,
+    X86_AND64rr	= 196,
+    X86_AND64rr_REV	= 197,
+    X86_AND8i8	= 198,
+    X86_AND8mi	= 199,
+    X86_AND8mr	= 200,
+    X86_AND8ri	= 201,
+    X86_AND8ri8	= 202,
+    X86_AND8rm	= 203,
+    X86_AND8rr	= 204,
+    X86_AND8rr_REV	= 205,
+    X86_ANDN32rm	= 206,
+    X86_ANDN32rr	= 207,
+    X86_ANDN64rm	= 208,
+    X86_ANDN64rr	= 209,
+    X86_ANDNPDrm	= 210,
+    X86_ANDNPDrr	= 211,
+    X86_ANDNPSrm	= 212,
+    X86_ANDNPSrr	= 213,
+    X86_ANDPDrm	= 214,
+    X86_ANDPDrr	= 215,
+    X86_ANDPSrm	= 216,
+    X86_ANDPSrr	= 217,
+    X86_ARPL16mr	= 218,
+    X86_ARPL16rr	= 219,
+    X86_AVX2_SETALLONES	= 220,
+    X86_AVX512_512_SET0	= 221,
+    X86_AVX_SET0	= 222,
+    X86_BEXTR32rm	= 223,
+    X86_BEXTR32rr	= 224,
+    X86_BEXTR64rm	= 225,
+    X86_BEXTR64rr	= 226,
+    X86_BEXTRI32mi	= 227,
+    X86_BEXTRI32ri	= 228,
+    X86_BEXTRI64mi	= 229,
+    X86_BEXTRI64ri	= 230,
+    X86_BLCFILL32rm	= 231,
+    X86_BLCFILL32rr	= 232,
+    X86_BLCFILL64rm	= 233,
+    X86_BLCFILL64rr	= 234,
+    X86_BLCI32rm	= 235,
+    X86_BLCI32rr	= 236,
+    X86_BLCI64rm	= 237,
+    X86_BLCI64rr	= 238,
+    X86_BLCIC32rm	= 239,
+    X86_BLCIC32rr	= 240,
+    X86_BLCIC64rm	= 241,
+    X86_BLCIC64rr	= 242,
+    X86_BLCMSK32rm	= 243,
+    X86_BLCMSK32rr	= 244,
+    X86_BLCMSK64rm	= 245,
+    X86_BLCMSK64rr	= 246,
+    X86_BLCS32rm	= 247,
+    X86_BLCS32rr	= 248,
+    X86_BLCS64rm	= 249,
+    X86_BLCS64rr	= 250,
+    X86_BLENDPDrmi	= 251,
+    X86_BLENDPDrri	= 252,
+    X86_BLENDPSrmi	= 253,
+    X86_BLENDPSrri	= 254,
+    X86_BLENDVPDrm0	= 255,
+    X86_BLENDVPDrr0	= 256,
+    X86_BLENDVPSrm0	= 257,
+    X86_BLENDVPSrr0	= 258,
+    X86_BLSFILL32rm	= 259,
+    X86_BLSFILL32rr	= 260,
+    X86_BLSFILL64rm	= 261,
+    X86_BLSFILL64rr	= 262,
+    X86_BLSI32rm	= 263,
+    X86_BLSI32rr	= 264,
+    X86_BLSI64rm	= 265,
+    X86_BLSI64rr	= 266,
+    X86_BLSIC32rm	= 267,
+    X86_BLSIC32rr	= 268,
+    X86_BLSIC64rm	= 269,
+    X86_BLSIC64rr	= 270,
+    X86_BLSMSK32rm	= 271,
+    X86_BLSMSK32rr	= 272,
+    X86_BLSMSK64rm	= 273,
+    X86_BLSMSK64rr	= 274,
+    X86_BLSR32rm	= 275,
+    X86_BLSR32rr	= 276,
+    X86_BLSR64rm	= 277,
+    X86_BLSR64rr	= 278,
+    X86_BOUNDS16rm	= 279,
+    X86_BOUNDS32rm	= 280,
+    X86_BSF16rm	= 281,
+    X86_BSF16rr	= 282,
+    X86_BSF32rm	= 283,
+    X86_BSF32rr	= 284,
+    X86_BSF64rm	= 285,
+    X86_BSF64rr	= 286,
+    X86_BSR16rm	= 287,
+    X86_BSR16rr	= 288,
+    X86_BSR32rm	= 289,
+    X86_BSR32rr	= 290,
+    X86_BSR64rm	= 291,
+    X86_BSR64rr	= 292,
+    X86_BSWAP32r	= 293,
+    X86_BSWAP64r	= 294,
+    X86_BT16mi8	= 295,
+    X86_BT16mr	= 296,
+    X86_BT16ri8	= 297,
+    X86_BT16rr	= 298,
+    X86_BT32mi8	= 299,
+    X86_BT32mr	= 300,
+    X86_BT32ri8	= 301,
+    X86_BT32rr	= 302,
+    X86_BT64mi8	= 303,
+    X86_BT64mr	= 304,
+    X86_BT64ri8	= 305,
+    X86_BT64rr	= 306,
+    X86_BTC16mi8	= 307,
+    X86_BTC16mr	= 308,
+    X86_BTC16ri8	= 309,
+    X86_BTC16rr	= 310,
+    X86_BTC32mi8	= 311,
+    X86_BTC32mr	= 312,
+    X86_BTC32ri8	= 313,
+    X86_BTC32rr	= 314,
+    X86_BTC64mi8	= 315,
+    X86_BTC64mr	= 316,
+    X86_BTC64ri8	= 317,
+    X86_BTC64rr	= 318,
+    X86_BTR16mi8	= 319,
+    X86_BTR16mr	= 320,
+    X86_BTR16ri8	= 321,
+    X86_BTR16rr	= 322,
+    X86_BTR32mi8	= 323,
+    X86_BTR32mr	= 324,
+    X86_BTR32ri8	= 325,
+    X86_BTR32rr	= 326,
+    X86_BTR64mi8	= 327,
+    X86_BTR64mr	= 328,
+    X86_BTR64ri8	= 329,
+    X86_BTR64rr	= 330,
+    X86_BTS16mi8	= 331,
+    X86_BTS16mr	= 332,
+    X86_BTS16ri8	= 333,
+    X86_BTS16rr	= 334,
+    X86_BTS32mi8	= 335,
+    X86_BTS32mr	= 336,
+    X86_BTS32ri8	= 337,
+    X86_BTS32rr	= 338,
+    X86_BTS64mi8	= 339,
+    X86_BTS64mr	= 340,
+    X86_BTS64ri8	= 341,
+    X86_BTS64rr	= 342,
+    X86_BZHI32rm	= 343,
+    X86_BZHI32rr	= 344,
+    X86_BZHI64rm	= 345,
+    X86_BZHI64rr	= 346,
+    X86_CALL16m	= 347,
+    X86_CALL16r	= 348,
+    X86_CALL32m	= 349,
+    X86_CALL32r	= 350,
+    X86_CALL64m	= 351,
+    X86_CALL64pcrel32	= 352,
+    X86_CALL64r	= 353,
+    X86_CALLpcrel16	= 354,
+    X86_CALLpcrel32	= 355,
+    X86_CBW	= 356,
+    X86_CDQ	= 357,
+    X86_CDQE	= 358,
+    X86_CHS_F	= 359,
+    X86_CHS_Fp32	= 360,
+    X86_CHS_Fp64	= 361,
+    X86_CHS_Fp80	= 362,
+    X86_CLAC	= 363,
+    X86_CLC	= 364,
+    X86_CLD	= 365,
+    X86_CLFLUSH	= 366,
+    X86_CLGI	= 367,
+    X86_CLI	= 368,
+    X86_CLTS	= 369,
+    X86_CMC	= 370,
+    X86_CMOVA16rm	= 371,
+    X86_CMOVA16rr	= 372,
+    X86_CMOVA32rm	= 373,
+    X86_CMOVA32rr	= 374,
+    X86_CMOVA64rm	= 375,
+    X86_CMOVA64rr	= 376,
+    X86_CMOVAE16rm	= 377,
+    X86_CMOVAE16rr	= 378,
+    X86_CMOVAE32rm	= 379,
+    X86_CMOVAE32rr	= 380,
+    X86_CMOVAE64rm	= 381,
+    X86_CMOVAE64rr	= 382,
+    X86_CMOVB16rm	= 383,
+    X86_CMOVB16rr	= 384,
+    X86_CMOVB32rm	= 385,
+    X86_CMOVB32rr	= 386,
+    X86_CMOVB64rm	= 387,
+    X86_CMOVB64rr	= 388,
+    X86_CMOVBE16rm	= 389,
+    X86_CMOVBE16rr	= 390,
+    X86_CMOVBE32rm	= 391,
+    X86_CMOVBE32rr	= 392,
+    X86_CMOVBE64rm	= 393,
+    X86_CMOVBE64rr	= 394,
+    X86_CMOVBE_F	= 395,
+    X86_CMOVBE_Fp32	= 396,
+    X86_CMOVBE_Fp64	= 397,
+    X86_CMOVBE_Fp80	= 398,
+    X86_CMOVB_F	= 399,
+    X86_CMOVB_Fp32	= 400,
+    X86_CMOVB_Fp64	= 401,
+    X86_CMOVB_Fp80	= 402,
+    X86_CMOVE16rm	= 403,
+    X86_CMOVE16rr	= 404,
+    X86_CMOVE32rm	= 405,
+    X86_CMOVE32rr	= 406,
+    X86_CMOVE64rm	= 407,
+    X86_CMOVE64rr	= 408,
+    X86_CMOVE_F	= 409,
+    X86_CMOVE_Fp32	= 410,
+    X86_CMOVE_Fp64	= 411,
+    X86_CMOVE_Fp80	= 412,
+    X86_CMOVG16rm	= 413,
+    X86_CMOVG16rr	= 414,
+    X86_CMOVG32rm	= 415,
+    X86_CMOVG32rr	= 416,
+    X86_CMOVG64rm	= 417,
+    X86_CMOVG64rr	= 418,
+    X86_CMOVGE16rm	= 419,
+    X86_CMOVGE16rr	= 420,
+    X86_CMOVGE32rm	= 421,
+    X86_CMOVGE32rr	= 422,
+    X86_CMOVGE64rm	= 423,
+    X86_CMOVGE64rr	= 424,
+    X86_CMOVL16rm	= 425,
+    X86_CMOVL16rr	= 426,
+    X86_CMOVL32rm	= 427,
+    X86_CMOVL32rr	= 428,
+    X86_CMOVL64rm	= 429,
+    X86_CMOVL64rr	= 430,
+    X86_CMOVLE16rm	= 431,
+    X86_CMOVLE16rr	= 432,
+    X86_CMOVLE32rm	= 433,
+    X86_CMOVLE32rr	= 434,
+    X86_CMOVLE64rm	= 435,
+    X86_CMOVLE64rr	= 436,
+    X86_CMOVNBE_F	= 437,
+    X86_CMOVNBE_Fp32	= 438,
+    X86_CMOVNBE_Fp64	= 439,
+    X86_CMOVNBE_Fp80	= 440,
+    X86_CMOVNB_F	= 441,
+    X86_CMOVNB_Fp32	= 442,
+    X86_CMOVNB_Fp64	= 443,
+    X86_CMOVNB_Fp80	= 444,
+    X86_CMOVNE16rm	= 445,
+    X86_CMOVNE16rr	= 446,
+    X86_CMOVNE32rm	= 447,
+    X86_CMOVNE32rr	= 448,
+    X86_CMOVNE64rm	= 449,
+    X86_CMOVNE64rr	= 450,
+    X86_CMOVNE_F	= 451,
+    X86_CMOVNE_Fp32	= 452,
+    X86_CMOVNE_Fp64	= 453,
+    X86_CMOVNE_Fp80	= 454,
+    X86_CMOVNO16rm	= 455,
+    X86_CMOVNO16rr	= 456,
+    X86_CMOVNO32rm	= 457,
+    X86_CMOVNO32rr	= 458,
+    X86_CMOVNO64rm	= 459,
+    X86_CMOVNO64rr	= 460,
+    X86_CMOVNP16rm	= 461,
+    X86_CMOVNP16rr	= 462,
+    X86_CMOVNP32rm	= 463,
+    X86_CMOVNP32rr	= 464,
+    X86_CMOVNP64rm	= 465,
+    X86_CMOVNP64rr	= 466,
+    X86_CMOVNP_F	= 467,
+    X86_CMOVNP_Fp32	= 468,
+    X86_CMOVNP_Fp64	= 469,
+    X86_CMOVNP_Fp80	= 470,
+    X86_CMOVNS16rm	= 471,
+    X86_CMOVNS16rr	= 472,
+    X86_CMOVNS32rm	= 473,
+    X86_CMOVNS32rr	= 474,
+    X86_CMOVNS64rm	= 475,
+    X86_CMOVNS64rr	= 476,
+    X86_CMOVO16rm	= 477,
+    X86_CMOVO16rr	= 478,
+    X86_CMOVO32rm	= 479,
+    X86_CMOVO32rr	= 480,
+    X86_CMOVO64rm	= 481,
+    X86_CMOVO64rr	= 482,
+    X86_CMOVP16rm	= 483,
+    X86_CMOVP16rr	= 484,
+    X86_CMOVP32rm	= 485,
+    X86_CMOVP32rr	= 486,
+    X86_CMOVP64rm	= 487,
+    X86_CMOVP64rr	= 488,
+    X86_CMOVP_F	= 489,
+    X86_CMOVP_Fp32	= 490,
+    X86_CMOVP_Fp64	= 491,
+    X86_CMOVP_Fp80	= 492,
+    X86_CMOVS16rm	= 493,
+    X86_CMOVS16rr	= 494,
+    X86_CMOVS32rm	= 495,
+    X86_CMOVS32rr	= 496,
+    X86_CMOVS64rm	= 497,
+    X86_CMOVS64rr	= 498,
+    X86_CMOV_FR32	= 499,
+    X86_CMOV_FR64	= 500,
+    X86_CMOV_GR16	= 501,
+    X86_CMOV_GR32	= 502,
+    X86_CMOV_GR8	= 503,
+    X86_CMOV_RFP32	= 504,
+    X86_CMOV_RFP64	= 505,
+    X86_CMOV_RFP80	= 506,
+    X86_CMOV_V16F32	= 507,
+    X86_CMOV_V2F64	= 508,
+    X86_CMOV_V2I64	= 509,
+    X86_CMOV_V4F32	= 510,
+    X86_CMOV_V4F64	= 511,
+    X86_CMOV_V4I64	= 512,
+    X86_CMOV_V8F32	= 513,
+    X86_CMOV_V8F64	= 514,
+    X86_CMOV_V8I64	= 515,
+    X86_CMP16i16	= 516,
+    X86_CMP16mi	= 517,
+    X86_CMP16mi8	= 518,
+    X86_CMP16mr	= 519,
+    X86_CMP16ri	= 520,
+    X86_CMP16ri8	= 521,
+    X86_CMP16rm	= 522,
+    X86_CMP16rr	= 523,
+    X86_CMP16rr_REV	= 524,
+    X86_CMP32i32	= 525,
+    X86_CMP32mi	= 526,
+    X86_CMP32mi8	= 527,
+    X86_CMP32mr	= 528,
+    X86_CMP32ri	= 529,
+    X86_CMP32ri8	= 530,
+    X86_CMP32rm	= 531,
+    X86_CMP32rr	= 532,
+    X86_CMP32rr_REV	= 533,
+    X86_CMP64i32	= 534,
+    X86_CMP64mi32	= 535,
+    X86_CMP64mi8	= 536,
+    X86_CMP64mr	= 537,
+    X86_CMP64ri32	= 538,
+    X86_CMP64ri8	= 539,
+    X86_CMP64rm	= 540,
+    X86_CMP64rr	= 541,
+    X86_CMP64rr_REV	= 542,
+    X86_CMP8i8	= 543,
+    X86_CMP8mi	= 544,
+    X86_CMP8mr	= 545,
+    X86_CMP8ri	= 546,
+    X86_CMP8rm	= 547,
+    X86_CMP8rr	= 548,
+    X86_CMP8rr_REV	= 549,
+    X86_CMPPDrmi	= 550,
+    X86_CMPPDrmi_alt	= 551,
+    X86_CMPPDrri	= 552,
+    X86_CMPPDrri_alt	= 553,
+    X86_CMPPSrmi	= 554,
+    X86_CMPPSrmi_alt	= 555,
+    X86_CMPPSrri	= 556,
+    X86_CMPPSrri_alt	= 557,
+    X86_CMPSB	= 558,
+    X86_CMPSDrm	= 559,
+    X86_CMPSDrm_alt	= 560,
+    X86_CMPSDrr	= 561,
+    X86_CMPSDrr_alt	= 562,
+    X86_CMPSL	= 563,
+    X86_CMPSQ	= 564,
+    X86_CMPSSrm	= 565,
+    X86_CMPSSrm_alt	= 566,
+    X86_CMPSSrr	= 567,
+    X86_CMPSSrr_alt	= 568,
+    X86_CMPSW	= 569,
+    X86_CMPXCHG16B	= 570,
+    X86_CMPXCHG16rm	= 571,
+    X86_CMPXCHG16rr	= 572,
+    X86_CMPXCHG32rm	= 573,
+    X86_CMPXCHG32rr	= 574,
+    X86_CMPXCHG64rm	= 575,
+    X86_CMPXCHG64rr	= 576,
+    X86_CMPXCHG8B	= 577,
+    X86_CMPXCHG8rm	= 578,
+    X86_CMPXCHG8rr	= 579,
+    X86_COMISDrm	= 580,
+    X86_COMISDrr	= 581,
+    X86_COMISSrm	= 582,
+    X86_COMISSrr	= 583,
+    X86_COMP_FST0r	= 584,
+    X86_COM_FIPr	= 585,
+    X86_COM_FIr	= 586,
+    X86_COM_FST0r	= 587,
+    X86_COS_F	= 588,
+    X86_COS_Fp32	= 589,
+    X86_COS_Fp64	= 590,
+    X86_COS_Fp80	= 591,
+    X86_CPUID32	= 592,
+    X86_CPUID64	= 593,
+    X86_CQO	= 594,
+    X86_CRC32r32m16	= 595,
+    X86_CRC32r32m32	= 596,
+    X86_CRC32r32m8	= 597,
+    X86_CRC32r32r16	= 598,
+    X86_CRC32r32r32	= 599,
+    X86_CRC32r32r8	= 600,
+    X86_CRC32r64m64	= 601,
+    X86_CRC32r64m8	= 602,
+    X86_CRC32r64r64	= 603,
+    X86_CRC32r64r8	= 604,
+    X86_CVTDQ2PDrm	= 605,
+    X86_CVTDQ2PDrr	= 606,
+    X86_CVTDQ2PSrm	= 607,
+    X86_CVTDQ2PSrr	= 608,
+    X86_CVTPD2DQrm	= 609,
+    X86_CVTPD2DQrr	= 610,
+    X86_CVTPD2PSrm	= 611,
+    X86_CVTPD2PSrr	= 612,
+    X86_CVTPS2DQrm	= 613,
+    X86_CVTPS2DQrr	= 614,
+    X86_CVTPS2PDrm	= 615,
+    X86_CVTPS2PDrr	= 616,
+    X86_CVTSD2SI64rm	= 617,
+    X86_CVTSD2SI64rr	= 618,
+    X86_CVTSD2SIrm	= 619,
+    X86_CVTSD2SIrr	= 620,
+    X86_CVTSD2SSrm	= 621,
+    X86_CVTSD2SSrr	= 622,
+    X86_CVTSI2SD64rm	= 623,
+    X86_CVTSI2SD64rr	= 624,
+    X86_CVTSI2SDrm	= 625,
+    X86_CVTSI2SDrr	= 626,
+    X86_CVTSI2SS64rm	= 627,
+    X86_CVTSI2SS64rr	= 628,
+    X86_CVTSI2SSrm	= 629,
+    X86_CVTSI2SSrr	= 630,
+    X86_CVTSS2SDrm	= 631,
+    X86_CVTSS2SDrr	= 632,
+    X86_CVTSS2SI64rm	= 633,
+    X86_CVTSS2SI64rr	= 634,
+    X86_CVTSS2SIrm	= 635,
+    X86_CVTSS2SIrr	= 636,
+    X86_CVTTPD2DQrm	= 637,
+    X86_CVTTPD2DQrr	= 638,
+    X86_CVTTPS2DQrm	= 639,
+    X86_CVTTPS2DQrr	= 640,
+    X86_CVTTSD2SI64rm	= 641,
+    X86_CVTTSD2SI64rr	= 642,
+    X86_CVTTSD2SIrm	= 643,
+    X86_CVTTSD2SIrr	= 644,
+    X86_CVTTSS2SI64rm	= 645,
+    X86_CVTTSS2SI64rr	= 646,
+    X86_CVTTSS2SIrm	= 647,
+    X86_CVTTSS2SIrr	= 648,
+    X86_CWD	= 649,
+    X86_CWDE	= 650,
+    X86_DAA	= 651,
+    X86_DAS	= 652,
+    X86_DATA16_PREFIX	= 653,
+    X86_DEC16m	= 654,
+    X86_DEC16r	= 655,
+    X86_DEC32_16r	= 656,
+    X86_DEC32_32r	= 657,
+    X86_DEC32m	= 658,
+    X86_DEC32r	= 659,
+    X86_DEC64_16m	= 660,
+    X86_DEC64_16r	= 661,
+    X86_DEC64_32m	= 662,
+    X86_DEC64_32r	= 663,
+    X86_DEC64m	= 664,
+    X86_DEC64r	= 665,
+    X86_DEC8m	= 666,
+    X86_DEC8r	= 667,
+    X86_DIV16m	= 668,
+    X86_DIV16r	= 669,
+    X86_DIV32m	= 670,
+    X86_DIV32r	= 671,
+    X86_DIV64m	= 672,
+    X86_DIV64r	= 673,
+    X86_DIV8m	= 674,
+    X86_DIV8r	= 675,
+    X86_DIVPDrm	= 676,
+    X86_DIVPDrr	= 677,
+    X86_DIVPSrm	= 678,
+    X86_DIVPSrr	= 679,
+    X86_DIVR_F32m	= 680,
+    X86_DIVR_F64m	= 681,
+    X86_DIVR_FI16m	= 682,
+    X86_DIVR_FI32m	= 683,
+    X86_DIVR_FPrST0	= 684,
+    X86_DIVR_FST0r	= 685,
+    X86_DIVR_Fp32m	= 686,
+    X86_DIVR_Fp64m	= 687,
+    X86_DIVR_Fp64m32	= 688,
+    X86_DIVR_Fp80m32	= 689,
+    X86_DIVR_Fp80m64	= 690,
+    X86_DIVR_FpI16m32	= 691,
+    X86_DIVR_FpI16m64	= 692,
+    X86_DIVR_FpI16m80	= 693,
+    X86_DIVR_FpI32m32	= 694,
+    X86_DIVR_FpI32m64	= 695,
+    X86_DIVR_FpI32m80	= 696,
+    X86_DIVR_FrST0	= 697,
+    X86_DIVSDrm	= 698,
+    X86_DIVSDrm_Int	= 699,
+    X86_DIVSDrr	= 700,
+    X86_DIVSDrr_Int	= 701,
+    X86_DIVSSrm	= 702,
+    X86_DIVSSrm_Int	= 703,
+    X86_DIVSSrr	= 704,
+    X86_DIVSSrr_Int	= 705,
+    X86_DIV_F32m	= 706,
+    X86_DIV_F64m	= 707,
+    X86_DIV_FI16m	= 708,
+    X86_DIV_FI32m	= 709,
+    X86_DIV_FPrST0	= 710,
+    X86_DIV_FST0r	= 711,
+    X86_DIV_Fp32	= 712,
+    X86_DIV_Fp32m	= 713,
+    X86_DIV_Fp64	= 714,
+    X86_DIV_Fp64m	= 715,
+    X86_DIV_Fp64m32	= 716,
+    X86_DIV_Fp80	= 717,
+    X86_DIV_Fp80m32	= 718,
+    X86_DIV_Fp80m64	= 719,
+    X86_DIV_FpI16m32	= 720,
+    X86_DIV_FpI16m64	= 721,
+    X86_DIV_FpI16m80	= 722,
+    X86_DIV_FpI32m32	= 723,
+    X86_DIV_FpI32m64	= 724,
+    X86_DIV_FpI32m80	= 725,
+    X86_DIV_FrST0	= 726,
+    X86_DPPDrmi	= 727,
+    X86_DPPDrri	= 728,
+    X86_DPPSrmi	= 729,
+    X86_DPPSrri	= 730,
+    X86_EH_RETURN	= 731,
+    X86_EH_RETURN64	= 732,
+    X86_EH_SjLj_LongJmp32	= 733,
+    X86_EH_SjLj_LongJmp64	= 734,
+    X86_EH_SjLj_SetJmp32	= 735,
+    X86_EH_SjLj_SetJmp64	= 736,
+    X86_EH_SjLj_Setup	= 737,
+    X86_ENCLS	= 738,
+    X86_ENCLU	= 739,
+    X86_ENTER	= 740,
+    X86_EXTRACTPSmr	= 741,
+    X86_EXTRACTPSrr	= 742,
+    X86_EXTRQ	= 743,
+    X86_EXTRQI	= 744,
+    X86_F2XM1	= 745,
+    X86_FARCALL16i	= 746,
+    X86_FARCALL16m	= 747,
+    X86_FARCALL32i	= 748,
+    X86_FARCALL32m	= 749,
+    X86_FARCALL64	= 750,
+    X86_FARJMP16i	= 751,
+    X86_FARJMP16m	= 752,
+    X86_FARJMP32i	= 753,
+    X86_FARJMP32m	= 754,
+    X86_FARJMP64	= 755,
+    X86_FBLDm	= 756,
+    X86_FBSTPm	= 757,
+    X86_FCOM32m	= 758,
+    X86_FCOM64m	= 759,
+    X86_FCOMP32m	= 760,
+    X86_FCOMP64m	= 761,
+    X86_FCOMPP	= 762,
+    X86_FDECSTP	= 763,
+    X86_FEMMS	= 764,
+    X86_FFREE	= 765,
+    X86_FICOM16m	= 766,
+    X86_FICOM32m	= 767,
+    X86_FICOMP16m	= 768,
+    X86_FICOMP32m	= 769,
+    X86_FINCSTP	= 770,
+    X86_FLDCW16m	= 771,
+    X86_FLDENVm	= 772,
+    X86_FLDL2E	= 773,
+    X86_FLDL2T	= 774,
+    X86_FLDLG2	= 775,
+    X86_FLDLN2	= 776,
+    X86_FLDPI	= 777,
+    X86_FNCLEX	= 778,
+    X86_FNINIT	= 779,
+    X86_FNOP	= 780,
+    X86_FNSTCW16m	= 781,
+    X86_FNSTSW16r	= 782,
+    X86_FNSTSWm	= 783,
+    X86_FP32_TO_INT16_IN_MEM	= 784,
+    X86_FP32_TO_INT32_IN_MEM	= 785,
+    X86_FP32_TO_INT64_IN_MEM	= 786,
+    X86_FP64_TO_INT16_IN_MEM	= 787,
+    X86_FP64_TO_INT32_IN_MEM	= 788,
+    X86_FP64_TO_INT64_IN_MEM	= 789,
+    X86_FP80_TO_INT16_IN_MEM	= 790,
+    X86_FP80_TO_INT32_IN_MEM	= 791,
+    X86_FP80_TO_INT64_IN_MEM	= 792,
+    X86_FPATAN	= 793,
+    X86_FPREM	= 794,
+    X86_FPREM1	= 795,
+    X86_FPTAN	= 796,
+    X86_FRNDINT	= 797,
+    X86_FRSTORm	= 798,
+    X86_FSAVEm	= 799,
+    X86_FSCALE	= 800,
+    X86_FSETPM	= 801,
+    X86_FSINCOS	= 802,
+    X86_FSTENVm	= 803,
+    X86_FXAM	= 804,
+    X86_FXRSTOR	= 805,
+    X86_FXRSTOR64	= 806,
+    X86_FXSAVE	= 807,
+    X86_FXSAVE64	= 808,
+    X86_FXTRACT	= 809,
+    X86_FYL2X	= 810,
+    X86_FYL2XP1	= 811,
+    X86_FsANDNPDrm	= 812,
+    X86_FsANDNPDrr	= 813,
+    X86_FsANDNPSrm	= 814,
+    X86_FsANDNPSrr	= 815,
+    X86_FsANDPDrm	= 816,
+    X86_FsANDPDrr	= 817,
+    X86_FsANDPSrm	= 818,
+    X86_FsANDPSrr	= 819,
+    X86_FsFLD0SD	= 820,
+    X86_FsFLD0SS	= 821,
+    X86_FsMOVAPDrm	= 822,
+    X86_FsMOVAPSrm	= 823,
+    X86_FsORPDrm	= 824,
+    X86_FsORPDrr	= 825,
+    X86_FsORPSrm	= 826,
+    X86_FsORPSrr	= 827,
+    X86_FsVMOVAPDrm	= 828,
+    X86_FsVMOVAPSrm	= 829,
+    X86_FsXORPDrm	= 830,
+    X86_FsXORPDrr	= 831,
+    X86_FsXORPSrm	= 832,
+    X86_FsXORPSrr	= 833,
+    X86_GETSEC	= 834,
+    X86_HADDPDrm	= 835,
+    X86_HADDPDrr	= 836,
+    X86_HADDPSrm	= 837,
+    X86_HADDPSrr	= 838,
+    X86_HLT	= 839,
+    X86_HSUBPDrm	= 840,
+    X86_HSUBPDrr	= 841,
+    X86_HSUBPSrm	= 842,
+    X86_HSUBPSrr	= 843,
+    X86_IDIV16m	= 844,
+    X86_IDIV16r	= 845,
+    X86_IDIV32m	= 846,
+    X86_IDIV32r	= 847,
+    X86_IDIV64m	= 848,
+    X86_IDIV64r	= 849,
+    X86_IDIV8m	= 850,
+    X86_IDIV8r	= 851,
+    X86_ILD_F16m	= 852,
+    X86_ILD_F32m	= 853,
+    X86_ILD_F64m	= 854,
+    X86_ILD_Fp16m32	= 855,
+    X86_ILD_Fp16m64	= 856,
+    X86_ILD_Fp16m80	= 857,
+    X86_ILD_Fp32m32	= 858,
+    X86_ILD_Fp32m64	= 859,
+    X86_ILD_Fp32m80	= 860,
+    X86_ILD_Fp64m32	= 861,
+    X86_ILD_Fp64m64	= 862,
+    X86_ILD_Fp64m80	= 863,
+    X86_IMUL16m	= 864,
+    X86_IMUL16r	= 865,
+    X86_IMUL16rm	= 866,
+    X86_IMUL16rmi	= 867,
+    X86_IMUL16rmi8	= 868,
+    X86_IMUL16rr	= 869,
+    X86_IMUL16rri	= 870,
+    X86_IMUL16rri8	= 871,
+    X86_IMUL32m	= 872,
+    X86_IMUL32r	= 873,
+    X86_IMUL32rm	= 874,
+    X86_IMUL32rmi	= 875,
+    X86_IMUL32rmi8	= 876,
+    X86_IMUL32rr	= 877,
+    X86_IMUL32rri	= 878,
+    X86_IMUL32rri8	= 879,
+    X86_IMUL64m	= 880,
+    X86_IMUL64r	= 881,
+    X86_IMUL64rm	= 882,
+    X86_IMUL64rmi32	= 883,
+    X86_IMUL64rmi8	= 884,
+    X86_IMUL64rr	= 885,
+    X86_IMUL64rri32	= 886,
+    X86_IMUL64rri8	= 887,
+    X86_IMUL8m	= 888,
+    X86_IMUL8r	= 889,
+    X86_IN16ri	= 890,
+    X86_IN16rr	= 891,
+    X86_IN32ri	= 892,
+    X86_IN32rr	= 893,
+    X86_IN8ri	= 894,
+    X86_IN8rr	= 895,
+    X86_INC16m	= 896,
+    X86_INC16r	= 897,
+    X86_INC32_16r	= 898,
+    X86_INC32_32r	= 899,
+    X86_INC32m	= 900,
+    X86_INC32r	= 901,
+    X86_INC64_16m	= 902,
+    X86_INC64_16r	= 903,
+    X86_INC64_32m	= 904,
+    X86_INC64_32r	= 905,
+    X86_INC64m	= 906,
+    X86_INC64r	= 907,
+    X86_INC8m	= 908,
+    X86_INC8r	= 909,
+    X86_INSB	= 910,
+    X86_INSERTPSrm	= 911,
+    X86_INSERTPSrr	= 912,
+    X86_INSERTQ	= 913,
+    X86_INSERTQI	= 914,
+    X86_INSL	= 915,
+    X86_INSW	= 916,
+    X86_INT	= 917,
+    X86_INT1	= 918,
+    X86_INT3	= 919,
+    X86_INTO	= 920,
+    X86_INVD	= 921,
+    X86_INVEPT32	= 922,
+    X86_INVEPT64	= 923,
+    X86_INVLPG	= 924,
+    X86_INVLPGA32	= 925,
+    X86_INVLPGA64	= 926,
+    X86_INVPCID32	= 927,
+    X86_INVPCID64	= 928,
+    X86_INVVPID32	= 929,
+    X86_INVVPID64	= 930,
+    X86_IRET16	= 931,
+    X86_IRET32	= 932,
+    X86_IRET64	= 933,
+    X86_ISTT_FP16m	= 934,
+    X86_ISTT_FP32m	= 935,
+    X86_ISTT_FP64m	= 936,
+    X86_ISTT_Fp16m32	= 937,
+    X86_ISTT_Fp16m64	= 938,
+    X86_ISTT_Fp16m80	= 939,
+    X86_ISTT_Fp32m32	= 940,
+    X86_ISTT_Fp32m64	= 941,
+    X86_ISTT_Fp32m80	= 942,
+    X86_ISTT_Fp64m32	= 943,
+    X86_ISTT_Fp64m64	= 944,
+    X86_ISTT_Fp64m80	= 945,
+    X86_IST_F16m	= 946,
+    X86_IST_F32m	= 947,
+    X86_IST_FP16m	= 948,
+    X86_IST_FP32m	= 949,
+    X86_IST_FP64m	= 950,
+    X86_IST_Fp16m32	= 951,
+    X86_IST_Fp16m64	= 952,
+    X86_IST_Fp16m80	= 953,
+    X86_IST_Fp32m32	= 954,
+    X86_IST_Fp32m64	= 955,
+    X86_IST_Fp32m80	= 956,
+    X86_IST_Fp64m32	= 957,
+    X86_IST_Fp64m64	= 958,
+    X86_IST_Fp64m80	= 959,
+    X86_Int_CMPSDrm	= 960,
+    X86_Int_CMPSDrr	= 961,
+    X86_Int_CMPSSrm	= 962,
+    X86_Int_CMPSSrr	= 963,
+    X86_Int_COMISDrm	= 964,
+    X86_Int_COMISDrr	= 965,
+    X86_Int_COMISSrm	= 966,
+    X86_Int_COMISSrr	= 967,
+    X86_Int_CVTSD2SSrm	= 968,
+    X86_Int_CVTSD2SSrr	= 969,
+    X86_Int_CVTSI2SD64rm	= 970,
+    X86_Int_CVTSI2SD64rr	= 971,
+    X86_Int_CVTSI2SDrm	= 972,
+    X86_Int_CVTSI2SDrr	= 973,
+    X86_Int_CVTSI2SS64rm	= 974,
+    X86_Int_CVTSI2SS64rr	= 975,
+    X86_Int_CVTSI2SSrm	= 976,
+    X86_Int_CVTSI2SSrr	= 977,
+    X86_Int_CVTSS2SDrm	= 978,
+    X86_Int_CVTSS2SDrr	= 979,
+    X86_Int_CVTTSD2SI64rm	= 980,
+    X86_Int_CVTTSD2SI64rr	= 981,
+    X86_Int_CVTTSD2SIrm	= 982,
+    X86_Int_CVTTSD2SIrr	= 983,
+    X86_Int_CVTTSS2SI64rm	= 984,
+    X86_Int_CVTTSS2SI64rr	= 985,
+    X86_Int_CVTTSS2SIrm	= 986,
+    X86_Int_CVTTSS2SIrr	= 987,
+    X86_Int_MemBarrier	= 988,
+    X86_Int_UCOMISDrm	= 989,
+    X86_Int_UCOMISDrr	= 990,
+    X86_Int_UCOMISSrm	= 991,
+    X86_Int_UCOMISSrr	= 992,
+    X86_Int_VCMPSDrm	= 993,
+    X86_Int_VCMPSDrr	= 994,
+    X86_Int_VCMPSSrm	= 995,
+    X86_Int_VCMPSSrr	= 996,
+    X86_Int_VCOMISDZrm	= 997,
+    X86_Int_VCOMISDZrr	= 998,
+    X86_Int_VCOMISDrm	= 999,
+    X86_Int_VCOMISDrr	= 1000,
+    X86_Int_VCOMISSZrm	= 1001,
+    X86_Int_VCOMISSZrr	= 1002,
+    X86_Int_VCOMISSrm	= 1003,
+    X86_Int_VCOMISSrr	= 1004,
+    X86_Int_VCVTSD2SSrm	= 1005,
+    X86_Int_VCVTSD2SSrr	= 1006,
+    X86_Int_VCVTSI2SD64Zrm	= 1007,
+    X86_Int_VCVTSI2SD64Zrr	= 1008,
+    X86_Int_VCVTSI2SD64rm	= 1009,
+    X86_Int_VCVTSI2SD64rr	= 1010,
+    X86_Int_VCVTSI2SDZrm	= 1011,
+    X86_Int_VCVTSI2SDZrr	= 1012,
+    X86_Int_VCVTSI2SDrm	= 1013,
+    X86_Int_VCVTSI2SDrr	= 1014,
+    X86_Int_VCVTSI2SS64Zrm	= 1015,
+    X86_Int_VCVTSI2SS64Zrr	= 1016,
+    X86_Int_VCVTSI2SS64rm	= 1017,
+    X86_Int_VCVTSI2SS64rr	= 1018,
+    X86_Int_VCVTSI2SSZrm	= 1019,
+    X86_Int_VCVTSI2SSZrr	= 1020,
+    X86_Int_VCVTSI2SSrm	= 1021,
+    X86_Int_VCVTSI2SSrr	= 1022,
+    X86_Int_VCVTSS2SDrm	= 1023,
+    X86_Int_VCVTSS2SDrr	= 1024,
+    X86_Int_VCVTTSD2SI64Zrm	= 1025,
+    X86_Int_VCVTTSD2SI64Zrr	= 1026,
+    X86_Int_VCVTTSD2SI64rm	= 1027,
+    X86_Int_VCVTTSD2SI64rr	= 1028,
+    X86_Int_VCVTTSD2SIZrm	= 1029,
+    X86_Int_VCVTTSD2SIZrr	= 1030,
+    X86_Int_VCVTTSD2SIrm	= 1031,
+    X86_Int_VCVTTSD2SIrr	= 1032,
+    X86_Int_VCVTTSD2USI64Zrm	= 1033,
+    X86_Int_VCVTTSD2USI64Zrr	= 1034,
+    X86_Int_VCVTTSD2USIZrm	= 1035,
+    X86_Int_VCVTTSD2USIZrr	= 1036,
+    X86_Int_VCVTTSS2SI64Zrm	= 1037,
+    X86_Int_VCVTTSS2SI64Zrr	= 1038,
+    X86_Int_VCVTTSS2SI64rm	= 1039,
+    X86_Int_VCVTTSS2SI64rr	= 1040,
+    X86_Int_VCVTTSS2SIZrm	= 1041,
+    X86_Int_VCVTTSS2SIZrr	= 1042,
+    X86_Int_VCVTTSS2SIrm	= 1043,
+    X86_Int_VCVTTSS2SIrr	= 1044,
+    X86_Int_VCVTTSS2USI64Zrm	= 1045,
+    X86_Int_VCVTTSS2USI64Zrr	= 1046,
+    X86_Int_VCVTTSS2USIZrm	= 1047,
+    X86_Int_VCVTTSS2USIZrr	= 1048,
+    X86_Int_VCVTUSI2SD64Zrm	= 1049,
+    X86_Int_VCVTUSI2SD64Zrr	= 1050,
+    X86_Int_VCVTUSI2SDZrm	= 1051,
+    X86_Int_VCVTUSI2SDZrr	= 1052,
+    X86_Int_VCVTUSI2SS64Zrm	= 1053,
+    X86_Int_VCVTUSI2SS64Zrr	= 1054,
+    X86_Int_VCVTUSI2SSZrm	= 1055,
+    X86_Int_VCVTUSI2SSZrr	= 1056,
+    X86_Int_VUCOMISDZrm	= 1057,
+    X86_Int_VUCOMISDZrr	= 1058,
+    X86_Int_VUCOMISDrm	= 1059,
+    X86_Int_VUCOMISDrr	= 1060,
+    X86_Int_VUCOMISSZrm	= 1061,
+    X86_Int_VUCOMISSZrr	= 1062,
+    X86_Int_VUCOMISSrm	= 1063,
+    X86_Int_VUCOMISSrr	= 1064,
+    X86_JAE_1	= 1065,
+    X86_JAE_2	= 1066,
+    X86_JAE_4	= 1067,
+    X86_JA_1	= 1068,
+    X86_JA_2	= 1069,
+    X86_JA_4	= 1070,
+    X86_JBE_1	= 1071,
+    X86_JBE_2	= 1072,
+    X86_JBE_4	= 1073,
+    X86_JB_1	= 1074,
+    X86_JB_2	= 1075,
+    X86_JB_4	= 1076,
+    X86_JCXZ	= 1077,
+    X86_JECXZ_32	= 1078,
+    X86_JECXZ_64	= 1079,
+    X86_JE_1	= 1080,
+    X86_JE_2	= 1081,
+    X86_JE_4	= 1082,
+    X86_JGE_1	= 1083,
+    X86_JGE_2	= 1084,
+    X86_JGE_4	= 1085,
+    X86_JG_1	= 1086,
+    X86_JG_2	= 1087,
+    X86_JG_4	= 1088,
+    X86_JLE_1	= 1089,
+    X86_JLE_2	= 1090,
+    X86_JLE_4	= 1091,
+    X86_JL_1	= 1092,
+    X86_JL_2	= 1093,
+    X86_JL_4	= 1094,
+    X86_JMP16m	= 1095,
+    X86_JMP16r	= 1096,
+    X86_JMP32m	= 1097,
+    X86_JMP32r	= 1098,
+    X86_JMP64m	= 1099,
+    X86_JMP64r	= 1100,
+    X86_JMP_1	= 1101,
+    X86_JMP_2	= 1102,
+    X86_JMP_4	= 1103,
+    X86_JNE_1	= 1104,
+    X86_JNE_2	= 1105,
+    X86_JNE_4	= 1106,
+    X86_JNO_1	= 1107,
+    X86_JNO_2	= 1108,
+    X86_JNO_4	= 1109,
+    X86_JNP_1	= 1110,
+    X86_JNP_2	= 1111,
+    X86_JNP_4	= 1112,
+    X86_JNS_1	= 1113,
+    X86_JNS_2	= 1114,
+    X86_JNS_4	= 1115,
+    X86_JO_1	= 1116,
+    X86_JO_2	= 1117,
+    X86_JO_4	= 1118,
+    X86_JP_1	= 1119,
+    X86_JP_2	= 1120,
+    X86_JP_4	= 1121,
+    X86_JRCXZ	= 1122,
+    X86_JS_1	= 1123,
+    X86_JS_2	= 1124,
+    X86_JS_4	= 1125,
+    X86_KANDBrr	= 1126,
+    X86_KANDDrr	= 1127,
+    X86_KANDNBrr	= 1128,
+    X86_KANDNDrr	= 1129,
+    X86_KANDNQrr	= 1130,
+    X86_KANDNWrr	= 1131,
+    X86_KANDQrr	= 1132,
+    X86_KANDWrr	= 1133,
+    X86_KMOVBkk	= 1134,
+    X86_KMOVBkm	= 1135,
+    X86_KMOVBkr	= 1136,
+    X86_KMOVBmk	= 1137,
+    X86_KMOVBrk	= 1138,
+    X86_KMOVDkk	= 1139,
+    X86_KMOVDkm	= 1140,
+    X86_KMOVDkr	= 1141,
+    X86_KMOVDmk	= 1142,
+    X86_KMOVDrk	= 1143,
+    X86_KMOVQkk	= 1144,
+    X86_KMOVQkm	= 1145,
+    X86_KMOVQkr	= 1146,
+    X86_KMOVQmk	= 1147,
+    X86_KMOVQrk	= 1148,
+    X86_KMOVWkk	= 1149,
+    X86_KMOVWkm	= 1150,
+    X86_KMOVWkr	= 1151,
+    X86_KMOVWmk	= 1152,
+    X86_KMOVWrk	= 1153,
+    X86_KNOTBrr	= 1154,
+    X86_KNOTDrr	= 1155,
+    X86_KNOTQrr	= 1156,
+    X86_KNOTWrr	= 1157,
+    X86_KORBrr	= 1158,
+    X86_KORDrr	= 1159,
+    X86_KORQrr	= 1160,
+    X86_KORTESTWrr	= 1161,
+    X86_KORWrr	= 1162,
+    X86_KSET0B	= 1163,
+    X86_KSET0W	= 1164,
+    X86_KSET1B	= 1165,
+    X86_KSET1W	= 1166,
+    X86_KSHIFTLWri	= 1167,
+    X86_KSHIFTRWri	= 1168,
+    X86_KUNPCKBWrr	= 1169,
+    X86_KXNORBrr	= 1170,
+    X86_KXNORDrr	= 1171,
+    X86_KXNORQrr	= 1172,
+    X86_KXNORWrr	= 1173,
+    X86_KXORBrr	= 1174,
+    X86_KXORDrr	= 1175,
+    X86_KXORQrr	= 1176,
+    X86_KXORWrr	= 1177,
+    X86_LAHF	= 1178,
+    X86_LAR16rm	= 1179,
+    X86_LAR16rr	= 1180,
+    X86_LAR32rm	= 1181,
+    X86_LAR32rr	= 1182,
+    X86_LAR64rm	= 1183,
+    X86_LAR64rr	= 1184,
+    X86_LCMPXCHG16	= 1185,
+    X86_LCMPXCHG16B	= 1186,
+    X86_LCMPXCHG32	= 1187,
+    X86_LCMPXCHG64	= 1188,
+    X86_LCMPXCHG8	= 1189,
+    X86_LCMPXCHG8B	= 1190,
+    X86_LDDQUrm	= 1191,
+    X86_LDMXCSR	= 1192,
+    X86_LDS16rm	= 1193,
+    X86_LDS32rm	= 1194,
+    X86_LD_F0	= 1195,
+    X86_LD_F1	= 1196,
+    X86_LD_F32m	= 1197,
+    X86_LD_F64m	= 1198,
+    X86_LD_F80m	= 1199,
+    X86_LD_Fp032	= 1200,
+    X86_LD_Fp064	= 1201,
+    X86_LD_Fp080	= 1202,
+    X86_LD_Fp132	= 1203,
+    X86_LD_Fp164	= 1204,
+    X86_LD_Fp180	= 1205,
+    X86_LD_Fp32m	= 1206,
+    X86_LD_Fp32m64	= 1207,
+    X86_LD_Fp32m80	= 1208,
+    X86_LD_Fp64m	= 1209,
+    X86_LD_Fp64m80	= 1210,
+    X86_LD_Fp80m	= 1211,
+    X86_LD_Frr	= 1212,
+    X86_LEA16r	= 1213,
+    X86_LEA32r	= 1214,
+    X86_LEA64_32r	= 1215,
+    X86_LEA64r	= 1216,
+    X86_LEAVE	= 1217,
+    X86_LEAVE64	= 1218,
+    X86_LES16rm	= 1219,
+    X86_LES32rm	= 1220,
+    X86_LFENCE	= 1221,
+    X86_LFS16rm	= 1222,
+    X86_LFS32rm	= 1223,
+    X86_LFS64rm	= 1224,
+    X86_LGDT16m	= 1225,
+    X86_LGDT32m	= 1226,
+    X86_LGDT64m	= 1227,
+    X86_LGS16rm	= 1228,
+    X86_LGS32rm	= 1229,
+    X86_LGS64rm	= 1230,
+    X86_LIDT16m	= 1231,
+    X86_LIDT32m	= 1232,
+    X86_LIDT64m	= 1233,
+    X86_LLDT16m	= 1234,
+    X86_LLDT16r	= 1235,
+    X86_LMSW16m	= 1236,
+    X86_LMSW16r	= 1237,
+    X86_LOCK_ADD16mi	= 1238,
+    X86_LOCK_ADD16mi8	= 1239,
+    X86_LOCK_ADD16mr	= 1240,
+    X86_LOCK_ADD32mi	= 1241,
+    X86_LOCK_ADD32mi8	= 1242,
+    X86_LOCK_ADD32mr	= 1243,
+    X86_LOCK_ADD64mi32	= 1244,
+    X86_LOCK_ADD64mi8	= 1245,
+    X86_LOCK_ADD64mr	= 1246,
+    X86_LOCK_ADD8mi	= 1247,
+    X86_LOCK_ADD8mr	= 1248,
+    X86_LOCK_AND16mi	= 1249,
+    X86_LOCK_AND16mi8	= 1250,
+    X86_LOCK_AND16mr	= 1251,
+    X86_LOCK_AND32mi	= 1252,
+    X86_LOCK_AND32mi8	= 1253,
+    X86_LOCK_AND32mr	= 1254,
+    X86_LOCK_AND64mi32	= 1255,
+    X86_LOCK_AND64mi8	= 1256,
+    X86_LOCK_AND64mr	= 1257,
+    X86_LOCK_AND8mi	= 1258,
+    X86_LOCK_AND8mr	= 1259,
+    X86_LOCK_DEC16m	= 1260,
+    X86_LOCK_DEC32m	= 1261,
+    X86_LOCK_DEC64m	= 1262,
+    X86_LOCK_DEC8m	= 1263,
+    X86_LOCK_INC16m	= 1264,
+    X86_LOCK_INC32m	= 1265,
+    X86_LOCK_INC64m	= 1266,
+    X86_LOCK_INC8m	= 1267,
+    X86_LOCK_OR16mi	= 1268,
+    X86_LOCK_OR16mi8	= 1269,
+    X86_LOCK_OR16mr	= 1270,
+    X86_LOCK_OR32mi	= 1271,
+    X86_LOCK_OR32mi8	= 1272,
+    X86_LOCK_OR32mr	= 1273,
+    X86_LOCK_OR64mi32	= 1274,
+    X86_LOCK_OR64mi8	= 1275,
+    X86_LOCK_OR64mr	= 1276,
+    X86_LOCK_OR8mi	= 1277,
+    X86_LOCK_OR8mr	= 1278,
+    X86_LOCK_PREFIX	= 1279,
+    X86_LOCK_SUB16mi	= 1280,
+    X86_LOCK_SUB16mi8	= 1281,
+    X86_LOCK_SUB16mr	= 1282,
+    X86_LOCK_SUB32mi	= 1283,
+    X86_LOCK_SUB32mi8	= 1284,
+    X86_LOCK_SUB32mr	= 1285,
+    X86_LOCK_SUB64mi32	= 1286,
+    X86_LOCK_SUB64mi8	= 1287,
+    X86_LOCK_SUB64mr	= 1288,
+    X86_LOCK_SUB8mi	= 1289,
+    X86_LOCK_SUB8mr	= 1290,
+    X86_LOCK_XOR16mi	= 1291,
+    X86_LOCK_XOR16mi8	= 1292,
+    X86_LOCK_XOR16mr	= 1293,
+    X86_LOCK_XOR32mi	= 1294,
+    X86_LOCK_XOR32mi8	= 1295,
+    X86_LOCK_XOR32mr	= 1296,
+    X86_LOCK_XOR64mi32	= 1297,
+    X86_LOCK_XOR64mi8	= 1298,
+    X86_LOCK_XOR64mr	= 1299,
+    X86_LOCK_XOR8mi	= 1300,
+    X86_LOCK_XOR8mr	= 1301,
+    X86_LODSB	= 1302,
+    X86_LODSL	= 1303,
+    X86_LODSQ	= 1304,
+    X86_LODSW	= 1305,
+    X86_LOOP	= 1306,
+    X86_LOOPE	= 1307,
+    X86_LOOPNE	= 1308,
+    X86_LRETIL	= 1309,
+    X86_LRETIQ	= 1310,
+    X86_LRETIW	= 1311,
+    X86_LRETL	= 1312,
+    X86_LRETQ	= 1313,
+    X86_LRETW	= 1314,
+    X86_LSL16rm	= 1315,
+    X86_LSL16rr	= 1316,
+    X86_LSL32rm	= 1317,
+    X86_LSL32rr	= 1318,
+    X86_LSL64rm	= 1319,
+    X86_LSL64rr	= 1320,
+    X86_LSS16rm	= 1321,
+    X86_LSS32rm	= 1322,
+    X86_LSS64rm	= 1323,
+    X86_LTRm	= 1324,
+    X86_LTRr	= 1325,
+    X86_LXADD16	= 1326,
+    X86_LXADD32	= 1327,
+    X86_LXADD64	= 1328,
+    X86_LXADD8	= 1329,
+    X86_LZCNT16rm	= 1330,
+    X86_LZCNT16rr	= 1331,
+    X86_LZCNT32rm	= 1332,
+    X86_LZCNT32rr	= 1333,
+    X86_LZCNT64rm	= 1334,
+    X86_LZCNT64rr	= 1335,
+    X86_MASKMOVDQU	= 1336,
+    X86_MASKMOVDQU64	= 1337,
+    X86_MAXCPDrm	= 1338,
+    X86_MAXCPDrr	= 1339,
+    X86_MAXCPSrm	= 1340,
+    X86_MAXCPSrr	= 1341,
+    X86_MAXCSDrm	= 1342,
+    X86_MAXCSDrr	= 1343,
+    X86_MAXCSSrm	= 1344,
+    X86_MAXCSSrr	= 1345,
+    X86_MAXPDrm	= 1346,
+    X86_MAXPDrr	= 1347,
+    X86_MAXPSrm	= 1348,
+    X86_MAXPSrr	= 1349,
+    X86_MAXSDrm	= 1350,
+    X86_MAXSDrm_Int	= 1351,
+    X86_MAXSDrr	= 1352,
+    X86_MAXSDrr_Int	= 1353,
+    X86_MAXSSrm	= 1354,
+    X86_MAXSSrm_Int	= 1355,
+    X86_MAXSSrr	= 1356,
+    X86_MAXSSrr_Int	= 1357,
+    X86_MFENCE	= 1358,
+    X86_MINCPDrm	= 1359,
+    X86_MINCPDrr	= 1360,
+    X86_MINCPSrm	= 1361,
+    X86_MINCPSrr	= 1362,
+    X86_MINCSDrm	= 1363,
+    X86_MINCSDrr	= 1364,
+    X86_MINCSSrm	= 1365,
+    X86_MINCSSrr	= 1366,
+    X86_MINPDrm	= 1367,
+    X86_MINPDrr	= 1368,
+    X86_MINPSrm	= 1369,
+    X86_MINPSrr	= 1370,
+    X86_MINSDrm	= 1371,
+    X86_MINSDrm_Int	= 1372,
+    X86_MINSDrr	= 1373,
+    X86_MINSDrr_Int	= 1374,
+    X86_MINSSrm	= 1375,
+    X86_MINSSrm_Int	= 1376,
+    X86_MINSSrr	= 1377,
+    X86_MINSSrr_Int	= 1378,
+    X86_MMX_CVTPD2PIirm	= 1379,
+    X86_MMX_CVTPD2PIirr	= 1380,
+    X86_MMX_CVTPI2PDirm	= 1381,
+    X86_MMX_CVTPI2PDirr	= 1382,
+    X86_MMX_CVTPI2PSirm	= 1383,
+    X86_MMX_CVTPI2PSirr	= 1384,
+    X86_MMX_CVTPS2PIirm	= 1385,
+    X86_MMX_CVTPS2PIirr	= 1386,
+    X86_MMX_CVTTPD2PIirm	= 1387,
+    X86_MMX_CVTTPD2PIirr	= 1388,
+    X86_MMX_CVTTPS2PIirm	= 1389,
+    X86_MMX_CVTTPS2PIirr	= 1390,
+    X86_MMX_EMMS	= 1391,
+    X86_MMX_MASKMOVQ	= 1392,
+    X86_MMX_MASKMOVQ64	= 1393,
+    X86_MMX_MOVD64from64rr	= 1394,
+    X86_MMX_MOVD64grr	= 1395,
+    X86_MMX_MOVD64mr	= 1396,
+    X86_MMX_MOVD64rm	= 1397,
+    X86_MMX_MOVD64rr	= 1398,
+    X86_MMX_MOVD64to64rr	= 1399,
+    X86_MMX_MOVDQ2Qrr	= 1400,
+    X86_MMX_MOVFR642Qrr	= 1401,
+    X86_MMX_MOVNTQmr	= 1402,
+    X86_MMX_MOVQ2DQrr	= 1403,
+    X86_MMX_MOVQ2FR64rr	= 1404,
+    X86_MMX_MOVQ64mr	= 1405,
+    X86_MMX_MOVQ64rm	= 1406,
+    X86_MMX_MOVQ64rr	= 1407,
+    X86_MMX_MOVQ64rr_REV	= 1408,
+    X86_MMX_PABSBrm64	= 1409,
+    X86_MMX_PABSBrr64	= 1410,
+    X86_MMX_PABSDrm64	= 1411,
+    X86_MMX_PABSDrr64	= 1412,
+    X86_MMX_PABSWrm64	= 1413,
+    X86_MMX_PABSWrr64	= 1414,
+    X86_MMX_PACKSSDWirm	= 1415,
+    X86_MMX_PACKSSDWirr	= 1416,
+    X86_MMX_PACKSSWBirm	= 1417,
+    X86_MMX_PACKSSWBirr	= 1418,
+    X86_MMX_PACKUSWBirm	= 1419,
+    X86_MMX_PACKUSWBirr	= 1420,
+    X86_MMX_PADDBirm	= 1421,
+    X86_MMX_PADDBirr	= 1422,
+    X86_MMX_PADDDirm	= 1423,
+    X86_MMX_PADDDirr	= 1424,
+    X86_MMX_PADDQirm	= 1425,
+    X86_MMX_PADDQirr	= 1426,
+    X86_MMX_PADDSBirm	= 1427,
+    X86_MMX_PADDSBirr	= 1428,
+    X86_MMX_PADDSWirm	= 1429,
+    X86_MMX_PADDSWirr	= 1430,
+    X86_MMX_PADDUSBirm	= 1431,
+    X86_MMX_PADDUSBirr	= 1432,
+    X86_MMX_PADDUSWirm	= 1433,
+    X86_MMX_PADDUSWirr	= 1434,
+    X86_MMX_PADDWirm	= 1435,
+    X86_MMX_PADDWirr	= 1436,
+    X86_MMX_PALIGNR64irm	= 1437,
+    X86_MMX_PALIGNR64irr	= 1438,
+    X86_MMX_PANDNirm	= 1439,
+    X86_MMX_PANDNirr	= 1440,
+    X86_MMX_PANDirm	= 1441,
+    X86_MMX_PANDirr	= 1442,
+    X86_MMX_PAVGBirm	= 1443,
+    X86_MMX_PAVGBirr	= 1444,
+    X86_MMX_PAVGWirm	= 1445,
+    X86_MMX_PAVGWirr	= 1446,
+    X86_MMX_PCMPEQBirm	= 1447,
+    X86_MMX_PCMPEQBirr	= 1448,
+    X86_MMX_PCMPEQDirm	= 1449,
+    X86_MMX_PCMPEQDirr	= 1450,
+    X86_MMX_PCMPEQWirm	= 1451,
+    X86_MMX_PCMPEQWirr	= 1452,
+    X86_MMX_PCMPGTBirm	= 1453,
+    X86_MMX_PCMPGTBirr	= 1454,
+    X86_MMX_PCMPGTDirm	= 1455,
+    X86_MMX_PCMPGTDirr	= 1456,
+    X86_MMX_PCMPGTWirm	= 1457,
+    X86_MMX_PCMPGTWirr	= 1458,
+    X86_MMX_PEXTRWirri	= 1459,
+    X86_MMX_PHADDSWrm64	= 1460,
+    X86_MMX_PHADDSWrr64	= 1461,
+    X86_MMX_PHADDWrm64	= 1462,
+    X86_MMX_PHADDWrr64	= 1463,
+    X86_MMX_PHADDrm64	= 1464,
+    X86_MMX_PHADDrr64	= 1465,
+    X86_MMX_PHSUBDrm64	= 1466,
+    X86_MMX_PHSUBDrr64	= 1467,
+    X86_MMX_PHSUBSWrm64	= 1468,
+    X86_MMX_PHSUBSWrr64	= 1469,
+    X86_MMX_PHSUBWrm64	= 1470,
+    X86_MMX_PHSUBWrr64	= 1471,
+    X86_MMX_PINSRWirmi	= 1472,
+    X86_MMX_PINSRWirri	= 1473,
+    X86_MMX_PMADDUBSWrm64	= 1474,
+    X86_MMX_PMADDUBSWrr64	= 1475,
+    X86_MMX_PMADDWDirm	= 1476,
+    X86_MMX_PMADDWDirr	= 1477,
+    X86_MMX_PMAXSWirm	= 1478,
+    X86_MMX_PMAXSWirr	= 1479,
+    X86_MMX_PMAXUBirm	= 1480,
+    X86_MMX_PMAXUBirr	= 1481,
+    X86_MMX_PMINSWirm	= 1482,
+    X86_MMX_PMINSWirr	= 1483,
+    X86_MMX_PMINUBirm	= 1484,
+    X86_MMX_PMINUBirr	= 1485,
+    X86_MMX_PMOVMSKBrr	= 1486,
+    X86_MMX_PMULHRSWrm64	= 1487,
+    X86_MMX_PMULHRSWrr64	= 1488,
+    X86_MMX_PMULHUWirm	= 1489,
+    X86_MMX_PMULHUWirr	= 1490,
+    X86_MMX_PMULHWirm	= 1491,
+    X86_MMX_PMULHWirr	= 1492,
+    X86_MMX_PMULLWirm	= 1493,
+    X86_MMX_PMULLWirr	= 1494,
+    X86_MMX_PMULUDQirm	= 1495,
+    X86_MMX_PMULUDQirr	= 1496,
+    X86_MMX_PORirm	= 1497,
+    X86_MMX_PORirr	= 1498,
+    X86_MMX_PSADBWirm	= 1499,
+    X86_MMX_PSADBWirr	= 1500,
+    X86_MMX_PSHUFBrm64	= 1501,
+    X86_MMX_PSHUFBrr64	= 1502,
+    X86_MMX_PSHUFWmi	= 1503,
+    X86_MMX_PSHUFWri	= 1504,
+    X86_MMX_PSIGNBrm64	= 1505,
+    X86_MMX_PSIGNBrr64	= 1506,
+    X86_MMX_PSIGNDrm64	= 1507,
+    X86_MMX_PSIGNDrr64	= 1508,
+    X86_MMX_PSIGNWrm64	= 1509,
+    X86_MMX_PSIGNWrr64	= 1510,
+    X86_MMX_PSLLDri	= 1511,
+    X86_MMX_PSLLDrm	= 1512,
+    X86_MMX_PSLLDrr	= 1513,
+    X86_MMX_PSLLQri	= 1514,
+    X86_MMX_PSLLQrm	= 1515,
+    X86_MMX_PSLLQrr	= 1516,
+    X86_MMX_PSLLWri	= 1517,
+    X86_MMX_PSLLWrm	= 1518,
+    X86_MMX_PSLLWrr	= 1519,
+    X86_MMX_PSRADri	= 1520,
+    X86_MMX_PSRADrm	= 1521,
+    X86_MMX_PSRADrr	= 1522,
+    X86_MMX_PSRAWri	= 1523,
+    X86_MMX_PSRAWrm	= 1524,
+    X86_MMX_PSRAWrr	= 1525,
+    X86_MMX_PSRLDri	= 1526,
+    X86_MMX_PSRLDrm	= 1527,
+    X86_MMX_PSRLDrr	= 1528,
+    X86_MMX_PSRLQri	= 1529,
+    X86_MMX_PSRLQrm	= 1530,
+    X86_MMX_PSRLQrr	= 1531,
+    X86_MMX_PSRLWri	= 1532,
+    X86_MMX_PSRLWrm	= 1533,
+    X86_MMX_PSRLWrr	= 1534,
+    X86_MMX_PSUBBirm	= 1535,
+    X86_MMX_PSUBBirr	= 1536,
+    X86_MMX_PSUBDirm	= 1537,
+    X86_MMX_PSUBDirr	= 1538,
+    X86_MMX_PSUBQirm	= 1539,
+    X86_MMX_PSUBQirr	= 1540,
+    X86_MMX_PSUBSBirm	= 1541,
+    X86_MMX_PSUBSBirr	= 1542,
+    X86_MMX_PSUBSWirm	= 1543,
+    X86_MMX_PSUBSWirr	= 1544,
+    X86_MMX_PSUBUSBirm	= 1545,
+    X86_MMX_PSUBUSBirr	= 1546,
+    X86_MMX_PSUBUSWirm	= 1547,
+    X86_MMX_PSUBUSWirr	= 1548,
+    X86_MMX_PSUBWirm	= 1549,
+    X86_MMX_PSUBWirr	= 1550,
+    X86_MMX_PUNPCKHBWirm	= 1551,
+    X86_MMX_PUNPCKHBWirr	= 1552,
+    X86_MMX_PUNPCKHDQirm	= 1553,
+    X86_MMX_PUNPCKHDQirr	= 1554,
+    X86_MMX_PUNPCKHWDirm	= 1555,
+    X86_MMX_PUNPCKHWDirr	= 1556,
+    X86_MMX_PUNPCKLBWirm	= 1557,
+    X86_MMX_PUNPCKLBWirr	= 1558,
+    X86_MMX_PUNPCKLDQirm	= 1559,
+    X86_MMX_PUNPCKLDQirr	= 1560,
+    X86_MMX_PUNPCKLWDirm	= 1561,
+    X86_MMX_PUNPCKLWDirr	= 1562,
+    X86_MMX_PXORirm	= 1563,
+    X86_MMX_PXORirr	= 1564,
+    X86_MONITOR	= 1565,
+    X86_MONITORrrr	= 1566,
+    X86_MONTMUL	= 1567,
+    X86_MORESTACK_RET	= 1568,
+    X86_MORESTACK_RET_RESTORE_R10	= 1569,
+    X86_MOV16ao16	= 1570,
+    X86_MOV16ao16_16	= 1571,
+    X86_MOV16mi	= 1572,
+    X86_MOV16mr	= 1573,
+    X86_MOV16ms	= 1574,
+    X86_MOV16o16a	= 1575,
+    X86_MOV16o16a_16	= 1576,
+    X86_MOV16ri	= 1577,
+    X86_MOV16ri_alt	= 1578,
+    X86_MOV16rm	= 1579,
+    X86_MOV16rr	= 1580,
+    X86_MOV16rr_REV	= 1581,
+    X86_MOV16rs	= 1582,
+    X86_MOV16sm	= 1583,
+    X86_MOV16sr	= 1584,
+    X86_MOV32ao32	= 1585,
+    X86_MOV32ao32_16	= 1586,
+    X86_MOV32cr	= 1587,
+    X86_MOV32dr	= 1588,
+    X86_MOV32mi	= 1589,
+    X86_MOV32mr	= 1590,
+    X86_MOV32ms	= 1591,
+    X86_MOV32o32a	= 1592,
+    X86_MOV32o32a_16	= 1593,
+    X86_MOV32r0	= 1594,
+    X86_MOV32rc	= 1595,
+    X86_MOV32rd	= 1596,
+    X86_MOV32ri	= 1597,
+    X86_MOV32ri64	= 1598,
+    X86_MOV32ri_alt	= 1599,
+    X86_MOV32rm	= 1600,
+    X86_MOV32rr	= 1601,
+    X86_MOV32rr_REV	= 1602,
+    X86_MOV32rs	= 1603,
+    X86_MOV32sm	= 1604,
+    X86_MOV32sr	= 1605,
+    X86_MOV64ao16	= 1606,
+    X86_MOV64ao32	= 1607,
+    X86_MOV64ao64	= 1608,
+    X86_MOV64ao8	= 1609,
+    X86_MOV64cr	= 1610,
+    X86_MOV64dr	= 1611,
+    X86_MOV64mi32	= 1612,
+    X86_MOV64mr	= 1613,
+    X86_MOV64ms	= 1614,
+    X86_MOV64o16a	= 1615,
+    X86_MOV64o32a	= 1616,
+    X86_MOV64o64a	= 1617,
+    X86_MOV64o8a	= 1618,
+    X86_MOV64rc	= 1619,
+    X86_MOV64rd	= 1620,
+    X86_MOV64ri	= 1621,
+    X86_MOV64ri32	= 1622,
+    X86_MOV64rm	= 1623,
+    X86_MOV64rr	= 1624,
+    X86_MOV64rr_REV	= 1625,
+    X86_MOV64rs	= 1626,
+    X86_MOV64sm	= 1627,
+    X86_MOV64sr	= 1628,
+    X86_MOV64toPQIrr	= 1629,
+    X86_MOV64toSDrm	= 1630,
+    X86_MOV64toSDrr	= 1631,
+    X86_MOV8ao8	= 1632,
+    X86_MOV8ao8_16	= 1633,
+    X86_MOV8mi	= 1634,
+    X86_MOV8mr	= 1635,
+    X86_MOV8mr_NOREX	= 1636,
+    X86_MOV8o8a	= 1637,
+    X86_MOV8o8a_16	= 1638,
+    X86_MOV8ri	= 1639,
+    X86_MOV8ri_alt	= 1640,
+    X86_MOV8rm	= 1641,
+    X86_MOV8rm_NOREX	= 1642,
+    X86_MOV8rr	= 1643,
+    X86_MOV8rr_NOREX	= 1644,
+    X86_MOV8rr_REV	= 1645,
+    X86_MOVAPDmr	= 1646,
+    X86_MOVAPDrm	= 1647,
+    X86_MOVAPDrr	= 1648,
+    X86_MOVAPDrr_REV	= 1649,
+    X86_MOVAPSmr	= 1650,
+    X86_MOVAPSrm	= 1651,
+    X86_MOVAPSrr	= 1652,
+    X86_MOVAPSrr_REV	= 1653,
+    X86_MOVBE16mr	= 1654,
+    X86_MOVBE16rm	= 1655,
+    X86_MOVBE32mr	= 1656,
+    X86_MOVBE32rm	= 1657,
+    X86_MOVBE64mr	= 1658,
+    X86_MOVBE64rm	= 1659,
+    X86_MOVDDUPrm	= 1660,
+    X86_MOVDDUPrr	= 1661,
+    X86_MOVDI2PDIrm	= 1662,
+    X86_MOVDI2PDIrr	= 1663,
+    X86_MOVDI2SSrm	= 1664,
+    X86_MOVDI2SSrr	= 1665,
+    X86_MOVDQAmr	= 1666,
+    X86_MOVDQArm	= 1667,
+    X86_MOVDQArr	= 1668,
+    X86_MOVDQArr_REV	= 1669,
+    X86_MOVDQUmr	= 1670,
+    X86_MOVDQUrm	= 1671,
+    X86_MOVDQUrr	= 1672,
+    X86_MOVDQUrr_REV	= 1673,
+    X86_MOVHLPSrr	= 1674,
+    X86_MOVHPDmr	= 1675,
+    X86_MOVHPDrm	= 1676,
+    X86_MOVHPSmr	= 1677,
+    X86_MOVHPSrm	= 1678,
+    X86_MOVLHPSrr	= 1679,
+    X86_MOVLPDmr	= 1680,
+    X86_MOVLPDrm	= 1681,
+    X86_MOVLPSmr	= 1682,
+    X86_MOVLPSrm	= 1683,
+    X86_MOVMSKPDrr	= 1684,
+    X86_MOVMSKPSrr	= 1685,
+    X86_MOVNTDQArm	= 1686,
+    X86_MOVNTDQmr	= 1687,
+    X86_MOVNTI_64mr	= 1688,
+    X86_MOVNTImr	= 1689,
+    X86_MOVNTPDmr	= 1690,
+    X86_MOVNTPSmr	= 1691,
+    X86_MOVNTSD	= 1692,
+    X86_MOVNTSS	= 1693,
+    X86_MOVPC32r	= 1694,
+    X86_MOVPDI2DImr	= 1695,
+    X86_MOVPDI2DIrr	= 1696,
+    X86_MOVPQI2QImr	= 1697,
+    X86_MOVPQI2QIrr	= 1698,
+    X86_MOVPQIto64rr	= 1699,
+    X86_MOVQI2PQIrm	= 1700,
+    X86_MOVSB	= 1701,
+    X86_MOVSDmr	= 1702,
+    X86_MOVSDrm	= 1703,
+    X86_MOVSDrr	= 1704,
+    X86_MOVSDrr_REV	= 1705,
+    X86_MOVSDto64mr	= 1706,
+    X86_MOVSDto64rr	= 1707,
+    X86_MOVSHDUPrm	= 1708,
+    X86_MOVSHDUPrr	= 1709,
+    X86_MOVSL	= 1710,
+    X86_MOVSLDUPrm	= 1711,
+    X86_MOVSLDUPrr	= 1712,
+    X86_MOVSQ	= 1713,
+    X86_MOVSS2DImr	= 1714,
+    X86_MOVSS2DIrr	= 1715,
+    X86_MOVSSmr	= 1716,
+    X86_MOVSSrm	= 1717,
+    X86_MOVSSrr	= 1718,
+    X86_MOVSSrr_REV	= 1719,
+    X86_MOVSW	= 1720,
+    X86_MOVSX16rm8	= 1721,
+    X86_MOVSX16rr8	= 1722,
+    X86_MOVSX32rm16	= 1723,
+    X86_MOVSX32rm8	= 1724,
+    X86_MOVSX32rr16	= 1725,
+    X86_MOVSX32rr8	= 1726,
+    X86_MOVSX64rm16	= 1727,
+    X86_MOVSX64rm32	= 1728,
+    X86_MOVSX64rm8	= 1729,
+    X86_MOVSX64rr16	= 1730,
+    X86_MOVSX64rr32	= 1731,
+    X86_MOVSX64rr8	= 1732,
+    X86_MOVUPDmr	= 1733,
+    X86_MOVUPDrm	= 1734,
+    X86_MOVUPDrr	= 1735,
+    X86_MOVUPDrr_REV	= 1736,
+    X86_MOVUPSmr	= 1737,
+    X86_MOVUPSrm	= 1738,
+    X86_MOVUPSrr	= 1739,
+    X86_MOVUPSrr_REV	= 1740,
+    X86_MOVZPQILo2PQIrm	= 1741,
+    X86_MOVZPQILo2PQIrr	= 1742,
+    X86_MOVZQI2PQIrm	= 1743,
+    X86_MOVZQI2PQIrr	= 1744,
+    X86_MOVZX16rm8	= 1745,
+    X86_MOVZX16rr8	= 1746,
+    X86_MOVZX32_NOREXrm8	= 1747,
+    X86_MOVZX32_NOREXrr8	= 1748,
+    X86_MOVZX32rm16	= 1749,
+    X86_MOVZX32rm8	= 1750,
+    X86_MOVZX32rr16	= 1751,
+    X86_MOVZX32rr8	= 1752,
+    X86_MOVZX64rm16_Q	= 1753,
+    X86_MOVZX64rm8_Q	= 1754,
+    X86_MOVZX64rr16_Q	= 1755,
+    X86_MOVZX64rr8_Q	= 1756,
+    X86_MPSADBWrmi	= 1757,
+    X86_MPSADBWrri	= 1758,
+    X86_MUL16m	= 1759,
+    X86_MUL16r	= 1760,
+    X86_MUL32m	= 1761,
+    X86_MUL32r	= 1762,
+    X86_MUL64m	= 1763,
+    X86_MUL64r	= 1764,
+    X86_MUL8m	= 1765,
+    X86_MUL8r	= 1766,
+    X86_MULPDrm	= 1767,
+    X86_MULPDrr	= 1768,
+    X86_MULPSrm	= 1769,
+    X86_MULPSrr	= 1770,
+    X86_MULSDrm	= 1771,
+    X86_MULSDrm_Int	= 1772,
+    X86_MULSDrr	= 1773,
+    X86_MULSDrr_Int	= 1774,
+    X86_MULSSrm	= 1775,
+    X86_MULSSrm_Int	= 1776,
+    X86_MULSSrr	= 1777,
+    X86_MULSSrr_Int	= 1778,
+    X86_MULX32rm	= 1779,
+    X86_MULX32rr	= 1780,
+    X86_MULX64rm	= 1781,
+    X86_MULX64rr	= 1782,
+    X86_MUL_F32m	= 1783,
+    X86_MUL_F64m	= 1784,
+    X86_MUL_FI16m	= 1785,
+    X86_MUL_FI32m	= 1786,
+    X86_MUL_FPrST0	= 1787,
+    X86_MUL_FST0r	= 1788,
+    X86_MUL_Fp32	= 1789,
+    X86_MUL_Fp32m	= 1790,
+    X86_MUL_Fp64	= 1791,
+    X86_MUL_Fp64m	= 1792,
+    X86_MUL_Fp64m32	= 1793,
+    X86_MUL_Fp80	= 1794,
+    X86_MUL_Fp80m32	= 1795,
+    X86_MUL_Fp80m64	= 1796,
+    X86_MUL_FpI16m32	= 1797,
+    X86_MUL_FpI16m64	= 1798,
+    X86_MUL_FpI16m80	= 1799,
+    X86_MUL_FpI32m32	= 1800,
+    X86_MUL_FpI32m64	= 1801,
+    X86_MUL_FpI32m80	= 1802,
+    X86_MUL_FrST0	= 1803,
+    X86_MWAITrr	= 1804,
+    X86_NEG16m	= 1805,
+    X86_NEG16r	= 1806,
+    X86_NEG32m	= 1807,
+    X86_NEG32r	= 1808,
+    X86_NEG64m	= 1809,
+    X86_NEG64r	= 1810,
+    X86_NEG8m	= 1811,
+    X86_NEG8r	= 1812,
+    X86_NOOP	= 1813,
+    X86_NOOP18_16m4	= 1814,
+    X86_NOOP18_16m5	= 1815,
+    X86_NOOP18_16m6	= 1816,
+    X86_NOOP18_16m7	= 1817,
+    X86_NOOP18_16r4	= 1818,
+    X86_NOOP18_16r5	= 1819,
+    X86_NOOP18_16r6	= 1820,
+    X86_NOOP18_16r7	= 1821,
+    X86_NOOP18_m4	= 1822,
+    X86_NOOP18_m5	= 1823,
+    X86_NOOP18_m6	= 1824,
+    X86_NOOP18_m7	= 1825,
+    X86_NOOP18_r4	= 1826,
+    X86_NOOP18_r5	= 1827,
+    X86_NOOP18_r6	= 1828,
+    X86_NOOP18_r7	= 1829,
+    X86_NOOPL	= 1830,
+    X86_NOOPL_19	= 1831,
+    X86_NOOPL_1a	= 1832,
+    X86_NOOPL_1b	= 1833,
+    X86_NOOPL_1c	= 1834,
+    X86_NOOPL_1d	= 1835,
+    X86_NOOPL_1e	= 1836,
+    X86_NOOPW	= 1837,
+    X86_NOOPW_19	= 1838,
+    X86_NOOPW_1a	= 1839,
+    X86_NOOPW_1b	= 1840,
+    X86_NOOPW_1c	= 1841,
+    X86_NOOPW_1d	= 1842,
+    X86_NOOPW_1e	= 1843,
+    X86_NOT16m	= 1844,
+    X86_NOT16r	= 1845,
+    X86_NOT32m	= 1846,
+    X86_NOT32r	= 1847,
+    X86_NOT64m	= 1848,
+    X86_NOT64r	= 1849,
+    X86_NOT8m	= 1850,
+    X86_NOT8r	= 1851,
+    X86_OR16i16	= 1852,
+    X86_OR16mi	= 1853,
+    X86_OR16mi8	= 1854,
+    X86_OR16mr	= 1855,
+    X86_OR16ri	= 1856,
+    X86_OR16ri8	= 1857,
+    X86_OR16rm	= 1858,
+    X86_OR16rr	= 1859,
+    X86_OR16rr_REV	= 1860,
+    X86_OR32i32	= 1861,
+    X86_OR32mi	= 1862,
+    X86_OR32mi8	= 1863,
+    X86_OR32mr	= 1864,
+    X86_OR32mrLocked	= 1865,
+    X86_OR32ri	= 1866,
+    X86_OR32ri8	= 1867,
+    X86_OR32rm	= 1868,
+    X86_OR32rr	= 1869,
+    X86_OR32rr_REV	= 1870,
+    X86_OR64i32	= 1871,
+    X86_OR64mi32	= 1872,
+    X86_OR64mi8	= 1873,
+    X86_OR64mr	= 1874,
+    X86_OR64ri32	= 1875,
+    X86_OR64ri8	= 1876,
+    X86_OR64rm	= 1877,
+    X86_OR64rr	= 1878,
+    X86_OR64rr_REV	= 1879,
+    X86_OR8i8	= 1880,
+    X86_OR8mi	= 1881,
+    X86_OR8mr	= 1882,
+    X86_OR8ri	= 1883,
+    X86_OR8ri8	= 1884,
+    X86_OR8rm	= 1885,
+    X86_OR8rr	= 1886,
+    X86_OR8rr_REV	= 1887,
+    X86_ORPDrm	= 1888,
+    X86_ORPDrr	= 1889,
+    X86_ORPSrm	= 1890,
+    X86_ORPSrr	= 1891,
+    X86_OUT16ir	= 1892,
+    X86_OUT16rr	= 1893,
+    X86_OUT32ir	= 1894,
+    X86_OUT32rr	= 1895,
+    X86_OUT8ir	= 1896,
+    X86_OUT8rr	= 1897,
+    X86_OUTSB	= 1898,
+    X86_OUTSL	= 1899,
+    X86_OUTSW	= 1900,
+    X86_PABSBrm128	= 1901,
+    X86_PABSBrr128	= 1902,
+    X86_PABSDrm128	= 1903,
+    X86_PABSDrr128	= 1904,
+    X86_PABSWrm128	= 1905,
+    X86_PABSWrr128	= 1906,
+    X86_PACKSSDWrm	= 1907,
+    X86_PACKSSDWrr	= 1908,
+    X86_PACKSSWBrm	= 1909,
+    X86_PACKSSWBrr	= 1910,
+    X86_PACKUSDWrm	= 1911,
+    X86_PACKUSDWrr	= 1912,
+    X86_PACKUSWBrm	= 1913,
+    X86_PACKUSWBrr	= 1914,
+    X86_PADDBrm	= 1915,
+    X86_PADDBrr	= 1916,
+    X86_PADDDrm	= 1917,
+    X86_PADDDrr	= 1918,
+    X86_PADDQrm	= 1919,
+    X86_PADDQrr	= 1920,
+    X86_PADDSBrm	= 1921,
+    X86_PADDSBrr	= 1922,
+    X86_PADDSWrm	= 1923,
+    X86_PADDSWrr	= 1924,
+    X86_PADDUSBrm	= 1925,
+    X86_PADDUSBrr	= 1926,
+    X86_PADDUSWrm	= 1927,
+    X86_PADDUSWrr	= 1928,
+    X86_PADDWrm	= 1929,
+    X86_PADDWrr	= 1930,
+    X86_PALIGNR128rm	= 1931,
+    X86_PALIGNR128rr	= 1932,
+    X86_PANDNrm	= 1933,
+    X86_PANDNrr	= 1934,
+    X86_PANDrm	= 1935,
+    X86_PANDrr	= 1936,
+    X86_PAUSE	= 1937,
+    X86_PAVGBrm	= 1938,
+    X86_PAVGBrr	= 1939,
+    X86_PAVGUSBrm	= 1940,
+    X86_PAVGUSBrr	= 1941,
+    X86_PAVGWrm	= 1942,
+    X86_PAVGWrr	= 1943,
+    X86_PBLENDVBrm0	= 1944,
+    X86_PBLENDVBrr0	= 1945,
+    X86_PBLENDWrmi	= 1946,
+    X86_PBLENDWrri	= 1947,
+    X86_PCLMULQDQrm	= 1948,
+    X86_PCLMULQDQrr	= 1949,
+    X86_PCMPEQBrm	= 1950,
+    X86_PCMPEQBrr	= 1951,
+    X86_PCMPEQDrm	= 1952,
+    X86_PCMPEQDrr	= 1953,
+    X86_PCMPEQQrm	= 1954,
+    X86_PCMPEQQrr	= 1955,
+    X86_PCMPEQWrm	= 1956,
+    X86_PCMPEQWrr	= 1957,
+    X86_PCMPESTRIMEM	= 1958,
+    X86_PCMPESTRIREG	= 1959,
+    X86_PCMPESTRIrm	= 1960,
+    X86_PCMPESTRIrr	= 1961,
+    X86_PCMPESTRM128MEM	= 1962,
+    X86_PCMPESTRM128REG	= 1963,
+    X86_PCMPESTRM128rm	= 1964,
+    X86_PCMPESTRM128rr	= 1965,
+    X86_PCMPGTBrm	= 1966,
+    X86_PCMPGTBrr	= 1967,
+    X86_PCMPGTDrm	= 1968,
+    X86_PCMPGTDrr	= 1969,
+    X86_PCMPGTQrm	= 1970,
+    X86_PCMPGTQrr	= 1971,
+    X86_PCMPGTWrm	= 1972,
+    X86_PCMPGTWrr	= 1973,
+    X86_PCMPISTRIMEM	= 1974,
+    X86_PCMPISTRIREG	= 1975,
+    X86_PCMPISTRIrm	= 1976,
+    X86_PCMPISTRIrr	= 1977,
+    X86_PCMPISTRM128MEM	= 1978,
+    X86_PCMPISTRM128REG	= 1979,
+    X86_PCMPISTRM128rm	= 1980,
+    X86_PCMPISTRM128rr	= 1981,
+    X86_PDEP32rm	= 1982,
+    X86_PDEP32rr	= 1983,
+    X86_PDEP64rm	= 1984,
+    X86_PDEP64rr	= 1985,
+    X86_PEXT32rm	= 1986,
+    X86_PEXT32rr	= 1987,
+    X86_PEXT64rm	= 1988,
+    X86_PEXT64rr	= 1989,
+    X86_PEXTRBmr	= 1990,
+    X86_PEXTRBrr	= 1991,
+    X86_PEXTRDmr	= 1992,
+    X86_PEXTRDrr	= 1993,
+    X86_PEXTRQmr	= 1994,
+    X86_PEXTRQrr	= 1995,
+    X86_PEXTRWmr	= 1996,
+    X86_PEXTRWri	= 1997,
+    X86_PEXTRWrr_REV	= 1998,
+    X86_PF2IDrm	= 1999,
+    X86_PF2IDrr	= 2000,
+    X86_PF2IWrm	= 2001,
+    X86_PF2IWrr	= 2002,
+    X86_PFACCrm	= 2003,
+    X86_PFACCrr	= 2004,
+    X86_PFADDrm	= 2005,
+    X86_PFADDrr	= 2006,
+    X86_PFCMPEQrm	= 2007,
+    X86_PFCMPEQrr	= 2008,
+    X86_PFCMPGErm	= 2009,
+    X86_PFCMPGErr	= 2010,
+    X86_PFCMPGTrm	= 2011,
+    X86_PFCMPGTrr	= 2012,
+    X86_PFMAXrm	= 2013,
+    X86_PFMAXrr	= 2014,
+    X86_PFMINrm	= 2015,
+    X86_PFMINrr	= 2016,
+    X86_PFMULrm	= 2017,
+    X86_PFMULrr	= 2018,
+    X86_PFNACCrm	= 2019,
+    X86_PFNACCrr	= 2020,
+    X86_PFPNACCrm	= 2021,
+    X86_PFPNACCrr	= 2022,
+    X86_PFRCPIT1rm	= 2023,
+    X86_PFRCPIT1rr	= 2024,
+    X86_PFRCPIT2rm	= 2025,
+    X86_PFRCPIT2rr	= 2026,
+    X86_PFRCPrm	= 2027,
+    X86_PFRCPrr	= 2028,
+    X86_PFRSQIT1rm	= 2029,
+    X86_PFRSQIT1rr	= 2030,
+    X86_PFRSQRTrm	= 2031,
+    X86_PFRSQRTrr	= 2032,
+    X86_PFSUBRrm	= 2033,
+    X86_PFSUBRrr	= 2034,
+    X86_PFSUBrm	= 2035,
+    X86_PFSUBrr	= 2036,
+    X86_PHADDDrm	= 2037,
+    X86_PHADDDrr	= 2038,
+    X86_PHADDSWrm128	= 2039,
+    X86_PHADDSWrr128	= 2040,
+    X86_PHADDWrm	= 2041,
+    X86_PHADDWrr	= 2042,
+    X86_PHMINPOSUWrm128	= 2043,
+    X86_PHMINPOSUWrr128	= 2044,
+    X86_PHSUBDrm	= 2045,
+    X86_PHSUBDrr	= 2046,
+    X86_PHSUBSWrm128	= 2047,
+    X86_PHSUBSWrr128	= 2048,
+    X86_PHSUBWrm	= 2049,
+    X86_PHSUBWrr	= 2050,
+    X86_PI2FDrm	= 2051,
+    X86_PI2FDrr	= 2052,
+    X86_PI2FWrm	= 2053,
+    X86_PI2FWrr	= 2054,
+    X86_PINSRBrm	= 2055,
+    X86_PINSRBrr	= 2056,
+    X86_PINSRDrm	= 2057,
+    X86_PINSRDrr	= 2058,
+    X86_PINSRQrm	= 2059,
+    X86_PINSRQrr	= 2060,
+    X86_PINSRWrmi	= 2061,
+    X86_PINSRWrri	= 2062,
+    X86_PMADDUBSWrm128	= 2063,
+    X86_PMADDUBSWrr128	= 2064,
+    X86_PMADDWDrm	= 2065,
+    X86_PMADDWDrr	= 2066,
+    X86_PMAXSBrm	= 2067,
+    X86_PMAXSBrr	= 2068,
+    X86_PMAXSDrm	= 2069,
+    X86_PMAXSDrr	= 2070,
+    X86_PMAXSWrm	= 2071,
+    X86_PMAXSWrr	= 2072,
+    X86_PMAXUBrm	= 2073,
+    X86_PMAXUBrr	= 2074,
+    X86_PMAXUDrm	= 2075,
+    X86_PMAXUDrr	= 2076,
+    X86_PMAXUWrm	= 2077,
+    X86_PMAXUWrr	= 2078,
+    X86_PMINSBrm	= 2079,
+    X86_PMINSBrr	= 2080,
+    X86_PMINSDrm	= 2081,
+    X86_PMINSDrr	= 2082,
+    X86_PMINSWrm	= 2083,
+    X86_PMINSWrr	= 2084,
+    X86_PMINUBrm	= 2085,
+    X86_PMINUBrr	= 2086,
+    X86_PMINUDrm	= 2087,
+    X86_PMINUDrr	= 2088,
+    X86_PMINUWrm	= 2089,
+    X86_PMINUWrr	= 2090,
+    X86_PMOVMSKBrr	= 2091,
+    X86_PMOVSXBDrm	= 2092,
+    X86_PMOVSXBDrr	= 2093,
+    X86_PMOVSXBQrm	= 2094,
+    X86_PMOVSXBQrr	= 2095,
+    X86_PMOVSXBWrm	= 2096,
+    X86_PMOVSXBWrr	= 2097,
+    X86_PMOVSXDQrm	= 2098,
+    X86_PMOVSXDQrr	= 2099,
+    X86_PMOVSXWDrm	= 2100,
+    X86_PMOVSXWDrr	= 2101,
+    X86_PMOVSXWQrm	= 2102,
+    X86_PMOVSXWQrr	= 2103,
+    X86_PMOVZXBDrm	= 2104,
+    X86_PMOVZXBDrr	= 2105,
+    X86_PMOVZXBQrm	= 2106,
+    X86_PMOVZXBQrr	= 2107,
+    X86_PMOVZXBWrm	= 2108,
+    X86_PMOVZXBWrr	= 2109,
+    X86_PMOVZXDQrm	= 2110,
+    X86_PMOVZXDQrr	= 2111,
+    X86_PMOVZXWDrm	= 2112,
+    X86_PMOVZXWDrr	= 2113,
+    X86_PMOVZXWQrm	= 2114,
+    X86_PMOVZXWQrr	= 2115,
+    X86_PMULDQrm	= 2116,
+    X86_PMULDQrr	= 2117,
+    X86_PMULHRSWrm128	= 2118,
+    X86_PMULHRSWrr128	= 2119,
+    X86_PMULHRWrm	= 2120,
+    X86_PMULHRWrr	= 2121,
+    X86_PMULHUWrm	= 2122,
+    X86_PMULHUWrr	= 2123,
+    X86_PMULHWrm	= 2124,
+    X86_PMULHWrr	= 2125,
+    X86_PMULLDrm	= 2126,
+    X86_PMULLDrr	= 2127,
+    X86_PMULLWrm	= 2128,
+    X86_PMULLWrr	= 2129,
+    X86_PMULUDQrm	= 2130,
+    X86_PMULUDQrr	= 2131,
+    X86_POP16r	= 2132,
+    X86_POP16rmm	= 2133,
+    X86_POP16rmr	= 2134,
+    X86_POP32r	= 2135,
+    X86_POP32rmm	= 2136,
+    X86_POP32rmr	= 2137,
+    X86_POP64r	= 2138,
+    X86_POP64rmm	= 2139,
+    X86_POP64rmr	= 2140,
+    X86_POPA16	= 2141,
+    X86_POPA32	= 2142,
+    X86_POPCNT16rm	= 2143,
+    X86_POPCNT16rr	= 2144,
+    X86_POPCNT32rm	= 2145,
+    X86_POPCNT32rr	= 2146,
+    X86_POPCNT64rm	= 2147,
+    X86_POPCNT64rr	= 2148,
+    X86_POPDS16	= 2149,
+    X86_POPDS32	= 2150,
+    X86_POPES16	= 2151,
+    X86_POPES32	= 2152,
+    X86_POPF16	= 2153,
+    X86_POPF32	= 2154,
+    X86_POPF64	= 2155,
+    X86_POPFS16	= 2156,
+    X86_POPFS32	= 2157,
+    X86_POPFS64	= 2158,
+    X86_POPGS16	= 2159,
+    X86_POPGS32	= 2160,
+    X86_POPGS64	= 2161,
+    X86_POPSS16	= 2162,
+    X86_POPSS32	= 2163,
+    X86_PORrm	= 2164,
+    X86_PORrr	= 2165,
+    X86_PREFETCH	= 2166,
+    X86_PREFETCHNTA	= 2167,
+    X86_PREFETCHT0	= 2168,
+    X86_PREFETCHT1	= 2169,
+    X86_PREFETCHT2	= 2170,
+    X86_PREFETCHW	= 2171,
+    X86_PSADBWrm	= 2172,
+    X86_PSADBWrr	= 2173,
+    X86_PSHUFBrm	= 2174,
+    X86_PSHUFBrr	= 2175,
+    X86_PSHUFDmi	= 2176,
+    X86_PSHUFDri	= 2177,
+    X86_PSHUFHWmi	= 2178,
+    X86_PSHUFHWri	= 2179,
+    X86_PSHUFLWmi	= 2180,
+    X86_PSHUFLWri	= 2181,
+    X86_PSIGNBrm	= 2182,
+    X86_PSIGNBrr	= 2183,
+    X86_PSIGNDrm	= 2184,
+    X86_PSIGNDrr	= 2185,
+    X86_PSIGNWrm	= 2186,
+    X86_PSIGNWrr	= 2187,
+    X86_PSLLDQri	= 2188,
+    X86_PSLLDri	= 2189,
+    X86_PSLLDrm	= 2190,
+    X86_PSLLDrr	= 2191,
+    X86_PSLLQri	= 2192,
+    X86_PSLLQrm	= 2193,
+    X86_PSLLQrr	= 2194,
+    X86_PSLLWri	= 2195,
+    X86_PSLLWrm	= 2196,
+    X86_PSLLWrr	= 2197,
+    X86_PSRADri	= 2198,
+    X86_PSRADrm	= 2199,
+    X86_PSRADrr	= 2200,
+    X86_PSRAWri	= 2201,
+    X86_PSRAWrm	= 2202,
+    X86_PSRAWrr	= 2203,
+    X86_PSRLDQri	= 2204,
+    X86_PSRLDri	= 2205,
+    X86_PSRLDrm	= 2206,
+    X86_PSRLDrr	= 2207,
+    X86_PSRLQri	= 2208,
+    X86_PSRLQrm	= 2209,
+    X86_PSRLQrr	= 2210,
+    X86_PSRLWri	= 2211,
+    X86_PSRLWrm	= 2212,
+    X86_PSRLWrr	= 2213,
+    X86_PSUBBrm	= 2214,
+    X86_PSUBBrr	= 2215,
+    X86_PSUBDrm	= 2216,
+    X86_PSUBDrr	= 2217,
+    X86_PSUBQrm	= 2218,
+    X86_PSUBQrr	= 2219,
+    X86_PSUBSBrm	= 2220,
+    X86_PSUBSBrr	= 2221,
+    X86_PSUBSWrm	= 2222,
+    X86_PSUBSWrr	= 2223,
+    X86_PSUBUSBrm	= 2224,
+    X86_PSUBUSBrr	= 2225,
+    X86_PSUBUSWrm	= 2226,
+    X86_PSUBUSWrr	= 2227,
+    X86_PSUBWrm	= 2228,
+    X86_PSUBWrr	= 2229,
+    X86_PSWAPDrm	= 2230,
+    X86_PSWAPDrr	= 2231,
+    X86_PTESTrm	= 2232,
+    X86_PTESTrr	= 2233,
+    X86_PUNPCKHBWrm	= 2234,
+    X86_PUNPCKHBWrr	= 2235,
+    X86_PUNPCKHDQrm	= 2236,
+    X86_PUNPCKHDQrr	= 2237,
+    X86_PUNPCKHQDQrm	= 2238,
+    X86_PUNPCKHQDQrr	= 2239,
+    X86_PUNPCKHWDrm	= 2240,
+    X86_PUNPCKHWDrr	= 2241,
+    X86_PUNPCKLBWrm	= 2242,
+    X86_PUNPCKLBWrr	= 2243,
+    X86_PUNPCKLDQrm	= 2244,
+    X86_PUNPCKLDQrr	= 2245,
+    X86_PUNPCKLQDQrm	= 2246,
+    X86_PUNPCKLQDQrr	= 2247,
+    X86_PUNPCKLWDrm	= 2248,
+    X86_PUNPCKLWDrr	= 2249,
+    X86_PUSH16i8	= 2250,
+    X86_PUSH16r	= 2251,
+    X86_PUSH16rmm	= 2252,
+    X86_PUSH16rmr	= 2253,
+    X86_PUSH32i8	= 2254,
+    X86_PUSH32r	= 2255,
+    X86_PUSH32rmm	= 2256,
+    X86_PUSH32rmr	= 2257,
+    X86_PUSH64i16	= 2258,
+    X86_PUSH64i32	= 2259,
+    X86_PUSH64i8	= 2260,
+    X86_PUSH64r	= 2261,
+    X86_PUSH64rmm	= 2262,
+    X86_PUSH64rmr	= 2263,
+    X86_PUSHA16	= 2264,
+    X86_PUSHA32	= 2265,
+    X86_PUSHCS16	= 2266,
+    X86_PUSHCS32	= 2267,
+    X86_PUSHDS16	= 2268,
+    X86_PUSHDS32	= 2269,
+    X86_PUSHES16	= 2270,
+    X86_PUSHES32	= 2271,
+    X86_PUSHF16	= 2272,
+    X86_PUSHF32	= 2273,
+    X86_PUSHF64	= 2274,
+    X86_PUSHFS16	= 2275,
+    X86_PUSHFS32	= 2276,
+    X86_PUSHFS64	= 2277,
+    X86_PUSHGS16	= 2278,
+    X86_PUSHGS32	= 2279,
+    X86_PUSHGS64	= 2280,
+    X86_PUSHSS16	= 2281,
+    X86_PUSHSS32	= 2282,
+    X86_PUSHi16	= 2283,
+    X86_PUSHi32	= 2284,
+    X86_PXORrm	= 2285,
+    X86_PXORrr	= 2286,
+    X86_RCL16m1	= 2287,
+    X86_RCL16mCL	= 2288,
+    X86_RCL16mi	= 2289,
+    X86_RCL16r1	= 2290,
+    X86_RCL16rCL	= 2291,
+    X86_RCL16ri	= 2292,
+    X86_RCL32m1	= 2293,
+    X86_RCL32mCL	= 2294,
+    X86_RCL32mi	= 2295,
+    X86_RCL32r1	= 2296,
+    X86_RCL32rCL	= 2297,
+    X86_RCL32ri	= 2298,
+    X86_RCL64m1	= 2299,
+    X86_RCL64mCL	= 2300,
+    X86_RCL64mi	= 2301,
+    X86_RCL64r1	= 2302,
+    X86_RCL64rCL	= 2303,
+    X86_RCL64ri	= 2304,
+    X86_RCL8m1	= 2305,
+    X86_RCL8mCL	= 2306,
+    X86_RCL8mi	= 2307,
+    X86_RCL8r1	= 2308,
+    X86_RCL8rCL	= 2309,
+    X86_RCL8ri	= 2310,
+    X86_RCPPSm	= 2311,
+    X86_RCPPSm_Int	= 2312,
+    X86_RCPPSr	= 2313,
+    X86_RCPPSr_Int	= 2314,
+    X86_RCPSSm	= 2315,
+    X86_RCPSSm_Int	= 2316,
+    X86_RCPSSr	= 2317,
+    X86_RCPSSr_Int	= 2318,
+    X86_RCR16m1	= 2319,
+    X86_RCR16mCL	= 2320,
+    X86_RCR16mi	= 2321,
+    X86_RCR16r1	= 2322,
+    X86_RCR16rCL	= 2323,
+    X86_RCR16ri	= 2324,
+    X86_RCR32m1	= 2325,
+    X86_RCR32mCL	= 2326,
+    X86_RCR32mi	= 2327,
+    X86_RCR32r1	= 2328,
+    X86_RCR32rCL	= 2329,
+    X86_RCR32ri	= 2330,
+    X86_RCR64m1	= 2331,
+    X86_RCR64mCL	= 2332,
+    X86_RCR64mi	= 2333,
+    X86_RCR64r1	= 2334,
+    X86_RCR64rCL	= 2335,
+    X86_RCR64ri	= 2336,
+    X86_RCR8m1	= 2337,
+    X86_RCR8mCL	= 2338,
+    X86_RCR8mi	= 2339,
+    X86_RCR8r1	= 2340,
+    X86_RCR8rCL	= 2341,
+    X86_RCR8ri	= 2342,
+    X86_RDFSBASE	= 2343,
+    X86_RDFSBASE64	= 2344,
+    X86_RDGSBASE	= 2345,
+    X86_RDGSBASE64	= 2346,
+    X86_RDMSR	= 2347,
+    X86_RDPMC	= 2348,
+    X86_RDRAND16r	= 2349,
+    X86_RDRAND32r	= 2350,
+    X86_RDRAND64r	= 2351,
+    X86_RDSEED16r	= 2352,
+    X86_RDSEED32r	= 2353,
+    X86_RDSEED64r	= 2354,
+    X86_RDTSC	= 2355,
+    X86_RDTSCP	= 2356,
+    X86_RELEASE_MOV16mr	= 2357,
+    X86_RELEASE_MOV32mr	= 2358,
+    X86_RELEASE_MOV64mr	= 2359,
+    X86_RELEASE_MOV8mr	= 2360,
+    X86_REPNE_PREFIX	= 2361,
+    X86_REP_MOVSB_32	= 2362,
+    X86_REP_MOVSB_64	= 2363,
+    X86_REP_MOVSD_32	= 2364,
+    X86_REP_MOVSD_64	= 2365,
+    X86_REP_MOVSQ_64	= 2366,
+    X86_REP_MOVSW_32	= 2367,
+    X86_REP_MOVSW_64	= 2368,
+    X86_REP_PREFIX	= 2369,
+    X86_REP_STOSB_32	= 2370,
+    X86_REP_STOSB_64	= 2371,
+    X86_REP_STOSD_32	= 2372,
+    X86_REP_STOSD_64	= 2373,
+    X86_REP_STOSQ_64	= 2374,
+    X86_REP_STOSW_32	= 2375,
+    X86_REP_STOSW_64	= 2376,
+    X86_RETIL	= 2377,
+    X86_RETIQ	= 2378,
+    X86_RETIW	= 2379,
+    X86_RETL	= 2380,
+    X86_RETQ	= 2381,
+    X86_RETW	= 2382,
+    X86_REX64_PREFIX	= 2383,
+    X86_ROL16m1	= 2384,
+    X86_ROL16mCL	= 2385,
+    X86_ROL16mi	= 2386,
+    X86_ROL16r1	= 2387,
+    X86_ROL16rCL	= 2388,
+    X86_ROL16ri	= 2389,
+    X86_ROL32m1	= 2390,
+    X86_ROL32mCL	= 2391,
+    X86_ROL32mi	= 2392,
+    X86_ROL32r1	= 2393,
+    X86_ROL32rCL	= 2394,
+    X86_ROL32ri	= 2395,
+    X86_ROL64m1	= 2396,
+    X86_ROL64mCL	= 2397,
+    X86_ROL64mi	= 2398,
+    X86_ROL64r1	= 2399,
+    X86_ROL64rCL	= 2400,
+    X86_ROL64ri	= 2401,
+    X86_ROL8m1	= 2402,
+    X86_ROL8mCL	= 2403,
+    X86_ROL8mi	= 2404,
+    X86_ROL8r1	= 2405,
+    X86_ROL8rCL	= 2406,
+    X86_ROL8ri	= 2407,
+    X86_ROR16m1	= 2408,
+    X86_ROR16mCL	= 2409,
+    X86_ROR16mi	= 2410,
+    X86_ROR16r1	= 2411,
+    X86_ROR16rCL	= 2412,
+    X86_ROR16ri	= 2413,
+    X86_ROR32m1	= 2414,
+    X86_ROR32mCL	= 2415,
+    X86_ROR32mi	= 2416,
+    X86_ROR32r1	= 2417,
+    X86_ROR32rCL	= 2418,
+    X86_ROR32ri	= 2419,
+    X86_ROR64m1	= 2420,
+    X86_ROR64mCL	= 2421,
+    X86_ROR64mi	= 2422,
+    X86_ROR64r1	= 2423,
+    X86_ROR64rCL	= 2424,
+    X86_ROR64ri	= 2425,
+    X86_ROR8m1	= 2426,
+    X86_ROR8mCL	= 2427,
+    X86_ROR8mi	= 2428,
+    X86_ROR8r1	= 2429,
+    X86_ROR8rCL	= 2430,
+    X86_ROR8ri	= 2431,
+    X86_RORX32mi	= 2432,
+    X86_RORX32ri	= 2433,
+    X86_RORX64mi	= 2434,
+    X86_RORX64ri	= 2435,
+    X86_ROUNDPDm	= 2436,
+    X86_ROUNDPDr	= 2437,
+    X86_ROUNDPSm	= 2438,
+    X86_ROUNDPSr	= 2439,
+    X86_ROUNDSDm	= 2440,
+    X86_ROUNDSDr	= 2441,
+    X86_ROUNDSDr_Int	= 2442,
+    X86_ROUNDSSm	= 2443,
+    X86_ROUNDSSr	= 2444,
+    X86_ROUNDSSr_Int	= 2445,
+    X86_RSM	= 2446,
+    X86_RSQRTPSm	= 2447,
+    X86_RSQRTPSm_Int	= 2448,
+    X86_RSQRTPSr	= 2449,
+    X86_RSQRTPSr_Int	= 2450,
+    X86_RSQRTSSm	= 2451,
+    X86_RSQRTSSm_Int	= 2452,
+    X86_RSQRTSSr	= 2453,
+    X86_RSQRTSSr_Int	= 2454,
+    X86_SAHF	= 2455,
+    X86_SAL16m1	= 2456,
+    X86_SAL16mCL	= 2457,
+    X86_SAL16mi	= 2458,
+    X86_SAL16r1	= 2459,
+    X86_SAL16rCL	= 2460,
+    X86_SAL16ri	= 2461,
+    X86_SAL32m1	= 2462,
+    X86_SAL32mCL	= 2463,
+    X86_SAL32mi	= 2464,
+    X86_SAL32r1	= 2465,
+    X86_SAL32rCL	= 2466,
+    X86_SAL32ri	= 2467,
+    X86_SAL64m1	= 2468,
+    X86_SAL64mCL	= 2469,
+    X86_SAL64mi	= 2470,
+    X86_SAL64r1	= 2471,
+    X86_SAL64rCL	= 2472,
+    X86_SAL64ri	= 2473,
+    X86_SAL8m1	= 2474,
+    X86_SAL8mCL	= 2475,
+    X86_SAL8mi	= 2476,
+    X86_SAL8r1	= 2477,
+    X86_SAL8rCL	= 2478,
+    X86_SAL8ri	= 2479,
+    X86_SALC	= 2480,
+    X86_SAR16m1	= 2481,
+    X86_SAR16mCL	= 2482,
+    X86_SAR16mi	= 2483,
+    X86_SAR16r1	= 2484,
+    X86_SAR16rCL	= 2485,
+    X86_SAR16ri	= 2486,
+    X86_SAR32m1	= 2487,
+    X86_SAR32mCL	= 2488,
+    X86_SAR32mi	= 2489,
+    X86_SAR32r1	= 2490,
+    X86_SAR32rCL	= 2491,
+    X86_SAR32ri	= 2492,
+    X86_SAR64m1	= 2493,
+    X86_SAR64mCL	= 2494,
+    X86_SAR64mi	= 2495,
+    X86_SAR64r1	= 2496,
+    X86_SAR64rCL	= 2497,
+    X86_SAR64ri	= 2498,
+    X86_SAR8m1	= 2499,
+    X86_SAR8mCL	= 2500,
+    X86_SAR8mi	= 2501,
+    X86_SAR8r1	= 2502,
+    X86_SAR8rCL	= 2503,
+    X86_SAR8ri	= 2504,
+    X86_SARX32rm	= 2505,
+    X86_SARX32rr	= 2506,
+    X86_SARX64rm	= 2507,
+    X86_SARX64rr	= 2508,
+    X86_SBB16i16	= 2509,
+    X86_SBB16mi	= 2510,
+    X86_SBB16mi8	= 2511,
+    X86_SBB16mr	= 2512,
+    X86_SBB16ri	= 2513,
+    X86_SBB16ri8	= 2514,
+    X86_SBB16rm	= 2515,
+    X86_SBB16rr	= 2516,
+    X86_SBB16rr_REV	= 2517,
+    X86_SBB32i32	= 2518,
+    X86_SBB32mi	= 2519,
+    X86_SBB32mi8	= 2520,
+    X86_SBB32mr	= 2521,
+    X86_SBB32ri	= 2522,
+    X86_SBB32ri8	= 2523,
+    X86_SBB32rm	= 2524,
+    X86_SBB32rr	= 2525,
+    X86_SBB32rr_REV	= 2526,
+    X86_SBB64i32	= 2527,
+    X86_SBB64mi32	= 2528,
+    X86_SBB64mi8	= 2529,
+    X86_SBB64mr	= 2530,
+    X86_SBB64ri32	= 2531,
+    X86_SBB64ri8	= 2532,
+    X86_SBB64rm	= 2533,
+    X86_SBB64rr	= 2534,
+    X86_SBB64rr_REV	= 2535,
+    X86_SBB8i8	= 2536,
+    X86_SBB8mi	= 2537,
+    X86_SBB8mr	= 2538,
+    X86_SBB8ri	= 2539,
+    X86_SBB8rm	= 2540,
+    X86_SBB8rr	= 2541,
+    X86_SBB8rr_REV	= 2542,
+    X86_SCASB	= 2543,
+    X86_SCASL	= 2544,
+    X86_SCASQ	= 2545,
+    X86_SCASW	= 2546,
+    X86_SEG_ALLOCA_32	= 2547,
+    X86_SEG_ALLOCA_64	= 2548,
+    X86_SEH_EndPrologue	= 2549,
+    X86_SEH_Epilogue	= 2550,
+    X86_SEH_PushFrame	= 2551,
+    X86_SEH_PushReg	= 2552,
+    X86_SEH_SaveReg	= 2553,
+    X86_SEH_SaveXMM	= 2554,
+    X86_SEH_SetFrame	= 2555,
+    X86_SEH_StackAlloc	= 2556,
+    X86_SETAEm	= 2557,
+    X86_SETAEr	= 2558,
+    X86_SETAm	= 2559,
+    X86_SETAr	= 2560,
+    X86_SETBEm	= 2561,
+    X86_SETBEr	= 2562,
+    X86_SETB_C16r	= 2563,
+    X86_SETB_C32r	= 2564,
+    X86_SETB_C64r	= 2565,
+    X86_SETB_C8r	= 2566,
+    X86_SETBm	= 2567,
+    X86_SETBr	= 2568,
+    X86_SETEm	= 2569,
+    X86_SETEr	= 2570,
+    X86_SETGEm	= 2571,
+    X86_SETGEr	= 2572,
+    X86_SETGm	= 2573,
+    X86_SETGr	= 2574,
+    X86_SETLEm	= 2575,
+    X86_SETLEr	= 2576,
+    X86_SETLm	= 2577,
+    X86_SETLr	= 2578,
+    X86_SETNEm	= 2579,
+    X86_SETNEr	= 2580,
+    X86_SETNOm	= 2581,
+    X86_SETNOr	= 2582,
+    X86_SETNPm	= 2583,
+    X86_SETNPr	= 2584,
+    X86_SETNSm	= 2585,
+    X86_SETNSr	= 2586,
+    X86_SETOm	= 2587,
+    X86_SETOr	= 2588,
+    X86_SETPm	= 2589,
+    X86_SETPr	= 2590,
+    X86_SETSm	= 2591,
+    X86_SETSr	= 2592,
+    X86_SFENCE	= 2593,
+    X86_SGDT16m	= 2594,
+    X86_SGDT32m	= 2595,
+    X86_SGDT64m	= 2596,
+    X86_SHA1MSG1rm	= 2597,
+    X86_SHA1MSG1rr	= 2598,
+    X86_SHA1MSG2rm	= 2599,
+    X86_SHA1MSG2rr	= 2600,
+    X86_SHA1NEXTErm	= 2601,
+    X86_SHA1NEXTErr	= 2602,
+    X86_SHA1RNDS4rmi	= 2603,
+    X86_SHA1RNDS4rri	= 2604,
+    X86_SHA256MSG1rm	= 2605,
+    X86_SHA256MSG1rr	= 2606,
+    X86_SHA256MSG2rm	= 2607,
+    X86_SHA256MSG2rr	= 2608,
+    X86_SHA256RNDS2rm	= 2609,
+    X86_SHA256RNDS2rr	= 2610,
+    X86_SHL16m1	= 2611,
+    X86_SHL16mCL	= 2612,
+    X86_SHL16mi	= 2613,
+    X86_SHL16r1	= 2614,
+    X86_SHL16rCL	= 2615,
+    X86_SHL16ri	= 2616,
+    X86_SHL32m1	= 2617,
+    X86_SHL32mCL	= 2618,
+    X86_SHL32mi	= 2619,
+    X86_SHL32r1	= 2620,
+    X86_SHL32rCL	= 2621,
+    X86_SHL32ri	= 2622,
+    X86_SHL64m1	= 2623,
+    X86_SHL64mCL	= 2624,
+    X86_SHL64mi	= 2625,
+    X86_SHL64r1	= 2626,
+    X86_SHL64rCL	= 2627,
+    X86_SHL64ri	= 2628,
+    X86_SHL8m1	= 2629,
+    X86_SHL8mCL	= 2630,
+    X86_SHL8mi	= 2631,
+    X86_SHL8r1	= 2632,
+    X86_SHL8rCL	= 2633,
+    X86_SHL8ri	= 2634,
+    X86_SHLD16mrCL	= 2635,
+    X86_SHLD16mri8	= 2636,
+    X86_SHLD16rrCL	= 2637,
+    X86_SHLD16rri8	= 2638,
+    X86_SHLD32mrCL	= 2639,
+    X86_SHLD32mri8	= 2640,
+    X86_SHLD32rrCL	= 2641,
+    X86_SHLD32rri8	= 2642,
+    X86_SHLD64mrCL	= 2643,
+    X86_SHLD64mri8	= 2644,
+    X86_SHLD64rrCL	= 2645,
+    X86_SHLD64rri8	= 2646,
+    X86_SHLX32rm	= 2647,
+    X86_SHLX32rr	= 2648,
+    X86_SHLX64rm	= 2649,
+    X86_SHLX64rr	= 2650,
+    X86_SHR16m1	= 2651,
+    X86_SHR16mCL	= 2652,
+    X86_SHR16mi	= 2653,
+    X86_SHR16r1	= 2654,
+    X86_SHR16rCL	= 2655,
+    X86_SHR16ri	= 2656,
+    X86_SHR32m1	= 2657,
+    X86_SHR32mCL	= 2658,
+    X86_SHR32mi	= 2659,
+    X86_SHR32r1	= 2660,
+    X86_SHR32rCL	= 2661,
+    X86_SHR32ri	= 2662,
+    X86_SHR64m1	= 2663,
+    X86_SHR64mCL	= 2664,
+    X86_SHR64mi	= 2665,
+    X86_SHR64r1	= 2666,
+    X86_SHR64rCL	= 2667,
+    X86_SHR64ri	= 2668,
+    X86_SHR8m1	= 2669,
+    X86_SHR8mCL	= 2670,
+    X86_SHR8mi	= 2671,
+    X86_SHR8r1	= 2672,
+    X86_SHR8rCL	= 2673,
+    X86_SHR8ri	= 2674,
+    X86_SHRD16mrCL	= 2675,
+    X86_SHRD16mri8	= 2676,
+    X86_SHRD16rrCL	= 2677,
+    X86_SHRD16rri8	= 2678,
+    X86_SHRD32mrCL	= 2679,
+    X86_SHRD32mri8	= 2680,
+    X86_SHRD32rrCL	= 2681,
+    X86_SHRD32rri8	= 2682,
+    X86_SHRD64mrCL	= 2683,
+    X86_SHRD64mri8	= 2684,
+    X86_SHRD64rrCL	= 2685,
+    X86_SHRD64rri8	= 2686,
+    X86_SHRX32rm	= 2687,
+    X86_SHRX32rr	= 2688,
+    X86_SHRX64rm	= 2689,
+    X86_SHRX64rr	= 2690,
+    X86_SHUFPDrmi	= 2691,
+    X86_SHUFPDrri	= 2692,
+    X86_SHUFPSrmi	= 2693,
+    X86_SHUFPSrri	= 2694,
+    X86_SIDT16m	= 2695,
+    X86_SIDT32m	= 2696,
+    X86_SIDT64m	= 2697,
+    X86_SIN_F	= 2698,
+    X86_SIN_Fp32	= 2699,
+    X86_SIN_Fp64	= 2700,
+    X86_SIN_Fp80	= 2701,
+    X86_SKINIT	= 2702,
+    X86_SLDT16m	= 2703,
+    X86_SLDT16r	= 2704,
+    X86_SLDT32r	= 2705,
+    X86_SLDT64m	= 2706,
+    X86_SLDT64r	= 2707,
+    X86_SMSW16m	= 2708,
+    X86_SMSW16r	= 2709,
+    X86_SMSW32r	= 2710,
+    X86_SMSW64r	= 2711,
+    X86_SQRTPDm	= 2712,
+    X86_SQRTPDr	= 2713,
+    X86_SQRTPSm	= 2714,
+    X86_SQRTPSr	= 2715,
+    X86_SQRTSDm	= 2716,
+    X86_SQRTSDm_Int	= 2717,
+    X86_SQRTSDr	= 2718,
+    X86_SQRTSDr_Int	= 2719,
+    X86_SQRTSSm	= 2720,
+    X86_SQRTSSm_Int	= 2721,
+    X86_SQRTSSr	= 2722,
+    X86_SQRTSSr_Int	= 2723,
+    X86_SQRT_F	= 2724,
+    X86_SQRT_Fp32	= 2725,
+    X86_SQRT_Fp64	= 2726,
+    X86_SQRT_Fp80	= 2727,
+    X86_STAC	= 2728,
+    X86_STC	= 2729,
+    X86_STD	= 2730,
+    X86_STGI	= 2731,
+    X86_STI	= 2732,
+    X86_STMXCSR	= 2733,
+    X86_STOSB	= 2734,
+    X86_STOSL	= 2735,
+    X86_STOSQ	= 2736,
+    X86_STOSW	= 2737,
+    X86_STR16r	= 2738,
+    X86_STR32r	= 2739,
+    X86_STR64r	= 2740,
+    X86_STRm	= 2741,
+    X86_ST_F32m	= 2742,
+    X86_ST_F64m	= 2743,
+    X86_ST_FP32m	= 2744,
+    X86_ST_FP64m	= 2745,
+    X86_ST_FP80m	= 2746,
+    X86_ST_FPNCE	= 2747,
+    X86_ST_FPr0r7	= 2748,
+    X86_ST_FPrr	= 2749,
+    X86_ST_Fp32m	= 2750,
+    X86_ST_Fp64m	= 2751,
+    X86_ST_Fp64m32	= 2752,
+    X86_ST_Fp80m32	= 2753,
+    X86_ST_Fp80m64	= 2754,
+    X86_ST_FpP32m	= 2755,
+    X86_ST_FpP64m	= 2756,
+    X86_ST_FpP64m32	= 2757,
+    X86_ST_FpP80m	= 2758,
+    X86_ST_FpP80m32	= 2759,
+    X86_ST_FpP80m64	= 2760,
+    X86_ST_Frr	= 2761,
+    X86_SUB16i16	= 2762,
+    X86_SUB16mi	= 2763,
+    X86_SUB16mi8	= 2764,
+    X86_SUB16mr	= 2765,
+    X86_SUB16ri	= 2766,
+    X86_SUB16ri8	= 2767,
+    X86_SUB16rm	= 2768,
+    X86_SUB16rr	= 2769,
+    X86_SUB16rr_REV	= 2770,
+    X86_SUB32i32	= 2771,
+    X86_SUB32mi	= 2772,
+    X86_SUB32mi8	= 2773,
+    X86_SUB32mr	= 2774,
+    X86_SUB32ri	= 2775,
+    X86_SUB32ri8	= 2776,
+    X86_SUB32rm	= 2777,
+    X86_SUB32rr	= 2778,
+    X86_SUB32rr_REV	= 2779,
+    X86_SUB64i32	= 2780,
+    X86_SUB64mi32	= 2781,
+    X86_SUB64mi8	= 2782,
+    X86_SUB64mr	= 2783,
+    X86_SUB64ri32	= 2784,
+    X86_SUB64ri8	= 2785,
+    X86_SUB64rm	= 2786,
+    X86_SUB64rr	= 2787,
+    X86_SUB64rr_REV	= 2788,
+    X86_SUB8i8	= 2789,
+    X86_SUB8mi	= 2790,
+    X86_SUB8mr	= 2791,
+    X86_SUB8ri	= 2792,
+    X86_SUB8ri8	= 2793,
+    X86_SUB8rm	= 2794,
+    X86_SUB8rr	= 2795,
+    X86_SUB8rr_REV	= 2796,
+    X86_SUBPDrm	= 2797,
+    X86_SUBPDrr	= 2798,
+    X86_SUBPSrm	= 2799,
+    X86_SUBPSrr	= 2800,
+    X86_SUBR_F32m	= 2801,
+    X86_SUBR_F64m	= 2802,
+    X86_SUBR_FI16m	= 2803,
+    X86_SUBR_FI32m	= 2804,
+    X86_SUBR_FPrST0	= 2805,
+    X86_SUBR_FST0r	= 2806,
+    X86_SUBR_Fp32m	= 2807,
+    X86_SUBR_Fp64m	= 2808,
+    X86_SUBR_Fp64m32	= 2809,
+    X86_SUBR_Fp80m32	= 2810,
+    X86_SUBR_Fp80m64	= 2811,
+    X86_SUBR_FpI16m32	= 2812,
+    X86_SUBR_FpI16m64	= 2813,
+    X86_SUBR_FpI16m80	= 2814,
+    X86_SUBR_FpI32m32	= 2815,
+    X86_SUBR_FpI32m64	= 2816,
+    X86_SUBR_FpI32m80	= 2817,
+    X86_SUBR_FrST0	= 2818,
+    X86_SUBSDrm	= 2819,
+    X86_SUBSDrm_Int	= 2820,
+    X86_SUBSDrr	= 2821,
+    X86_SUBSDrr_Int	= 2822,
+    X86_SUBSSrm	= 2823,
+    X86_SUBSSrm_Int	= 2824,
+    X86_SUBSSrr	= 2825,
+    X86_SUBSSrr_Int	= 2826,
+    X86_SUB_F32m	= 2827,
+    X86_SUB_F64m	= 2828,
+    X86_SUB_FI16m	= 2829,
+    X86_SUB_FI32m	= 2830,
+    X86_SUB_FPrST0	= 2831,
+    X86_SUB_FST0r	= 2832,
+    X86_SUB_Fp32	= 2833,
+    X86_SUB_Fp32m	= 2834,
+    X86_SUB_Fp64	= 2835,
+    X86_SUB_Fp64m	= 2836,
+    X86_SUB_Fp64m32	= 2837,
+    X86_SUB_Fp80	= 2838,
+    X86_SUB_Fp80m32	= 2839,
+    X86_SUB_Fp80m64	= 2840,
+    X86_SUB_FpI16m32	= 2841,
+    X86_SUB_FpI16m64	= 2842,
+    X86_SUB_FpI16m80	= 2843,
+    X86_SUB_FpI32m32	= 2844,
+    X86_SUB_FpI32m64	= 2845,
+    X86_SUB_FpI32m80	= 2846,
+    X86_SUB_FrST0	= 2847,
+    X86_SWAPGS	= 2848,
+    X86_SYSCALL	= 2849,
+    X86_SYSENTER	= 2850,
+    X86_SYSEXIT	= 2851,
+    X86_SYSEXIT64	= 2852,
+    X86_SYSRET	= 2853,
+    X86_SYSRET64	= 2854,
+    X86_T1MSKC32rm	= 2855,
+    X86_T1MSKC32rr	= 2856,
+    X86_T1MSKC64rm	= 2857,
+    X86_T1MSKC64rr	= 2858,
+    X86_TAILJMPd	= 2859,
+    X86_TAILJMPd64	= 2860,
+    X86_TAILJMPm	= 2861,
+    X86_TAILJMPm64	= 2862,
+    X86_TAILJMPr	= 2863,
+    X86_TAILJMPr64	= 2864,
+    X86_TCRETURNdi	= 2865,
+    X86_TCRETURNdi64	= 2866,
+    X86_TCRETURNmi	= 2867,
+    X86_TCRETURNmi64	= 2868,
+    X86_TCRETURNri	= 2869,
+    X86_TCRETURNri64	= 2870,
+    X86_TEST16i16	= 2871,
+    X86_TEST16mi	= 2872,
+    X86_TEST16mi_alt	= 2873,
+    X86_TEST16ri	= 2874,
+    X86_TEST16ri_alt	= 2875,
+    X86_TEST16rm	= 2876,
+    X86_TEST16rr	= 2877,
+    X86_TEST32i32	= 2878,
+    X86_TEST32mi	= 2879,
+    X86_TEST32mi_alt	= 2880,
+    X86_TEST32ri	= 2881,
+    X86_TEST32ri_alt	= 2882,
+    X86_TEST32rm	= 2883,
+    X86_TEST32rr	= 2884,
+    X86_TEST64i32	= 2885,
+    X86_TEST64mi32	= 2886,
+    X86_TEST64mi32_alt	= 2887,
+    X86_TEST64ri32	= 2888,
+    X86_TEST64ri32_alt	= 2889,
+    X86_TEST64rm	= 2890,
+    X86_TEST64rr	= 2891,
+    X86_TEST8i8	= 2892,
+    X86_TEST8mi	= 2893,
+    X86_TEST8mi_alt	= 2894,
+    X86_TEST8ri	= 2895,
+    X86_TEST8ri_NOREX	= 2896,
+    X86_TEST8ri_alt	= 2897,
+    X86_TEST8rm	= 2898,
+    X86_TEST8rr	= 2899,
+    X86_TLSCall_32	= 2900,
+    X86_TLSCall_64	= 2901,
+    X86_TLS_addr32	= 2902,
+    X86_TLS_addr64	= 2903,
+    X86_TLS_base_addr32	= 2904,
+    X86_TLS_base_addr64	= 2905,
+    X86_TRAP	= 2906,
+    X86_TST_F	= 2907,
+    X86_TST_Fp32	= 2908,
+    X86_TST_Fp64	= 2909,
+    X86_TST_Fp80	= 2910,
+    X86_TZCNT16rm	= 2911,
+    X86_TZCNT16rr	= 2912,
+    X86_TZCNT32rm	= 2913,
+    X86_TZCNT32rr	= 2914,
+    X86_TZCNT64rm	= 2915,
+    X86_TZCNT64rr	= 2916,
+    X86_TZMSK32rm	= 2917,
+    X86_TZMSK32rr	= 2918,
+    X86_TZMSK64rm	= 2919,
+    X86_TZMSK64rr	= 2920,
+    X86_UCOMISDrm	= 2921,
+    X86_UCOMISDrr	= 2922,
+    X86_UCOMISSrm	= 2923,
+    X86_UCOMISSrr	= 2924,
+    X86_UCOM_FIPr	= 2925,
+    X86_UCOM_FIr	= 2926,
+    X86_UCOM_FPPr	= 2927,
+    X86_UCOM_FPr	= 2928,
+    X86_UCOM_FpIr32	= 2929,
+    X86_UCOM_FpIr64	= 2930,
+    X86_UCOM_FpIr80	= 2931,
+    X86_UCOM_Fpr32	= 2932,
+    X86_UCOM_Fpr64	= 2933,
+    X86_UCOM_Fpr80	= 2934,
+    X86_UCOM_Fr	= 2935,
+    X86_UD2B	= 2936,
+    X86_UNPCKHPDrm	= 2937,
+    X86_UNPCKHPDrr	= 2938,
+    X86_UNPCKHPSrm	= 2939,
+    X86_UNPCKHPSrr	= 2940,
+    X86_UNPCKLPDrm	= 2941,
+    X86_UNPCKLPDrr	= 2942,
+    X86_UNPCKLPSrm	= 2943,
+    X86_UNPCKLPSrr	= 2944,
+    X86_VAARG_64	= 2945,
+    X86_VADDPDYrm	= 2946,
+    X86_VADDPDYrr	= 2947,
+    X86_VADDPDZrm	= 2948,
+    X86_VADDPDZrmb	= 2949,
+    X86_VADDPDZrmbk	= 2950,
+    X86_VADDPDZrmbkz	= 2951,
+    X86_VADDPDZrmk	= 2952,
+    X86_VADDPDZrmkz	= 2953,
+    X86_VADDPDZrr	= 2954,
+    X86_VADDPDZrrk	= 2955,
+    X86_VADDPDZrrkz	= 2956,
+    X86_VADDPDrm	= 2957,
+    X86_VADDPDrr	= 2958,
+    X86_VADDPSYrm	= 2959,
+    X86_VADDPSYrr	= 2960,
+    X86_VADDPSZrm	= 2961,
+    X86_VADDPSZrmb	= 2962,
+    X86_VADDPSZrmbk	= 2963,
+    X86_VADDPSZrmbkz	= 2964,
+    X86_VADDPSZrmk	= 2965,
+    X86_VADDPSZrmkz	= 2966,
+    X86_VADDPSZrr	= 2967,
+    X86_VADDPSZrrk	= 2968,
+    X86_VADDPSZrrkz	= 2969,
+    X86_VADDPSrm	= 2970,
+    X86_VADDPSrr	= 2971,
+    X86_VADDSDZrm	= 2972,
+    X86_VADDSDZrr	= 2973,
+    X86_VADDSDrm	= 2974,
+    X86_VADDSDrm_Int	= 2975,
+    X86_VADDSDrr	= 2976,
+    X86_VADDSDrr_Int	= 2977,
+    X86_VADDSSZrm	= 2978,
+    X86_VADDSSZrr	= 2979,
+    X86_VADDSSrm	= 2980,
+    X86_VADDSSrm_Int	= 2981,
+    X86_VADDSSrr	= 2982,
+    X86_VADDSSrr_Int	= 2983,
+    X86_VADDSUBPDYrm	= 2984,
+    X86_VADDSUBPDYrr	= 2985,
+    X86_VADDSUBPDrm	= 2986,
+    X86_VADDSUBPDrr	= 2987,
+    X86_VADDSUBPSYrm	= 2988,
+    X86_VADDSUBPSYrr	= 2989,
+    X86_VADDSUBPSrm	= 2990,
+    X86_VADDSUBPSrr	= 2991,
+    X86_VAESDECLASTrm	= 2992,
+    X86_VAESDECLASTrr	= 2993,
+    X86_VAESDECrm	= 2994,
+    X86_VAESDECrr	= 2995,
+    X86_VAESENCLASTrm	= 2996,
+    X86_VAESENCLASTrr	= 2997,
+    X86_VAESENCrm	= 2998,
+    X86_VAESENCrr	= 2999,
+    X86_VAESIMCrm	= 3000,
+    X86_VAESIMCrr	= 3001,
+    X86_VAESKEYGENASSIST128rm	= 3002,
+    X86_VAESKEYGENASSIST128rr	= 3003,
+    X86_VALIGNDrmi	= 3004,
+    X86_VALIGNDrri	= 3005,
+    X86_VALIGNDrrik	= 3006,
+    X86_VALIGNDrrikz	= 3007,
+    X86_VALIGNQrmi	= 3008,
+    X86_VALIGNQrri	= 3009,
+    X86_VALIGNQrrik	= 3010,
+    X86_VALIGNQrrikz	= 3011,
+    X86_VANDNPDYrm	= 3012,
+    X86_VANDNPDYrr	= 3013,
+    X86_VANDNPDrm	= 3014,
+    X86_VANDNPDrr	= 3015,
+    X86_VANDNPSYrm	= 3016,
+    X86_VANDNPSYrr	= 3017,
+    X86_VANDNPSrm	= 3018,
+    X86_VANDNPSrr	= 3019,
+    X86_VANDPDYrm	= 3020,
+    X86_VANDPDYrr	= 3021,
+    X86_VANDPDrm	= 3022,
+    X86_VANDPDrr	= 3023,
+    X86_VANDPSYrm	= 3024,
+    X86_VANDPSYrr	= 3025,
+    X86_VANDPSrm	= 3026,
+    X86_VANDPSrr	= 3027,
+    X86_VASTART_SAVE_XMM_REGS	= 3028,
+    X86_VBLENDMPDZrm	= 3029,
+    X86_VBLENDMPDZrr	= 3030,
+    X86_VBLENDMPSZrm	= 3031,
+    X86_VBLENDMPSZrr	= 3032,
+    X86_VBLENDPDYrmi	= 3033,
+    X86_VBLENDPDYrri	= 3034,
+    X86_VBLENDPDrmi	= 3035,
+    X86_VBLENDPDrri	= 3036,
+    X86_VBLENDPSYrmi	= 3037,
+    X86_VBLENDPSYrri	= 3038,
+    X86_VBLENDPSrmi	= 3039,
+    X86_VBLENDPSrri	= 3040,
+    X86_VBLENDVPDYrm	= 3041,
+    X86_VBLENDVPDYrr	= 3042,
+    X86_VBLENDVPDrm	= 3043,
+    X86_VBLENDVPDrr	= 3044,
+    X86_VBLENDVPSYrm	= 3045,
+    X86_VBLENDVPSYrr	= 3046,
+    X86_VBLENDVPSrm	= 3047,
+    X86_VBLENDVPSrr	= 3048,
+    X86_VBROADCASTF128	= 3049,
+    X86_VBROADCASTI128	= 3050,
+    X86_VBROADCASTI32X4krm	= 3051,
+    X86_VBROADCASTI32X4rm	= 3052,
+    X86_VBROADCASTI64X4krm	= 3053,
+    X86_VBROADCASTI64X4rm	= 3054,
+    X86_VBROADCASTSDYrm	= 3055,
+    X86_VBROADCASTSDYrr	= 3056,
+    X86_VBROADCASTSDZrm	= 3057,
+    X86_VBROADCASTSDZrr	= 3058,
+    X86_VBROADCASTSSYrm	= 3059,
+    X86_VBROADCASTSSYrr	= 3060,
+    X86_VBROADCASTSSZrm	= 3061,
+    X86_VBROADCASTSSZrr	= 3062,
+    X86_VBROADCASTSSrm	= 3063,
+    X86_VBROADCASTSSrr	= 3064,
+    X86_VCMPPDYrmi	= 3065,
+    X86_VCMPPDYrmi_alt	= 3066,
+    X86_VCMPPDYrri	= 3067,
+    X86_VCMPPDYrri_alt	= 3068,
+    X86_VCMPPDZrmi	= 3069,
+    X86_VCMPPDZrmi_alt	= 3070,
+    X86_VCMPPDZrri	= 3071,
+    X86_VCMPPDZrri_alt	= 3072,
+    X86_VCMPPDZrrib	= 3073,
+    X86_VCMPPDrmi	= 3074,
+    X86_VCMPPDrmi_alt	= 3075,
+    X86_VCMPPDrri	= 3076,
+    X86_VCMPPDrri_alt	= 3077,
+    X86_VCMPPSYrmi	= 3078,
+    X86_VCMPPSYrmi_alt	= 3079,
+    X86_VCMPPSYrri	= 3080,
+    X86_VCMPPSYrri_alt	= 3081,
+    X86_VCMPPSZrmi	= 3082,
+    X86_VCMPPSZrmi_alt	= 3083,
+    X86_VCMPPSZrri	= 3084,
+    X86_VCMPPSZrri_alt	= 3085,
+    X86_VCMPPSZrrib	= 3086,
+    X86_VCMPPSrmi	= 3087,
+    X86_VCMPPSrmi_alt	= 3088,
+    X86_VCMPPSrri	= 3089,
+    X86_VCMPPSrri_alt	= 3090,
+    X86_VCMPSDZrm	= 3091,
+    X86_VCMPSDZrmi_alt	= 3092,
+    X86_VCMPSDZrr	= 3093,
+    X86_VCMPSDZrri_alt	= 3094,
+    X86_VCMPSDrm	= 3095,
+    X86_VCMPSDrm_alt	= 3096,
+    X86_VCMPSDrr	= 3097,
+    X86_VCMPSDrr_alt	= 3098,
+    X86_VCMPSSZrm	= 3099,
+    X86_VCMPSSZrmi_alt	= 3100,
+    X86_VCMPSSZrr	= 3101,
+    X86_VCMPSSZrri_alt	= 3102,
+    X86_VCMPSSrm	= 3103,
+    X86_VCMPSSrm_alt	= 3104,
+    X86_VCMPSSrr	= 3105,
+    X86_VCMPSSrr_alt	= 3106,
+    X86_VCOMISDZrm	= 3107,
+    X86_VCOMISDZrr	= 3108,
+    X86_VCOMISDrm	= 3109,
+    X86_VCOMISDrr	= 3110,
+    X86_VCOMISSZrm	= 3111,
+    X86_VCOMISSZrr	= 3112,
+    X86_VCOMISSrm	= 3113,
+    X86_VCOMISSrr	= 3114,
+    X86_VCVTDQ2PDYrm	= 3115,
+    X86_VCVTDQ2PDYrr	= 3116,
+    X86_VCVTDQ2PDZrm	= 3117,
+    X86_VCVTDQ2PDZrr	= 3118,
+    X86_VCVTDQ2PDrm	= 3119,
+    X86_VCVTDQ2PDrr	= 3120,
+    X86_VCVTDQ2PSYrm	= 3121,
+    X86_VCVTDQ2PSYrr	= 3122,
+    X86_VCVTDQ2PSZrm	= 3123,
+    X86_VCVTDQ2PSZrr	= 3124,
+    X86_VCVTDQ2PSZrrb	= 3125,
+    X86_VCVTDQ2PSrm	= 3126,
+    X86_VCVTDQ2PSrr	= 3127,
+    X86_VCVTPD2DQXrm	= 3128,
+    X86_VCVTPD2DQYrm	= 3129,
+    X86_VCVTPD2DQYrr	= 3130,
+    X86_VCVTPD2DQZrm	= 3131,
+    X86_VCVTPD2DQZrr	= 3132,
+    X86_VCVTPD2DQZrrb	= 3133,
+    X86_VCVTPD2DQrr	= 3134,
+    X86_VCVTPD2PSXrm	= 3135,
+    X86_VCVTPD2PSYrm	= 3136,
+    X86_VCVTPD2PSYrr	= 3137,
+    X86_VCVTPD2PSZrm	= 3138,
+    X86_VCVTPD2PSZrr	= 3139,
+    X86_VCVTPD2PSZrrb	= 3140,
+    X86_VCVTPD2PSrr	= 3141,
+    X86_VCVTPD2UDQZrm	= 3142,
+    X86_VCVTPD2UDQZrr	= 3143,
+    X86_VCVTPD2UDQZrrb	= 3144,
+    X86_VCVTPH2PSYrm	= 3145,
+    X86_VCVTPH2PSYrr	= 3146,
+    X86_VCVTPH2PSZrm	= 3147,
+    X86_VCVTPH2PSZrr	= 3148,
+    X86_VCVTPH2PSrm	= 3149,
+    X86_VCVTPH2PSrr	= 3150,
+    X86_VCVTPS2DQYrm	= 3151,
+    X86_VCVTPS2DQYrr	= 3152,
+    X86_VCVTPS2DQZrm	= 3153,
+    X86_VCVTPS2DQZrr	= 3154,
+    X86_VCVTPS2DQZrrb	= 3155,
+    X86_VCVTPS2DQrm	= 3156,
+    X86_VCVTPS2DQrr	= 3157,
+    X86_VCVTPS2PDYrm	= 3158,
+    X86_VCVTPS2PDYrr	= 3159,
+    X86_VCVTPS2PDZrm	= 3160,
+    X86_VCVTPS2PDZrr	= 3161,
+    X86_VCVTPS2PDrm	= 3162,
+    X86_VCVTPS2PDrr	= 3163,
+    X86_VCVTPS2PHYmr	= 3164,
+    X86_VCVTPS2PHYrr	= 3165,
+    X86_VCVTPS2PHZmr	= 3166,
+    X86_VCVTPS2PHZrr	= 3167,
+    X86_VCVTPS2PHmr	= 3168,
+    X86_VCVTPS2PHrr	= 3169,
+    X86_VCVTPS2UDQZrm	= 3170,
+    X86_VCVTPS2UDQZrr	= 3171,
+    X86_VCVTPS2UDQZrrb	= 3172,
+    X86_VCVTSD2SI64Zrm	= 3173,
+    X86_VCVTSD2SI64Zrr	= 3174,
+    X86_VCVTSD2SI64rm	= 3175,
+    X86_VCVTSD2SI64rr	= 3176,
+    X86_VCVTSD2SIZrm	= 3177,
+    X86_VCVTSD2SIZrr	= 3178,
+    X86_VCVTSD2SIrm	= 3179,
+    X86_VCVTSD2SIrr	= 3180,
+    X86_VCVTSD2SSZrm	= 3181,
+    X86_VCVTSD2SSZrr	= 3182,
+    X86_VCVTSD2SSrm	= 3183,
+    X86_VCVTSD2SSrr	= 3184,
+    X86_VCVTSD2USI64Zrm	= 3185,
+    X86_VCVTSD2USI64Zrr	= 3186,
+    X86_VCVTSD2USIZrm	= 3187,
+    X86_VCVTSD2USIZrr	= 3188,
+    X86_VCVTSI2SD64rm	= 3189,
+    X86_VCVTSI2SD64rr	= 3190,
+    X86_VCVTSI2SDZrm	= 3191,
+    X86_VCVTSI2SDZrr	= 3192,
+    X86_VCVTSI2SDrm	= 3193,
+    X86_VCVTSI2SDrr	= 3194,
+    X86_VCVTSI2SS64rm	= 3195,
+    X86_VCVTSI2SS64rr	= 3196,
+    X86_VCVTSI2SSZrm	= 3197,
+    X86_VCVTSI2SSZrr	= 3198,
+    X86_VCVTSI2SSrm	= 3199,
+    X86_VCVTSI2SSrr	= 3200,
+    X86_VCVTSI642SDZrm	= 3201,
+    X86_VCVTSI642SDZrr	= 3202,
+    X86_VCVTSI642SSZrm	= 3203,
+    X86_VCVTSI642SSZrr	= 3204,
+    X86_VCVTSS2SDZrm	= 3205,
+    X86_VCVTSS2SDZrr	= 3206,
+    X86_VCVTSS2SDrm	= 3207,
+    X86_VCVTSS2SDrr	= 3208,
+    X86_VCVTSS2SI64Zrm	= 3209,
+    X86_VCVTSS2SI64Zrr	= 3210,
+    X86_VCVTSS2SI64rm	= 3211,
+    X86_VCVTSS2SI64rr	= 3212,
+    X86_VCVTSS2SIZrm	= 3213,
+    X86_VCVTSS2SIZrr	= 3214,
+    X86_VCVTSS2SIrm	= 3215,
+    X86_VCVTSS2SIrr	= 3216,
+    X86_VCVTSS2USI64Zrm	= 3217,
+    X86_VCVTSS2USI64Zrr	= 3218,
+    X86_VCVTSS2USIZrm	= 3219,
+    X86_VCVTSS2USIZrr	= 3220,
+    X86_VCVTTPD2DQXrm	= 3221,
+    X86_VCVTTPD2DQYrm	= 3222,
+    X86_VCVTTPD2DQYrr	= 3223,
+    X86_VCVTTPD2DQZrm	= 3224,
+    X86_VCVTTPD2DQZrr	= 3225,
+    X86_VCVTTPD2DQrr	= 3226,
+    X86_VCVTTPD2UDQZrm	= 3227,
+    X86_VCVTTPD2UDQZrr	= 3228,
+    X86_VCVTTPS2DQYrm	= 3229,
+    X86_VCVTTPS2DQYrr	= 3230,
+    X86_VCVTTPS2DQZrm	= 3231,
+    X86_VCVTTPS2DQZrr	= 3232,
+    X86_VCVTTPS2DQrm	= 3233,
+    X86_VCVTTPS2DQrr	= 3234,
+    X86_VCVTTPS2UDQZrm	= 3235,
+    X86_VCVTTPS2UDQZrr	= 3236,
+    X86_VCVTTSD2SI64Zrm	= 3237,
+    X86_VCVTTSD2SI64Zrr	= 3238,
+    X86_VCVTTSD2SI64rm	= 3239,
+    X86_VCVTTSD2SI64rr	= 3240,
+    X86_VCVTTSD2SIZrm	= 3241,
+    X86_VCVTTSD2SIZrr	= 3242,
+    X86_VCVTTSD2SIrm	= 3243,
+    X86_VCVTTSD2SIrr	= 3244,
+    X86_VCVTTSD2USI64Zrm	= 3245,
+    X86_VCVTTSD2USI64Zrr	= 3246,
+    X86_VCVTTSD2USIZrm	= 3247,
+    X86_VCVTTSD2USIZrr	= 3248,
+    X86_VCVTTSS2SI64Zrm	= 3249,
+    X86_VCVTTSS2SI64Zrr	= 3250,
+    X86_VCVTTSS2SI64rm	= 3251,
+    X86_VCVTTSS2SI64rr	= 3252,
+    X86_VCVTTSS2SIZrm	= 3253,
+    X86_VCVTTSS2SIZrr	= 3254,
+    X86_VCVTTSS2SIrm	= 3255,
+    X86_VCVTTSS2SIrr	= 3256,
+    X86_VCVTTSS2USI64Zrm	= 3257,
+    X86_VCVTTSS2USI64Zrr	= 3258,
+    X86_VCVTTSS2USIZrm	= 3259,
+    X86_VCVTTSS2USIZrr	= 3260,
+    X86_VCVTUDQ2PDZrm	= 3261,
+    X86_VCVTUDQ2PDZrr	= 3262,
+    X86_VCVTUDQ2PSZrm	= 3263,
+    X86_VCVTUDQ2PSZrr	= 3264,
+    X86_VCVTUDQ2PSZrrb	= 3265,
+    X86_VCVTUSI2SDZrm	= 3266,
+    X86_VCVTUSI2SDZrr	= 3267,
+    X86_VCVTUSI2SSZrm	= 3268,
+    X86_VCVTUSI2SSZrr	= 3269,
+    X86_VCVTUSI642SDZrm	= 3270,
+    X86_VCVTUSI642SDZrr	= 3271,
+    X86_VCVTUSI642SSZrm	= 3272,
+    X86_VCVTUSI642SSZrr	= 3273,
+    X86_VDIVPDYrm	= 3274,
+    X86_VDIVPDYrr	= 3275,
+    X86_VDIVPDZrm	= 3276,
+    X86_VDIVPDZrmb	= 3277,
+    X86_VDIVPDZrmbk	= 3278,
+    X86_VDIVPDZrmbkz	= 3279,
+    X86_VDIVPDZrmk	= 3280,
+    X86_VDIVPDZrmkz	= 3281,
+    X86_VDIVPDZrr	= 3282,
+    X86_VDIVPDZrrk	= 3283,
+    X86_VDIVPDZrrkz	= 3284,
+    X86_VDIVPDrm	= 3285,
+    X86_VDIVPDrr	= 3286,
+    X86_VDIVPSYrm	= 3287,
+    X86_VDIVPSYrr	= 3288,
+    X86_VDIVPSZrm	= 3289,
+    X86_VDIVPSZrmb	= 3290,
+    X86_VDIVPSZrmbk	= 3291,
+    X86_VDIVPSZrmbkz	= 3292,
+    X86_VDIVPSZrmk	= 3293,
+    X86_VDIVPSZrmkz	= 3294,
+    X86_VDIVPSZrr	= 3295,
+    X86_VDIVPSZrrk	= 3296,
+    X86_VDIVPSZrrkz	= 3297,
+    X86_VDIVPSrm	= 3298,
+    X86_VDIVPSrr	= 3299,
+    X86_VDIVSDZrm	= 3300,
+    X86_VDIVSDZrr	= 3301,
+    X86_VDIVSDrm	= 3302,
+    X86_VDIVSDrm_Int	= 3303,
+    X86_VDIVSDrr	= 3304,
+    X86_VDIVSDrr_Int	= 3305,
+    X86_VDIVSSZrm	= 3306,
+    X86_VDIVSSZrr	= 3307,
+    X86_VDIVSSrm	= 3308,
+    X86_VDIVSSrm_Int	= 3309,
+    X86_VDIVSSrr	= 3310,
+    X86_VDIVSSrr_Int	= 3311,
+    X86_VDPPDrmi	= 3312,
+    X86_VDPPDrri	= 3313,
+    X86_VDPPSYrmi	= 3314,
+    X86_VDPPSYrri	= 3315,
+    X86_VDPPSrmi	= 3316,
+    X86_VDPPSrri	= 3317,
+    X86_VERRm	= 3318,
+    X86_VERRr	= 3319,
+    X86_VERWm	= 3320,
+    X86_VERWr	= 3321,
+    X86_VEXTRACTF128mr	= 3322,
+    X86_VEXTRACTF128rr	= 3323,
+    X86_VEXTRACTF32x4mr	= 3324,
+    X86_VEXTRACTF32x4rr	= 3325,
+    X86_VEXTRACTF64x4mr	= 3326,
+    X86_VEXTRACTF64x4rr	= 3327,
+    X86_VEXTRACTI128mr	= 3328,
+    X86_VEXTRACTI128rr	= 3329,
+    X86_VEXTRACTI32x4mr	= 3330,
+    X86_VEXTRACTI32x4rr	= 3331,
+    X86_VEXTRACTI64x4mr	= 3332,
+    X86_VEXTRACTI64x4rr	= 3333,
+    X86_VEXTRACTPSmr	= 3334,
+    X86_VEXTRACTPSrr	= 3335,
+    X86_VEXTRACTPSzmr	= 3336,
+    X86_VEXTRACTPSzrr	= 3337,
+    X86_VFMADD132PDZm	= 3338,
+    X86_VFMADD132PDZmb	= 3339,
+    X86_VFMADD132PSZm	= 3340,
+    X86_VFMADD132PSZmb	= 3341,
+    X86_VFMADD213PDZm	= 3342,
+    X86_VFMADD213PDZmb	= 3343,
+    X86_VFMADD213PDZr	= 3344,
+    X86_VFMADD213PDZrk	= 3345,
+    X86_VFMADD213PDZrkz	= 3346,
+    X86_VFMADD213PSZm	= 3347,
+    X86_VFMADD213PSZmb	= 3348,
+    X86_VFMADD213PSZr	= 3349,
+    X86_VFMADD213PSZrk	= 3350,
+    X86_VFMADD213PSZrkz	= 3351,
+    X86_VFMADDPD4mr	= 3352,
+    X86_VFMADDPD4mrY	= 3353,
+    X86_VFMADDPD4rm	= 3354,
+    X86_VFMADDPD4rmY	= 3355,
+    X86_VFMADDPD4rr	= 3356,
+    X86_VFMADDPD4rrY	= 3357,
+    X86_VFMADDPD4rrY_REV	= 3358,
+    X86_VFMADDPD4rr_REV	= 3359,
+    X86_VFMADDPDr132m	= 3360,
+    X86_VFMADDPDr132mY	= 3361,
+    X86_VFMADDPDr132r	= 3362,
+    X86_VFMADDPDr132rY	= 3363,
+    X86_VFMADDPDr213m	= 3364,
+    X86_VFMADDPDr213mY	= 3365,
+    X86_VFMADDPDr213r	= 3366,
+    X86_VFMADDPDr213rY	= 3367,
+    X86_VFMADDPDr231m	= 3368,
+    X86_VFMADDPDr231mY	= 3369,
+    X86_VFMADDPDr231r	= 3370,
+    X86_VFMADDPDr231rY	= 3371,
+    X86_VFMADDPS4mr	= 3372,
+    X86_VFMADDPS4mrY	= 3373,
+    X86_VFMADDPS4rm	= 3374,
+    X86_VFMADDPS4rmY	= 3375,
+    X86_VFMADDPS4rr	= 3376,
+    X86_VFMADDPS4rrY	= 3377,
+    X86_VFMADDPS4rrY_REV	= 3378,
+    X86_VFMADDPS4rr_REV	= 3379,
+    X86_VFMADDPSr132m	= 3380,
+    X86_VFMADDPSr132mY	= 3381,
+    X86_VFMADDPSr132r	= 3382,
+    X86_VFMADDPSr132rY	= 3383,
+    X86_VFMADDPSr213m	= 3384,
+    X86_VFMADDPSr213mY	= 3385,
+    X86_VFMADDPSr213r	= 3386,
+    X86_VFMADDPSr213rY	= 3387,
+    X86_VFMADDPSr231m	= 3388,
+    X86_VFMADDPSr231mY	= 3389,
+    X86_VFMADDPSr231r	= 3390,
+    X86_VFMADDPSr231rY	= 3391,
+    X86_VFMADDSD4mr	= 3392,
+    X86_VFMADDSD4mr_Int	= 3393,
+    X86_VFMADDSD4rm	= 3394,
+    X86_VFMADDSD4rm_Int	= 3395,
+    X86_VFMADDSD4rr	= 3396,
+    X86_VFMADDSD4rr_Int	= 3397,
+    X86_VFMADDSD4rr_REV	= 3398,
+    X86_VFMADDSDZm	= 3399,
+    X86_VFMADDSDZr	= 3400,
+    X86_VFMADDSDr132m	= 3401,
+    X86_VFMADDSDr132r	= 3402,
+    X86_VFMADDSDr213m	= 3403,
+    X86_VFMADDSDr213r	= 3404,
+    X86_VFMADDSDr231m	= 3405,
+    X86_VFMADDSDr231r	= 3406,
+    X86_VFMADDSS4mr	= 3407,
+    X86_VFMADDSS4mr_Int	= 3408,
+    X86_VFMADDSS4rm	= 3409,
+    X86_VFMADDSS4rm_Int	= 3410,
+    X86_VFMADDSS4rr	= 3411,
+    X86_VFMADDSS4rr_Int	= 3412,
+    X86_VFMADDSS4rr_REV	= 3413,
+    X86_VFMADDSSZm	= 3414,
+    X86_VFMADDSSZr	= 3415,
+    X86_VFMADDSSr132m	= 3416,
+    X86_VFMADDSSr132r	= 3417,
+    X86_VFMADDSSr213m	= 3418,
+    X86_VFMADDSSr213r	= 3419,
+    X86_VFMADDSSr231m	= 3420,
+    X86_VFMADDSSr231r	= 3421,
+    X86_VFMADDSUB132PDZm	= 3422,
+    X86_VFMADDSUB132PDZmb	= 3423,
+    X86_VFMADDSUB132PSZm	= 3424,
+    X86_VFMADDSUB132PSZmb	= 3425,
+    X86_VFMADDSUB213PDZm	= 3426,
+    X86_VFMADDSUB213PDZmb	= 3427,
+    X86_VFMADDSUB213PDZr	= 3428,
+    X86_VFMADDSUB213PDZrk	= 3429,
+    X86_VFMADDSUB213PDZrkz	= 3430,
+    X86_VFMADDSUB213PSZm	= 3431,
+    X86_VFMADDSUB213PSZmb	= 3432,
+    X86_VFMADDSUB213PSZr	= 3433,
+    X86_VFMADDSUB213PSZrk	= 3434,
+    X86_VFMADDSUB213PSZrkz	= 3435,
+    X86_VFMADDSUBPD4mr	= 3436,
+    X86_VFMADDSUBPD4mrY	= 3437,
+    X86_VFMADDSUBPD4rm	= 3438,
+    X86_VFMADDSUBPD4rmY	= 3439,
+    X86_VFMADDSUBPD4rr	= 3440,
+    X86_VFMADDSUBPD4rrY	= 3441,
+    X86_VFMADDSUBPD4rrY_REV	= 3442,
+    X86_VFMADDSUBPD4rr_REV	= 3443,
+    X86_VFMADDSUBPDr132m	= 3444,
+    X86_VFMADDSUBPDr132mY	= 3445,
+    X86_VFMADDSUBPDr132r	= 3446,
+    X86_VFMADDSUBPDr132rY	= 3447,
+    X86_VFMADDSUBPDr213m	= 3448,
+    X86_VFMADDSUBPDr213mY	= 3449,
+    X86_VFMADDSUBPDr213r	= 3450,
+    X86_VFMADDSUBPDr213rY	= 3451,
+    X86_VFMADDSUBPDr231m	= 3452,
+    X86_VFMADDSUBPDr231mY	= 3453,
+    X86_VFMADDSUBPDr231r	= 3454,
+    X86_VFMADDSUBPDr231rY	= 3455,
+    X86_VFMADDSUBPS4mr	= 3456,
+    X86_VFMADDSUBPS4mrY	= 3457,
+    X86_VFMADDSUBPS4rm	= 3458,
+    X86_VFMADDSUBPS4rmY	= 3459,
+    X86_VFMADDSUBPS4rr	= 3460,
+    X86_VFMADDSUBPS4rrY	= 3461,
+    X86_VFMADDSUBPS4rrY_REV	= 3462,
+    X86_VFMADDSUBPS4rr_REV	= 3463,
+    X86_VFMADDSUBPSr132m	= 3464,
+    X86_VFMADDSUBPSr132mY	= 3465,
+    X86_VFMADDSUBPSr132r	= 3466,
+    X86_VFMADDSUBPSr132rY	= 3467,
+    X86_VFMADDSUBPSr213m	= 3468,
+    X86_VFMADDSUBPSr213mY	= 3469,
+    X86_VFMADDSUBPSr213r	= 3470,
+    X86_VFMADDSUBPSr213rY	= 3471,
+    X86_VFMADDSUBPSr231m	= 3472,
+    X86_VFMADDSUBPSr231mY	= 3473,
+    X86_VFMADDSUBPSr231r	= 3474,
+    X86_VFMADDSUBPSr231rY	= 3475,
+    X86_VFMSUB132PDZm	= 3476,
+    X86_VFMSUB132PDZmb	= 3477,
+    X86_VFMSUB132PSZm	= 3478,
+    X86_VFMSUB132PSZmb	= 3479,
+    X86_VFMSUB213PDZm	= 3480,
+    X86_VFMSUB213PDZmb	= 3481,
+    X86_VFMSUB213PDZr	= 3482,
+    X86_VFMSUB213PDZrk	= 3483,
+    X86_VFMSUB213PDZrkz	= 3484,
+    X86_VFMSUB213PSZm	= 3485,
+    X86_VFMSUB213PSZmb	= 3486,
+    X86_VFMSUB213PSZr	= 3487,
+    X86_VFMSUB213PSZrk	= 3488,
+    X86_VFMSUB213PSZrkz	= 3489,
+    X86_VFMSUBADD132PDZm	= 3490,
+    X86_VFMSUBADD132PDZmb	= 3491,
+    X86_VFMSUBADD132PSZm	= 3492,
+    X86_VFMSUBADD132PSZmb	= 3493,
+    X86_VFMSUBADD213PDZm	= 3494,
+    X86_VFMSUBADD213PDZmb	= 3495,
+    X86_VFMSUBADD213PDZr	= 3496,
+    X86_VFMSUBADD213PDZrk	= 3497,
+    X86_VFMSUBADD213PDZrkz	= 3498,
+    X86_VFMSUBADD213PSZm	= 3499,
+    X86_VFMSUBADD213PSZmb	= 3500,
+    X86_VFMSUBADD213PSZr	= 3501,
+    X86_VFMSUBADD213PSZrk	= 3502,
+    X86_VFMSUBADD213PSZrkz	= 3503,
+    X86_VFMSUBADDPD4mr	= 3504,
+    X86_VFMSUBADDPD4mrY	= 3505,
+    X86_VFMSUBADDPD4rm	= 3506,
+    X86_VFMSUBADDPD4rmY	= 3507,
+    X86_VFMSUBADDPD4rr	= 3508,
+    X86_VFMSUBADDPD4rrY	= 3509,
+    X86_VFMSUBADDPD4rrY_REV	= 3510,
+    X86_VFMSUBADDPD4rr_REV	= 3511,
+    X86_VFMSUBADDPDr132m	= 3512,
+    X86_VFMSUBADDPDr132mY	= 3513,
+    X86_VFMSUBADDPDr132r	= 3514,
+    X86_VFMSUBADDPDr132rY	= 3515,
+    X86_VFMSUBADDPDr213m	= 3516,
+    X86_VFMSUBADDPDr213mY	= 3517,
+    X86_VFMSUBADDPDr213r	= 3518,
+    X86_VFMSUBADDPDr213rY	= 3519,
+    X86_VFMSUBADDPDr231m	= 3520,
+    X86_VFMSUBADDPDr231mY	= 3521,
+    X86_VFMSUBADDPDr231r	= 3522,
+    X86_VFMSUBADDPDr231rY	= 3523,
+    X86_VFMSUBADDPS4mr	= 3524,
+    X86_VFMSUBADDPS4mrY	= 3525,
+    X86_VFMSUBADDPS4rm	= 3526,
+    X86_VFMSUBADDPS4rmY	= 3527,
+    X86_VFMSUBADDPS4rr	= 3528,
+    X86_VFMSUBADDPS4rrY	= 3529,
+    X86_VFMSUBADDPS4rrY_REV	= 3530,
+    X86_VFMSUBADDPS4rr_REV	= 3531,
+    X86_VFMSUBADDPSr132m	= 3532,
+    X86_VFMSUBADDPSr132mY	= 3533,
+    X86_VFMSUBADDPSr132r	= 3534,
+    X86_VFMSUBADDPSr132rY	= 3535,
+    X86_VFMSUBADDPSr213m	= 3536,
+    X86_VFMSUBADDPSr213mY	= 3537,
+    X86_VFMSUBADDPSr213r	= 3538,
+    X86_VFMSUBADDPSr213rY	= 3539,
+    X86_VFMSUBADDPSr231m	= 3540,
+    X86_VFMSUBADDPSr231mY	= 3541,
+    X86_VFMSUBADDPSr231r	= 3542,
+    X86_VFMSUBADDPSr231rY	= 3543,
+    X86_VFMSUBPD4mr	= 3544,
+    X86_VFMSUBPD4mrY	= 3545,
+    X86_VFMSUBPD4rm	= 3546,
+    X86_VFMSUBPD4rmY	= 3547,
+    X86_VFMSUBPD4rr	= 3548,
+    X86_VFMSUBPD4rrY	= 3549,
+    X86_VFMSUBPD4rrY_REV	= 3550,
+    X86_VFMSUBPD4rr_REV	= 3551,
+    X86_VFMSUBPDr132m	= 3552,
+    X86_VFMSUBPDr132mY	= 3553,
+    X86_VFMSUBPDr132r	= 3554,
+    X86_VFMSUBPDr132rY	= 3555,
+    X86_VFMSUBPDr213m	= 3556,
+    X86_VFMSUBPDr213mY	= 3557,
+    X86_VFMSUBPDr213r	= 3558,
+    X86_VFMSUBPDr213rY	= 3559,
+    X86_VFMSUBPDr231m	= 3560,
+    X86_VFMSUBPDr231mY	= 3561,
+    X86_VFMSUBPDr231r	= 3562,
+    X86_VFMSUBPDr231rY	= 3563,
+    X86_VFMSUBPS4mr	= 3564,
+    X86_VFMSUBPS4mrY	= 3565,
+    X86_VFMSUBPS4rm	= 3566,
+    X86_VFMSUBPS4rmY	= 3567,
+    X86_VFMSUBPS4rr	= 3568,
+    X86_VFMSUBPS4rrY	= 3569,
+    X86_VFMSUBPS4rrY_REV	= 3570,
+    X86_VFMSUBPS4rr_REV	= 3571,
+    X86_VFMSUBPSr132m	= 3572,
+    X86_VFMSUBPSr132mY	= 3573,
+    X86_VFMSUBPSr132r	= 3574,
+    X86_VFMSUBPSr132rY	= 3575,
+    X86_VFMSUBPSr213m	= 3576,
+    X86_VFMSUBPSr213mY	= 3577,
+    X86_VFMSUBPSr213r	= 3578,
+    X86_VFMSUBPSr213rY	= 3579,
+    X86_VFMSUBPSr231m	= 3580,
+    X86_VFMSUBPSr231mY	= 3581,
+    X86_VFMSUBPSr231r	= 3582,
+    X86_VFMSUBPSr231rY	= 3583,
+    X86_VFMSUBSD4mr	= 3584,
+    X86_VFMSUBSD4mr_Int	= 3585,
+    X86_VFMSUBSD4rm	= 3586,
+    X86_VFMSUBSD4rm_Int	= 3587,
+    X86_VFMSUBSD4rr	= 3588,
+    X86_VFMSUBSD4rr_Int	= 3589,
+    X86_VFMSUBSD4rr_REV	= 3590,
+    X86_VFMSUBSDZm	= 3591,
+    X86_VFMSUBSDZr	= 3592,
+    X86_VFMSUBSDr132m	= 3593,
+    X86_VFMSUBSDr132r	= 3594,
+    X86_VFMSUBSDr213m	= 3595,
+    X86_VFMSUBSDr213r	= 3596,
+    X86_VFMSUBSDr231m	= 3597,
+    X86_VFMSUBSDr231r	= 3598,
+    X86_VFMSUBSS4mr	= 3599,
+    X86_VFMSUBSS4mr_Int	= 3600,
+    X86_VFMSUBSS4rm	= 3601,
+    X86_VFMSUBSS4rm_Int	= 3602,
+    X86_VFMSUBSS4rr	= 3603,
+    X86_VFMSUBSS4rr_Int	= 3604,
+    X86_VFMSUBSS4rr_REV	= 3605,
+    X86_VFMSUBSSZm	= 3606,
+    X86_VFMSUBSSZr	= 3607,
+    X86_VFMSUBSSr132m	= 3608,
+    X86_VFMSUBSSr132r	= 3609,
+    X86_VFMSUBSSr213m	= 3610,
+    X86_VFMSUBSSr213r	= 3611,
+    X86_VFMSUBSSr231m	= 3612,
+    X86_VFMSUBSSr231r	= 3613,
+    X86_VFNMADD132PDZm	= 3614,
+    X86_VFNMADD132PDZmb	= 3615,
+    X86_VFNMADD132PSZm	= 3616,
+    X86_VFNMADD132PSZmb	= 3617,
+    X86_VFNMADD213PDZm	= 3618,
+    X86_VFNMADD213PDZmb	= 3619,
+    X86_VFNMADD213PDZr	= 3620,
+    X86_VFNMADD213PDZrk	= 3621,
+    X86_VFNMADD213PDZrkz	= 3622,
+    X86_VFNMADD213PSZm	= 3623,
+    X86_VFNMADD213PSZmb	= 3624,
+    X86_VFNMADD213PSZr	= 3625,
+    X86_VFNMADD213PSZrk	= 3626,
+    X86_VFNMADD213PSZrkz	= 3627,
+    X86_VFNMADDPD4mr	= 3628,
+    X86_VFNMADDPD4mrY	= 3629,
+    X86_VFNMADDPD4rm	= 3630,
+    X86_VFNMADDPD4rmY	= 3631,
+    X86_VFNMADDPD4rr	= 3632,
+    X86_VFNMADDPD4rrY	= 3633,
+    X86_VFNMADDPD4rrY_REV	= 3634,
+    X86_VFNMADDPD4rr_REV	= 3635,
+    X86_VFNMADDPDr132m	= 3636,
+    X86_VFNMADDPDr132mY	= 3637,
+    X86_VFNMADDPDr132r	= 3638,
+    X86_VFNMADDPDr132rY	= 3639,
+    X86_VFNMADDPDr213m	= 3640,
+    X86_VFNMADDPDr213mY	= 3641,
+    X86_VFNMADDPDr213r	= 3642,
+    X86_VFNMADDPDr213rY	= 3643,
+    X86_VFNMADDPDr231m	= 3644,
+    X86_VFNMADDPDr231mY	= 3645,
+    X86_VFNMADDPDr231r	= 3646,
+    X86_VFNMADDPDr231rY	= 3647,
+    X86_VFNMADDPS4mr	= 3648,
+    X86_VFNMADDPS4mrY	= 3649,
+    X86_VFNMADDPS4rm	= 3650,
+    X86_VFNMADDPS4rmY	= 3651,
+    X86_VFNMADDPS4rr	= 3652,
+    X86_VFNMADDPS4rrY	= 3653,
+    X86_VFNMADDPS4rrY_REV	= 3654,
+    X86_VFNMADDPS4rr_REV	= 3655,
+    X86_VFNMADDPSr132m	= 3656,
+    X86_VFNMADDPSr132mY	= 3657,
+    X86_VFNMADDPSr132r	= 3658,
+    X86_VFNMADDPSr132rY	= 3659,
+    X86_VFNMADDPSr213m	= 3660,
+    X86_VFNMADDPSr213mY	= 3661,
+    X86_VFNMADDPSr213r	= 3662,
+    X86_VFNMADDPSr213rY	= 3663,
+    X86_VFNMADDPSr231m	= 3664,
+    X86_VFNMADDPSr231mY	= 3665,
+    X86_VFNMADDPSr231r	= 3666,
+    X86_VFNMADDPSr231rY	= 3667,
+    X86_VFNMADDSD4mr	= 3668,
+    X86_VFNMADDSD4mr_Int	= 3669,
+    X86_VFNMADDSD4rm	= 3670,
+    X86_VFNMADDSD4rm_Int	= 3671,
+    X86_VFNMADDSD4rr	= 3672,
+    X86_VFNMADDSD4rr_Int	= 3673,
+    X86_VFNMADDSD4rr_REV	= 3674,
+    X86_VFNMADDSDZm	= 3675,
+    X86_VFNMADDSDZr	= 3676,
+    X86_VFNMADDSDr132m	= 3677,
+    X86_VFNMADDSDr132r	= 3678,
+    X86_VFNMADDSDr213m	= 3679,
+    X86_VFNMADDSDr213r	= 3680,
+    X86_VFNMADDSDr231m	= 3681,
+    X86_VFNMADDSDr231r	= 3682,
+    X86_VFNMADDSS4mr	= 3683,
+    X86_VFNMADDSS4mr_Int	= 3684,
+    X86_VFNMADDSS4rm	= 3685,
+    X86_VFNMADDSS4rm_Int	= 3686,
+    X86_VFNMADDSS4rr	= 3687,
+    X86_VFNMADDSS4rr_Int	= 3688,
+    X86_VFNMADDSS4rr_REV	= 3689,
+    X86_VFNMADDSSZm	= 3690,
+    X86_VFNMADDSSZr	= 3691,
+    X86_VFNMADDSSr132m	= 3692,
+    X86_VFNMADDSSr132r	= 3693,
+    X86_VFNMADDSSr213m	= 3694,
+    X86_VFNMADDSSr213r	= 3695,
+    X86_VFNMADDSSr231m	= 3696,
+    X86_VFNMADDSSr231r	= 3697,
+    X86_VFNMSUB132PDZm	= 3698,
+    X86_VFNMSUB132PDZmb	= 3699,
+    X86_VFNMSUB132PSZm	= 3700,
+    X86_VFNMSUB132PSZmb	= 3701,
+    X86_VFNMSUB213PDZm	= 3702,
+    X86_VFNMSUB213PDZmb	= 3703,
+    X86_VFNMSUB213PDZr	= 3704,
+    X86_VFNMSUB213PDZrk	= 3705,
+    X86_VFNMSUB213PDZrkz	= 3706,
+    X86_VFNMSUB213PSZm	= 3707,
+    X86_VFNMSUB213PSZmb	= 3708,
+    X86_VFNMSUB213PSZr	= 3709,
+    X86_VFNMSUB213PSZrk	= 3710,
+    X86_VFNMSUB213PSZrkz	= 3711,
+    X86_VFNMSUBPD4mr	= 3712,
+    X86_VFNMSUBPD4mrY	= 3713,
+    X86_VFNMSUBPD4rm	= 3714,
+    X86_VFNMSUBPD4rmY	= 3715,
+    X86_VFNMSUBPD4rr	= 3716,
+    X86_VFNMSUBPD4rrY	= 3717,
+    X86_VFNMSUBPD4rrY_REV	= 3718,
+    X86_VFNMSUBPD4rr_REV	= 3719,
+    X86_VFNMSUBPDr132m	= 3720,
+    X86_VFNMSUBPDr132mY	= 3721,
+    X86_VFNMSUBPDr132r	= 3722,
+    X86_VFNMSUBPDr132rY	= 3723,
+    X86_VFNMSUBPDr213m	= 3724,
+    X86_VFNMSUBPDr213mY	= 3725,
+    X86_VFNMSUBPDr213r	= 3726,
+    X86_VFNMSUBPDr213rY	= 3727,
+    X86_VFNMSUBPDr231m	= 3728,
+    X86_VFNMSUBPDr231mY	= 3729,
+    X86_VFNMSUBPDr231r	= 3730,
+    X86_VFNMSUBPDr231rY	= 3731,
+    X86_VFNMSUBPS4mr	= 3732,
+    X86_VFNMSUBPS4mrY	= 3733,
+    X86_VFNMSUBPS4rm	= 3734,
+    X86_VFNMSUBPS4rmY	= 3735,
+    X86_VFNMSUBPS4rr	= 3736,
+    X86_VFNMSUBPS4rrY	= 3737,
+    X86_VFNMSUBPS4rrY_REV	= 3738,
+    X86_VFNMSUBPS4rr_REV	= 3739,
+    X86_VFNMSUBPSr132m	= 3740,
+    X86_VFNMSUBPSr132mY	= 3741,
+    X86_VFNMSUBPSr132r	= 3742,
+    X86_VFNMSUBPSr132rY	= 3743,
+    X86_VFNMSUBPSr213m	= 3744,
+    X86_VFNMSUBPSr213mY	= 3745,
+    X86_VFNMSUBPSr213r	= 3746,
+    X86_VFNMSUBPSr213rY	= 3747,
+    X86_VFNMSUBPSr231m	= 3748,
+    X86_VFNMSUBPSr231mY	= 3749,
+    X86_VFNMSUBPSr231r	= 3750,
+    X86_VFNMSUBPSr231rY	= 3751,
+    X86_VFNMSUBSD4mr	= 3752,
+    X86_VFNMSUBSD4mr_Int	= 3753,
+    X86_VFNMSUBSD4rm	= 3754,
+    X86_VFNMSUBSD4rm_Int	= 3755,
+    X86_VFNMSUBSD4rr	= 3756,
+    X86_VFNMSUBSD4rr_Int	= 3757,
+    X86_VFNMSUBSD4rr_REV	= 3758,
+    X86_VFNMSUBSDZm	= 3759,
+    X86_VFNMSUBSDZr	= 3760,
+    X86_VFNMSUBSDr132m	= 3761,
+    X86_VFNMSUBSDr132r	= 3762,
+    X86_VFNMSUBSDr213m	= 3763,
+    X86_VFNMSUBSDr213r	= 3764,
+    X86_VFNMSUBSDr231m	= 3765,
+    X86_VFNMSUBSDr231r	= 3766,
+    X86_VFNMSUBSS4mr	= 3767,
+    X86_VFNMSUBSS4mr_Int	= 3768,
+    X86_VFNMSUBSS4rm	= 3769,
+    X86_VFNMSUBSS4rm_Int	= 3770,
+    X86_VFNMSUBSS4rr	= 3771,
+    X86_VFNMSUBSS4rr_Int	= 3772,
+    X86_VFNMSUBSS4rr_REV	= 3773,
+    X86_VFNMSUBSSZm	= 3774,
+    X86_VFNMSUBSSZr	= 3775,
+    X86_VFNMSUBSSr132m	= 3776,
+    X86_VFNMSUBSSr132r	= 3777,
+    X86_VFNMSUBSSr213m	= 3778,
+    X86_VFNMSUBSSr213r	= 3779,
+    X86_VFNMSUBSSr231m	= 3780,
+    X86_VFNMSUBSSr231r	= 3781,
+    X86_VFRCZPDrm	= 3782,
+    X86_VFRCZPDrmY	= 3783,
+    X86_VFRCZPDrr	= 3784,
+    X86_VFRCZPDrrY	= 3785,
+    X86_VFRCZPSrm	= 3786,
+    X86_VFRCZPSrmY	= 3787,
+    X86_VFRCZPSrr	= 3788,
+    X86_VFRCZPSrrY	= 3789,
+    X86_VFRCZSDrm	= 3790,
+    X86_VFRCZSDrr	= 3791,
+    X86_VFRCZSSrm	= 3792,
+    X86_VFRCZSSrr	= 3793,
+    X86_VFsANDNPDrm	= 3794,
+    X86_VFsANDNPDrr	= 3795,
+    X86_VFsANDNPSrm	= 3796,
+    X86_VFsANDNPSrr	= 3797,
+    X86_VFsANDPDrm	= 3798,
+    X86_VFsANDPDrr	= 3799,
+    X86_VFsANDPSrm	= 3800,
+    X86_VFsANDPSrr	= 3801,
+    X86_VFsORPDrm	= 3802,
+    X86_VFsORPDrr	= 3803,
+    X86_VFsORPSrm	= 3804,
+    X86_VFsORPSrr	= 3805,
+    X86_VFsXORPDrm	= 3806,
+    X86_VFsXORPDrr	= 3807,
+    X86_VFsXORPSrm	= 3808,
+    X86_VFsXORPSrr	= 3809,
+    X86_VGATHERDPDYrm	= 3810,
+    X86_VGATHERDPDZrm	= 3811,
+    X86_VGATHERDPDrm	= 3812,
+    X86_VGATHERDPSYrm	= 3813,
+    X86_VGATHERDPSZrm	= 3814,
+    X86_VGATHERDPSrm	= 3815,
+    X86_VGATHERPF0DPDm	= 3816,
+    X86_VGATHERPF0DPSm	= 3817,
+    X86_VGATHERPF0QPDm	= 3818,
+    X86_VGATHERPF0QPSm	= 3819,
+    X86_VGATHERPF1DPDm	= 3820,
+    X86_VGATHERPF1DPSm	= 3821,
+    X86_VGATHERPF1QPDm	= 3822,
+    X86_VGATHERPF1QPSm	= 3823,
+    X86_VGATHERQPDYrm	= 3824,
+    X86_VGATHERQPDZrm	= 3825,
+    X86_VGATHERQPDrm	= 3826,
+    X86_VGATHERQPSYrm	= 3827,
+    X86_VGATHERQPSZrm	= 3828,
+    X86_VGATHERQPSrm	= 3829,
+    X86_VHADDPDYrm	= 3830,
+    X86_VHADDPDYrr	= 3831,
+    X86_VHADDPDrm	= 3832,
+    X86_VHADDPDrr	= 3833,
+    X86_VHADDPSYrm	= 3834,
+    X86_VHADDPSYrr	= 3835,
+    X86_VHADDPSrm	= 3836,
+    X86_VHADDPSrr	= 3837,
+    X86_VHSUBPDYrm	= 3838,
+    X86_VHSUBPDYrr	= 3839,
+    X86_VHSUBPDrm	= 3840,
+    X86_VHSUBPDrr	= 3841,
+    X86_VHSUBPSYrm	= 3842,
+    X86_VHSUBPSYrr	= 3843,
+    X86_VHSUBPSrm	= 3844,
+    X86_VHSUBPSrr	= 3845,
+    X86_VINSERTF128rm	= 3846,
+    X86_VINSERTF128rr	= 3847,
+    X86_VINSERTF32x4rm	= 3848,
+    X86_VINSERTF32x4rr	= 3849,
+    X86_VINSERTF64x4rm	= 3850,
+    X86_VINSERTF64x4rr	= 3851,
+    X86_VINSERTI128rm	= 3852,
+    X86_VINSERTI128rr	= 3853,
+    X86_VINSERTI32x4rm	= 3854,
+    X86_VINSERTI32x4rr	= 3855,
+    X86_VINSERTI64x4rm	= 3856,
+    X86_VINSERTI64x4rr	= 3857,
+    X86_VINSERTPSrm	= 3858,
+    X86_VINSERTPSrr	= 3859,
+    X86_VINSERTPSzrm	= 3860,
+    X86_VINSERTPSzrr	= 3861,
+    X86_VLDDQUYrm	= 3862,
+    X86_VLDDQUrm	= 3863,
+    X86_VLDMXCSR	= 3864,
+    X86_VMASKMOVDQU	= 3865,
+    X86_VMASKMOVDQU64	= 3866,
+    X86_VMASKMOVPDYmr	= 3867,
+    X86_VMASKMOVPDYrm	= 3868,
+    X86_VMASKMOVPDmr	= 3869,
+    X86_VMASKMOVPDrm	= 3870,
+    X86_VMASKMOVPSYmr	= 3871,
+    X86_VMASKMOVPSYrm	= 3872,
+    X86_VMASKMOVPSmr	= 3873,
+    X86_VMASKMOVPSrm	= 3874,
+    X86_VMAXCPDYrm	= 3875,
+    X86_VMAXCPDYrr	= 3876,
+    X86_VMAXCPDrm	= 3877,
+    X86_VMAXCPDrr	= 3878,
+    X86_VMAXCPSYrm	= 3879,
+    X86_VMAXCPSYrr	= 3880,
+    X86_VMAXCPSrm	= 3881,
+    X86_VMAXCPSrr	= 3882,
+    X86_VMAXCSDrm	= 3883,
+    X86_VMAXCSDrr	= 3884,
+    X86_VMAXCSSrm	= 3885,
+    X86_VMAXCSSrr	= 3886,
+    X86_VMAXPDYrm	= 3887,
+    X86_VMAXPDYrr	= 3888,
+    X86_VMAXPDZrm	= 3889,
+    X86_VMAXPDZrmb	= 3890,
+    X86_VMAXPDZrmbk	= 3891,
+    X86_VMAXPDZrmbkz	= 3892,
+    X86_VMAXPDZrmk	= 3893,
+    X86_VMAXPDZrmkz	= 3894,
+    X86_VMAXPDZrr	= 3895,
+    X86_VMAXPDZrrk	= 3896,
+    X86_VMAXPDZrrkz	= 3897,
+    X86_VMAXPDrm	= 3898,
+    X86_VMAXPDrr	= 3899,
+    X86_VMAXPSYrm	= 3900,
+    X86_VMAXPSYrr	= 3901,
+    X86_VMAXPSZrm	= 3902,
+    X86_VMAXPSZrmb	= 3903,
+    X86_VMAXPSZrmbk	= 3904,
+    X86_VMAXPSZrmbkz	= 3905,
+    X86_VMAXPSZrmk	= 3906,
+    X86_VMAXPSZrmkz	= 3907,
+    X86_VMAXPSZrr	= 3908,
+    X86_VMAXPSZrrk	= 3909,
+    X86_VMAXPSZrrkz	= 3910,
+    X86_VMAXPSrm	= 3911,
+    X86_VMAXPSrr	= 3912,
+    X86_VMAXSDZrm	= 3913,
+    X86_VMAXSDZrr	= 3914,
+    X86_VMAXSDrm	= 3915,
+    X86_VMAXSDrm_Int	= 3916,
+    X86_VMAXSDrr	= 3917,
+    X86_VMAXSDrr_Int	= 3918,
+    X86_VMAXSSZrm	= 3919,
+    X86_VMAXSSZrr	= 3920,
+    X86_VMAXSSrm	= 3921,
+    X86_VMAXSSrm_Int	= 3922,
+    X86_VMAXSSrr	= 3923,
+    X86_VMAXSSrr_Int	= 3924,
+    X86_VMCALL	= 3925,
+    X86_VMCLEARm	= 3926,
+    X86_VMFUNC	= 3927,
+    X86_VMINCPDYrm	= 3928,
+    X86_VMINCPDYrr	= 3929,
+    X86_VMINCPDrm	= 3930,
+    X86_VMINCPDrr	= 3931,
+    X86_VMINCPSYrm	= 3932,
+    X86_VMINCPSYrr	= 3933,
+    X86_VMINCPSrm	= 3934,
+    X86_VMINCPSrr	= 3935,
+    X86_VMINCSDrm	= 3936,
+    X86_VMINCSDrr	= 3937,
+    X86_VMINCSSrm	= 3938,
+    X86_VMINCSSrr	= 3939,
+    X86_VMINPDYrm	= 3940,
+    X86_VMINPDYrr	= 3941,
+    X86_VMINPDZrm	= 3942,
+    X86_VMINPDZrmb	= 3943,
+    X86_VMINPDZrmbk	= 3944,
+    X86_VMINPDZrmbkz	= 3945,
+    X86_VMINPDZrmk	= 3946,
+    X86_VMINPDZrmkz	= 3947,
+    X86_VMINPDZrr	= 3948,
+    X86_VMINPDZrrk	= 3949,
+    X86_VMINPDZrrkz	= 3950,
+    X86_VMINPDrm	= 3951,
+    X86_VMINPDrr	= 3952,
+    X86_VMINPSYrm	= 3953,
+    X86_VMINPSYrr	= 3954,
+    X86_VMINPSZrm	= 3955,
+    X86_VMINPSZrmb	= 3956,
+    X86_VMINPSZrmbk	= 3957,
+    X86_VMINPSZrmbkz	= 3958,
+    X86_VMINPSZrmk	= 3959,
+    X86_VMINPSZrmkz	= 3960,
+    X86_VMINPSZrr	= 3961,
+    X86_VMINPSZrrk	= 3962,
+    X86_VMINPSZrrkz	= 3963,
+    X86_VMINPSrm	= 3964,
+    X86_VMINPSrr	= 3965,
+    X86_VMINSDZrm	= 3966,
+    X86_VMINSDZrr	= 3967,
+    X86_VMINSDrm	= 3968,
+    X86_VMINSDrm_Int	= 3969,
+    X86_VMINSDrr	= 3970,
+    X86_VMINSDrr_Int	= 3971,
+    X86_VMINSSZrm	= 3972,
+    X86_VMINSSZrr	= 3973,
+    X86_VMINSSrm	= 3974,
+    X86_VMINSSrm_Int	= 3975,
+    X86_VMINSSrr	= 3976,
+    X86_VMINSSrr_Int	= 3977,
+    X86_VMLAUNCH	= 3978,
+    X86_VMLOAD32	= 3979,
+    X86_VMLOAD64	= 3980,
+    X86_VMMCALL	= 3981,
+    X86_VMOV64toPQIZrr	= 3982,
+    X86_VMOV64toPQIrr	= 3983,
+    X86_VMOV64toSDZrr	= 3984,
+    X86_VMOV64toSDrm	= 3985,
+    X86_VMOV64toSDrr	= 3986,
+    X86_VMOVAPDYmr	= 3987,
+    X86_VMOVAPDYrm	= 3988,
+    X86_VMOVAPDYrr	= 3989,
+    X86_VMOVAPDYrr_REV	= 3990,
+    X86_VMOVAPDZ128mr	= 3991,
+    X86_VMOVAPDZ128mrk	= 3992,
+    X86_VMOVAPDZ128rm	= 3993,
+    X86_VMOVAPDZ128rmk	= 3994,
+    X86_VMOVAPDZ128rmkz	= 3995,
+    X86_VMOVAPDZ128rr	= 3996,
+    X86_VMOVAPDZ128rr_alt	= 3997,
+    X86_VMOVAPDZ128rrk	= 3998,
+    X86_VMOVAPDZ128rrk_alt	= 3999,
+    X86_VMOVAPDZ128rrkz	= 4000,
+    X86_VMOVAPDZ128rrkz_alt	= 4001,
+    X86_VMOVAPDZ256mr	= 4002,
+    X86_VMOVAPDZ256mrk	= 4003,
+    X86_VMOVAPDZ256rm	= 4004,
+    X86_VMOVAPDZ256rmk	= 4005,
+    X86_VMOVAPDZ256rmkz	= 4006,
+    X86_VMOVAPDZ256rr	= 4007,
+    X86_VMOVAPDZ256rr_alt	= 4008,
+    X86_VMOVAPDZ256rrk	= 4009,
+    X86_VMOVAPDZ256rrk_alt	= 4010,
+    X86_VMOVAPDZ256rrkz	= 4011,
+    X86_VMOVAPDZ256rrkz_alt	= 4012,
+    X86_VMOVAPDZmr	= 4013,
+    X86_VMOVAPDZmrk	= 4014,
+    X86_VMOVAPDZrm	= 4015,
+    X86_VMOVAPDZrmk	= 4016,
+    X86_VMOVAPDZrmkz	= 4017,
+    X86_VMOVAPDZrr	= 4018,
+    X86_VMOVAPDZrr_alt	= 4019,
+    X86_VMOVAPDZrrk	= 4020,
+    X86_VMOVAPDZrrk_alt	= 4021,
+    X86_VMOVAPDZrrkz	= 4022,
+    X86_VMOVAPDZrrkz_alt	= 4023,
+    X86_VMOVAPDmr	= 4024,
+    X86_VMOVAPDrm	= 4025,
+    X86_VMOVAPDrr	= 4026,
+    X86_VMOVAPDrr_REV	= 4027,
+    X86_VMOVAPSYmr	= 4028,
+    X86_VMOVAPSYrm	= 4029,
+    X86_VMOVAPSYrr	= 4030,
+    X86_VMOVAPSYrr_REV	= 4031,
+    X86_VMOVAPSZ128mr	= 4032,
+    X86_VMOVAPSZ128mrk	= 4033,
+    X86_VMOVAPSZ128rm	= 4034,
+    X86_VMOVAPSZ128rmk	= 4035,
+    X86_VMOVAPSZ128rmkz	= 4036,
+    X86_VMOVAPSZ128rr	= 4037,
+    X86_VMOVAPSZ128rr_alt	= 4038,
+    X86_VMOVAPSZ128rrk	= 4039,
+    X86_VMOVAPSZ128rrk_alt	= 4040,
+    X86_VMOVAPSZ128rrkz	= 4041,
+    X86_VMOVAPSZ128rrkz_alt	= 4042,
+    X86_VMOVAPSZ256mr	= 4043,
+    X86_VMOVAPSZ256mrk	= 4044,
+    X86_VMOVAPSZ256rm	= 4045,
+    X86_VMOVAPSZ256rmk	= 4046,
+    X86_VMOVAPSZ256rmkz	= 4047,
+    X86_VMOVAPSZ256rr	= 4048,
+    X86_VMOVAPSZ256rr_alt	= 4049,
+    X86_VMOVAPSZ256rrk	= 4050,
+    X86_VMOVAPSZ256rrk_alt	= 4051,
+    X86_VMOVAPSZ256rrkz	= 4052,
+    X86_VMOVAPSZ256rrkz_alt	= 4053,
+    X86_VMOVAPSZmr	= 4054,
+    X86_VMOVAPSZmrk	= 4055,
+    X86_VMOVAPSZrm	= 4056,
+    X86_VMOVAPSZrmk	= 4057,
+    X86_VMOVAPSZrmkz	= 4058,
+    X86_VMOVAPSZrr	= 4059,
+    X86_VMOVAPSZrr_alt	= 4060,
+    X86_VMOVAPSZrrk	= 4061,
+    X86_VMOVAPSZrrk_alt	= 4062,
+    X86_VMOVAPSZrrkz	= 4063,
+    X86_VMOVAPSZrrkz_alt	= 4064,
+    X86_VMOVAPSmr	= 4065,
+    X86_VMOVAPSrm	= 4066,
+    X86_VMOVAPSrr	= 4067,
+    X86_VMOVAPSrr_REV	= 4068,
+    X86_VMOVDDUPYrm	= 4069,
+    X86_VMOVDDUPYrr	= 4070,
+    X86_VMOVDDUPZrm	= 4071,
+    X86_VMOVDDUPZrr	= 4072,
+    X86_VMOVDDUPrm	= 4073,
+    X86_VMOVDDUPrr	= 4074,
+    X86_VMOVDI2PDIZrm	= 4075,
+    X86_VMOVDI2PDIZrr	= 4076,
+    X86_VMOVDI2PDIrm	= 4077,
+    X86_VMOVDI2PDIrr	= 4078,
+    X86_VMOVDI2SSZrm	= 4079,
+    X86_VMOVDI2SSZrr	= 4080,
+    X86_VMOVDI2SSrm	= 4081,
+    X86_VMOVDI2SSrr	= 4082,
+    X86_VMOVDQA32Z128mr	= 4083,
+    X86_VMOVDQA32Z128mrk	= 4084,
+    X86_VMOVDQA32Z128rm	= 4085,
+    X86_VMOVDQA32Z128rmk	= 4086,
+    X86_VMOVDQA32Z128rmkz	= 4087,
+    X86_VMOVDQA32Z128rr	= 4088,
+    X86_VMOVDQA32Z128rr_alt	= 4089,
+    X86_VMOVDQA32Z128rrk	= 4090,
+    X86_VMOVDQA32Z128rrk_alt	= 4091,
+    X86_VMOVDQA32Z128rrkz	= 4092,
+    X86_VMOVDQA32Z128rrkz_alt	= 4093,
+    X86_VMOVDQA32Z256mr	= 4094,
+    X86_VMOVDQA32Z256mrk	= 4095,
+    X86_VMOVDQA32Z256rm	= 4096,
+    X86_VMOVDQA32Z256rmk	= 4097,
+    X86_VMOVDQA32Z256rmkz	= 4098,
+    X86_VMOVDQA32Z256rr	= 4099,
+    X86_VMOVDQA32Z256rr_alt	= 4100,
+    X86_VMOVDQA32Z256rrk	= 4101,
+    X86_VMOVDQA32Z256rrk_alt	= 4102,
+    X86_VMOVDQA32Z256rrkz	= 4103,
+    X86_VMOVDQA32Z256rrkz_alt	= 4104,
+    X86_VMOVDQA32Zmr	= 4105,
+    X86_VMOVDQA32Zmrk	= 4106,
+    X86_VMOVDQA32Zrm	= 4107,
+    X86_VMOVDQA32Zrmk	= 4108,
+    X86_VMOVDQA32Zrmkz	= 4109,
+    X86_VMOVDQA32Zrr	= 4110,
+    X86_VMOVDQA32Zrr_alt	= 4111,
+    X86_VMOVDQA32Zrrk	= 4112,
+    X86_VMOVDQA32Zrrk_alt	= 4113,
+    X86_VMOVDQA32Zrrkz	= 4114,
+    X86_VMOVDQA32Zrrkz_alt	= 4115,
+    X86_VMOVDQA64Z128mr	= 4116,
+    X86_VMOVDQA64Z128mrk	= 4117,
+    X86_VMOVDQA64Z128rm	= 4118,
+    X86_VMOVDQA64Z128rmk	= 4119,
+    X86_VMOVDQA64Z128rmkz	= 4120,
+    X86_VMOVDQA64Z128rr	= 4121,
+    X86_VMOVDQA64Z128rr_alt	= 4122,
+    X86_VMOVDQA64Z128rrk	= 4123,
+    X86_VMOVDQA64Z128rrk_alt	= 4124,
+    X86_VMOVDQA64Z128rrkz	= 4125,
+    X86_VMOVDQA64Z128rrkz_alt	= 4126,
+    X86_VMOVDQA64Z256mr	= 4127,
+    X86_VMOVDQA64Z256mrk	= 4128,
+    X86_VMOVDQA64Z256rm	= 4129,
+    X86_VMOVDQA64Z256rmk	= 4130,
+    X86_VMOVDQA64Z256rmkz	= 4131,
+    X86_VMOVDQA64Z256rr	= 4132,
+    X86_VMOVDQA64Z256rr_alt	= 4133,
+    X86_VMOVDQA64Z256rrk	= 4134,
+    X86_VMOVDQA64Z256rrk_alt	= 4135,
+    X86_VMOVDQA64Z256rrkz	= 4136,
+    X86_VMOVDQA64Z256rrkz_alt	= 4137,
+    X86_VMOVDQA64Zmr	= 4138,
+    X86_VMOVDQA64Zmrk	= 4139,
+    X86_VMOVDQA64Zrm	= 4140,
+    X86_VMOVDQA64Zrmk	= 4141,
+    X86_VMOVDQA64Zrmkz	= 4142,
+    X86_VMOVDQA64Zrr	= 4143,
+    X86_VMOVDQA64Zrr_alt	= 4144,
+    X86_VMOVDQA64Zrrk	= 4145,
+    X86_VMOVDQA64Zrrk_alt	= 4146,
+    X86_VMOVDQA64Zrrkz	= 4147,
+    X86_VMOVDQA64Zrrkz_alt	= 4148,
+    X86_VMOVDQAYmr	= 4149,
+    X86_VMOVDQAYrm	= 4150,
+    X86_VMOVDQAYrr	= 4151,
+    X86_VMOVDQAYrr_REV	= 4152,
+    X86_VMOVDQAmr	= 4153,
+    X86_VMOVDQArm	= 4154,
+    X86_VMOVDQArr	= 4155,
+    X86_VMOVDQArr_REV	= 4156,
+    X86_VMOVDQU16Z128mr	= 4157,
+    X86_VMOVDQU16Z128mrk	= 4158,
+    X86_VMOVDQU16Z128rm	= 4159,
+    X86_VMOVDQU16Z128rmk	= 4160,
+    X86_VMOVDQU16Z128rmkz	= 4161,
+    X86_VMOVDQU16Z128rr	= 4162,
+    X86_VMOVDQU16Z128rr_alt	= 4163,
+    X86_VMOVDQU16Z128rrk	= 4164,
+    X86_VMOVDQU16Z128rrk_alt	= 4165,
+    X86_VMOVDQU16Z128rrkz	= 4166,
+    X86_VMOVDQU16Z128rrkz_alt	= 4167,
+    X86_VMOVDQU16Z256mr	= 4168,
+    X86_VMOVDQU16Z256mrk	= 4169,
+    X86_VMOVDQU16Z256rm	= 4170,
+    X86_VMOVDQU16Z256rmk	= 4171,
+    X86_VMOVDQU16Z256rmkz	= 4172,
+    X86_VMOVDQU16Z256rr	= 4173,
+    X86_VMOVDQU16Z256rr_alt	= 4174,
+    X86_VMOVDQU16Z256rrk	= 4175,
+    X86_VMOVDQU16Z256rrk_alt	= 4176,
+    X86_VMOVDQU16Z256rrkz	= 4177,
+    X86_VMOVDQU16Z256rrkz_alt	= 4178,
+    X86_VMOVDQU16Zmr	= 4179,
+    X86_VMOVDQU16Zmrk	= 4180,
+    X86_VMOVDQU16Zrm	= 4181,
+    X86_VMOVDQU16Zrmk	= 4182,
+    X86_VMOVDQU16Zrmkz	= 4183,
+    X86_VMOVDQU16Zrr	= 4184,
+    X86_VMOVDQU16Zrr_alt	= 4185,
+    X86_VMOVDQU16Zrrk	= 4186,
+    X86_VMOVDQU16Zrrk_alt	= 4187,
+    X86_VMOVDQU16Zrrkz	= 4188,
+    X86_VMOVDQU16Zrrkz_alt	= 4189,
+    X86_VMOVDQU32Z128mr	= 4190,
+    X86_VMOVDQU32Z128mrk	= 4191,
+    X86_VMOVDQU32Z128rm	= 4192,
+    X86_VMOVDQU32Z128rmk	= 4193,
+    X86_VMOVDQU32Z128rmkz	= 4194,
+    X86_VMOVDQU32Z128rr	= 4195,
+    X86_VMOVDQU32Z128rr_alt	= 4196,
+    X86_VMOVDQU32Z128rrk	= 4197,
+    X86_VMOVDQU32Z128rrk_alt	= 4198,
+    X86_VMOVDQU32Z128rrkz	= 4199,
+    X86_VMOVDQU32Z128rrkz_alt	= 4200,
+    X86_VMOVDQU32Z256mr	= 4201,
+    X86_VMOVDQU32Z256mrk	= 4202,
+    X86_VMOVDQU32Z256rm	= 4203,
+    X86_VMOVDQU32Z256rmk	= 4204,
+    X86_VMOVDQU32Z256rmkz	= 4205,
+    X86_VMOVDQU32Z256rr	= 4206,
+    X86_VMOVDQU32Z256rr_alt	= 4207,
+    X86_VMOVDQU32Z256rrk	= 4208,
+    X86_VMOVDQU32Z256rrk_alt	= 4209,
+    X86_VMOVDQU32Z256rrkz	= 4210,
+    X86_VMOVDQU32Z256rrkz_alt	= 4211,
+    X86_VMOVDQU32Zmr	= 4212,
+    X86_VMOVDQU32Zmrk	= 4213,
+    X86_VMOVDQU32Zrm	= 4214,
+    X86_VMOVDQU32Zrmk	= 4215,
+    X86_VMOVDQU32Zrmkz	= 4216,
+    X86_VMOVDQU32Zrr	= 4217,
+    X86_VMOVDQU32Zrr_alt	= 4218,
+    X86_VMOVDQU32Zrrk	= 4219,
+    X86_VMOVDQU32Zrrk_alt	= 4220,
+    X86_VMOVDQU32Zrrkz	= 4221,
+    X86_VMOVDQU32Zrrkz_alt	= 4222,
+    X86_VMOVDQU64Z128mr	= 4223,
+    X86_VMOVDQU64Z128mrk	= 4224,
+    X86_VMOVDQU64Z128rm	= 4225,
+    X86_VMOVDQU64Z128rmk	= 4226,
+    X86_VMOVDQU64Z128rmkz	= 4227,
+    X86_VMOVDQU64Z128rr	= 4228,
+    X86_VMOVDQU64Z128rr_alt	= 4229,
+    X86_VMOVDQU64Z128rrk	= 4230,
+    X86_VMOVDQU64Z128rrk_alt	= 4231,
+    X86_VMOVDQU64Z128rrkz	= 4232,
+    X86_VMOVDQU64Z128rrkz_alt	= 4233,
+    X86_VMOVDQU64Z256mr	= 4234,
+    X86_VMOVDQU64Z256mrk	= 4235,
+    X86_VMOVDQU64Z256rm	= 4236,
+    X86_VMOVDQU64Z256rmk	= 4237,
+    X86_VMOVDQU64Z256rmkz	= 4238,
+    X86_VMOVDQU64Z256rr	= 4239,
+    X86_VMOVDQU64Z256rr_alt	= 4240,
+    X86_VMOVDQU64Z256rrk	= 4241,
+    X86_VMOVDQU64Z256rrk_alt	= 4242,
+    X86_VMOVDQU64Z256rrkz	= 4243,
+    X86_VMOVDQU64Z256rrkz_alt	= 4244,
+    X86_VMOVDQU64Zmr	= 4245,
+    X86_VMOVDQU64Zmrk	= 4246,
+    X86_VMOVDQU64Zrm	= 4247,
+    X86_VMOVDQU64Zrmk	= 4248,
+    X86_VMOVDQU64Zrmkz	= 4249,
+    X86_VMOVDQU64Zrr	= 4250,
+    X86_VMOVDQU64Zrr_alt	= 4251,
+    X86_VMOVDQU64Zrrk	= 4252,
+    X86_VMOVDQU64Zrrk_alt	= 4253,
+    X86_VMOVDQU64Zrrkz	= 4254,
+    X86_VMOVDQU64Zrrkz_alt	= 4255,
+    X86_VMOVDQU8Z128mr	= 4256,
+    X86_VMOVDQU8Z128mrk	= 4257,
+    X86_VMOVDQU8Z128rm	= 4258,
+    X86_VMOVDQU8Z128rmk	= 4259,
+    X86_VMOVDQU8Z128rmkz	= 4260,
+    X86_VMOVDQU8Z128rr	= 4261,
+    X86_VMOVDQU8Z128rr_alt	= 4262,
+    X86_VMOVDQU8Z128rrk	= 4263,
+    X86_VMOVDQU8Z128rrk_alt	= 4264,
+    X86_VMOVDQU8Z128rrkz	= 4265,
+    X86_VMOVDQU8Z128rrkz_alt	= 4266,
+    X86_VMOVDQU8Z256mr	= 4267,
+    X86_VMOVDQU8Z256mrk	= 4268,
+    X86_VMOVDQU8Z256rm	= 4269,
+    X86_VMOVDQU8Z256rmk	= 4270,
+    X86_VMOVDQU8Z256rmkz	= 4271,
+    X86_VMOVDQU8Z256rr	= 4272,
+    X86_VMOVDQU8Z256rr_alt	= 4273,
+    X86_VMOVDQU8Z256rrk	= 4274,
+    X86_VMOVDQU8Z256rrk_alt	= 4275,
+    X86_VMOVDQU8Z256rrkz	= 4276,
+    X86_VMOVDQU8Z256rrkz_alt	= 4277,
+    X86_VMOVDQU8Zmr	= 4278,
+    X86_VMOVDQU8Zmrk	= 4279,
+    X86_VMOVDQU8Zrm	= 4280,
+    X86_VMOVDQU8Zrmk	= 4281,
+    X86_VMOVDQU8Zrmkz	= 4282,
+    X86_VMOVDQU8Zrr	= 4283,
+    X86_VMOVDQU8Zrr_alt	= 4284,
+    X86_VMOVDQU8Zrrk	= 4285,
+    X86_VMOVDQU8Zrrk_alt	= 4286,
+    X86_VMOVDQU8Zrrkz	= 4287,
+    X86_VMOVDQU8Zrrkz_alt	= 4288,
+    X86_VMOVDQUYmr	= 4289,
+    X86_VMOVDQUYrm	= 4290,
+    X86_VMOVDQUYrr	= 4291,
+    X86_VMOVDQUYrr_REV	= 4292,
+    X86_VMOVDQUmr	= 4293,
+    X86_VMOVDQUrm	= 4294,
+    X86_VMOVDQUrr	= 4295,
+    X86_VMOVDQUrr_REV	= 4296,
+    X86_VMOVHLPSZrr	= 4297,
+    X86_VMOVHLPSrr	= 4298,
+    X86_VMOVHPDmr	= 4299,
+    X86_VMOVHPDrm	= 4300,
+    X86_VMOVHPSmr	= 4301,
+    X86_VMOVHPSrm	= 4302,
+    X86_VMOVLHPSZrr	= 4303,
+    X86_VMOVLHPSrr	= 4304,
+    X86_VMOVLPDmr	= 4305,
+    X86_VMOVLPDrm	= 4306,
+    X86_VMOVLPSmr	= 4307,
+    X86_VMOVLPSrm	= 4308,
+    X86_VMOVMSKPDYrr	= 4309,
+    X86_VMOVMSKPDrr	= 4310,
+    X86_VMOVMSKPSYrr	= 4311,
+    X86_VMOVMSKPSrr	= 4312,
+    X86_VMOVNTDQAYrm	= 4313,
+    X86_VMOVNTDQAZ128rm	= 4314,
+    X86_VMOVNTDQAZ256rm	= 4315,
+    X86_VMOVNTDQAZrm	= 4316,
+    X86_VMOVNTDQArm	= 4317,
+    X86_VMOVNTDQYmr	= 4318,
+    X86_VMOVNTDQZ128mr	= 4319,
+    X86_VMOVNTDQZ256mr	= 4320,
+    X86_VMOVNTDQZmr	= 4321,
+    X86_VMOVNTDQmr	= 4322,
+    X86_VMOVNTPDYmr	= 4323,
+    X86_VMOVNTPDZ128mr	= 4324,
+    X86_VMOVNTPDZ256mr	= 4325,
+    X86_VMOVNTPDZmr	= 4326,
+    X86_VMOVNTPDmr	= 4327,
+    X86_VMOVNTPSYmr	= 4328,
+    X86_VMOVNTPSZ128mr	= 4329,
+    X86_VMOVNTPSZ256mr	= 4330,
+    X86_VMOVNTPSZmr	= 4331,
+    X86_VMOVNTPSmr	= 4332,
+    X86_VMOVPDI2DIZmr	= 4333,
+    X86_VMOVPDI2DIZrr	= 4334,
+    X86_VMOVPDI2DImr	= 4335,
+    X86_VMOVPDI2DIrr	= 4336,
+    X86_VMOVPQI2QImr	= 4337,
+    X86_VMOVPQI2QIrr	= 4338,
+    X86_VMOVPQIto64Zmr	= 4339,
+    X86_VMOVPQIto64Zrr	= 4340,
+    X86_VMOVPQIto64rr	= 4341,
+    X86_VMOVQI2PQIZrm	= 4342,
+    X86_VMOVQI2PQIrm	= 4343,
+    X86_VMOVSDZmr	= 4344,
+    X86_VMOVSDZrm	= 4345,
+    X86_VMOVSDZrr	= 4346,
+    X86_VMOVSDZrr_REV	= 4347,
+    X86_VMOVSDZrrk	= 4348,
+    X86_VMOVSDmr	= 4349,
+    X86_VMOVSDrm	= 4350,
+    X86_VMOVSDrr	= 4351,
+    X86_VMOVSDrr_REV	= 4352,
+    X86_VMOVSDto64Zmr	= 4353,
+    X86_VMOVSDto64Zrr	= 4354,
+    X86_VMOVSDto64mr	= 4355,
+    X86_VMOVSDto64rr	= 4356,
+    X86_VMOVSHDUPYrm	= 4357,
+    X86_VMOVSHDUPYrr	= 4358,
+    X86_VMOVSHDUPZrm	= 4359,
+    X86_VMOVSHDUPZrr	= 4360,
+    X86_VMOVSHDUPrm	= 4361,
+    X86_VMOVSHDUPrr	= 4362,
+    X86_VMOVSLDUPYrm	= 4363,
+    X86_VMOVSLDUPYrr	= 4364,
+    X86_VMOVSLDUPZrm	= 4365,
+    X86_VMOVSLDUPZrr	= 4366,
+    X86_VMOVSLDUPrm	= 4367,
+    X86_VMOVSLDUPrr	= 4368,
+    X86_VMOVSS2DIZmr	= 4369,
+    X86_VMOVSS2DIZrr	= 4370,
+    X86_VMOVSS2DImr	= 4371,
+    X86_VMOVSS2DIrr	= 4372,
+    X86_VMOVSSZmr	= 4373,
+    X86_VMOVSSZrm	= 4374,
+    X86_VMOVSSZrr	= 4375,
+    X86_VMOVSSZrr_REV	= 4376,
+    X86_VMOVSSZrrk	= 4377,
+    X86_VMOVSSmr	= 4378,
+    X86_VMOVSSrm	= 4379,
+    X86_VMOVSSrr	= 4380,
+    X86_VMOVSSrr_REV	= 4381,
+    X86_VMOVUPDYmr	= 4382,
+    X86_VMOVUPDYrm	= 4383,
+    X86_VMOVUPDYrr	= 4384,
+    X86_VMOVUPDYrr_REV	= 4385,
+    X86_VMOVUPDZ128mr	= 4386,
+    X86_VMOVUPDZ128mrk	= 4387,
+    X86_VMOVUPDZ128rm	= 4388,
+    X86_VMOVUPDZ128rmk	= 4389,
+    X86_VMOVUPDZ128rmkz	= 4390,
+    X86_VMOVUPDZ128rr	= 4391,
+    X86_VMOVUPDZ128rr_alt	= 4392,
+    X86_VMOVUPDZ128rrk	= 4393,
+    X86_VMOVUPDZ128rrk_alt	= 4394,
+    X86_VMOVUPDZ128rrkz	= 4395,
+    X86_VMOVUPDZ128rrkz_alt	= 4396,
+    X86_VMOVUPDZ256mr	= 4397,
+    X86_VMOVUPDZ256mrk	= 4398,
+    X86_VMOVUPDZ256rm	= 4399,
+    X86_VMOVUPDZ256rmk	= 4400,
+    X86_VMOVUPDZ256rmkz	= 4401,
+    X86_VMOVUPDZ256rr	= 4402,
+    X86_VMOVUPDZ256rr_alt	= 4403,
+    X86_VMOVUPDZ256rrk	= 4404,
+    X86_VMOVUPDZ256rrk_alt	= 4405,
+    X86_VMOVUPDZ256rrkz	= 4406,
+    X86_VMOVUPDZ256rrkz_alt	= 4407,
+    X86_VMOVUPDZmr	= 4408,
+    X86_VMOVUPDZmrk	= 4409,
+    X86_VMOVUPDZrm	= 4410,
+    X86_VMOVUPDZrmk	= 4411,
+    X86_VMOVUPDZrmkz	= 4412,
+    X86_VMOVUPDZrr	= 4413,
+    X86_VMOVUPDZrr_alt	= 4414,
+    X86_VMOVUPDZrrk	= 4415,
+    X86_VMOVUPDZrrk_alt	= 4416,
+    X86_VMOVUPDZrrkz	= 4417,
+    X86_VMOVUPDZrrkz_alt	= 4418,
+    X86_VMOVUPDmr	= 4419,
+    X86_VMOVUPDrm	= 4420,
+    X86_VMOVUPDrr	= 4421,
+    X86_VMOVUPDrr_REV	= 4422,
+    X86_VMOVUPSYmr	= 4423,
+    X86_VMOVUPSYrm	= 4424,
+    X86_VMOVUPSYrr	= 4425,
+    X86_VMOVUPSYrr_REV	= 4426,
+    X86_VMOVUPSZ128mr	= 4427,
+    X86_VMOVUPSZ128mrk	= 4428,
+    X86_VMOVUPSZ128rm	= 4429,
+    X86_VMOVUPSZ128rmk	= 4430,
+    X86_VMOVUPSZ128rmkz	= 4431,
+    X86_VMOVUPSZ128rr	= 4432,
+    X86_VMOVUPSZ128rr_alt	= 4433,
+    X86_VMOVUPSZ128rrk	= 4434,
+    X86_VMOVUPSZ128rrk_alt	= 4435,
+    X86_VMOVUPSZ128rrkz	= 4436,
+    X86_VMOVUPSZ128rrkz_alt	= 4437,
+    X86_VMOVUPSZ256mr	= 4438,
+    X86_VMOVUPSZ256mrk	= 4439,
+    X86_VMOVUPSZ256rm	= 4440,
+    X86_VMOVUPSZ256rmk	= 4441,
+    X86_VMOVUPSZ256rmkz	= 4442,
+    X86_VMOVUPSZ256rr	= 4443,
+    X86_VMOVUPSZ256rr_alt	= 4444,
+    X86_VMOVUPSZ256rrk	= 4445,
+    X86_VMOVUPSZ256rrk_alt	= 4446,
+    X86_VMOVUPSZ256rrkz	= 4447,
+    X86_VMOVUPSZ256rrkz_alt	= 4448,
+    X86_VMOVUPSZmr	= 4449,
+    X86_VMOVUPSZmrk	= 4450,
+    X86_VMOVUPSZrm	= 4451,
+    X86_VMOVUPSZrmk	= 4452,
+    X86_VMOVUPSZrmkz	= 4453,
+    X86_VMOVUPSZrr	= 4454,
+    X86_VMOVUPSZrr_alt	= 4455,
+    X86_VMOVUPSZrrk	= 4456,
+    X86_VMOVUPSZrrk_alt	= 4457,
+    X86_VMOVUPSZrrkz	= 4458,
+    X86_VMOVUPSZrrkz_alt	= 4459,
+    X86_VMOVUPSmr	= 4460,
+    X86_VMOVUPSrm	= 4461,
+    X86_VMOVUPSrr	= 4462,
+    X86_VMOVUPSrr_REV	= 4463,
+    X86_VMOVZPQILo2PQIZrm	= 4464,
+    X86_VMOVZPQILo2PQIZrr	= 4465,
+    X86_VMOVZPQILo2PQIrm	= 4466,
+    X86_VMOVZPQILo2PQIrr	= 4467,
+    X86_VMOVZQI2PQIrm	= 4468,
+    X86_VMOVZQI2PQIrr	= 4469,
+    X86_VMPSADBWYrmi	= 4470,
+    X86_VMPSADBWYrri	= 4471,
+    X86_VMPSADBWrmi	= 4472,
+    X86_VMPSADBWrri	= 4473,
+    X86_VMPTRLDm	= 4474,
+    X86_VMPTRSTm	= 4475,
+    X86_VMREAD32rm	= 4476,
+    X86_VMREAD32rr	= 4477,
+    X86_VMREAD64rm	= 4478,
+    X86_VMREAD64rr	= 4479,
+    X86_VMRESUME	= 4480,
+    X86_VMRUN32	= 4481,
+    X86_VMRUN64	= 4482,
+    X86_VMSAVE32	= 4483,
+    X86_VMSAVE64	= 4484,
+    X86_VMULPDYrm	= 4485,
+    X86_VMULPDYrr	= 4486,
+    X86_VMULPDZrm	= 4487,
+    X86_VMULPDZrmb	= 4488,
+    X86_VMULPDZrmbk	= 4489,
+    X86_VMULPDZrmbkz	= 4490,
+    X86_VMULPDZrmk	= 4491,
+    X86_VMULPDZrmkz	= 4492,
+    X86_VMULPDZrr	= 4493,
+    X86_VMULPDZrrk	= 4494,
+    X86_VMULPDZrrkz	= 4495,
+    X86_VMULPDrm	= 4496,
+    X86_VMULPDrr	= 4497,
+    X86_VMULPSYrm	= 4498,
+    X86_VMULPSYrr	= 4499,
+    X86_VMULPSZrm	= 4500,
+    X86_VMULPSZrmb	= 4501,
+    X86_VMULPSZrmbk	= 4502,
+    X86_VMULPSZrmbkz	= 4503,
+    X86_VMULPSZrmk	= 4504,
+    X86_VMULPSZrmkz	= 4505,
+    X86_VMULPSZrr	= 4506,
+    X86_VMULPSZrrk	= 4507,
+    X86_VMULPSZrrkz	= 4508,
+    X86_VMULPSrm	= 4509,
+    X86_VMULPSrr	= 4510,
+    X86_VMULSDZrm	= 4511,
+    X86_VMULSDZrr	= 4512,
+    X86_VMULSDrm	= 4513,
+    X86_VMULSDrm_Int	= 4514,
+    X86_VMULSDrr	= 4515,
+    X86_VMULSDrr_Int	= 4516,
+    X86_VMULSSZrm	= 4517,
+    X86_VMULSSZrr	= 4518,
+    X86_VMULSSrm	= 4519,
+    X86_VMULSSrm_Int	= 4520,
+    X86_VMULSSrr	= 4521,
+    X86_VMULSSrr_Int	= 4522,
+    X86_VMWRITE32rm	= 4523,
+    X86_VMWRITE32rr	= 4524,
+    X86_VMWRITE64rm	= 4525,
+    X86_VMWRITE64rr	= 4526,
+    X86_VMXOFF	= 4527,
+    X86_VMXON	= 4528,
+    X86_VORPDYrm	= 4529,
+    X86_VORPDYrr	= 4530,
+    X86_VORPDrm	= 4531,
+    X86_VORPDrr	= 4532,
+    X86_VORPSYrm	= 4533,
+    X86_VORPSYrr	= 4534,
+    X86_VORPSrm	= 4535,
+    X86_VORPSrr	= 4536,
+    X86_VPABSBrm128	= 4537,
+    X86_VPABSBrm256	= 4538,
+    X86_VPABSBrr128	= 4539,
+    X86_VPABSBrr256	= 4540,
+    X86_VPABSDZrm	= 4541,
+    X86_VPABSDZrmb	= 4542,
+    X86_VPABSDZrmbk	= 4543,
+    X86_VPABSDZrmbkz	= 4544,
+    X86_VPABSDZrmk	= 4545,
+    X86_VPABSDZrmkz	= 4546,
+    X86_VPABSDZrr	= 4547,
+    X86_VPABSDZrrk	= 4548,
+    X86_VPABSDZrrkz	= 4549,
+    X86_VPABSDrm128	= 4550,
+    X86_VPABSDrm256	= 4551,
+    X86_VPABSDrr128	= 4552,
+    X86_VPABSDrr256	= 4553,
+    X86_VPABSQZrm	= 4554,
+    X86_VPABSQZrmb	= 4555,
+    X86_VPABSQZrmbk	= 4556,
+    X86_VPABSQZrmbkz	= 4557,
+    X86_VPABSQZrmk	= 4558,
+    X86_VPABSQZrmkz	= 4559,
+    X86_VPABSQZrr	= 4560,
+    X86_VPABSQZrrk	= 4561,
+    X86_VPABSQZrrkz	= 4562,
+    X86_VPABSWrm128	= 4563,
+    X86_VPABSWrm256	= 4564,
+    X86_VPABSWrr128	= 4565,
+    X86_VPABSWrr256	= 4566,
+    X86_VPACKSSDWYrm	= 4567,
+    X86_VPACKSSDWYrr	= 4568,
+    X86_VPACKSSDWrm	= 4569,
+    X86_VPACKSSDWrr	= 4570,
+    X86_VPACKSSWBYrm	= 4571,
+    X86_VPACKSSWBYrr	= 4572,
+    X86_VPACKSSWBrm	= 4573,
+    X86_VPACKSSWBrr	= 4574,
+    X86_VPACKUSDWYrm	= 4575,
+    X86_VPACKUSDWYrr	= 4576,
+    X86_VPACKUSDWrm	= 4577,
+    X86_VPACKUSDWrr	= 4578,
+    X86_VPACKUSWBYrm	= 4579,
+    X86_VPACKUSWBYrr	= 4580,
+    X86_VPACKUSWBrm	= 4581,
+    X86_VPACKUSWBrr	= 4582,
+    X86_VPADDBYrm	= 4583,
+    X86_VPADDBYrr	= 4584,
+    X86_VPADDBrm	= 4585,
+    X86_VPADDBrr	= 4586,
+    X86_VPADDDYrm	= 4587,
+    X86_VPADDDYrr	= 4588,
+    X86_VPADDDZrm	= 4589,
+    X86_VPADDDZrmb	= 4590,
+    X86_VPADDDZrmbk	= 4591,
+    X86_VPADDDZrmbkz	= 4592,
+    X86_VPADDDZrmk	= 4593,
+    X86_VPADDDZrmkz	= 4594,
+    X86_VPADDDZrr	= 4595,
+    X86_VPADDDZrrk	= 4596,
+    X86_VPADDDZrrkz	= 4597,
+    X86_VPADDDrm	= 4598,
+    X86_VPADDDrr	= 4599,
+    X86_VPADDQYrm	= 4600,
+    X86_VPADDQYrr	= 4601,
+    X86_VPADDQZrm	= 4602,
+    X86_VPADDQZrmb	= 4603,
+    X86_VPADDQZrmbk	= 4604,
+    X86_VPADDQZrmbkz	= 4605,
+    X86_VPADDQZrmk	= 4606,
+    X86_VPADDQZrmkz	= 4607,
+    X86_VPADDQZrr	= 4608,
+    X86_VPADDQZrrk	= 4609,
+    X86_VPADDQZrrkz	= 4610,
+    X86_VPADDQrm	= 4611,
+    X86_VPADDQrr	= 4612,
+    X86_VPADDSBYrm	= 4613,
+    X86_VPADDSBYrr	= 4614,
+    X86_VPADDSBrm	= 4615,
+    X86_VPADDSBrr	= 4616,
+    X86_VPADDSWYrm	= 4617,
+    X86_VPADDSWYrr	= 4618,
+    X86_VPADDSWrm	= 4619,
+    X86_VPADDSWrr	= 4620,
+    X86_VPADDUSBYrm	= 4621,
+    X86_VPADDUSBYrr	= 4622,
+    X86_VPADDUSBrm	= 4623,
+    X86_VPADDUSBrr	= 4624,
+    X86_VPADDUSWYrm	= 4625,
+    X86_VPADDUSWYrr	= 4626,
+    X86_VPADDUSWrm	= 4627,
+    X86_VPADDUSWrr	= 4628,
+    X86_VPADDWYrm	= 4629,
+    X86_VPADDWYrr	= 4630,
+    X86_VPADDWrm	= 4631,
+    X86_VPADDWrr	= 4632,
+    X86_VPALIGNR128rm	= 4633,
+    X86_VPALIGNR128rr	= 4634,
+    X86_VPALIGNR256rm	= 4635,
+    X86_VPALIGNR256rr	= 4636,
+    X86_VPANDDZrm	= 4637,
+    X86_VPANDDZrmb	= 4638,
+    X86_VPANDDZrmbk	= 4639,
+    X86_VPANDDZrmbkz	= 4640,
+    X86_VPANDDZrmk	= 4641,
+    X86_VPANDDZrmkz	= 4642,
+    X86_VPANDDZrr	= 4643,
+    X86_VPANDDZrrk	= 4644,
+    X86_VPANDDZrrkz	= 4645,
+    X86_VPANDNDZrm	= 4646,
+    X86_VPANDNDZrmb	= 4647,
+    X86_VPANDNDZrmbk	= 4648,
+    X86_VPANDNDZrmbkz	= 4649,
+    X86_VPANDNDZrmk	= 4650,
+    X86_VPANDNDZrmkz	= 4651,
+    X86_VPANDNDZrr	= 4652,
+    X86_VPANDNDZrrk	= 4653,
+    X86_VPANDNDZrrkz	= 4654,
+    X86_VPANDNQZrm	= 4655,
+    X86_VPANDNQZrmb	= 4656,
+    X86_VPANDNQZrmbk	= 4657,
+    X86_VPANDNQZrmbkz	= 4658,
+    X86_VPANDNQZrmk	= 4659,
+    X86_VPANDNQZrmkz	= 4660,
+    X86_VPANDNQZrr	= 4661,
+    X86_VPANDNQZrrk	= 4662,
+    X86_VPANDNQZrrkz	= 4663,
+    X86_VPANDNYrm	= 4664,
+    X86_VPANDNYrr	= 4665,
+    X86_VPANDNrm	= 4666,
+    X86_VPANDNrr	= 4667,
+    X86_VPANDQZrm	= 4668,
+    X86_VPANDQZrmb	= 4669,
+    X86_VPANDQZrmbk	= 4670,
+    X86_VPANDQZrmbkz	= 4671,
+    X86_VPANDQZrmk	= 4672,
+    X86_VPANDQZrmkz	= 4673,
+    X86_VPANDQZrr	= 4674,
+    X86_VPANDQZrrk	= 4675,
+    X86_VPANDQZrrkz	= 4676,
+    X86_VPANDYrm	= 4677,
+    X86_VPANDYrr	= 4678,
+    X86_VPANDrm	= 4679,
+    X86_VPANDrr	= 4680,
+    X86_VPAVGBYrm	= 4681,
+    X86_VPAVGBYrr	= 4682,
+    X86_VPAVGBrm	= 4683,
+    X86_VPAVGBrr	= 4684,
+    X86_VPAVGWYrm	= 4685,
+    X86_VPAVGWYrr	= 4686,
+    X86_VPAVGWrm	= 4687,
+    X86_VPAVGWrr	= 4688,
+    X86_VPBLENDDYrmi	= 4689,
+    X86_VPBLENDDYrri	= 4690,
+    X86_VPBLENDDrmi	= 4691,
+    X86_VPBLENDDrri	= 4692,
+    X86_VPBLENDMDZrm	= 4693,
+    X86_VPBLENDMDZrr	= 4694,
+    X86_VPBLENDMQZrm	= 4695,
+    X86_VPBLENDMQZrr	= 4696,
+    X86_VPBLENDVBYrm	= 4697,
+    X86_VPBLENDVBYrr	= 4698,
+    X86_VPBLENDVBrm	= 4699,
+    X86_VPBLENDVBrr	= 4700,
+    X86_VPBLENDWYrmi	= 4701,
+    X86_VPBLENDWYrri	= 4702,
+    X86_VPBLENDWrmi	= 4703,
+    X86_VPBLENDWrri	= 4704,
+    X86_VPBROADCASTBYrm	= 4705,
+    X86_VPBROADCASTBYrr	= 4706,
+    X86_VPBROADCASTBrm	= 4707,
+    X86_VPBROADCASTBrr	= 4708,
+    X86_VPBROADCASTDYrm	= 4709,
+    X86_VPBROADCASTDYrr	= 4710,
+    X86_VPBROADCASTDZkrm	= 4711,
+    X86_VPBROADCASTDZkrr	= 4712,
+    X86_VPBROADCASTDZrm	= 4713,
+    X86_VPBROADCASTDZrr	= 4714,
+    X86_VPBROADCASTDrZkrr	= 4715,
+    X86_VPBROADCASTDrZrr	= 4716,
+    X86_VPBROADCASTDrm	= 4717,
+    X86_VPBROADCASTDrr	= 4718,
+    X86_VPBROADCASTMB2Qrr	= 4719,
+    X86_VPBROADCASTMW2Drr	= 4720,
+    X86_VPBROADCASTQYrm	= 4721,
+    X86_VPBROADCASTQYrr	= 4722,
+    X86_VPBROADCASTQZkrm	= 4723,
+    X86_VPBROADCASTQZkrr	= 4724,
+    X86_VPBROADCASTQZrm	= 4725,
+    X86_VPBROADCASTQZrr	= 4726,
+    X86_VPBROADCASTQrZkrr	= 4727,
+    X86_VPBROADCASTQrZrr	= 4728,
+    X86_VPBROADCASTQrm	= 4729,
+    X86_VPBROADCASTQrr	= 4730,
+    X86_VPBROADCASTWYrm	= 4731,
+    X86_VPBROADCASTWYrr	= 4732,
+    X86_VPBROADCASTWrm	= 4733,
+    X86_VPBROADCASTWrr	= 4734,
+    X86_VPCLMULQDQrm	= 4735,
+    X86_VPCLMULQDQrr	= 4736,
+    X86_VPCMOVmr	= 4737,
+    X86_VPCMOVmrY	= 4738,
+    X86_VPCMOVrm	= 4739,
+    X86_VPCMOVrmY	= 4740,
+    X86_VPCMOVrr	= 4741,
+    X86_VPCMOVrrY	= 4742,
+    X86_VPCMPDZrmi	= 4743,
+    X86_VPCMPDZrmi_alt	= 4744,
+    X86_VPCMPDZrmik_alt	= 4745,
+    X86_VPCMPDZrri	= 4746,
+    X86_VPCMPDZrri_alt	= 4747,
+    X86_VPCMPDZrrik_alt	= 4748,
+    X86_VPCMPEQBYrm	= 4749,
+    X86_VPCMPEQBYrr	= 4750,
+    X86_VPCMPEQBZ128rm	= 4751,
+    X86_VPCMPEQBZ128rmk	= 4752,
+    X86_VPCMPEQBZ128rr	= 4753,
+    X86_VPCMPEQBZ128rrk	= 4754,
+    X86_VPCMPEQBZ256rm	= 4755,
+    X86_VPCMPEQBZ256rmk	= 4756,
+    X86_VPCMPEQBZ256rr	= 4757,
+    X86_VPCMPEQBZ256rrk	= 4758,
+    X86_VPCMPEQBZrm	= 4759,
+    X86_VPCMPEQBZrmk	= 4760,
+    X86_VPCMPEQBZrr	= 4761,
+    X86_VPCMPEQBZrrk	= 4762,
+    X86_VPCMPEQBrm	= 4763,
+    X86_VPCMPEQBrr	= 4764,
+    X86_VPCMPEQDYrm	= 4765,
+    X86_VPCMPEQDYrr	= 4766,
+    X86_VPCMPEQDZ128rm	= 4767,
+    X86_VPCMPEQDZ128rmb	= 4768,
+    X86_VPCMPEQDZ128rmbk	= 4769,
+    X86_VPCMPEQDZ128rmk	= 4770,
+    X86_VPCMPEQDZ128rr	= 4771,
+    X86_VPCMPEQDZ128rrk	= 4772,
+    X86_VPCMPEQDZ256rm	= 4773,
+    X86_VPCMPEQDZ256rmb	= 4774,
+    X86_VPCMPEQDZ256rmbk	= 4775,
+    X86_VPCMPEQDZ256rmk	= 4776,
+    X86_VPCMPEQDZ256rr	= 4777,
+    X86_VPCMPEQDZ256rrk	= 4778,
+    X86_VPCMPEQDZrm	= 4779,
+    X86_VPCMPEQDZrmb	= 4780,
+    X86_VPCMPEQDZrmbk	= 4781,
+    X86_VPCMPEQDZrmk	= 4782,
+    X86_VPCMPEQDZrr	= 4783,
+    X86_VPCMPEQDZrrk	= 4784,
+    X86_VPCMPEQDrm	= 4785,
+    X86_VPCMPEQDrr	= 4786,
+    X86_VPCMPEQQYrm	= 4787,
+    X86_VPCMPEQQYrr	= 4788,
+    X86_VPCMPEQQZ128rm	= 4789,
+    X86_VPCMPEQQZ128rmb	= 4790,
+    X86_VPCMPEQQZ128rmbk	= 4791,
+    X86_VPCMPEQQZ128rmk	= 4792,
+    X86_VPCMPEQQZ128rr	= 4793,
+    X86_VPCMPEQQZ128rrk	= 4794,
+    X86_VPCMPEQQZ256rm	= 4795,
+    X86_VPCMPEQQZ256rmb	= 4796,
+    X86_VPCMPEQQZ256rmbk	= 4797,
+    X86_VPCMPEQQZ256rmk	= 4798,
+    X86_VPCMPEQQZ256rr	= 4799,
+    X86_VPCMPEQQZ256rrk	= 4800,
+    X86_VPCMPEQQZrm	= 4801,
+    X86_VPCMPEQQZrmb	= 4802,
+    X86_VPCMPEQQZrmbk	= 4803,
+    X86_VPCMPEQQZrmk	= 4804,
+    X86_VPCMPEQQZrr	= 4805,
+    X86_VPCMPEQQZrrk	= 4806,
+    X86_VPCMPEQQrm	= 4807,
+    X86_VPCMPEQQrr	= 4808,
+    X86_VPCMPEQWYrm	= 4809,
+    X86_VPCMPEQWYrr	= 4810,
+    X86_VPCMPEQWZ128rm	= 4811,
+    X86_VPCMPEQWZ128rmk	= 4812,
+    X86_VPCMPEQWZ128rr	= 4813,
+    X86_VPCMPEQWZ128rrk	= 4814,
+    X86_VPCMPEQWZ256rm	= 4815,
+    X86_VPCMPEQWZ256rmk	= 4816,
+    X86_VPCMPEQWZ256rr	= 4817,
+    X86_VPCMPEQWZ256rrk	= 4818,
+    X86_VPCMPEQWZrm	= 4819,
+    X86_VPCMPEQWZrmk	= 4820,
+    X86_VPCMPEQWZrr	= 4821,
+    X86_VPCMPEQWZrrk	= 4822,
+    X86_VPCMPEQWrm	= 4823,
+    X86_VPCMPEQWrr	= 4824,
+    X86_VPCMPESTRIMEM	= 4825,
+    X86_VPCMPESTRIREG	= 4826,
+    X86_VPCMPESTRIrm	= 4827,
+    X86_VPCMPESTRIrr	= 4828,
+    X86_VPCMPESTRM128MEM	= 4829,
+    X86_VPCMPESTRM128REG	= 4830,
+    X86_VPCMPESTRM128rm	= 4831,
+    X86_VPCMPESTRM128rr	= 4832,
+    X86_VPCMPGTBYrm	= 4833,
+    X86_VPCMPGTBYrr	= 4834,
+    X86_VPCMPGTBZ128rm	= 4835,
+    X86_VPCMPGTBZ128rmk	= 4836,
+    X86_VPCMPGTBZ128rr	= 4837,
+    X86_VPCMPGTBZ128rrk	= 4838,
+    X86_VPCMPGTBZ256rm	= 4839,
+    X86_VPCMPGTBZ256rmk	= 4840,
+    X86_VPCMPGTBZ256rr	= 4841,
+    X86_VPCMPGTBZ256rrk	= 4842,
+    X86_VPCMPGTBZrm	= 4843,
+    X86_VPCMPGTBZrmk	= 4844,
+    X86_VPCMPGTBZrr	= 4845,
+    X86_VPCMPGTBZrrk	= 4846,
+    X86_VPCMPGTBrm	= 4847,
+    X86_VPCMPGTBrr	= 4848,
+    X86_VPCMPGTDYrm	= 4849,
+    X86_VPCMPGTDYrr	= 4850,
+    X86_VPCMPGTDZ128rm	= 4851,
+    X86_VPCMPGTDZ128rmb	= 4852,
+    X86_VPCMPGTDZ128rmbk	= 4853,
+    X86_VPCMPGTDZ128rmk	= 4854,
+    X86_VPCMPGTDZ128rr	= 4855,
+    X86_VPCMPGTDZ128rrk	= 4856,
+    X86_VPCMPGTDZ256rm	= 4857,
+    X86_VPCMPGTDZ256rmb	= 4858,
+    X86_VPCMPGTDZ256rmbk	= 4859,
+    X86_VPCMPGTDZ256rmk	= 4860,
+    X86_VPCMPGTDZ256rr	= 4861,
+    X86_VPCMPGTDZ256rrk	= 4862,
+    X86_VPCMPGTDZrm	= 4863,
+    X86_VPCMPGTDZrmb	= 4864,
+    X86_VPCMPGTDZrmbk	= 4865,
+    X86_VPCMPGTDZrmk	= 4866,
+    X86_VPCMPGTDZrr	= 4867,
+    X86_VPCMPGTDZrrk	= 4868,
+    X86_VPCMPGTDrm	= 4869,
+    X86_VPCMPGTDrr	= 4870,
+    X86_VPCMPGTQYrm	= 4871,
+    X86_VPCMPGTQYrr	= 4872,
+    X86_VPCMPGTQZ128rm	= 4873,
+    X86_VPCMPGTQZ128rmb	= 4874,
+    X86_VPCMPGTQZ128rmbk	= 4875,
+    X86_VPCMPGTQZ128rmk	= 4876,
+    X86_VPCMPGTQZ128rr	= 4877,
+    X86_VPCMPGTQZ128rrk	= 4878,
+    X86_VPCMPGTQZ256rm	= 4879,
+    X86_VPCMPGTQZ256rmb	= 4880,
+    X86_VPCMPGTQZ256rmbk	= 4881,
+    X86_VPCMPGTQZ256rmk	= 4882,
+    X86_VPCMPGTQZ256rr	= 4883,
+    X86_VPCMPGTQZ256rrk	= 4884,
+    X86_VPCMPGTQZrm	= 4885,
+    X86_VPCMPGTQZrmb	= 4886,
+    X86_VPCMPGTQZrmbk	= 4887,
+    X86_VPCMPGTQZrmk	= 4888,
+    X86_VPCMPGTQZrr	= 4889,
+    X86_VPCMPGTQZrrk	= 4890,
+    X86_VPCMPGTQrm	= 4891,
+    X86_VPCMPGTQrr	= 4892,
+    X86_VPCMPGTWYrm	= 4893,
+    X86_VPCMPGTWYrr	= 4894,
+    X86_VPCMPGTWZ128rm	= 4895,
+    X86_VPCMPGTWZ128rmk	= 4896,
+    X86_VPCMPGTWZ128rr	= 4897,
+    X86_VPCMPGTWZ128rrk	= 4898,
+    X86_VPCMPGTWZ256rm	= 4899,
+    X86_VPCMPGTWZ256rmk	= 4900,
+    X86_VPCMPGTWZ256rr	= 4901,
+    X86_VPCMPGTWZ256rrk	= 4902,
+    X86_VPCMPGTWZrm	= 4903,
+    X86_VPCMPGTWZrmk	= 4904,
+    X86_VPCMPGTWZrr	= 4905,
+    X86_VPCMPGTWZrrk	= 4906,
+    X86_VPCMPGTWrm	= 4907,
+    X86_VPCMPGTWrr	= 4908,
+    X86_VPCMPISTRIMEM	= 4909,
+    X86_VPCMPISTRIREG	= 4910,
+    X86_VPCMPISTRIrm	= 4911,
+    X86_VPCMPISTRIrr	= 4912,
+    X86_VPCMPISTRM128MEM	= 4913,
+    X86_VPCMPISTRM128REG	= 4914,
+    X86_VPCMPISTRM128rm	= 4915,
+    X86_VPCMPISTRM128rr	= 4916,
+    X86_VPCMPQZrmi	= 4917,
+    X86_VPCMPQZrmi_alt	= 4918,
+    X86_VPCMPQZrmik_alt	= 4919,
+    X86_VPCMPQZrri	= 4920,
+    X86_VPCMPQZrri_alt	= 4921,
+    X86_VPCMPQZrrik_alt	= 4922,
+    X86_VPCMPUDZrmi	= 4923,
+    X86_VPCMPUDZrmi_alt	= 4924,
+    X86_VPCMPUDZrmik_alt	= 4925,
+    X86_VPCMPUDZrri	= 4926,
+    X86_VPCMPUDZrri_alt	= 4927,
+    X86_VPCMPUDZrrik_alt	= 4928,
+    X86_VPCMPUQZrmi	= 4929,
+    X86_VPCMPUQZrmi_alt	= 4930,
+    X86_VPCMPUQZrmik_alt	= 4931,
+    X86_VPCMPUQZrri	= 4932,
+    X86_VPCMPUQZrri_alt	= 4933,
+    X86_VPCMPUQZrrik_alt	= 4934,
+    X86_VPCOMBmi	= 4935,
+    X86_VPCOMBri	= 4936,
+    X86_VPCOMDmi	= 4937,
+    X86_VPCOMDri	= 4938,
+    X86_VPCOMQmi	= 4939,
+    X86_VPCOMQri	= 4940,
+    X86_VPCOMUBmi	= 4941,
+    X86_VPCOMUBri	= 4942,
+    X86_VPCOMUDmi	= 4943,
+    X86_VPCOMUDri	= 4944,
+    X86_VPCOMUQmi	= 4945,
+    X86_VPCOMUQri	= 4946,
+    X86_VPCOMUWmi	= 4947,
+    X86_VPCOMUWri	= 4948,
+    X86_VPCOMWmi	= 4949,
+    X86_VPCOMWri	= 4950,
+    X86_VPCONFLICTDrm	= 4951,
+    X86_VPCONFLICTDrmb	= 4952,
+    X86_VPCONFLICTDrmbk	= 4953,
+    X86_VPCONFLICTDrmbkz	= 4954,
+    X86_VPCONFLICTDrmk	= 4955,
+    X86_VPCONFLICTDrmkz	= 4956,
+    X86_VPCONFLICTDrr	= 4957,
+    X86_VPCONFLICTDrrk	= 4958,
+    X86_VPCONFLICTDrrkz	= 4959,
+    X86_VPCONFLICTQrm	= 4960,
+    X86_VPCONFLICTQrmb	= 4961,
+    X86_VPCONFLICTQrmbk	= 4962,
+    X86_VPCONFLICTQrmbkz	= 4963,
+    X86_VPCONFLICTQrmk	= 4964,
+    X86_VPCONFLICTQrmkz	= 4965,
+    X86_VPCONFLICTQrr	= 4966,
+    X86_VPCONFLICTQrrk	= 4967,
+    X86_VPCONFLICTQrrkz	= 4968,
+    X86_VPERM2F128rm	= 4969,
+    X86_VPERM2F128rr	= 4970,
+    X86_VPERM2I128rm	= 4971,
+    X86_VPERM2I128rr	= 4972,
+    X86_VPERMDYrm	= 4973,
+    X86_VPERMDYrr	= 4974,
+    X86_VPERMDZrm	= 4975,
+    X86_VPERMDZrr	= 4976,
+    X86_VPERMI2Drm	= 4977,
+    X86_VPERMI2Drmk	= 4978,
+    X86_VPERMI2Drmkz	= 4979,
+    X86_VPERMI2Drr	= 4980,
+    X86_VPERMI2Drrk	= 4981,
+    X86_VPERMI2Drrkz	= 4982,
+    X86_VPERMI2PDrm	= 4983,
+    X86_VPERMI2PDrmk	= 4984,
+    X86_VPERMI2PDrmkz	= 4985,
+    X86_VPERMI2PDrr	= 4986,
+    X86_VPERMI2PDrrk	= 4987,
+    X86_VPERMI2PDrrkz	= 4988,
+    X86_VPERMI2PSrm	= 4989,
+    X86_VPERMI2PSrmk	= 4990,
+    X86_VPERMI2PSrmkz	= 4991,
+    X86_VPERMI2PSrr	= 4992,
+    X86_VPERMI2PSrrk	= 4993,
+    X86_VPERMI2PSrrkz	= 4994,
+    X86_VPERMI2Qrm	= 4995,
+    X86_VPERMI2Qrmk	= 4996,
+    X86_VPERMI2Qrmkz	= 4997,
+    X86_VPERMI2Qrr	= 4998,
+    X86_VPERMI2Qrrk	= 4999,
+    X86_VPERMI2Qrrkz	= 5000,
+    X86_VPERMIL2PDmr	= 5001,
+    X86_VPERMIL2PDmrY	= 5002,
+    X86_VPERMIL2PDrm	= 5003,
+    X86_VPERMIL2PDrmY	= 5004,
+    X86_VPERMIL2PDrr	= 5005,
+    X86_VPERMIL2PDrrY	= 5006,
+    X86_VPERMIL2PSmr	= 5007,
+    X86_VPERMIL2PSmrY	= 5008,
+    X86_VPERMIL2PSrm	= 5009,
+    X86_VPERMIL2PSrmY	= 5010,
+    X86_VPERMIL2PSrr	= 5011,
+    X86_VPERMIL2PSrrY	= 5012,
+    X86_VPERMILPDYmi	= 5013,
+    X86_VPERMILPDYri	= 5014,
+    X86_VPERMILPDYrm	= 5015,
+    X86_VPERMILPDYrr	= 5016,
+    X86_VPERMILPDZmi	= 5017,
+    X86_VPERMILPDZri	= 5018,
+    X86_VPERMILPDmi	= 5019,
+    X86_VPERMILPDri	= 5020,
+    X86_VPERMILPDrm	= 5021,
+    X86_VPERMILPDrr	= 5022,
+    X86_VPERMILPSYmi	= 5023,
+    X86_VPERMILPSYri	= 5024,
+    X86_VPERMILPSYrm	= 5025,
+    X86_VPERMILPSYrr	= 5026,
+    X86_VPERMILPSZmi	= 5027,
+    X86_VPERMILPSZri	= 5028,
+    X86_VPERMILPSmi	= 5029,
+    X86_VPERMILPSri	= 5030,
+    X86_VPERMILPSrm	= 5031,
+    X86_VPERMILPSrr	= 5032,
+    X86_VPERMPDYmi	= 5033,
+    X86_VPERMPDYri	= 5034,
+    X86_VPERMPDZmi	= 5035,
+    X86_VPERMPDZri	= 5036,
+    X86_VPERMPDZrm	= 5037,
+    X86_VPERMPDZrr	= 5038,
+    X86_VPERMPSYrm	= 5039,
+    X86_VPERMPSYrr	= 5040,
+    X86_VPERMPSZrm	= 5041,
+    X86_VPERMPSZrr	= 5042,
+    X86_VPERMQYmi	= 5043,
+    X86_VPERMQYri	= 5044,
+    X86_VPERMQZmi	= 5045,
+    X86_VPERMQZri	= 5046,
+    X86_VPERMQZrm	= 5047,
+    X86_VPERMQZrr	= 5048,
+    X86_VPERMT2Drm	= 5049,
+    X86_VPERMT2Drmk	= 5050,
+    X86_VPERMT2Drmkz	= 5051,
+    X86_VPERMT2Drr	= 5052,
+    X86_VPERMT2Drrk	= 5053,
+    X86_VPERMT2Drrkz	= 5054,
+    X86_VPERMT2PDrm	= 5055,
+    X86_VPERMT2PDrmk	= 5056,
+    X86_VPERMT2PDrmkz	= 5057,
+    X86_VPERMT2PDrr	= 5058,
+    X86_VPERMT2PDrrk	= 5059,
+    X86_VPERMT2PDrrkz	= 5060,
+    X86_VPERMT2PSrm	= 5061,
+    X86_VPERMT2PSrmk	= 5062,
+    X86_VPERMT2PSrmkz	= 5063,
+    X86_VPERMT2PSrr	= 5064,
+    X86_VPERMT2PSrrk	= 5065,
+    X86_VPERMT2PSrrkz	= 5066,
+    X86_VPERMT2Qrm	= 5067,
+    X86_VPERMT2Qrmk	= 5068,
+    X86_VPERMT2Qrmkz	= 5069,
+    X86_VPERMT2Qrr	= 5070,
+    X86_VPERMT2Qrrk	= 5071,
+    X86_VPERMT2Qrrkz	= 5072,
+    X86_VPEXTRBmr	= 5073,
+    X86_VPEXTRBrr	= 5074,
+    X86_VPEXTRDmr	= 5075,
+    X86_VPEXTRDrr	= 5076,
+    X86_VPEXTRQmr	= 5077,
+    X86_VPEXTRQrr	= 5078,
+    X86_VPEXTRWmr	= 5079,
+    X86_VPEXTRWri	= 5080,
+    X86_VPEXTRWrr_REV	= 5081,
+    X86_VPGATHERDDYrm	= 5082,
+    X86_VPGATHERDDZrm	= 5083,
+    X86_VPGATHERDDrm	= 5084,
+    X86_VPGATHERDQYrm	= 5085,
+    X86_VPGATHERDQZrm	= 5086,
+    X86_VPGATHERDQrm	= 5087,
+    X86_VPGATHERQDYrm	= 5088,
+    X86_VPGATHERQDZrm	= 5089,
+    X86_VPGATHERQDrm	= 5090,
+    X86_VPGATHERQQYrm	= 5091,
+    X86_VPGATHERQQZrm	= 5092,
+    X86_VPGATHERQQrm	= 5093,
+    X86_VPHADDBDrm	= 5094,
+    X86_VPHADDBDrr	= 5095,
+    X86_VPHADDBQrm	= 5096,
+    X86_VPHADDBQrr	= 5097,
+    X86_VPHADDBWrm	= 5098,
+    X86_VPHADDBWrr	= 5099,
+    X86_VPHADDDQrm	= 5100,
+    X86_VPHADDDQrr	= 5101,
+    X86_VPHADDDYrm	= 5102,
+    X86_VPHADDDYrr	= 5103,
+    X86_VPHADDDrm	= 5104,
+    X86_VPHADDDrr	= 5105,
+    X86_VPHADDSWrm128	= 5106,
+    X86_VPHADDSWrm256	= 5107,
+    X86_VPHADDSWrr128	= 5108,
+    X86_VPHADDSWrr256	= 5109,
+    X86_VPHADDUBDrm	= 5110,
+    X86_VPHADDUBDrr	= 5111,
+    X86_VPHADDUBQrm	= 5112,
+    X86_VPHADDUBQrr	= 5113,
+    X86_VPHADDUBWrm	= 5114,
+    X86_VPHADDUBWrr	= 5115,
+    X86_VPHADDUDQrm	= 5116,
+    X86_VPHADDUDQrr	= 5117,
+    X86_VPHADDUWDrm	= 5118,
+    X86_VPHADDUWDrr	= 5119,
+    X86_VPHADDUWQrm	= 5120,
+    X86_VPHADDUWQrr	= 5121,
+    X86_VPHADDWDrm	= 5122,
+    X86_VPHADDWDrr	= 5123,
+    X86_VPHADDWQrm	= 5124,
+    X86_VPHADDWQrr	= 5125,
+    X86_VPHADDWYrm	= 5126,
+    X86_VPHADDWYrr	= 5127,
+    X86_VPHADDWrm	= 5128,
+    X86_VPHADDWrr	= 5129,
+    X86_VPHMINPOSUWrm128	= 5130,
+    X86_VPHMINPOSUWrr128	= 5131,
+    X86_VPHSUBBWrm	= 5132,
+    X86_VPHSUBBWrr	= 5133,
+    X86_VPHSUBDQrm	= 5134,
+    X86_VPHSUBDQrr	= 5135,
+    X86_VPHSUBDYrm	= 5136,
+    X86_VPHSUBDYrr	= 5137,
+    X86_VPHSUBDrm	= 5138,
+    X86_VPHSUBDrr	= 5139,
+    X86_VPHSUBSWrm128	= 5140,
+    X86_VPHSUBSWrm256	= 5141,
+    X86_VPHSUBSWrr128	= 5142,
+    X86_VPHSUBSWrr256	= 5143,
+    X86_VPHSUBWDrm	= 5144,
+    X86_VPHSUBWDrr	= 5145,
+    X86_VPHSUBWYrm	= 5146,
+    X86_VPHSUBWYrr	= 5147,
+    X86_VPHSUBWrm	= 5148,
+    X86_VPHSUBWrr	= 5149,
+    X86_VPINSRBrm	= 5150,
+    X86_VPINSRBrr	= 5151,
+    X86_VPINSRDrm	= 5152,
+    X86_VPINSRDrr	= 5153,
+    X86_VPINSRQrm	= 5154,
+    X86_VPINSRQrr	= 5155,
+    X86_VPINSRWrmi	= 5156,
+    X86_VPINSRWrri	= 5157,
+    X86_VPLZCNTDrm	= 5158,
+    X86_VPLZCNTDrmb	= 5159,
+    X86_VPLZCNTDrmbk	= 5160,
+    X86_VPLZCNTDrmbkz	= 5161,
+    X86_VPLZCNTDrmk	= 5162,
+    X86_VPLZCNTDrmkz	= 5163,
+    X86_VPLZCNTDrr	= 5164,
+    X86_VPLZCNTDrrk	= 5165,
+    X86_VPLZCNTDrrkz	= 5166,
+    X86_VPLZCNTQrm	= 5167,
+    X86_VPLZCNTQrmb	= 5168,
+    X86_VPLZCNTQrmbk	= 5169,
+    X86_VPLZCNTQrmbkz	= 5170,
+    X86_VPLZCNTQrmk	= 5171,
+    X86_VPLZCNTQrmkz	= 5172,
+    X86_VPLZCNTQrr	= 5173,
+    X86_VPLZCNTQrrk	= 5174,
+    X86_VPLZCNTQrrkz	= 5175,
+    X86_VPMACSDDrm	= 5176,
+    X86_VPMACSDDrr	= 5177,
+    X86_VPMACSDQHrm	= 5178,
+    X86_VPMACSDQHrr	= 5179,
+    X86_VPMACSDQLrm	= 5180,
+    X86_VPMACSDQLrr	= 5181,
+    X86_VPMACSSDDrm	= 5182,
+    X86_VPMACSSDDrr	= 5183,
+    X86_VPMACSSDQHrm	= 5184,
+    X86_VPMACSSDQHrr	= 5185,
+    X86_VPMACSSDQLrm	= 5186,
+    X86_VPMACSSDQLrr	= 5187,
+    X86_VPMACSSWDrm	= 5188,
+    X86_VPMACSSWDrr	= 5189,
+    X86_VPMACSSWWrm	= 5190,
+    X86_VPMACSSWWrr	= 5191,
+    X86_VPMACSWDrm	= 5192,
+    X86_VPMACSWDrr	= 5193,
+    X86_VPMACSWWrm	= 5194,
+    X86_VPMACSWWrr	= 5195,
+    X86_VPMADCSSWDrm	= 5196,
+    X86_VPMADCSSWDrr	= 5197,
+    X86_VPMADCSWDrm	= 5198,
+    X86_VPMADCSWDrr	= 5199,
+    X86_VPMADDUBSWrm128	= 5200,
+    X86_VPMADDUBSWrm256	= 5201,
+    X86_VPMADDUBSWrr128	= 5202,
+    X86_VPMADDUBSWrr256	= 5203,
+    X86_VPMADDWDYrm	= 5204,
+    X86_VPMADDWDYrr	= 5205,
+    X86_VPMADDWDrm	= 5206,
+    X86_VPMADDWDrr	= 5207,
+    X86_VPMASKMOVDYmr	= 5208,
+    X86_VPMASKMOVDYrm	= 5209,
+    X86_VPMASKMOVDmr	= 5210,
+    X86_VPMASKMOVDrm	= 5211,
+    X86_VPMASKMOVQYmr	= 5212,
+    X86_VPMASKMOVQYrm	= 5213,
+    X86_VPMASKMOVQmr	= 5214,
+    X86_VPMASKMOVQrm	= 5215,
+    X86_VPMAXSBYrm	= 5216,
+    X86_VPMAXSBYrr	= 5217,
+    X86_VPMAXSBrm	= 5218,
+    X86_VPMAXSBrr	= 5219,
+    X86_VPMAXSDYrm	= 5220,
+    X86_VPMAXSDYrr	= 5221,
+    X86_VPMAXSDZrm	= 5222,
+    X86_VPMAXSDZrmb	= 5223,
+    X86_VPMAXSDZrmbk	= 5224,
+    X86_VPMAXSDZrmbkz	= 5225,
+    X86_VPMAXSDZrmk	= 5226,
+    X86_VPMAXSDZrmkz	= 5227,
+    X86_VPMAXSDZrr	= 5228,
+    X86_VPMAXSDZrrk	= 5229,
+    X86_VPMAXSDZrrkz	= 5230,
+    X86_VPMAXSDrm	= 5231,
+    X86_VPMAXSDrr	= 5232,
+    X86_VPMAXSQZrm	= 5233,
+    X86_VPMAXSQZrmb	= 5234,
+    X86_VPMAXSQZrmbk	= 5235,
+    X86_VPMAXSQZrmbkz	= 5236,
+    X86_VPMAXSQZrmk	= 5237,
+    X86_VPMAXSQZrmkz	= 5238,
+    X86_VPMAXSQZrr	= 5239,
+    X86_VPMAXSQZrrk	= 5240,
+    X86_VPMAXSQZrrkz	= 5241,
+    X86_VPMAXSWYrm	= 5242,
+    X86_VPMAXSWYrr	= 5243,
+    X86_VPMAXSWrm	= 5244,
+    X86_VPMAXSWrr	= 5245,
+    X86_VPMAXUBYrm	= 5246,
+    X86_VPMAXUBYrr	= 5247,
+    X86_VPMAXUBrm	= 5248,
+    X86_VPMAXUBrr	= 5249,
+    X86_VPMAXUDYrm	= 5250,
+    X86_VPMAXUDYrr	= 5251,
+    X86_VPMAXUDZrm	= 5252,
+    X86_VPMAXUDZrmb	= 5253,
+    X86_VPMAXUDZrmbk	= 5254,
+    X86_VPMAXUDZrmbkz	= 5255,
+    X86_VPMAXUDZrmk	= 5256,
+    X86_VPMAXUDZrmkz	= 5257,
+    X86_VPMAXUDZrr	= 5258,
+    X86_VPMAXUDZrrk	= 5259,
+    X86_VPMAXUDZrrkz	= 5260,
+    X86_VPMAXUDrm	= 5261,
+    X86_VPMAXUDrr	= 5262,
+    X86_VPMAXUQZrm	= 5263,
+    X86_VPMAXUQZrmb	= 5264,
+    X86_VPMAXUQZrmbk	= 5265,
+    X86_VPMAXUQZrmbkz	= 5266,
+    X86_VPMAXUQZrmk	= 5267,
+    X86_VPMAXUQZrmkz	= 5268,
+    X86_VPMAXUQZrr	= 5269,
+    X86_VPMAXUQZrrk	= 5270,
+    X86_VPMAXUQZrrkz	= 5271,
+    X86_VPMAXUWYrm	= 5272,
+    X86_VPMAXUWYrr	= 5273,
+    X86_VPMAXUWrm	= 5274,
+    X86_VPMAXUWrr	= 5275,
+    X86_VPMINSBYrm	= 5276,
+    X86_VPMINSBYrr	= 5277,
+    X86_VPMINSBrm	= 5278,
+    X86_VPMINSBrr	= 5279,
+    X86_VPMINSDYrm	= 5280,
+    X86_VPMINSDYrr	= 5281,
+    X86_VPMINSDZrm	= 5282,
+    X86_VPMINSDZrmb	= 5283,
+    X86_VPMINSDZrmbk	= 5284,
+    X86_VPMINSDZrmbkz	= 5285,
+    X86_VPMINSDZrmk	= 5286,
+    X86_VPMINSDZrmkz	= 5287,
+    X86_VPMINSDZrr	= 5288,
+    X86_VPMINSDZrrk	= 5289,
+    X86_VPMINSDZrrkz	= 5290,
+    X86_VPMINSDrm	= 5291,
+    X86_VPMINSDrr	= 5292,
+    X86_VPMINSQZrm	= 5293,
+    X86_VPMINSQZrmb	= 5294,
+    X86_VPMINSQZrmbk	= 5295,
+    X86_VPMINSQZrmbkz	= 5296,
+    X86_VPMINSQZrmk	= 5297,
+    X86_VPMINSQZrmkz	= 5298,
+    X86_VPMINSQZrr	= 5299,
+    X86_VPMINSQZrrk	= 5300,
+    X86_VPMINSQZrrkz	= 5301,
+    X86_VPMINSWYrm	= 5302,
+    X86_VPMINSWYrr	= 5303,
+    X86_VPMINSWrm	= 5304,
+    X86_VPMINSWrr	= 5305,
+    X86_VPMINUBYrm	= 5306,
+    X86_VPMINUBYrr	= 5307,
+    X86_VPMINUBrm	= 5308,
+    X86_VPMINUBrr	= 5309,
+    X86_VPMINUDYrm	= 5310,
+    X86_VPMINUDYrr	= 5311,
+    X86_VPMINUDZrm	= 5312,
+    X86_VPMINUDZrmb	= 5313,
+    X86_VPMINUDZrmbk	= 5314,
+    X86_VPMINUDZrmbkz	= 5315,
+    X86_VPMINUDZrmk	= 5316,
+    X86_VPMINUDZrmkz	= 5317,
+    X86_VPMINUDZrr	= 5318,
+    X86_VPMINUDZrrk	= 5319,
+    X86_VPMINUDZrrkz	= 5320,
+    X86_VPMINUDrm	= 5321,
+    X86_VPMINUDrr	= 5322,
+    X86_VPMINUQZrm	= 5323,
+    X86_VPMINUQZrmb	= 5324,
+    X86_VPMINUQZrmbk	= 5325,
+    X86_VPMINUQZrmbkz	= 5326,
+    X86_VPMINUQZrmk	= 5327,
+    X86_VPMINUQZrmkz	= 5328,
+    X86_VPMINUQZrr	= 5329,
+    X86_VPMINUQZrrk	= 5330,
+    X86_VPMINUQZrrkz	= 5331,
+    X86_VPMINUWYrm	= 5332,
+    X86_VPMINUWYrr	= 5333,
+    X86_VPMINUWrm	= 5334,
+    X86_VPMINUWrr	= 5335,
+    X86_VPMOVDBmr	= 5336,
+    X86_VPMOVDBmrk	= 5337,
+    X86_VPMOVDBrr	= 5338,
+    X86_VPMOVDBrrk	= 5339,
+    X86_VPMOVDBrrkz	= 5340,
+    X86_VPMOVDWmr	= 5341,
+    X86_VPMOVDWmrk	= 5342,
+    X86_VPMOVDWrr	= 5343,
+    X86_VPMOVDWrrk	= 5344,
+    X86_VPMOVDWrrkz	= 5345,
+    X86_VPMOVMSKBYrr	= 5346,
+    X86_VPMOVMSKBrr	= 5347,
+    X86_VPMOVQBmr	= 5348,
+    X86_VPMOVQBmrk	= 5349,
+    X86_VPMOVQBrr	= 5350,
+    X86_VPMOVQBrrk	= 5351,
+    X86_VPMOVQBrrkz	= 5352,
+    X86_VPMOVQDmr	= 5353,
+    X86_VPMOVQDmrk	= 5354,
+    X86_VPMOVQDrr	= 5355,
+    X86_VPMOVQDrrk	= 5356,
+    X86_VPMOVQDrrkz	= 5357,
+    X86_VPMOVQWmr	= 5358,
+    X86_VPMOVQWmrk	= 5359,
+    X86_VPMOVQWrr	= 5360,
+    X86_VPMOVQWrrk	= 5361,
+    X86_VPMOVQWrrkz	= 5362,
+    X86_VPMOVSDBmr	= 5363,
+    X86_VPMOVSDBmrk	= 5364,
+    X86_VPMOVSDBrr	= 5365,
+    X86_VPMOVSDBrrk	= 5366,
+    X86_VPMOVSDBrrkz	= 5367,
+    X86_VPMOVSDWmr	= 5368,
+    X86_VPMOVSDWmrk	= 5369,
+    X86_VPMOVSDWrr	= 5370,
+    X86_VPMOVSDWrrk	= 5371,
+    X86_VPMOVSDWrrkz	= 5372,
+    X86_VPMOVSQBmr	= 5373,
+    X86_VPMOVSQBmrk	= 5374,
+    X86_VPMOVSQBrr	= 5375,
+    X86_VPMOVSQBrrk	= 5376,
+    X86_VPMOVSQBrrkz	= 5377,
+    X86_VPMOVSQDmr	= 5378,
+    X86_VPMOVSQDmrk	= 5379,
+    X86_VPMOVSQDrr	= 5380,
+    X86_VPMOVSQDrrk	= 5381,
+    X86_VPMOVSQDrrkz	= 5382,
+    X86_VPMOVSQWmr	= 5383,
+    X86_VPMOVSQWmrk	= 5384,
+    X86_VPMOVSQWrr	= 5385,
+    X86_VPMOVSQWrrk	= 5386,
+    X86_VPMOVSQWrrkz	= 5387,
+    X86_VPMOVSXBDYrm	= 5388,
+    X86_VPMOVSXBDYrr	= 5389,
+    X86_VPMOVSXBDZrm	= 5390,
+    X86_VPMOVSXBDZrmk	= 5391,
+    X86_VPMOVSXBDZrmkz	= 5392,
+    X86_VPMOVSXBDZrr	= 5393,
+    X86_VPMOVSXBDZrrk	= 5394,
+    X86_VPMOVSXBDZrrkz	= 5395,
+    X86_VPMOVSXBDrm	= 5396,
+    X86_VPMOVSXBDrr	= 5397,
+    X86_VPMOVSXBQYrm	= 5398,
+    X86_VPMOVSXBQYrr	= 5399,
+    X86_VPMOVSXBQZrm	= 5400,
+    X86_VPMOVSXBQZrmk	= 5401,
+    X86_VPMOVSXBQZrmkz	= 5402,
+    X86_VPMOVSXBQZrr	= 5403,
+    X86_VPMOVSXBQZrrk	= 5404,
+    X86_VPMOVSXBQZrrkz	= 5405,
+    X86_VPMOVSXBQrm	= 5406,
+    X86_VPMOVSXBQrr	= 5407,
+    X86_VPMOVSXBWYrm	= 5408,
+    X86_VPMOVSXBWYrr	= 5409,
+    X86_VPMOVSXBWrm	= 5410,
+    X86_VPMOVSXBWrr	= 5411,
+    X86_VPMOVSXDQYrm	= 5412,
+    X86_VPMOVSXDQYrr	= 5413,
+    X86_VPMOVSXDQZrm	= 5414,
+    X86_VPMOVSXDQZrmk	= 5415,
+    X86_VPMOVSXDQZrmkz	= 5416,
+    X86_VPMOVSXDQZrr	= 5417,
+    X86_VPMOVSXDQZrrk	= 5418,
+    X86_VPMOVSXDQZrrkz	= 5419,
+    X86_VPMOVSXDQrm	= 5420,
+    X86_VPMOVSXDQrr	= 5421,
+    X86_VPMOVSXWDYrm	= 5422,
+    X86_VPMOVSXWDYrr	= 5423,
+    X86_VPMOVSXWDZrm	= 5424,
+    X86_VPMOVSXWDZrmk	= 5425,
+    X86_VPMOVSXWDZrmkz	= 5426,
+    X86_VPMOVSXWDZrr	= 5427,
+    X86_VPMOVSXWDZrrk	= 5428,
+    X86_VPMOVSXWDZrrkz	= 5429,
+    X86_VPMOVSXWDrm	= 5430,
+    X86_VPMOVSXWDrr	= 5431,
+    X86_VPMOVSXWQYrm	= 5432,
+    X86_VPMOVSXWQYrr	= 5433,
+    X86_VPMOVSXWQZrm	= 5434,
+    X86_VPMOVSXWQZrmk	= 5435,
+    X86_VPMOVSXWQZrmkz	= 5436,
+    X86_VPMOVSXWQZrr	= 5437,
+    X86_VPMOVSXWQZrrk	= 5438,
+    X86_VPMOVSXWQZrrkz	= 5439,
+    X86_VPMOVSXWQrm	= 5440,
+    X86_VPMOVSXWQrr	= 5441,
+    X86_VPMOVUSDBmr	= 5442,
+    X86_VPMOVUSDBmrk	= 5443,
+    X86_VPMOVUSDBrr	= 5444,
+    X86_VPMOVUSDBrrk	= 5445,
+    X86_VPMOVUSDBrrkz	= 5446,
+    X86_VPMOVUSDWmr	= 5447,
+    X86_VPMOVUSDWmrk	= 5448,
+    X86_VPMOVUSDWrr	= 5449,
+    X86_VPMOVUSDWrrk	= 5450,
+    X86_VPMOVUSDWrrkz	= 5451,
+    X86_VPMOVUSQBmr	= 5452,
+    X86_VPMOVUSQBmrk	= 5453,
+    X86_VPMOVUSQBrr	= 5454,
+    X86_VPMOVUSQBrrk	= 5455,
+    X86_VPMOVUSQBrrkz	= 5456,
+    X86_VPMOVUSQDmr	= 5457,
+    X86_VPMOVUSQDmrk	= 5458,
+    X86_VPMOVUSQDrr	= 5459,
+    X86_VPMOVUSQDrrk	= 5460,
+    X86_VPMOVUSQDrrkz	= 5461,
+    X86_VPMOVUSQWmr	= 5462,
+    X86_VPMOVUSQWmrk	= 5463,
+    X86_VPMOVUSQWrr	= 5464,
+    X86_VPMOVUSQWrrk	= 5465,
+    X86_VPMOVUSQWrrkz	= 5466,
+    X86_VPMOVZXBDYrm	= 5467,
+    X86_VPMOVZXBDYrr	= 5468,
+    X86_VPMOVZXBDZrm	= 5469,
+    X86_VPMOVZXBDZrmk	= 5470,
+    X86_VPMOVZXBDZrmkz	= 5471,
+    X86_VPMOVZXBDZrr	= 5472,
+    X86_VPMOVZXBDZrrk	= 5473,
+    X86_VPMOVZXBDZrrkz	= 5474,
+    X86_VPMOVZXBDrm	= 5475,
+    X86_VPMOVZXBDrr	= 5476,
+    X86_VPMOVZXBQYrm	= 5477,
+    X86_VPMOVZXBQYrr	= 5478,
+    X86_VPMOVZXBQZrm	= 5479,
+    X86_VPMOVZXBQZrmk	= 5480,
+    X86_VPMOVZXBQZrmkz	= 5481,
+    X86_VPMOVZXBQZrr	= 5482,
+    X86_VPMOVZXBQZrrk	= 5483,
+    X86_VPMOVZXBQZrrkz	= 5484,
+    X86_VPMOVZXBQrm	= 5485,
+    X86_VPMOVZXBQrr	= 5486,
+    X86_VPMOVZXBWYrm	= 5487,
+    X86_VPMOVZXBWYrr	= 5488,
+    X86_VPMOVZXBWrm	= 5489,
+    X86_VPMOVZXBWrr	= 5490,
+    X86_VPMOVZXDQYrm	= 5491,
+    X86_VPMOVZXDQYrr	= 5492,
+    X86_VPMOVZXDQZrm	= 5493,
+    X86_VPMOVZXDQZrmk	= 5494,
+    X86_VPMOVZXDQZrmkz	= 5495,
+    X86_VPMOVZXDQZrr	= 5496,
+    X86_VPMOVZXDQZrrk	= 5497,
+    X86_VPMOVZXDQZrrkz	= 5498,
+    X86_VPMOVZXDQrm	= 5499,
+    X86_VPMOVZXDQrr	= 5500,
+    X86_VPMOVZXWDYrm	= 5501,
+    X86_VPMOVZXWDYrr	= 5502,
+    X86_VPMOVZXWDZrm	= 5503,
+    X86_VPMOVZXWDZrmk	= 5504,
+    X86_VPMOVZXWDZrmkz	= 5505,
+    X86_VPMOVZXWDZrr	= 5506,
+    X86_VPMOVZXWDZrrk	= 5507,
+    X86_VPMOVZXWDZrrkz	= 5508,
+    X86_VPMOVZXWDrm	= 5509,
+    X86_VPMOVZXWDrr	= 5510,
+    X86_VPMOVZXWQYrm	= 5511,
+    X86_VPMOVZXWQYrr	= 5512,
+    X86_VPMOVZXWQZrm	= 5513,
+    X86_VPMOVZXWQZrmk	= 5514,
+    X86_VPMOVZXWQZrmkz	= 5515,
+    X86_VPMOVZXWQZrr	= 5516,
+    X86_VPMOVZXWQZrrk	= 5517,
+    X86_VPMOVZXWQZrrkz	= 5518,
+    X86_VPMOVZXWQrm	= 5519,
+    X86_VPMOVZXWQrr	= 5520,
+    X86_VPMULDQYrm	= 5521,
+    X86_VPMULDQYrr	= 5522,
+    X86_VPMULDQZrm	= 5523,
+    X86_VPMULDQZrmb	= 5524,
+    X86_VPMULDQZrmbk	= 5525,
+    X86_VPMULDQZrmbkz	= 5526,
+    X86_VPMULDQZrmk	= 5527,
+    X86_VPMULDQZrmkz	= 5528,
+    X86_VPMULDQZrr	= 5529,
+    X86_VPMULDQZrrk	= 5530,
+    X86_VPMULDQZrrkz	= 5531,
+    X86_VPMULDQrm	= 5532,
+    X86_VPMULDQrr	= 5533,
+    X86_VPMULHRSWrm128	= 5534,
+    X86_VPMULHRSWrm256	= 5535,
+    X86_VPMULHRSWrr128	= 5536,
+    X86_VPMULHRSWrr256	= 5537,
+    X86_VPMULHUWYrm	= 5538,
+    X86_VPMULHUWYrr	= 5539,
+    X86_VPMULHUWrm	= 5540,
+    X86_VPMULHUWrr	= 5541,
+    X86_VPMULHWYrm	= 5542,
+    X86_VPMULHWYrr	= 5543,
+    X86_VPMULHWrm	= 5544,
+    X86_VPMULHWrr	= 5545,
+    X86_VPMULLDYrm	= 5546,
+    X86_VPMULLDYrr	= 5547,
+    X86_VPMULLDZrm	= 5548,
+    X86_VPMULLDZrmb	= 5549,
+    X86_VPMULLDZrmbk	= 5550,
+    X86_VPMULLDZrmbkz	= 5551,
+    X86_VPMULLDZrmk	= 5552,
+    X86_VPMULLDZrmkz	= 5553,
+    X86_VPMULLDZrr	= 5554,
+    X86_VPMULLDZrrk	= 5555,
+    X86_VPMULLDZrrkz	= 5556,
+    X86_VPMULLDrm	= 5557,
+    X86_VPMULLDrr	= 5558,
+    X86_VPMULLWYrm	= 5559,
+    X86_VPMULLWYrr	= 5560,
+    X86_VPMULLWrm	= 5561,
+    X86_VPMULLWrr	= 5562,
+    X86_VPMULUDQYrm	= 5563,
+    X86_VPMULUDQYrr	= 5564,
+    X86_VPMULUDQZrm	= 5565,
+    X86_VPMULUDQZrmb	= 5566,
+    X86_VPMULUDQZrmbk	= 5567,
+    X86_VPMULUDQZrmbkz	= 5568,
+    X86_VPMULUDQZrmk	= 5569,
+    X86_VPMULUDQZrmkz	= 5570,
+    X86_VPMULUDQZrr	= 5571,
+    X86_VPMULUDQZrrk	= 5572,
+    X86_VPMULUDQZrrkz	= 5573,
+    X86_VPMULUDQrm	= 5574,
+    X86_VPMULUDQrr	= 5575,
+    X86_VPORDZrm	= 5576,
+    X86_VPORDZrmb	= 5577,
+    X86_VPORDZrmbk	= 5578,
+    X86_VPORDZrmbkz	= 5579,
+    X86_VPORDZrmk	= 5580,
+    X86_VPORDZrmkz	= 5581,
+    X86_VPORDZrr	= 5582,
+    X86_VPORDZrrk	= 5583,
+    X86_VPORDZrrkz	= 5584,
+    X86_VPORQZrm	= 5585,
+    X86_VPORQZrmb	= 5586,
+    X86_VPORQZrmbk	= 5587,
+    X86_VPORQZrmbkz	= 5588,
+    X86_VPORQZrmk	= 5589,
+    X86_VPORQZrmkz	= 5590,
+    X86_VPORQZrr	= 5591,
+    X86_VPORQZrrk	= 5592,
+    X86_VPORQZrrkz	= 5593,
+    X86_VPORYrm	= 5594,
+    X86_VPORYrr	= 5595,
+    X86_VPORrm	= 5596,
+    X86_VPORrr	= 5597,
+    X86_VPPERMmr	= 5598,
+    X86_VPPERMrm	= 5599,
+    X86_VPPERMrr	= 5600,
+    X86_VPROTBmi	= 5601,
+    X86_VPROTBmr	= 5602,
+    X86_VPROTBri	= 5603,
+    X86_VPROTBrm	= 5604,
+    X86_VPROTBrr	= 5605,
+    X86_VPROTDmi	= 5606,
+    X86_VPROTDmr	= 5607,
+    X86_VPROTDri	= 5608,
+    X86_VPROTDrm	= 5609,
+    X86_VPROTDrr	= 5610,
+    X86_VPROTQmi	= 5611,
+    X86_VPROTQmr	= 5612,
+    X86_VPROTQri	= 5613,
+    X86_VPROTQrm	= 5614,
+    X86_VPROTQrr	= 5615,
+    X86_VPROTWmi	= 5616,
+    X86_VPROTWmr	= 5617,
+    X86_VPROTWri	= 5618,
+    X86_VPROTWrm	= 5619,
+    X86_VPROTWrr	= 5620,
+    X86_VPSADBWYrm	= 5621,
+    X86_VPSADBWYrr	= 5622,
+    X86_VPSADBWrm	= 5623,
+    X86_VPSADBWrr	= 5624,
+    X86_VPSCATTERDDZmr	= 5625,
+    X86_VPSCATTERDQZmr	= 5626,
+    X86_VPSCATTERQDZmr	= 5627,
+    X86_VPSCATTERQQZmr	= 5628,
+    X86_VPSHABmr	= 5629,
+    X86_VPSHABrm	= 5630,
+    X86_VPSHABrr	= 5631,
+    X86_VPSHADmr	= 5632,
+    X86_VPSHADrm	= 5633,
+    X86_VPSHADrr	= 5634,
+    X86_VPSHAQmr	= 5635,
+    X86_VPSHAQrm	= 5636,
+    X86_VPSHAQrr	= 5637,
+    X86_VPSHAWmr	= 5638,
+    X86_VPSHAWrm	= 5639,
+    X86_VPSHAWrr	= 5640,
+    X86_VPSHLBmr	= 5641,
+    X86_VPSHLBrm	= 5642,
+    X86_VPSHLBrr	= 5643,
+    X86_VPSHLDmr	= 5644,
+    X86_VPSHLDrm	= 5645,
+    X86_VPSHLDrr	= 5646,
+    X86_VPSHLQmr	= 5647,
+    X86_VPSHLQrm	= 5648,
+    X86_VPSHLQrr	= 5649,
+    X86_VPSHLWmr	= 5650,
+    X86_VPSHLWrm	= 5651,
+    X86_VPSHLWrr	= 5652,
+    X86_VPSHUFBYrm	= 5653,
+    X86_VPSHUFBYrr	= 5654,
+    X86_VPSHUFBrm	= 5655,
+    X86_VPSHUFBrr	= 5656,
+    X86_VPSHUFDYmi	= 5657,
+    X86_VPSHUFDYri	= 5658,
+    X86_VPSHUFDZmi	= 5659,
+    X86_VPSHUFDZri	= 5660,
+    X86_VPSHUFDmi	= 5661,
+    X86_VPSHUFDri	= 5662,
+    X86_VPSHUFHWYmi	= 5663,
+    X86_VPSHUFHWYri	= 5664,
+    X86_VPSHUFHWmi	= 5665,
+    X86_VPSHUFHWri	= 5666,
+    X86_VPSHUFLWYmi	= 5667,
+    X86_VPSHUFLWYri	= 5668,
+    X86_VPSHUFLWmi	= 5669,
+    X86_VPSHUFLWri	= 5670,
+    X86_VPSIGNBYrm	= 5671,
+    X86_VPSIGNBYrr	= 5672,
+    X86_VPSIGNBrm	= 5673,
+    X86_VPSIGNBrr	= 5674,
+    X86_VPSIGNDYrm	= 5675,
+    X86_VPSIGNDYrr	= 5676,
+    X86_VPSIGNDrm	= 5677,
+    X86_VPSIGNDrr	= 5678,
+    X86_VPSIGNWYrm	= 5679,
+    X86_VPSIGNWYrr	= 5680,
+    X86_VPSIGNWrm	= 5681,
+    X86_VPSIGNWrr	= 5682,
+    X86_VPSLLDQYri	= 5683,
+    X86_VPSLLDQri	= 5684,
+    X86_VPSLLDYri	= 5685,
+    X86_VPSLLDYrm	= 5686,
+    X86_VPSLLDYrr	= 5687,
+    X86_VPSLLDZmi	= 5688,
+    X86_VPSLLDZmik	= 5689,
+    X86_VPSLLDZri	= 5690,
+    X86_VPSLLDZrik	= 5691,
+    X86_VPSLLDZrm	= 5692,
+    X86_VPSLLDZrmk	= 5693,
+    X86_VPSLLDZrr	= 5694,
+    X86_VPSLLDZrrk	= 5695,
+    X86_VPSLLDri	= 5696,
+    X86_VPSLLDrm	= 5697,
+    X86_VPSLLDrr	= 5698,
+    X86_VPSLLQYri	= 5699,
+    X86_VPSLLQYrm	= 5700,
+    X86_VPSLLQYrr	= 5701,
+    X86_VPSLLQZmi	= 5702,
+    X86_VPSLLQZmik	= 5703,
+    X86_VPSLLQZri	= 5704,
+    X86_VPSLLQZrik	= 5705,
+    X86_VPSLLQZrm	= 5706,
+    X86_VPSLLQZrmk	= 5707,
+    X86_VPSLLQZrr	= 5708,
+    X86_VPSLLQZrrk	= 5709,
+    X86_VPSLLQri	= 5710,
+    X86_VPSLLQrm	= 5711,
+    X86_VPSLLQrr	= 5712,
+    X86_VPSLLVDYrm	= 5713,
+    X86_VPSLLVDYrr	= 5714,
+    X86_VPSLLVDZrm	= 5715,
+    X86_VPSLLVDZrr	= 5716,
+    X86_VPSLLVDrm	= 5717,
+    X86_VPSLLVDrr	= 5718,
+    X86_VPSLLVQYrm	= 5719,
+    X86_VPSLLVQYrr	= 5720,
+    X86_VPSLLVQZrm	= 5721,
+    X86_VPSLLVQZrr	= 5722,
+    X86_VPSLLVQrm	= 5723,
+    X86_VPSLLVQrr	= 5724,
+    X86_VPSLLWYri	= 5725,
+    X86_VPSLLWYrm	= 5726,
+    X86_VPSLLWYrr	= 5727,
+    X86_VPSLLWri	= 5728,
+    X86_VPSLLWrm	= 5729,
+    X86_VPSLLWrr	= 5730,
+    X86_VPSRADYri	= 5731,
+    X86_VPSRADYrm	= 5732,
+    X86_VPSRADYrr	= 5733,
+    X86_VPSRADZmi	= 5734,
+    X86_VPSRADZmik	= 5735,
+    X86_VPSRADZri	= 5736,
+    X86_VPSRADZrik	= 5737,
+    X86_VPSRADZrm	= 5738,
+    X86_VPSRADZrmk	= 5739,
+    X86_VPSRADZrr	= 5740,
+    X86_VPSRADZrrk	= 5741,
+    X86_VPSRADri	= 5742,
+    X86_VPSRADrm	= 5743,
+    X86_VPSRADrr	= 5744,
+    X86_VPSRAQZmi	= 5745,
+    X86_VPSRAQZmik	= 5746,
+    X86_VPSRAQZri	= 5747,
+    X86_VPSRAQZrik	= 5748,
+    X86_VPSRAQZrm	= 5749,
+    X86_VPSRAQZrmk	= 5750,
+    X86_VPSRAQZrr	= 5751,
+    X86_VPSRAQZrrk	= 5752,
+    X86_VPSRAVDYrm	= 5753,
+    X86_VPSRAVDYrr	= 5754,
+    X86_VPSRAVDZrm	= 5755,
+    X86_VPSRAVDZrr	= 5756,
+    X86_VPSRAVDrm	= 5757,
+    X86_VPSRAVDrr	= 5758,
+    X86_VPSRAVQZrm	= 5759,
+    X86_VPSRAVQZrr	= 5760,
+    X86_VPSRAWYri	= 5761,
+    X86_VPSRAWYrm	= 5762,
+    X86_VPSRAWYrr	= 5763,
+    X86_VPSRAWri	= 5764,
+    X86_VPSRAWrm	= 5765,
+    X86_VPSRAWrr	= 5766,
+    X86_VPSRLDQYri	= 5767,
+    X86_VPSRLDQri	= 5768,
+    X86_VPSRLDYri	= 5769,
+    X86_VPSRLDYrm	= 5770,
+    X86_VPSRLDYrr	= 5771,
+    X86_VPSRLDZmi	= 5772,
+    X86_VPSRLDZmik	= 5773,
+    X86_VPSRLDZri	= 5774,
+    X86_VPSRLDZrik	= 5775,
+    X86_VPSRLDZrm	= 5776,
+    X86_VPSRLDZrmk	= 5777,
+    X86_VPSRLDZrr	= 5778,
+    X86_VPSRLDZrrk	= 5779,
+    X86_VPSRLDri	= 5780,
+    X86_VPSRLDrm	= 5781,
+    X86_VPSRLDrr	= 5782,
+    X86_VPSRLQYri	= 5783,
+    X86_VPSRLQYrm	= 5784,
+    X86_VPSRLQYrr	= 5785,
+    X86_VPSRLQZmi	= 5786,
+    X86_VPSRLQZmik	= 5787,
+    X86_VPSRLQZri	= 5788,
+    X86_VPSRLQZrik	= 5789,
+    X86_VPSRLQZrm	= 5790,
+    X86_VPSRLQZrmk	= 5791,
+    X86_VPSRLQZrr	= 5792,
+    X86_VPSRLQZrrk	= 5793,
+    X86_VPSRLQri	= 5794,
+    X86_VPSRLQrm	= 5795,
+    X86_VPSRLQrr	= 5796,
+    X86_VPSRLVDYrm	= 5797,
+    X86_VPSRLVDYrr	= 5798,
+    X86_VPSRLVDZrm	= 5799,
+    X86_VPSRLVDZrr	= 5800,
+    X86_VPSRLVDrm	= 5801,
+    X86_VPSRLVDrr	= 5802,
+    X86_VPSRLVQYrm	= 5803,
+    X86_VPSRLVQYrr	= 5804,
+    X86_VPSRLVQZrm	= 5805,
+    X86_VPSRLVQZrr	= 5806,
+    X86_VPSRLVQrm	= 5807,
+    X86_VPSRLVQrr	= 5808,
+    X86_VPSRLWYri	= 5809,
+    X86_VPSRLWYrm	= 5810,
+    X86_VPSRLWYrr	= 5811,
+    X86_VPSRLWri	= 5812,
+    X86_VPSRLWrm	= 5813,
+    X86_VPSRLWrr	= 5814,
+    X86_VPSUBBYrm	= 5815,
+    X86_VPSUBBYrr	= 5816,
+    X86_VPSUBBrm	= 5817,
+    X86_VPSUBBrr	= 5818,
+    X86_VPSUBDYrm	= 5819,
+    X86_VPSUBDYrr	= 5820,
+    X86_VPSUBDZrm	= 5821,
+    X86_VPSUBDZrmb	= 5822,
+    X86_VPSUBDZrmbk	= 5823,
+    X86_VPSUBDZrmbkz	= 5824,
+    X86_VPSUBDZrmk	= 5825,
+    X86_VPSUBDZrmkz	= 5826,
+    X86_VPSUBDZrr	= 5827,
+    X86_VPSUBDZrrk	= 5828,
+    X86_VPSUBDZrrkz	= 5829,
+    X86_VPSUBDrm	= 5830,
+    X86_VPSUBDrr	= 5831,
+    X86_VPSUBQYrm	= 5832,
+    X86_VPSUBQYrr	= 5833,
+    X86_VPSUBQZrm	= 5834,
+    X86_VPSUBQZrmb	= 5835,
+    X86_VPSUBQZrmbk	= 5836,
+    X86_VPSUBQZrmbkz	= 5837,
+    X86_VPSUBQZrmk	= 5838,
+    X86_VPSUBQZrmkz	= 5839,
+    X86_VPSUBQZrr	= 5840,
+    X86_VPSUBQZrrk	= 5841,
+    X86_VPSUBQZrrkz	= 5842,
+    X86_VPSUBQrm	= 5843,
+    X86_VPSUBQrr	= 5844,
+    X86_VPSUBSBYrm	= 5845,
+    X86_VPSUBSBYrr	= 5846,
+    X86_VPSUBSBrm	= 5847,
+    X86_VPSUBSBrr	= 5848,
+    X86_VPSUBSWYrm	= 5849,
+    X86_VPSUBSWYrr	= 5850,
+    X86_VPSUBSWrm	= 5851,
+    X86_VPSUBSWrr	= 5852,
+    X86_VPSUBUSBYrm	= 5853,
+    X86_VPSUBUSBYrr	= 5854,
+    X86_VPSUBUSBrm	= 5855,
+    X86_VPSUBUSBrr	= 5856,
+    X86_VPSUBUSWYrm	= 5857,
+    X86_VPSUBUSWYrr	= 5858,
+    X86_VPSUBUSWrm	= 5859,
+    X86_VPSUBUSWrr	= 5860,
+    X86_VPSUBWYrm	= 5861,
+    X86_VPSUBWYrr	= 5862,
+    X86_VPSUBWrm	= 5863,
+    X86_VPSUBWrr	= 5864,
+    X86_VPTESTMDZrm	= 5865,
+    X86_VPTESTMDZrr	= 5866,
+    X86_VPTESTMQZrm	= 5867,
+    X86_VPTESTMQZrr	= 5868,
+    X86_VPTESTNMDZrm	= 5869,
+    X86_VPTESTNMDZrr	= 5870,
+    X86_VPTESTNMQZrm	= 5871,
+    X86_VPTESTNMQZrr	= 5872,
+    X86_VPTESTYrm	= 5873,
+    X86_VPTESTYrr	= 5874,
+    X86_VPTESTrm	= 5875,
+    X86_VPTESTrr	= 5876,
+    X86_VPUNPCKHBWYrm	= 5877,
+    X86_VPUNPCKHBWYrr	= 5878,
+    X86_VPUNPCKHBWrm	= 5879,
+    X86_VPUNPCKHBWrr	= 5880,
+    X86_VPUNPCKHDQYrm	= 5881,
+    X86_VPUNPCKHDQYrr	= 5882,
+    X86_VPUNPCKHDQZrm	= 5883,
+    X86_VPUNPCKHDQZrr	= 5884,
+    X86_VPUNPCKHDQrm	= 5885,
+    X86_VPUNPCKHDQrr	= 5886,
+    X86_VPUNPCKHQDQYrm	= 5887,
+    X86_VPUNPCKHQDQYrr	= 5888,
+    X86_VPUNPCKHQDQZrm	= 5889,
+    X86_VPUNPCKHQDQZrr	= 5890,
+    X86_VPUNPCKHQDQrm	= 5891,
+    X86_VPUNPCKHQDQrr	= 5892,
+    X86_VPUNPCKHWDYrm	= 5893,
+    X86_VPUNPCKHWDYrr	= 5894,
+    X86_VPUNPCKHWDrm	= 5895,
+    X86_VPUNPCKHWDrr	= 5896,
+    X86_VPUNPCKLBWYrm	= 5897,
+    X86_VPUNPCKLBWYrr	= 5898,
+    X86_VPUNPCKLBWrm	= 5899,
+    X86_VPUNPCKLBWrr	= 5900,
+    X86_VPUNPCKLDQYrm	= 5901,
+    X86_VPUNPCKLDQYrr	= 5902,
+    X86_VPUNPCKLDQZrm	= 5903,
+    X86_VPUNPCKLDQZrr	= 5904,
+    X86_VPUNPCKLDQrm	= 5905,
+    X86_VPUNPCKLDQrr	= 5906,
+    X86_VPUNPCKLQDQYrm	= 5907,
+    X86_VPUNPCKLQDQYrr	= 5908,
+    X86_VPUNPCKLQDQZrm	= 5909,
+    X86_VPUNPCKLQDQZrr	= 5910,
+    X86_VPUNPCKLQDQrm	= 5911,
+    X86_VPUNPCKLQDQrr	= 5912,
+    X86_VPUNPCKLWDYrm	= 5913,
+    X86_VPUNPCKLWDYrr	= 5914,
+    X86_VPUNPCKLWDrm	= 5915,
+    X86_VPUNPCKLWDrr	= 5916,
+    X86_VPXORDZrm	= 5917,
+    X86_VPXORDZrmb	= 5918,
+    X86_VPXORDZrmbk	= 5919,
+    X86_VPXORDZrmbkz	= 5920,
+    X86_VPXORDZrmk	= 5921,
+    X86_VPXORDZrmkz	= 5922,
+    X86_VPXORDZrr	= 5923,
+    X86_VPXORDZrrk	= 5924,
+    X86_VPXORDZrrkz	= 5925,
+    X86_VPXORQZrm	= 5926,
+    X86_VPXORQZrmb	= 5927,
+    X86_VPXORQZrmbk	= 5928,
+    X86_VPXORQZrmbkz	= 5929,
+    X86_VPXORQZrmk	= 5930,
+    X86_VPXORQZrmkz	= 5931,
+    X86_VPXORQZrr	= 5932,
+    X86_VPXORQZrrk	= 5933,
+    X86_VPXORQZrrkz	= 5934,
+    X86_VPXORYrm	= 5935,
+    X86_VPXORYrr	= 5936,
+    X86_VPXORrm	= 5937,
+    X86_VPXORrr	= 5938,
+    X86_VRCP14PDZm	= 5939,
+    X86_VRCP14PDZr	= 5940,
+    X86_VRCP14PSZm	= 5941,
+    X86_VRCP14PSZr	= 5942,
+    X86_VRCP14SDrm	= 5943,
+    X86_VRCP14SDrr	= 5944,
+    X86_VRCP14SSrm	= 5945,
+    X86_VRCP14SSrr	= 5946,
+    X86_VRCP28PDZm	= 5947,
+    X86_VRCP28PDZr	= 5948,
+    X86_VRCP28PDZrb	= 5949,
+    X86_VRCP28PSZm	= 5950,
+    X86_VRCP28PSZr	= 5951,
+    X86_VRCP28PSZrb	= 5952,
+    X86_VRCP28SDrm	= 5953,
+    X86_VRCP28SDrr	= 5954,
+    X86_VRCP28SDrrb	= 5955,
+    X86_VRCP28SSrm	= 5956,
+    X86_VRCP28SSrr	= 5957,
+    X86_VRCP28SSrrb	= 5958,
+    X86_VRCPPSYm	= 5959,
+    X86_VRCPPSYm_Int	= 5960,
+    X86_VRCPPSYr	= 5961,
+    X86_VRCPPSYr_Int	= 5962,
+    X86_VRCPPSm	= 5963,
+    X86_VRCPPSm_Int	= 5964,
+    X86_VRCPPSr	= 5965,
+    X86_VRCPPSr_Int	= 5966,
+    X86_VRCPSSm	= 5967,
+    X86_VRCPSSm_Int	= 5968,
+    X86_VRCPSSr	= 5969,
+    X86_VRNDSCALEPDZm	= 5970,
+    X86_VRNDSCALEPDZr	= 5971,
+    X86_VRNDSCALEPSZm	= 5972,
+    X86_VRNDSCALEPSZr	= 5973,
+    X86_VRNDSCALESDm	= 5974,
+    X86_VRNDSCALESDr	= 5975,
+    X86_VRNDSCALESSm	= 5976,
+    X86_VRNDSCALESSr	= 5977,
+    X86_VROUNDPDm	= 5978,
+    X86_VROUNDPDr	= 5979,
+    X86_VROUNDPSm	= 5980,
+    X86_VROUNDPSr	= 5981,
+    X86_VROUNDSDm	= 5982,
+    X86_VROUNDSDr	= 5983,
+    X86_VROUNDSDr_Int	= 5984,
+    X86_VROUNDSSm	= 5985,
+    X86_VROUNDSSr	= 5986,
+    X86_VROUNDSSr_Int	= 5987,
+    X86_VROUNDYPDm	= 5988,
+    X86_VROUNDYPDr	= 5989,
+    X86_VROUNDYPSm	= 5990,
+    X86_VROUNDYPSr	= 5991,
+    X86_VRSQRT14PDZm	= 5992,
+    X86_VRSQRT14PDZr	= 5993,
+    X86_VRSQRT14PSZm	= 5994,
+    X86_VRSQRT14PSZr	= 5995,
+    X86_VRSQRT14SDrm	= 5996,
+    X86_VRSQRT14SDrr	= 5997,
+    X86_VRSQRT14SSrm	= 5998,
+    X86_VRSQRT14SSrr	= 5999,
+    X86_VRSQRT28PDZm	= 6000,
+    X86_VRSQRT28PDZr	= 6001,
+    X86_VRSQRT28PDZrb	= 6002,
+    X86_VRSQRT28PSZm	= 6003,
+    X86_VRSQRT28PSZr	= 6004,
+    X86_VRSQRT28PSZrb	= 6005,
+    X86_VRSQRT28SDrm	= 6006,
+    X86_VRSQRT28SDrr	= 6007,
+    X86_VRSQRT28SDrrb	= 6008,
+    X86_VRSQRT28SSrm	= 6009,
+    X86_VRSQRT28SSrr	= 6010,
+    X86_VRSQRT28SSrrb	= 6011,
+    X86_VRSQRTPSYm	= 6012,
+    X86_VRSQRTPSYm_Int	= 6013,
+    X86_VRSQRTPSYr	= 6014,
+    X86_VRSQRTPSYr_Int	= 6015,
+    X86_VRSQRTPSm	= 6016,
+    X86_VRSQRTPSm_Int	= 6017,
+    X86_VRSQRTPSr	= 6018,
+    X86_VRSQRTPSr_Int	= 6019,
+    X86_VRSQRTSSm	= 6020,
+    X86_VRSQRTSSm_Int	= 6021,
+    X86_VRSQRTSSr	= 6022,
+    X86_VSCATTERDPDZmr	= 6023,
+    X86_VSCATTERDPSZmr	= 6024,
+    X86_VSCATTERPF0DPDm	= 6025,
+    X86_VSCATTERPF0DPSm	= 6026,
+    X86_VSCATTERPF0QPDm	= 6027,
+    X86_VSCATTERPF0QPSm	= 6028,
+    X86_VSCATTERPF1DPDm	= 6029,
+    X86_VSCATTERPF1DPSm	= 6030,
+    X86_VSCATTERPF1QPDm	= 6031,
+    X86_VSCATTERPF1QPSm	= 6032,
+    X86_VSCATTERQPDZmr	= 6033,
+    X86_VSCATTERQPSZmr	= 6034,
+    X86_VSHUFPDYrmi	= 6035,
+    X86_VSHUFPDYrri	= 6036,
+    X86_VSHUFPDZrmi	= 6037,
+    X86_VSHUFPDZrri	= 6038,
+    X86_VSHUFPDrmi	= 6039,
+    X86_VSHUFPDrri	= 6040,
+    X86_VSHUFPSYrmi	= 6041,
+    X86_VSHUFPSYrri	= 6042,
+    X86_VSHUFPSZrmi	= 6043,
+    X86_VSHUFPSZrri	= 6044,
+    X86_VSHUFPSrmi	= 6045,
+    X86_VSHUFPSrri	= 6046,
+    X86_VSQRTPDYm	= 6047,
+    X86_VSQRTPDYr	= 6048,
+    X86_VSQRTPDZrm	= 6049,
+    X86_VSQRTPDZrr	= 6050,
+    X86_VSQRTPDm	= 6051,
+    X86_VSQRTPDr	= 6052,
+    X86_VSQRTPSYm	= 6053,
+    X86_VSQRTPSYr	= 6054,
+    X86_VSQRTPSZrm	= 6055,
+    X86_VSQRTPSZrr	= 6056,
+    X86_VSQRTPSm	= 6057,
+    X86_VSQRTPSr	= 6058,
+    X86_VSQRTSDZm	= 6059,
+    X86_VSQRTSDZm_Int	= 6060,
+    X86_VSQRTSDZr	= 6061,
+    X86_VSQRTSDZr_Int	= 6062,
+    X86_VSQRTSDm	= 6063,
+    X86_VSQRTSDm_Int	= 6064,
+    X86_VSQRTSDr	= 6065,
+    X86_VSQRTSSZm	= 6066,
+    X86_VSQRTSSZm_Int	= 6067,
+    X86_VSQRTSSZr	= 6068,
+    X86_VSQRTSSZr_Int	= 6069,
+    X86_VSQRTSSm	= 6070,
+    X86_VSQRTSSm_Int	= 6071,
+    X86_VSQRTSSr	= 6072,
+    X86_VSTMXCSR	= 6073,
+    X86_VSUBPDYrm	= 6074,
+    X86_VSUBPDYrr	= 6075,
+    X86_VSUBPDZrm	= 6076,
+    X86_VSUBPDZrmb	= 6077,
+    X86_VSUBPDZrmbk	= 6078,
+    X86_VSUBPDZrmbkz	= 6079,
+    X86_VSUBPDZrmk	= 6080,
+    X86_VSUBPDZrmkz	= 6081,
+    X86_VSUBPDZrr	= 6082,
+    X86_VSUBPDZrrk	= 6083,
+    X86_VSUBPDZrrkz	= 6084,
+    X86_VSUBPDrm	= 6085,
+    X86_VSUBPDrr	= 6086,
+    X86_VSUBPSYrm	= 6087,
+    X86_VSUBPSYrr	= 6088,
+    X86_VSUBPSZrm	= 6089,
+    X86_VSUBPSZrmb	= 6090,
+    X86_VSUBPSZrmbk	= 6091,
+    X86_VSUBPSZrmbkz	= 6092,
+    X86_VSUBPSZrmk	= 6093,
+    X86_VSUBPSZrmkz	= 6094,
+    X86_VSUBPSZrr	= 6095,
+    X86_VSUBPSZrrk	= 6096,
+    X86_VSUBPSZrrkz	= 6097,
+    X86_VSUBPSrm	= 6098,
+    X86_VSUBPSrr	= 6099,
+    X86_VSUBSDZrm	= 6100,
+    X86_VSUBSDZrr	= 6101,
+    X86_VSUBSDrm	= 6102,
+    X86_VSUBSDrm_Int	= 6103,
+    X86_VSUBSDrr	= 6104,
+    X86_VSUBSDrr_Int	= 6105,
+    X86_VSUBSSZrm	= 6106,
+    X86_VSUBSSZrr	= 6107,
+    X86_VSUBSSrm	= 6108,
+    X86_VSUBSSrm_Int	= 6109,
+    X86_VSUBSSrr	= 6110,
+    X86_VSUBSSrr_Int	= 6111,
+    X86_VTESTPDYrm	= 6112,
+    X86_VTESTPDYrr	= 6113,
+    X86_VTESTPDrm	= 6114,
+    X86_VTESTPDrr	= 6115,
+    X86_VTESTPSYrm	= 6116,
+    X86_VTESTPSYrr	= 6117,
+    X86_VTESTPSrm	= 6118,
+    X86_VTESTPSrr	= 6119,
+    X86_VUCOMISDZrm	= 6120,
+    X86_VUCOMISDZrr	= 6121,
+    X86_VUCOMISDrm	= 6122,
+    X86_VUCOMISDrr	= 6123,
+    X86_VUCOMISSZrm	= 6124,
+    X86_VUCOMISSZrr	= 6125,
+    X86_VUCOMISSrm	= 6126,
+    X86_VUCOMISSrr	= 6127,
+    X86_VUNPCKHPDYrm	= 6128,
+    X86_VUNPCKHPDYrr	= 6129,
+    X86_VUNPCKHPDZrm	= 6130,
+    X86_VUNPCKHPDZrr	= 6131,
+    X86_VUNPCKHPDrm	= 6132,
+    X86_VUNPCKHPDrr	= 6133,
+    X86_VUNPCKHPSYrm	= 6134,
+    X86_VUNPCKHPSYrr	= 6135,
+    X86_VUNPCKHPSZrm	= 6136,
+    X86_VUNPCKHPSZrr	= 6137,
+    X86_VUNPCKHPSrm	= 6138,
+    X86_VUNPCKHPSrr	= 6139,
+    X86_VUNPCKLPDYrm	= 6140,
+    X86_VUNPCKLPDYrr	= 6141,
+    X86_VUNPCKLPDZrm	= 6142,
+    X86_VUNPCKLPDZrr	= 6143,
+    X86_VUNPCKLPDrm	= 6144,
+    X86_VUNPCKLPDrr	= 6145,
+    X86_VUNPCKLPSYrm	= 6146,
+    X86_VUNPCKLPSYrr	= 6147,
+    X86_VUNPCKLPSZrm	= 6148,
+    X86_VUNPCKLPSZrr	= 6149,
+    X86_VUNPCKLPSrm	= 6150,
+    X86_VUNPCKLPSrr	= 6151,
+    X86_VXORPDYrm	= 6152,
+    X86_VXORPDYrr	= 6153,
+    X86_VXORPDrm	= 6154,
+    X86_VXORPDrr	= 6155,
+    X86_VXORPSYrm	= 6156,
+    X86_VXORPSYrr	= 6157,
+    X86_VXORPSrm	= 6158,
+    X86_VXORPSrr	= 6159,
+    X86_VZEROALL	= 6160,
+    X86_VZEROUPPER	= 6161,
+    X86_V_SET0	= 6162,
+    X86_V_SETALLONES	= 6163,
+    X86_W64ALLOCA	= 6164,
+    X86_WAIT	= 6165,
+    X86_WBINVD	= 6166,
+    X86_WIN_ALLOCA	= 6167,
+    X86_WIN_FTOL_32	= 6168,
+    X86_WIN_FTOL_64	= 6169,
+    X86_WRFSBASE	= 6170,
+    X86_WRFSBASE64	= 6171,
+    X86_WRGSBASE	= 6172,
+    X86_WRGSBASE64	= 6173,
+    X86_WRMSR	= 6174,
+    X86_XABORT	= 6175,
+    X86_XACQUIRE_PREFIX	= 6176,
+    X86_XADD16rm	= 6177,
+    X86_XADD16rr	= 6178,
+    X86_XADD32rm	= 6179,
+    X86_XADD32rr	= 6180,
+    X86_XADD64rm	= 6181,
+    X86_XADD64rr	= 6182,
+    X86_XADD8rm	= 6183,
+    X86_XADD8rr	= 6184,
+    X86_XBEGIN	= 6185,
+    X86_XBEGIN_4	= 6186,
+    X86_XCHG16ar	= 6187,
+    X86_XCHG16rm	= 6188,
+    X86_XCHG16rr	= 6189,
+    X86_XCHG32ar	= 6190,
+    X86_XCHG32ar64	= 6191,
+    X86_XCHG32rm	= 6192,
+    X86_XCHG32rr	= 6193,
+    X86_XCHG64ar	= 6194,
+    X86_XCHG64rm	= 6195,
+    X86_XCHG64rr	= 6196,
+    X86_XCHG8rm	= 6197,
+    X86_XCHG8rr	= 6198,
+    X86_XCH_F	= 6199,
+    X86_XCRYPTCBC	= 6200,
+    X86_XCRYPTCFB	= 6201,
+    X86_XCRYPTCTR	= 6202,
+    X86_XCRYPTECB	= 6203,
+    X86_XCRYPTOFB	= 6204,
+    X86_XEND	= 6205,
+    X86_XGETBV	= 6206,
+    X86_XLAT	= 6207,
+    X86_XOR16i16	= 6208,
+    X86_XOR16mi	= 6209,
+    X86_XOR16mi8	= 6210,
+    X86_XOR16mr	= 6211,
+    X86_XOR16ri	= 6212,
+    X86_XOR16ri8	= 6213,
+    X86_XOR16rm	= 6214,
+    X86_XOR16rr	= 6215,
+    X86_XOR16rr_REV	= 6216,
+    X86_XOR32i32	= 6217,
+    X86_XOR32mi	= 6218,
+    X86_XOR32mi8	= 6219,
+    X86_XOR32mr	= 6220,
+    X86_XOR32ri	= 6221,
+    X86_XOR32ri8	= 6222,
+    X86_XOR32rm	= 6223,
+    X86_XOR32rr	= 6224,
+    X86_XOR32rr_REV	= 6225,
+    X86_XOR64i32	= 6226,
+    X86_XOR64mi32	= 6227,
+    X86_XOR64mi8	= 6228,
+    X86_XOR64mr	= 6229,
+    X86_XOR64ri32	= 6230,
+    X86_XOR64ri8	= 6231,
+    X86_XOR64rm	= 6232,
+    X86_XOR64rr	= 6233,
+    X86_XOR64rr_REV	= 6234,
+    X86_XOR8i8	= 6235,
+    X86_XOR8mi	= 6236,
+    X86_XOR8mr	= 6237,
+    X86_XOR8ri	= 6238,
+    X86_XOR8ri8	= 6239,
+    X86_XOR8rm	= 6240,
+    X86_XOR8rr	= 6241,
+    X86_XOR8rr_REV	= 6242,
+    X86_XORPDrm	= 6243,
+    X86_XORPDrr	= 6244,
+    X86_XORPSrm	= 6245,
+    X86_XORPSrr	= 6246,
+    X86_XRELEASE_PREFIX	= 6247,
+    X86_XRSTOR	= 6248,
+    X86_XRSTOR64	= 6249,
+    X86_XSAVE	= 6250,
+    X86_XSAVE64	= 6251,
+    X86_XSAVEOPT	= 6252,
+    X86_XSAVEOPT64	= 6253,
+    X86_XSETBV	= 6254,
+    X86_XSHA1	= 6255,
+    X86_XSHA256	= 6256,
+    X86_XSTORE	= 6257,
+    X86_XTEST	= 6258,
+    X86_INSTRUCTION_LIST_END = 6259
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -5473,5309 +6282,1434 @@
 #ifdef GET_INSTRINFO_MC_DESC
 #undef GET_INSTRINFO_MC_DESC
 
-static const char X86InstrNameData[] = {
-  /* 0 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', '_', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'R', '1', '0', 0,
-  /* 26 */ 'L', 'D', '_', 'F', 'p', '0', '8', '0', 0,
-  /* 35 */ 'L', 'D', '_', 'F', 'p', '1', '8', '0', 0,
-  /* 44 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 0,
-  /* 55 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '8', '0', 0,
-  /* 68 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '8', '0', 0,
-  /* 81 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '8', '0', 0,
-  /* 94 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '8', '0', 0,
-  /* 108 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '8', '0', 0,
-  /* 122 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '8', '0', 0,
-  /* 135 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '8', '0', 0,
-  /* 147 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '8', '0', 0,
-  /* 159 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '8', '0', 0,
-  /* 172 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '8', '0', 0,
-  /* 184 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '8', '0', 0,
-  /* 196 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '8', '0', 0,
-  /* 209 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '8', '0', 0,
-  /* 222 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '8', '0', 0,
-  /* 235 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '8', '0', 0,
-  /* 248 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '8', '0', 0,
-  /* 262 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '8', '0', 0,
-  /* 276 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '8', '0', 0,
-  /* 289 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '8', '0', 0,
-  /* 301 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '8', '0', 0,
-  /* 313 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '8', '0', 0,
-  /* 326 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '8', '0', 0,
-  /* 338 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 0,
-  /* 347 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '8', '0', 0,
-  /* 358 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 0,
-  /* 367 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '8', '0', 0,
-  /* 380 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '8', '0', 0,
-  /* 392 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '8', '0', 0,
-  /* 404 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '8', '0', 0,
-  /* 415 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 0,
-  /* 424 */ 'S', 'I', 'N', '_', 'F', 'p', '8', '0', 0,
-  /* 433 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '8', '0', 0,
-  /* 445 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '8', '0', 0,
-  /* 456 */ 'A', 'B', 'S', '_', 'F', 'p', '8', '0', 0,
-  /* 465 */ 'C', 'H', 'S', '_', 'F', 'p', '8', '0', 0,
-  /* 474 */ 'C', 'O', 'S', '_', 'F', 'p', '8', '0', 0,
-  /* 483 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '8', '0', 0,
-  /* 493 */ 'T', 'S', 'T', '_', 'F', 'p', '8', '0', 0,
-  /* 502 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 0,
-  /* 511 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '8', '0', 0,
-  /* 523 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '8', '0', 0,
-  /* 534 */ 'L', 'D', '_', 'F', '0', 0,
-  /* 540 */ 'A', 'V', 'X', '5', '1', '2', '_', '5', '1', '2', '_', 'S', 'E', 'T', '0', 0,
-  /* 556 */ 'V', '_', 'S', 'E', 'T', '0', 0,
-  /* 563 */ 'A', 'V', 'X', '_', 'S', 'E', 'T', '0', 0,
-  /* 572 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'T', '0', 0,
-  /* 583 */ 'S', 'U', 'B', '_', 'F', 'r', 'S', 'T', '0', 0,
-  /* 593 */ 'A', 'D', 'D', '_', 'F', 'r', 'S', 'T', '0', 0,
-  /* 603 */ 'M', 'U', 'L', '_', 'F', 'r', 'S', 'T', '0', 0,
-  /* 613 */ 'S', 'U', 'B', 'R', '_', 'F', 'r', 'S', 'T', '0', 0,
-  /* 624 */ 'D', 'I', 'V', 'R', '_', 'F', 'r', 'S', 'T', '0', 0,
-  /* 635 */ 'D', 'I', 'V', '_', 'F', 'r', 'S', 'T', '0', 0,
-  /* 645 */ 'S', 'U', 'B', '_', 'F', 'P', 'r', 'S', 'T', '0', 0,
-  /* 656 */ 'A', 'D', 'D', '_', 'F', 'P', 'r', 'S', 'T', '0', 0,
-  /* 667 */ 'M', 'U', 'L', '_', 'F', 'P', 'r', 'S', 'T', '0', 0,
-  /* 678 */ 'S', 'U', 'B', 'R', '_', 'F', 'P', 'r', 'S', 'T', '0', 0,
-  /* 690 */ 'D', 'I', 'V', 'R', '_', 'F', 'P', 'r', 'S', 'T', '0', 0,
-  /* 702 */ 'D', 'I', 'V', '_', 'F', 'P', 'r', 'S', 'T', '0', 0,
-  /* 713 */ 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'm', '0', 0,
-  /* 725 */ 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'm', '0', 0,
-  /* 737 */ 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'm', '0', 0,
-  /* 749 */ 'M', 'O', 'V', '3', '2', 'r', '0', 0,
-  /* 757 */ 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'r', '0', 0,
-  /* 769 */ 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'r', '0', 0,
-  /* 781 */ 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'r', '0', 0,
-  /* 793 */ 'X', 'S', 'H', 'A', '1', 0,
-  /* 799 */ 'L', 'D', '_', 'F', '1', 0,
-  /* 805 */ 'F', 'P', 'R', 'E', 'M', '1', 0,
-  /* 812 */ 'F', '2', 'X', 'M', '1', 0,
-  /* 818 */ 'F', 'Y', 'L', '2', 'X', 'P', '1', 0,
-  /* 826 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'T', '1', 0,
-  /* 837 */ 'I', 'N', 'T', '1', 0,
-  /* 842 */ 'J', 'A', '_', '1', 0,
-  /* 847 */ 'J', 'B', '_', '1', 0,
-  /* 852 */ 'J', 'A', 'E', '_', '1', 0,
-  /* 858 */ 'J', 'B', 'E', '_', '1', 0,
-  /* 864 */ 'J', 'G', 'E', '_', '1', 0,
-  /* 870 */ 'J', 'E', '_', '1', 0,
-  /* 875 */ 'J', 'L', 'E', '_', '1', 0,
-  /* 881 */ 'J', 'N', 'E', '_', '1', 0,
-  /* 887 */ 'J', 'G', '_', '1', 0,
-  /* 892 */ 'J', 'L', '_', '1', 0,
-  /* 897 */ 'J', 'O', '_', '1', 0,
-  /* 902 */ 'J', 'N', 'O', '_', '1', 0,
-  /* 908 */ 'J', 'P', '_', '1', 0,
-  /* 913 */ 'J', 'M', 'P', '_', '1', 0,
-  /* 919 */ 'J', 'N', 'P', '_', '1', 0,
-  /* 925 */ 'J', 'S', '_', '1', 0,
-  /* 930 */ 'J', 'N', 'S', '_', '1', 0,
-  /* 936 */ 'S', 'A', 'L', '3', '2', 'm', '1', 0,
-  /* 944 */ 'R', 'C', 'L', '3', '2', 'm', '1', 0,
-  /* 952 */ 'S', 'H', 'L', '3', '2', 'm', '1', 0,
-  /* 960 */ 'R', 'O', 'L', '3', '2', 'm', '1', 0,
-  /* 968 */ 'S', 'A', 'R', '3', '2', 'm', '1', 0,
-  /* 976 */ 'R', 'C', 'R', '3', '2', 'm', '1', 0,
-  /* 984 */ 'S', 'H', 'R', '3', '2', 'm', '1', 0,
-  /* 992 */ 'R', 'O', 'R', '3', '2', 'm', '1', 0,
-  /* 1000 */ 'S', 'A', 'L', '6', '4', 'm', '1', 0,
-  /* 1008 */ 'R', 'C', 'L', '6', '4', 'm', '1', 0,
-  /* 1016 */ 'S', 'H', 'L', '6', '4', 'm', '1', 0,
-  /* 1024 */ 'R', 'O', 'L', '6', '4', 'm', '1', 0,
-  /* 1032 */ 'S', 'A', 'R', '6', '4', 'm', '1', 0,
-  /* 1040 */ 'R', 'C', 'R', '6', '4', 'm', '1', 0,
-  /* 1048 */ 'S', 'H', 'R', '6', '4', 'm', '1', 0,
-  /* 1056 */ 'R', 'O', 'R', '6', '4', 'm', '1', 0,
-  /* 1064 */ 'S', 'A', 'L', '1', '6', 'm', '1', 0,
-  /* 1072 */ 'R', 'C', 'L', '1', '6', 'm', '1', 0,
-  /* 1080 */ 'S', 'H', 'L', '1', '6', 'm', '1', 0,
-  /* 1088 */ 'R', 'O', 'L', '1', '6', 'm', '1', 0,
-  /* 1096 */ 'S', 'A', 'R', '1', '6', 'm', '1', 0,
-  /* 1104 */ 'R', 'C', 'R', '1', '6', 'm', '1', 0,
-  /* 1112 */ 'S', 'H', 'R', '1', '6', 'm', '1', 0,
-  /* 1120 */ 'R', 'O', 'R', '1', '6', 'm', '1', 0,
-  /* 1128 */ 'S', 'A', 'L', '8', 'm', '1', 0,
-  /* 1135 */ 'R', 'C', 'L', '8', 'm', '1', 0,
-  /* 1142 */ 'S', 'H', 'L', '8', 'm', '1', 0,
-  /* 1149 */ 'R', 'O', 'L', '8', 'm', '1', 0,
-  /* 1156 */ 'S', 'A', 'R', '8', 'm', '1', 0,
-  /* 1163 */ 'R', 'C', 'R', '8', 'm', '1', 0,
-  /* 1170 */ 'S', 'H', 'R', '8', 'm', '1', 0,
-  /* 1177 */ 'R', 'O', 'R', '8', 'm', '1', 0,
-  /* 1184 */ 'S', 'A', 'L', '3', '2', 'r', '1', 0,
-  /* 1192 */ 'R', 'C', 'L', '3', '2', 'r', '1', 0,
-  /* 1200 */ 'S', 'H', 'L', '3', '2', 'r', '1', 0,
-  /* 1208 */ 'R', 'O', 'L', '3', '2', 'r', '1', 0,
-  /* 1216 */ 'S', 'A', 'R', '3', '2', 'r', '1', 0,
-  /* 1224 */ 'R', 'C', 'R', '3', '2', 'r', '1', 0,
-  /* 1232 */ 'S', 'H', 'R', '3', '2', 'r', '1', 0,
-  /* 1240 */ 'R', 'O', 'R', '3', '2', 'r', '1', 0,
-  /* 1248 */ 'S', 'A', 'L', '6', '4', 'r', '1', 0,
-  /* 1256 */ 'R', 'C', 'L', '6', '4', 'r', '1', 0,
-  /* 1264 */ 'S', 'H', 'L', '6', '4', 'r', '1', 0,
-  /* 1272 */ 'R', 'O', 'L', '6', '4', 'r', '1', 0,
-  /* 1280 */ 'S', 'A', 'R', '6', '4', 'r', '1', 0,
-  /* 1288 */ 'R', 'C', 'R', '6', '4', 'r', '1', 0,
-  /* 1296 */ 'S', 'H', 'R', '6', '4', 'r', '1', 0,
-  /* 1304 */ 'R', 'O', 'R', '6', '4', 'r', '1', 0,
-  /* 1312 */ 'S', 'A', 'L', '1', '6', 'r', '1', 0,
-  /* 1320 */ 'R', 'C', 'L', '1', '6', 'r', '1', 0,
-  /* 1328 */ 'S', 'H', 'L', '1', '6', 'r', '1', 0,
-  /* 1336 */ 'R', 'O', 'L', '1', '6', 'r', '1', 0,
-  /* 1344 */ 'S', 'A', 'R', '1', '6', 'r', '1', 0,
-  /* 1352 */ 'R', 'C', 'R', '1', '6', 'r', '1', 0,
-  /* 1360 */ 'S', 'H', 'R', '1', '6', 'r', '1', 0,
-  /* 1368 */ 'R', 'O', 'R', '1', '6', 'r', '1', 0,
-  /* 1376 */ 'S', 'A', 'L', '8', 'r', '1', 0,
-  /* 1383 */ 'R', 'C', 'L', '8', 'r', '1', 0,
-  /* 1390 */ 'S', 'H', 'L', '8', 'r', '1', 0,
-  /* 1397 */ 'R', 'O', 'L', '8', 'r', '1', 0,
-  /* 1404 */ 'S', 'A', 'R', '8', 'r', '1', 0,
-  /* 1411 */ 'R', 'C', 'R', '8', 'r', '1', 0,
-  /* 1418 */ 'S', 'H', 'R', '8', 'r', '1', 0,
-  /* 1425 */ 'R', 'O', 'R', '8', 'r', '1', 0,
-  /* 1432 */ 'L', 'D', '_', 'F', 'p', '0', '3', '2', 0,
-  /* 1441 */ 'L', 'D', '_', 'F', 'p', '1', '3', '2', 0,
-  /* 1450 */ 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 0,
-  /* 1462 */ 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 0,
-  /* 1474 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 1486 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 1499 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 1511 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 1524 */ 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 0,
-  /* 1537 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 1548 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 1560 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 1572 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 1585 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '3', '2', 0,
-  /* 1595 */ 'P', 'U', 'S', 'H', 'A', '3', '2', 0,
-  /* 1603 */ 'P', 'O', 'P', 'A', '3', '2', 0,
-  /* 1610 */ 'V', 'M', 'L', 'O', 'A', 'D', '3', '2', 0,
-  /* 1619 */ 'L', 'X', 'A', 'D', 'D', '3', '2', 0,
-  /* 1627 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '3', '2', 0,
-  /* 1637 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '3', '2', 0,
-  /* 1647 */ 'C', 'P', 'U', 'I', 'D', '3', '2', 0,
-  /* 1655 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 0,
-  /* 1665 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 0,
-  /* 1676 */ 'V', 'M', 'S', 'A', 'V', 'E', '3', '2', 0,
-  /* 1685 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 0,
-  /* 1696 */ 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 0,
-  /* 1708 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 0,
-  /* 1719 */ 'P', 'U', 'S', 'H', 'F', '3', '2', 0,
-  /* 1727 */ 'P', 'O', 'P', 'F', '3', '2', 0,
-  /* 1734 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 0,
-  /* 1745 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 0,
-  /* 1755 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 0,
-  /* 1766 */ 'V', 'M', 'R', 'U', 'N', '3', '2', 0,
-  /* 1774 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '3', '2', 0,
-  /* 1793 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 0,
-  /* 1804 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '3', '2', 0,
-  /* 1821 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 0,
-  /* 1831 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', 0,
-  /* 1841 */ 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 0,
-  /* 1850 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 0,
-  /* 1860 */ 'S', 'C', 'A', 'S', '3', '2', 0,
-  /* 1867 */ 'P', 'U', 'S', 'H', 'C', 'S', '3', '2', 0,
-  /* 1876 */ 'P', 'U', 'S', 'H', 'D', 'S', '3', '2', 0,
-  /* 1885 */ 'P', 'O', 'P', 'D', 'S', '3', '2', 0,
-  /* 1893 */ 'P', 'U', 'S', 'H', 'E', 'S', '3', '2', 0,
-  /* 1902 */ 'P', 'O', 'P', 'E', 'S', '3', '2', 0,
-  /* 1910 */ 'P', 'U', 'S', 'H', 'F', 'S', '3', '2', 0,
-  /* 1919 */ 'P', 'O', 'P', 'F', 'S', '3', '2', 0,
-  /* 1927 */ 'P', 'U', 'S', 'H', 'G', 'S', '3', '2', 0,
-  /* 1936 */ 'P', 'O', 'P', 'G', 'S', '3', '2', 0,
-  /* 1944 */ 'C', 'M', 'P', 'S', '3', '2', 0,
-  /* 1951 */ 'P', 'U', 'S', 'H', 'S', 'S', '3', '2', 0,
-  /* 1960 */ 'P', 'O', 'P', 'S', 'S', '3', '2', 0,
-  /* 1968 */ 'I', 'R', 'E', 'T', '3', '2', 0,
-  /* 1975 */ 'I', 'N', 'V', 'E', 'P', 'T', '3', '2', 0,
-  /* 1984 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 0,
-  /* 1994 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 0,
-  /* 2005 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '3', '2', 0,
-  /* 2019 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '3', '2', 0,
-  /* 2032 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '3', '2', 0,
-  /* 2045 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '3', '2', 0,
-  /* 2058 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '3', '2', 0,
-  /* 2071 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '3', '2', 0,
-  /* 2083 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '3', '2', 0,
-  /* 2096 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '3', '2', 0,
-  /* 2109 */ 'J', 'E', 'C', 'X', 'Z', '_', '3', '2', 0,
-  /* 2118 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 2129 */ 'S', 'B', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 2138 */ 'S', 'U', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 2147 */ 'A', 'D', 'C', '3', '2', 'i', '3', '2', 0,
-  /* 2156 */ 'A', 'D', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 2165 */ 'A', 'N', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 2174 */ 'C', 'M', 'P', '3', '2', 'i', '3', '2', 0,
-  /* 2183 */ 'X', 'O', 'R', '3', '2', 'i', '3', '2', 0,
-  /* 2192 */ 'T', 'E', 'S', 'T', '3', '2', 'i', '3', '2', 0,
-  /* 2202 */ 'S', 'B', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 2211 */ 'S', 'U', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 2220 */ 'A', 'D', 'C', '6', '4', 'i', '3', '2', 0,
-  /* 2229 */ 'A', 'D', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 2238 */ 'A', 'N', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 2247 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '3', '2', 0,
-  /* 2257 */ 'C', 'M', 'P', '6', '4', 'i', '3', '2', 0,
-  /* 2266 */ 'X', 'O', 'R', '6', '4', 'i', '3', '2', 0,
-  /* 2275 */ 'T', 'E', 'S', 'T', '6', '4', 'i', '3', '2', 0,
-  /* 2285 */ 'P', 'U', 'S', 'H', 'i', '3', '2', 0,
-  /* 2293 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2303 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2318 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2328 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2343 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2358 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2368 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2383 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2397 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2408 */ 'M', 'O', 'V', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 2418 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '3', '2', 0,
-  /* 2430 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2440 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2450 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2460 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2470 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2480 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2490 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2500 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2511 */ 'M', 'O', 'V', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 2521 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '3', '2', 0,
-  /* 2533 */ 'C', 'A', 'L', 'L', '6', '4', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 2547 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 2559 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', '3', '2', 0,
-  /* 2571 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2583 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2595 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2607 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2620 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2633 */ 'S', 'T', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2644 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 'm', '3', '2', 0,
-  /* 2656 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2669 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2682 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2695 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2709 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2723 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '3', '2', 0,
-  /* 2736 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
-  /* 2748 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
-  /* 2760 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '3', '2', 0,
-  /* 2773 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '3', '2', 0,
-  /* 2785 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', '3', '2', 0,
-  /* 2797 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2809 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2821 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2833 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2845 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2858 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2871 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2883 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2896 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', '3', '2', 0,
-  /* 2908 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2921 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2934 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2947 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2961 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2975 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '3', '2', 0,
-  /* 2988 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
-  /* 3000 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
-  /* 3012 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '3', '2', 0,
-  /* 3025 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '3', '2', 0,
-  /* 3037 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', 0,
-  /* 3047 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '3', '2', 0,
-  /* 3057 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '3', '2', 0,
-  /* 3069 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 0,
-  /* 3078 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '3', '2', 0,
-  /* 3089 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 0,
-  /* 3098 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3111 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3123 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3135 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '3', '2', 0,
-  /* 3146 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 0,
-  /* 3155 */ 'S', 'I', 'N', '_', 'F', 'p', '3', '2', 0,
-  /* 3164 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '3', '2', 0,
-  /* 3176 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '3', '2', 0,
-  /* 3187 */ 'A', 'B', 'S', '_', 'F', 'p', '3', '2', 0,
-  /* 3196 */ 'C', 'H', 'S', '_', 'F', 'p', '3', '2', 0,
-  /* 3205 */ 'C', 'O', 'S', '_', 'F', 'p', '3', '2', 0,
-  /* 3214 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '3', '2', 0,
-  /* 3224 */ 'T', 'S', 'T', '_', 'F', 'p', '3', '2', 0,
-  /* 3233 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 0,
-  /* 3242 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '3', '2', 0,
-  /* 3260 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '3', '2', 0,
-  /* 3277 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '3', '2', 0,
-  /* 3289 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '3', '2', 0,
-  /* 3301 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3312 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 3328 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '3', '2', 0,
-  /* 3339 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '3', '2', 0,
-  /* 3351 */ 'F', 'L', 'D', 'L', 'G', '2', 0,
-  /* 3358 */ 'F', 'L', 'D', 'L', 'N', '2', 0,
-  /* 3365 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'T', '2', 0,
-  /* 3376 */ 'J', 'A', '_', '2', 0,
-  /* 3381 */ 'J', 'B', '_', '2', 0,
-  /* 3386 */ 'J', 'A', 'E', '_', '2', 0,
-  /* 3392 */ 'J', 'B', 'E', '_', '2', 0,
-  /* 3398 */ 'J', 'G', 'E', '_', '2', 0,
-  /* 3404 */ 'J', 'E', '_', '2', 0,
-  /* 3409 */ 'J', 'L', 'E', '_', '2', 0,
-  /* 3415 */ 'J', 'N', 'E', '_', '2', 0,
-  /* 3421 */ 'J', 'G', '_', '2', 0,
-  /* 3426 */ 'J', 'L', '_', '2', 0,
-  /* 3431 */ 'J', 'O', '_', '2', 0,
-  /* 3436 */ 'J', 'N', 'O', '_', '2', 0,
-  /* 3442 */ 'J', 'P', '_', '2', 0,
-  /* 3447 */ 'J', 'M', 'P', '_', '2', 0,
-  /* 3453 */ 'J', 'N', 'P', '_', '2', 0,
-  /* 3459 */ 'J', 'S', '_', '2', 0,
-  /* 3464 */ 'J', 'N', 'S', '_', '2', 0,
-  /* 3470 */ 'I', 'N', 'T', '3', 0,
-  /* 3475 */ 'L', 'D', '_', 'F', 'p', '0', '6', '4', 0,
-  /* 3484 */ 'L', 'D', '_', 'F', 'p', '1', '6', '4', 0,
-  /* 3493 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '6', '4', 0,
-  /* 3503 */ 'V', 'M', 'L', 'O', 'A', 'D', '6', '4', 0,
-  /* 3512 */ 'L', 'X', 'A', 'D', 'D', '6', '4', 0,
-  /* 3520 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '6', '4', 0,
-  /* 3530 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '6', '4', 0,
-  /* 3540 */ 'C', 'P', 'U', 'I', 'D', '6', '4', 0,
-  /* 3548 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 0,
-  /* 3558 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 0,
-  /* 3569 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3580 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3591 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3602 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 3613 */ 'L', 'E', 'A', 'V', 'E', '6', '4', 0,
-  /* 3621 */ 'V', 'M', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 3630 */ 'F', 'X', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 3639 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 0,
-  /* 3650 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 0,
-  /* 3661 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 0,
-  /* 3672 */ 'P', 'U', 'S', 'H', 'F', '6', '4', 0,
-  /* 3680 */ 'P', 'O', 'P', 'F', '6', '4', 0,
-  /* 3687 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 0,
-  /* 3698 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 0,
-  /* 3709 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 0,
-  /* 3720 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 0,
-  /* 3731 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '6', '4', 0,
-  /* 3741 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 0,
-  /* 3751 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 0,
-  /* 3762 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', '6', '4', 0,
-  /* 3774 */ 'V', 'M', 'R', 'U', 'N', '6', '4', 0,
-  /* 3782 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '6', '4', 0,
-  /* 3801 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 0,
-  /* 3812 */ 'F', 'A', 'R', 'J', 'M', 'P', '6', '4', 0,
-  /* 3821 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '6', '4', 0,
-  /* 3838 */ 'M', 'M', 'X', '_', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', '6', '4', 0,
-  /* 3853 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 0,
-  /* 3863 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 0,
-  /* 3872 */ 'F', 'X', 'R', 'S', 'T', 'O', 'R', '6', '4', 0,
-  /* 3882 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 0,
-  /* 3892 */ 'S', 'C', 'A', 'S', '6', '4', 0,
-  /* 3899 */ 'P', 'U', 'S', 'H', 'F', 'S', '6', '4', 0,
-  /* 3908 */ 'P', 'O', 'P', 'F', 'S', '6', '4', 0,
-  /* 3916 */ 'P', 'U', 'S', 'H', 'G', 'S', '6', '4', 0,
-  /* 3925 */ 'P', 'O', 'P', 'G', 'S', '6', '4', 0,
-  /* 3933 */ 'C', 'M', 'P', 'S', '6', '4', 0,
-  /* 3940 */ 'I', 'R', 'E', 'T', '6', '4', 0,
-  /* 3947 */ 'S', 'Y', 'S', 'R', 'E', 'T', '6', '4', 0,
-  /* 3956 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', '6', '4', 0,
-  /* 3966 */ 'I', 'N', 'V', 'E', 'P', 'T', '6', '4', 0,
-  /* 3975 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', '6', '4', 0,
-  /* 3986 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 0,
-  /* 4000 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 0,
-  /* 4010 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 0,
-  /* 4021 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '6', '4', 0,
-  /* 4035 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '6', '4', 0,
-  /* 4048 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '6', '4', 0,
-  /* 4061 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '6', '4', 0,
-  /* 4074 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '6', '4', 0,
-  /* 4087 */ 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 0,
-  /* 4096 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '6', '4', 0,
-  /* 4108 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'Q', '_', '6', '4', 0,
-  /* 4121 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'Q', '_', '6', '4', 0,
-  /* 4134 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '6', '4', 0,
-  /* 4147 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '6', '4', 0,
-  /* 4160 */ 'J', 'E', 'C', 'X', 'Z', '_', '6', '4', 0,
-  /* 4169 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 4180 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', '6', '4', 0,
-  /* 4191 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', '6', '4', 0,
-  /* 4204 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', '6', '4', 0,
-  /* 4217 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '6', '4', 0,
-  /* 4227 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', '6', '4', 0,
-  /* 4240 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', '6', '4', 0,
-  /* 4252 */ 'S', 'U', 'B', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4264 */ 'A', 'D', 'D', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4276 */ 'M', 'U', 'L', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4288 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4301 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4314 */ 'S', 'T', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4325 */ 'D', 'I', 'V', '_', 'F', 'p', '8', '0', 'm', '6', '4', 0,
-  /* 4337 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4350 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4363 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4376 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4390 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4404 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '3', '2', 'm', '6', '4', 0,
-  /* 4417 */ 'I', 'L', 'D', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
-  /* 4429 */ 'I', 'S', 'T', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
-  /* 4441 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '3', '2', 'm', '6', '4', 0,
-  /* 4454 */ 'I', 'L', 'D', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
-  /* 4466 */ 'I', 'S', 'T', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
-  /* 4478 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '6', '4', 'm', '6', '4', 0,
-  /* 4491 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'm', '6', '4', 0,
-  /* 4503 */ 'S', 'U', 'B', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4516 */ 'A', 'D', 'D', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4529 */ 'M', 'U', 'L', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4542 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4556 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4570 */ 'D', 'I', 'V', '_', 'F', 'p', 'I', '1', '6', 'm', '6', '4', 0,
-  /* 4583 */ 'I', 'L', 'D', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
-  /* 4595 */ 'I', 'S', 'T', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
-  /* 4607 */ 'I', 'S', 'T', 'T', '_', 'F', 'p', '1', '6', 'm', '6', '4', 0,
-  /* 4620 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', '6', '4', 0,
-  /* 4631 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', '6', '4', 0,
-  /* 4646 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', '6', '4', 0,
-  /* 4661 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '6', '4', 0,
-  /* 4675 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', '6', '4', 0,
-  /* 4690 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'r', 'm', '6', '4', 0,
-  /* 4704 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', '6', '4', 0,
-  /* 4719 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '6', '4', 0,
-  /* 4733 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', '6', '4', 0,
-  /* 4748 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', '6', '4', 0,
-  /* 4763 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', '6', '4', 0,
-  /* 4778 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4792 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4810 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4826 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4842 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '6', '4', 0,
-  /* 4859 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '6', '4', 0,
-  /* 4869 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 'p', '6', '4', 0,
-  /* 4881 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 0,
-  /* 4890 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 'p', '6', '4', 0,
-  /* 4901 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 0,
-  /* 4910 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4923 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4935 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4947 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 'p', '6', '4', 0,
-  /* 4958 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 0,
-  /* 4967 */ 'S', 'I', 'N', '_', 'F', 'p', '6', '4', 0,
-  /* 4976 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 'p', '6', '4', 0,
-  /* 4988 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 'p', '6', '4', 0,
-  /* 4999 */ 'A', 'B', 'S', '_', 'F', 'p', '6', '4', 0,
-  /* 5008 */ 'C', 'H', 'S', '_', 'F', 'p', '6', '4', 0,
-  /* 5017 */ 'C', 'O', 'S', '_', 'F', 'p', '6', '4', 0,
-  /* 5026 */ 'S', 'Q', 'R', 'T', '_', 'F', 'p', '6', '4', 0,
-  /* 5036 */ 'T', 'S', 'T', '_', 'F', 'p', '6', '4', 0,
-  /* 5045 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 0,
-  /* 5054 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '6', '4', 0,
-  /* 5072 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '6', '4', 0,
-  /* 5089 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'r', '6', '4', 0,
-  /* 5101 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'I', 'r', '6', '4', 0,
-  /* 5113 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', '6', '4', 0,
-  /* 5124 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', '6', '4', 0,
-  /* 5135 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 5146 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 5162 */ 'U', 'C', 'O', 'M', '_', 'F', 'p', 'r', '6', '4', 0,
-  /* 5173 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', '6', '4', 0,
-  /* 5188 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', '6', '4', 0,
-  /* 5203 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '6', '4', 0,
-  /* 5217 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', '6', '4', 0,
-  /* 5232 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'r', 'r', '6', '4', 0,
-  /* 5246 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', '6', '4', 0,
-  /* 5261 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '6', '4', 0,
-  /* 5275 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', '6', '4', 0,
-  /* 5290 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', '6', '4', 0,
-  /* 5305 */ 'M', 'M', 'X', '_', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', '6', '4', 0,
-  /* 5320 */ 'M', 'M', 'X', '_', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5334 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5352 */ 'M', 'M', 'X', '_', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5368 */ 'M', 'M', 'X', '_', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5384 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '6', '4', 0,
-  /* 5401 */ 'J', 'A', '_', '4', 0,
-  /* 5406 */ 'J', 'B', '_', '4', 0,
-  /* 5411 */ 'J', 'A', 'E', '_', '4', 0,
-  /* 5417 */ 'J', 'B', 'E', '_', '4', 0,
-  /* 5423 */ 'J', 'G', 'E', '_', '4', 0,
-  /* 5429 */ 'J', 'E', '_', '4', 0,
-  /* 5434 */ 'J', 'L', 'E', '_', '4', 0,
-  /* 5440 */ 'J', 'N', 'E', '_', '4', 0,
-  /* 5446 */ 'J', 'G', '_', '4', 0,
-  /* 5451 */ 'J', 'L', '_', '4', 0,
-  /* 5456 */ 'X', 'B', 'E', 'G', 'I', 'N', '_', '4', 0,
-  /* 5465 */ 'J', 'O', '_', '4', 0,
-  /* 5470 */ 'J', 'N', 'O', '_', '4', 0,
-  /* 5476 */ 'J', 'P', '_', '4', 0,
-  /* 5481 */ 'J', 'M', 'P', '_', '4', 0,
-  /* 5487 */ 'J', 'N', 'P', '_', '4', 0,
-  /* 5493 */ 'J', 'S', '_', '4', 0,
-  /* 5498 */ 'J', 'N', 'S', '_', '4', 0,
-  /* 5504 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '4', 0,
-  /* 5516 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '4', 0,
-  /* 5526 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '4', 0,
-  /* 5538 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '4', 0,
-  /* 5548 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '5', 0,
-  /* 5560 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '5', 0,
-  /* 5570 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '5', 0,
-  /* 5582 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '5', 0,
-  /* 5592 */ 'P', 'U', 'S', 'H', 'A', '1', '6', 0,
-  /* 5600 */ 'P', 'O', 'P', 'A', '1', '6', 0,
-  /* 5607 */ 'L', 'X', 'A', 'D', 'D', '1', '6', 0,
-  /* 5615 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 0,
-  /* 5625 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 0,
-  /* 5636 */ 'P', 'U', 'S', 'H', 'F', '1', '6', 0,
-  /* 5644 */ 'P', 'O', 'P', 'F', '1', '6', 0,
-  /* 5651 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 0,
-  /* 5662 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 0,
-  /* 5672 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 0,
-  /* 5683 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', 0,
-  /* 5693 */ 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 0,
-  /* 5702 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 0,
-  /* 5712 */ 'S', 'C', 'A', 'S', '1', '6', 0,
-  /* 5719 */ 'P', 'U', 'S', 'H', 'C', 'S', '1', '6', 0,
-  /* 5728 */ 'P', 'U', 'S', 'H', 'D', 'S', '1', '6', 0,
-  /* 5737 */ 'P', 'O', 'P', 'D', 'S', '1', '6', 0,
-  /* 5745 */ 'P', 'U', 'S', 'H', 'E', 'S', '1', '6', 0,
-  /* 5754 */ 'P', 'O', 'P', 'E', 'S', '1', '6', 0,
-  /* 5762 */ 'P', 'U', 'S', 'H', 'F', 'S', '1', '6', 0,
-  /* 5771 */ 'P', 'O', 'P', 'F', 'S', '1', '6', 0,
-  /* 5779 */ 'P', 'U', 'S', 'H', 'G', 'S', '1', '6', 0,
-  /* 5788 */ 'P', 'O', 'P', 'G', 'S', '1', '6', 0,
-  /* 5796 */ 'C', 'M', 'P', 'S', '1', '6', 0,
-  /* 5803 */ 'P', 'U', 'S', 'H', 'S', 'S', '1', '6', 0,
-  /* 5812 */ 'P', 'O', 'P', 'S', 'S', '1', '6', 0,
-  /* 5820 */ 'I', 'R', 'E', 'T', '1', '6', 0,
-  /* 5827 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 0,
-  /* 5837 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 0,
-  /* 5848 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', '_', '1', '6', 0,
-  /* 5861 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', '_', '1', '6', 0,
-  /* 5874 */ 'M', 'O', 'V', '8', 'a', 'o', '8', '_', '1', '6', 0,
-  /* 5885 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', '_', '1', '6', 0,
-  /* 5898 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', '_', '1', '6', 0,
-  /* 5911 */ 'M', 'O', 'V', '8', 'o', '8', 'a', '_', '1', '6', 0,
-  /* 5922 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '1', '6', 0,
-  /* 5932 */ 'S', 'B', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 5941 */ 'S', 'U', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 5950 */ 'A', 'D', 'C', '1', '6', 'i', '1', '6', 0,
-  /* 5959 */ 'A', 'D', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 5968 */ 'A', 'N', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 5977 */ 'C', 'M', 'P', '1', '6', 'i', '1', '6', 0,
-  /* 5986 */ 'X', 'O', 'R', '1', '6', 'i', '1', '6', 0,
-  /* 5995 */ 'T', 'E', 'S', 'T', '1', '6', 'i', '1', '6', 0,
-  /* 6005 */ 'P', 'U', 'S', 'H', 'i', '1', '6', 0,
-  /* 6013 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '1', '6', 0,
-  /* 6025 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '1', '6', 0,
-  /* 6037 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 6049 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 6061 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '1', '6', 0,
-  /* 6073 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '1', '6', 0,
-  /* 6083 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', 0,
-  /* 6093 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '1', '6', 0,
-  /* 6105 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 6117 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 6129 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '1', '6', 0,
-  /* 6141 */ 'X', 'S', 'H', 'A', '2', '5', '6', 0,
-  /* 6149 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '2', '5', '6', 0,
-  /* 6161 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '2', '5', '6', 0,
-  /* 6173 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6185 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6201 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6215 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6229 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '2', '5', '6', 0,
-  /* 6244 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '2', '5', '6', 0,
-  /* 6256 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '2', '5', '6', 0,
-  /* 6268 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6280 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6296 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6310 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6324 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '2', '5', '6', 0,
-  /* 6339 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '6', 0,
-  /* 6351 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '6', 0,
-  /* 6361 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '6', 0,
-  /* 6373 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '6', 0,
-  /* 6383 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '7', 0,
-  /* 6395 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '7', 0,
-  /* 6405 */ 'S', 'T', '_', 'F', 'P', 'r', '0', 'r', '7', 0,
-  /* 6415 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '7', 0,
-  /* 6427 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '7', 0,
-  /* 6437 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'F', '1', '2', '8', 0,
-  /* 6452 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'I', '1', '2', '8', 0,
-  /* 6467 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'm', '1', '2', '8', 0,
-  /* 6479 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', '1', '2', '8', 0,
-  /* 6491 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6503 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6519 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6533 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6547 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6562 */ 'V', 'P', 'H', 'M', 'I', 'N', 'P', 'O', 'S', 'U', 'W', 'r', 'm', '1', '2', '8', 0,
-  /* 6579 */ 'V', 'P', 'A', 'B', 'S', 'B', 'r', 'r', '1', '2', '8', 0,
-  /* 6591 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', '1', '2', '8', 0,
-  /* 6603 */ 'V', 'P', 'A', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6615 */ 'V', 'P', 'M', 'A', 'D', 'D', 'U', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6631 */ 'V', 'P', 'H', 'S', 'U', 'B', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6645 */ 'V', 'P', 'H', 'A', 'D', 'D', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6659 */ 'V', 'P', 'M', 'U', 'L', 'H', 'R', 'S', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6674 */ 'V', 'P', 'H', 'M', 'I', 'N', 'P', 'O', 'S', 'U', 'W', 'r', 'r', '1', '2', '8', 0,
-  /* 6691 */ 'L', 'X', 'A', 'D', 'D', '8', 0,
-  /* 6698 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 0,
-  /* 6707 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 0,
-  /* 6717 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 0,
-  /* 6727 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 0,
-  /* 6736 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 0,
-  /* 6746 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 0,
-  /* 6755 */ 'A', 'T', 'O', 'M', 'O', 'R', '8', 0,
-  /* 6763 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 0,
-  /* 6772 */ 'S', 'C', 'A', 'S', '8', 0,
-  /* 6778 */ 'C', 'M', 'P', 'S', '8', 0,
-  /* 6784 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 0,
-  /* 6793 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 0,
-  /* 6803 */ 'P', 'U', 'S', 'H', '3', '2', 'i', '8', 0,
-  /* 6812 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '8', 0,
-  /* 6821 */ 'P', 'U', 'S', 'H', '1', '6', 'i', '8', 0,
-  /* 6830 */ 'S', 'B', 'B', '8', 'i', '8', 0,
-  /* 6837 */ 'S', 'U', 'B', '8', 'i', '8', 0,
-  /* 6844 */ 'A', 'D', 'C', '8', 'i', '8', 0,
-  /* 6851 */ 'A', 'A', 'D', '8', 'i', '8', 0,
-  /* 6858 */ 'A', 'D', 'D', '8', 'i', '8', 0,
-  /* 6865 */ 'A', 'N', 'D', '8', 'i', '8', 0,
-  /* 6872 */ 'A', 'A', 'M', '8', 'i', '8', 0,
-  /* 6879 */ 'C', 'M', 'P', '8', 'i', '8', 0,
-  /* 6886 */ 'X', 'O', 'R', '8', 'i', '8', 0,
-  /* 6893 */ 'T', 'E', 'S', 'T', '8', 'i', '8', 0,
-  /* 6901 */ 'S', 'B', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 6910 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 6924 */ 'A', 'D', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 6933 */ 'B', 'T', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 6942 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 6956 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 6970 */ 'C', 'M', 'P', '3', '2', 'm', 'i', '8', 0,
-  /* 6979 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 6993 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 7006 */ 'B', 'T', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 7015 */ 'B', 'T', 'S', '3', '2', 'm', 'i', '8', 0,
-  /* 7024 */ 'B', 'T', '3', '2', 'm', 'i', '8', 0,
-  /* 7032 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 7041 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 7055 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 7064 */ 'B', 'T', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 7073 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 7087 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 7101 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '8', 0,
-  /* 7110 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 7124 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 7137 */ 'B', 'T', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 7146 */ 'B', 'T', 'S', '6', '4', 'm', 'i', '8', 0,
-  /* 7155 */ 'B', 'T', '6', '4', 'm', 'i', '8', 0,
-  /* 7163 */ 'S', 'B', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 7172 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 7186 */ 'A', 'D', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 7195 */ 'B', 'T', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 7204 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 7218 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 7232 */ 'C', 'M', 'P', '1', '6', 'm', 'i', '8', 0,
-  /* 7241 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 7255 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 7268 */ 'B', 'T', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 7277 */ 'B', 'T', 'S', '1', '6', 'm', 'i', '8', 0,
-  /* 7286 */ 'B', 'T', '1', '6', 'm', 'i', '8', 0,
-  /* 7294 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', '8', 0,
-  /* 7305 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '8', 0,
-  /* 7316 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', '8', 0,
-  /* 7327 */ 'S', 'B', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 7336 */ 'S', 'U', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 7345 */ 'A', 'D', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 7354 */ 'B', 'T', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 7363 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 7372 */ 'A', 'N', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 7381 */ 'C', 'M', 'P', '3', '2', 'r', 'i', '8', 0,
-  /* 7390 */ 'X', 'O', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 7399 */ 'B', 'T', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 7408 */ 'B', 'T', 'S', '3', '2', 'r', 'i', '8', 0,
-  /* 7417 */ 'B', 'T', '3', '2', 'r', 'i', '8', 0,
-  /* 7425 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 7434 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 7443 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 7452 */ 'B', 'T', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 7461 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 7470 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 7479 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '8', 0,
-  /* 7488 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 7497 */ 'B', 'T', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 7506 */ 'B', 'T', 'S', '6', '4', 'r', 'i', '8', 0,
-  /* 7515 */ 'B', 'T', '6', '4', 'r', 'i', '8', 0,
-  /* 7523 */ 'S', 'B', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 7532 */ 'S', 'U', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 7541 */ 'A', 'D', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 7550 */ 'B', 'T', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 7559 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 7568 */ 'A', 'N', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 7577 */ 'C', 'M', 'P', '1', '6', 'r', 'i', '8', 0,
-  /* 7586 */ 'X', 'O', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 7595 */ 'B', 'T', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 7604 */ 'B', 'T', 'S', '1', '6', 'r', 'i', '8', 0,
-  /* 7613 */ 'B', 'T', '1', '6', 'r', 'i', '8', 0,
-  /* 7621 */ 'S', 'U', 'B', '8', 'r', 'i', '8', 0,
-  /* 7629 */ 'A', 'D', 'D', '8', 'r', 'i', '8', 0,
-  /* 7637 */ 'A', 'N', 'D', '8', 'r', 'i', '8', 0,
-  /* 7645 */ 'X', 'O', 'R', '8', 'r', 'i', '8', 0,
-  /* 7653 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 7664 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 7675 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 7686 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 7697 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 7708 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 7719 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 7730 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 7741 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 7752 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 7763 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 7774 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 7785 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 7796 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 7807 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 7818 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'm', '8', 0,
-  /* 7829 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'm', '8', 0,
-  /* 7840 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 7851 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 7862 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '8', 0,
-  /* 7873 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 7884 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 7895 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'm', '8', 0,
-  /* 7912 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '8', 0,
-  /* 7921 */ 'M', 'O', 'V', '8', 'a', 'o', '8', 0,
-  /* 7929 */ 'C', 'R', 'C', '3', '2', 'r', '3', '2', 'r', '8', 0,
-  /* 7940 */ 'C', 'R', 'C', '3', '2', 'r', '6', '4', 'r', '8', 0,
-  /* 7951 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 7962 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 7973 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '8', 0,
-  /* 7984 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 7995 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 8006 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'r', '8', 0,
-  /* 8023 */ 'N', 'O', 'O', 'P', 'L', '_', '1', '9', 0,
-  /* 8032 */ 'N', 'O', 'O', 'P', 'W', '_', '1', '9', 0,
-  /* 8041 */ 'A', 'A', 'A', 0,
-  /* 8045 */ 'D', 'A', 'A', 0,
-  /* 8049 */ 'W', '6', '4', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 8059 */ 'W', 'I', 'N', '_', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 8070 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'N', 'T', 'A', 0,
-  /* 8082 */ 'K', 'S', 'E', 'T', '0', 'B', 0,
-  /* 8089 */ 'K', 'S', 'E', 'T', '1', 'B', 0,
-  /* 8096 */ 'U', 'D', '2', 'B', 0,
-  /* 8101 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'B', 0,
-  /* 8113 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'B', 0,
-  /* 8124 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'E', 'C', 'B', 0,
-  /* 8134 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', '_', 'D', 'B', 0,
-  /* 8147 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 8159 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 8171 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 8183 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '_', 'D', 'B', 0,
-  /* 8194 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '_', 'D', 'B', 0,
-  /* 8205 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'D', 'B', 0,
-  /* 8216 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'D', 'B', 0,
-  /* 8227 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'D', 'B', 0,
-  /* 8238 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'F', 'B', 0,
-  /* 8248 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'O', 'F', 'B', 0,
-  /* 8258 */ 'L', 'O', 'D', 'S', 'B', 0,
-  /* 8264 */ 'S', 'T', 'O', 'S', 'B', 0,
-  /* 8270 */ 'O', 'U', 'T', 'S', 'B', 0,
-  /* 8276 */ 'M', 'O', 'V', 'S', 'B', 0,
-  /* 8282 */ 'C', 'L', 'A', 'C', 0,
-  /* 8287 */ 'S', 'T', 'A', 'C', 0,
-  /* 8292 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'B', 'C', 0,
-  /* 8302 */ 'G', 'E', 'T', 'S', 'E', 'C', 0,
-  /* 8309 */ 'S', 'A', 'L', 'C', 0,
-  /* 8314 */ 'C', 'L', 'C', 0,
-  /* 8318 */ 'C', 'M', 'C', 0,
-  /* 8322 */ 'R', 'D', 'P', 'M', 'C', 0,
-  /* 8328 */ 'V', 'M', 'F', 'U', 'N', 'C', 0,
-  /* 8335 */ 'R', 'D', 'T', 'S', 'C', 0,
-  /* 8341 */ 'S', 'T', 'C', 0,
-  /* 8345 */ 'C', 'L', 'D', 0,
-  /* 8349 */ 'X', 'E', 'N', 'D', 0,
-  /* 8354 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 8367 */ 'F', 's', 'F', 'L', 'D', '0', 'S', 'D', 0,
-  /* 8376 */ 'M', 'O', 'V', 'N', 'T', 'S', 'D', 0,
-  /* 8384 */ 'S', 'T', 'D', 0,
-  /* 8388 */ 'W', 'B', 'I', 'N', 'V', 'D', 0,
-  /* 8395 */ 'C', 'W', 'D', 0,
-  /* 8399 */ 'F', 'L', 'D', 'L', '2', 'E', 0,
-  /* 8406 */ 'L', 'F', 'E', 'N', 'C', 'E', 0,
-  /* 8413 */ 'M', 'F', 'E', 'N', 'C', 'E', 0,
-  /* 8420 */ 'S', 'F', 'E', 'N', 'C', 'E', 0,
-  /* 8427 */ 'R', 'E', 'G', '_', 'S', 'E', 'Q', 'U', 'E', 'N', 'C', 'E', 0,
-  /* 8440 */ 'S', 'T', '_', 'F', 'P', 'N', 'C', 'E', 0,
-  /* 8449 */ 'C', 'W', 'D', 'E', 0,
-  /* 8454 */ 'F', 'F', 'R', 'E', 'E', 0,
-  /* 8460 */ 'F', 'S', 'C', 'A', 'L', 'E', 0,
-  /* 8467 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 8474 */ 'V', 'M', 'R', 'E', 'S', 'U', 'M', 'E', 0,
-  /* 8483 */ 'L', 'O', 'O', 'P', 'N', 'E', 0,
-  /* 8490 */ 'L', 'O', 'O', 'P', 'E', 0,
-  /* 8496 */ 'C', 'D', 'Q', 'E', 0,
-  /* 8501 */ 'X', 'S', 'T', 'O', 'R', 'E', 0,
-  /* 8508 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8517 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8526 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8535 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 8544 */ 'P', 'A', 'U', 'S', 'E', 0,
-  /* 8550 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 8560 */ 'L', 'E', 'A', 'V', 'E', 0,
-  /* 8566 */ 'F', 'X', 'S', 'A', 'V', 'E', 0,
-  /* 8573 */ 'I', 'M', 'P', 'L', 'I', 'C', 'I', 'T', '_', 'D', 'E', 'F', 0,
-  /* 8586 */ 'V', 'M', 'X', 'O', 'F', 'F', 0,
-  /* 8593 */ 'L', 'A', 'H', 'F', 0,
-  /* 8598 */ 'S', 'A', 'H', 'F', 0,
-  /* 8603 */ 'C', 'M', 'O', 'V', 'N', 'B', '_', 'F', 0,
-  /* 8612 */ 'C', 'M', 'O', 'V', 'B', '_', 'F', 0,
-  /* 8620 */ 'C', 'M', 'O', 'V', 'N', 'B', 'E', '_', 'F', 0,
-  /* 8630 */ 'C', 'M', 'O', 'V', 'B', 'E', '_', 'F', 0,
-  /* 8639 */ 'C', 'M', 'O', 'V', 'N', 'E', '_', 'F', 0,
-  /* 8648 */ 'C', 'M', 'O', 'V', 'E', '_', 'F', 0,
-  /* 8656 */ 'X', 'C', 'H', '_', 'F', 0,
-  /* 8662 */ 'S', 'I', 'N', '_', 'F', 0,
-  /* 8668 */ 'C', 'M', 'O', 'V', 'N', 'P', '_', 'F', 0,
-  /* 8677 */ 'C', 'M', 'O', 'V', 'P', '_', 'F', 0,
-  /* 8685 */ 'A', 'B', 'S', '_', 'F', 0,
-  /* 8691 */ 'C', 'H', 'S', '_', 'F', 0,
-  /* 8697 */ 'C', 'O', 'S', '_', 'F', 0,
-  /* 8703 */ 'S', 'Q', 'R', 'T', '_', 'F', 0,
-  /* 8710 */ 'T', 'S', 'T', '_', 'F', 0,
-  /* 8716 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'R', 'E', 'G', 0,
-  /* 8733 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'R', 'E', 'G', 0,
-  /* 8750 */ 'E', 'X', 'T', 'R', 'A', 'C', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 8765 */ 'I', 'N', 'S', 'E', 'R', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 8779 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'R', 'E', 'G', 0,
-  /* 8793 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'R', 'E', 'G', 0,
-  /* 8807 */ 'S', 'U', 'B', 'R', 'E', 'G', '_', 'T', 'O', '_', 'R', 'E', 'G', 0,
-  /* 8821 */ 'I', 'N', 'V', 'L', 'P', 'G', 0,
-  /* 8828 */ 'V', 'M', 'L', 'A', 'U', 'N', 'C', 'H', 0,
-  /* 8837 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 0,
-  /* 8846 */ 'C', 'L', 'F', 'L', 'U', 'S', 'H', 0,
-  /* 8854 */ 'C', 'L', 'G', 'I', 0,
-  /* 8859 */ 'S', 'T', 'G', 'I', 0,
-  /* 8864 */ 'P', 'H', 'I', 0,
-  /* 8868 */ 'C', 'L', 'I', 0,
-  /* 8872 */ 'F', 'L', 'D', 'P', 'I', 0,
-  /* 8878 */ 'E', 'X', 'T', 'R', 'Q', 'I', 0,
-  /* 8885 */ 'I', 'N', 'S', 'E', 'R', 'T', 'Q', 'I', 0,
-  /* 8894 */ 'S', 'T', 'I', 0,
-  /* 8898 */ 'F', 'p', 'P', 'O', 'P', '_', 'R', 'E', 'T', 'V', 'A', 'L', 0,
-  /* 8911 */ 'S', 'A', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8920 */ 'R', 'C', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8929 */ 'S', 'H', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8938 */ 'R', 'O', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 8947 */ 'S', 'A', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8956 */ 'R', 'C', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8965 */ 'S', 'H', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8974 */ 'R', 'O', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 8983 */ 'S', 'A', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 8992 */ 'R', 'C', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 9001 */ 'S', 'H', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 9010 */ 'R', 'O', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 9019 */ 'S', 'A', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9028 */ 'R', 'C', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9037 */ 'S', 'H', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9046 */ 'R', 'O', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 9055 */ 'S', 'A', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9064 */ 'R', 'C', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9073 */ 'S', 'H', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9082 */ 'R', 'O', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 9091 */ 'S', 'A', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9100 */ 'R', 'C', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9109 */ 'S', 'H', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9118 */ 'R', 'O', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 9127 */ 'S', 'A', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9135 */ 'R', 'C', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9143 */ 'S', 'H', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9151 */ 'R', 'O', 'L', '8', 'm', 'C', 'L', 0,
-  /* 9159 */ 'S', 'A', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9167 */ 'R', 'C', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9175 */ 'S', 'H', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9183 */ 'R', 'O', 'R', '8', 'm', 'C', 'L', 0,
-  /* 9191 */ 'S', 'A', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9200 */ 'R', 'C', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9209 */ 'S', 'H', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9218 */ 'R', 'O', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 9227 */ 'S', 'A', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9236 */ 'R', 'C', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9245 */ 'S', 'H', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9254 */ 'R', 'O', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 9263 */ 'S', 'A', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9272 */ 'R', 'C', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9281 */ 'S', 'H', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9290 */ 'R', 'O', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 9299 */ 'S', 'A', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9308 */ 'R', 'C', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9317 */ 'S', 'H', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9326 */ 'R', 'O', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 9335 */ 'S', 'A', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9344 */ 'R', 'C', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9353 */ 'S', 'H', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9362 */ 'R', 'O', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 9371 */ 'S', 'A', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9380 */ 'R', 'C', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9389 */ 'S', 'H', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9398 */ 'R', 'O', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 9407 */ 'S', 'A', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9415 */ 'R', 'C', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9423 */ 'S', 'H', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9431 */ 'R', 'O', 'L', '8', 'r', 'C', 'L', 0,
-  /* 9439 */ 'S', 'A', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9447 */ 'R', 'C', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9455 */ 'S', 'H', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9463 */ 'R', 'O', 'R', '8', 'r', 'C', 'L', 0,
-  /* 9471 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 9482 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 9493 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 9504 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 9515 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 9526 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 9537 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 9548 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 9559 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 9570 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 9581 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 9592 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 9603 */ 'G', 'C', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 9612 */ 'P', 'R', 'O', 'L', 'O', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 9625 */ 'E', 'H', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 9634 */ 'L', 'R', 'E', 'T', 'I', 'L', 0,
-  /* 9641 */ 'V', 'M', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 9649 */ 'V', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 9656 */ 'S', 'Y', 'S', 'C', 'A', 'L', 'L', 0,
-  /* 9664 */ 'V', 'Z', 'E', 'R', 'O', 'A', 'L', 'L', 0,
-  /* 9673 */ 'K', 'I', 'L', 'L', 0,
-  /* 9678 */ 'N', 'O', 'O', 'P', 'L', 0,
-  /* 9684 */ 'L', 'O', 'D', 'S', 'L', 0,
-  /* 9690 */ 'S', 'T', 'O', 'S', 'L', 0,
-  /* 9696 */ 'O', 'U', 'T', 'S', 'L', 0,
-  /* 9702 */ 'M', 'O', 'V', 'S', 'L', 0,
-  /* 9708 */ 'L', 'R', 'E', 'T', 'L', 0,
-  /* 9714 */ 'M', 'O', 'N', 'T', 'M', 'U', 'L', 0,
-  /* 9722 */ 'F', 'X', 'A', 'M', 0,
-  /* 9727 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'M', 'E', 'M', 0,
-  /* 9744 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'M', 'E', 'M', 0,
-  /* 9761 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'M', 'E', 'M', 0,
-  /* 9775 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'M', 'E', 'M', 0,
-  /* 9789 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9810 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9831 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '3', '2', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9852 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9873 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9894 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '6', '4', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9915 */ 'F', 'P', '8', '0', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9936 */ 'F', 'P', '3', '2', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9957 */ 'F', 'P', '6', '4', '_', 'T', 'O', '_', 'I', 'N', 'T', '1', '6', '_', 'I', 'N', '_', 'M', 'E', 'M', 0,
-  /* 9978 */ 'F', 'P', 'R', 'E', 'M', 0,
-  /* 9984 */ 'F', 'S', 'E', 'T', 'P', 'M', 0,
-  /* 9991 */ 'I', 'N', 'L', 'I', 'N', 'E', 'A', 'S', 'M', 0,
-  /* 10001 */ 'R', 'S', 'M', 0,
-  /* 10005 */ 'F', 'P', 'A', 'T', 'A', 'N', 0,
-  /* 10012 */ 'F', 'P', 'T', 'A', 'N', 0,
-  /* 10018 */ 'X', 'B', 'E', 'G', 'I', 'N', 0,
-  /* 10025 */ 'V', 'M', 'X', 'O', 'N', 0,
-  /* 10031 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', 0,
-  /* 10041 */ 'C', 'Q', 'O', 0,
-  /* 10045 */ 'I', 'N', 'T', 'O', 0,
-  /* 10050 */ 'S', 'T', 'A', 'C', 'K', 'M', 'A', 'P', 0,
-  /* 10059 */ 'T', 'R', 'A', 'P', 0,
-  /* 10064 */ 'R', 'D', 'T', 'S', 'C', 'P', 0,
-  /* 10071 */ 'F', 'N', 'O', 'P', 0,
-  /* 10076 */ 'L', 'O', 'O', 'P', 0,
-  /* 10081 */ 'N', 'O', 'O', 'P', 0,
-  /* 10086 */ 'F', 'C', 'O', 'M', 'P', 'P', 0,
-  /* 10093 */ 'F', 'D', 'E', 'C', 'S', 'T', 'P', 0,
-  /* 10101 */ 'F', 'I', 'N', 'C', 'S', 'T', 'P', 0,
-  /* 10109 */ 'C', 'D', 'Q', 0,
-  /* 10113 */ 'L', 'R', 'E', 'T', 'I', 'Q', 0,
-  /* 10120 */ 'E', 'X', 'T', 'R', 'Q', 0,
-  /* 10126 */ 'L', 'O', 'D', 'S', 'Q', 0,
-  /* 10132 */ 'S', 'T', 'O', 'S', 'Q', 0,
-  /* 10138 */ 'M', 'O', 'V', 'S', 'Q', 0,
-  /* 10144 */ 'L', 'R', 'E', 'T', 'Q', 0,
-  /* 10150 */ 'I', 'N', 'S', 'E', 'R', 'T', 'Q', 0,
-  /* 10158 */ 'M', 'M', 'X', '_', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 0,
-  /* 10171 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '1', '6', '_', 'Q', 0,
-  /* 10185 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '1', '6', '_', 'Q', 0,
-  /* 10199 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '8', '_', 'Q', 0,
-  /* 10212 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '8', '_', 'Q', 0,
-  /* 10225 */ 'V', 'Z', 'E', 'R', 'O', 'U', 'P', 'P', 'E', 'R', 0,
-  /* 10236 */ 'S', 'Y', 'S', 'E', 'N', 'T', 'E', 'R', 0,
-  /* 10245 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 0,
-  /* 10253 */ 'F', 'X', 'R', 'S', 'T', 'O', 'R', 0,
-  /* 10261 */ 'V', 'L', 'D', 'M', 'X', 'C', 'S', 'R', 0,
-  /* 10270 */ 'V', 'S', 'T', 'M', 'X', 'C', 'S', 'R', 0,
-  /* 10279 */ 'R', 'D', 'M', 'S', 'R', 0,
-  /* 10285 */ 'W', 'R', 'M', 'S', 'R', 0,
-  /* 10291 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'T', 'R', 0,
-  /* 10301 */ 'A', 'A', 'S', 0,
-  /* 10305 */ 'D', 'A', 'S', 0,
-  /* 10309 */ 'A', 'V', 'X', '2', '_', 'S', 'E', 'T', 'A', 'L', 'L', 'O', 'N', 'E', 'S', 0,
-  /* 10325 */ 'V', '_', 'S', 'E', 'T', 'A', 'L', 'L', 'O', 'N', 'E', 'S', 0,
-  /* 10338 */ 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 0,
-  /* 10360 */ 'S', 'W', 'A', 'P', 'G', 'S', 0,
-  /* 10367 */ 'F', 'E', 'M', 'M', 'S', 0,
-  /* 10373 */ 'M', 'M', 'X', '_', 'E', 'M', 'M', 'S', 0,
-  /* 10382 */ 'F', 'S', 'I', 'N', 'C', 'O', 'S', 0,
-  /* 10390 */ 'F', 's', 'F', 'L', 'D', '0', 'S', 'S', 0,
-  /* 10399 */ 'C', 'O', 'P', 'Y', '_', 'T', 'O', '_', 'R', 'E', 'G', 'C', 'L', 'A', 'S', 'S', 0,
-  /* 10416 */ 'M', 'O', 'V', 'N', 'T', 'S', 'S', 0,
-  /* 10424 */ 'C', 'L', 'T', 'S', 0,
-  /* 10429 */ 'F', 'L', 'D', 'L', '2', 'T', 0,
-  /* 10436 */ 'X', 'L', 'A', 'T', 0,
-  /* 10441 */ 'F', 'X', 'T', 'R', 'A', 'C', 'T', 0,
-  /* 10449 */ 'S', 'Y', 'S', 'R', 'E', 'T', 0,
-  /* 10456 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', 0,
-  /* 10470 */ 'W', 'A', 'I', 'T', 0,
-  /* 10475 */ 'S', 'K', 'I', 'N', 'I', 'T', 0,
-  /* 10482 */ 'F', 'N', 'I', 'N', 'I', 'T', 0,
-  /* 10489 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', 0,
-  /* 10497 */ 'H', 'L', 'T', 0,
-  /* 10501 */ 'F', 'R', 'N', 'D', 'I', 'N', 'T', 0,
-  /* 10509 */ 'P', 'A', 'T', 'C', 'H', 'P', 'O', 'I', 'N', 'T', 0,
-  /* 10520 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', 0,
-  /* 10529 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 10544 */ 'X', 'A', 'B', 'O', 'R', 'T', 0,
-  /* 10551 */ 'X', 'T', 'E', 'S', 'T', 0,
-  /* 10557 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Q', 'U', 0,
-  /* 10569 */ 'X', 'G', 'E', 'T', 'B', 'V', 0,
-  /* 10576 */ 'X', 'S', 'E', 'T', 'B', 'V', 0,
-  /* 10583 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10603 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10620 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10638 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10658 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10675 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10693 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10713 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10730 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10748 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10768 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10785 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', '_', 'R', 'E', 'V', 0,
-  /* 10803 */ 'S', 'B', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10815 */ 'S', 'U', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10827 */ 'A', 'D', 'C', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10839 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10851 */ 'A', 'N', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10863 */ 'C', 'M', 'P', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10875 */ 'X', 'O', 'R', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10887 */ 'M', 'O', 'V', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10899 */ 'S', 'B', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10911 */ 'S', 'U', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10923 */ 'A', 'D', 'C', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10935 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10947 */ 'A', 'N', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10959 */ 'C', 'M', 'P', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10971 */ 'X', 'O', 'R', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10983 */ 'M', 'O', 'V', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 10995 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11014 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11030 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11047 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11066 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11082 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11099 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11115 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11132 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11148 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11165 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11184 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11200 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11217 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11236 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11252 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11269 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11285 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11302 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11318 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11335 */ 'S', 'B', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11347 */ 'S', 'U', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11359 */ 'A', 'D', 'C', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11371 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11383 */ 'A', 'N', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11395 */ 'C', 'M', 'P', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11407 */ 'X', 'O', 'R', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11419 */ 'M', 'O', 'V', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11431 */ 'S', 'B', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11442 */ 'S', 'U', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11453 */ 'A', 'D', 'C', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11464 */ 'A', 'D', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11475 */ 'A', 'N', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11486 */ 'C', 'M', 'P', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11497 */ 'X', 'O', 'R', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11508 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11519 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11533 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11547 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11561 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11574 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11588 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11602 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11615 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11629 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11643 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11658 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11673 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11688 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11703 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11718 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11733 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11747 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 11761 */ 'K', 'S', 'E', 'T', '0', 'W', 0,
-  /* 11768 */ 'K', 'S', 'E', 'T', '1', 'W', 0,
-  /* 11775 */ 'C', 'B', 'W', 0,
-  /* 11779 */ 'P', 'R', 'E', 'F', 'E', 'T', 'C', 'H', 'W', 0,
-  /* 11789 */ 'L', 'R', 'E', 'T', 'I', 'W', 0,
-  /* 11796 */ 'N', 'O', 'O', 'P', 'W', 0,
-  /* 11802 */ 'L', 'O', 'D', 'S', 'W', 0,
-  /* 11808 */ 'S', 'T', 'O', 'S', 'W', 0,
-  /* 11814 */ 'O', 'U', 'T', 'S', 'W', 0,
-  /* 11820 */ 'M', 'O', 'V', 'S', 'W', 0,
-  /* 11826 */ 'L', 'R', 'E', 'T', 'W', 0,
-  /* 11832 */ 'F', 'Y', 'L', '2', 'X', 0,
-  /* 11838 */ 'F', 'N', 'C', 'L', 'E', 'X', 0,
-  /* 11845 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11859 */ 'M', 'O', 'V', '8', 'r', 'm', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11872 */ 'M', 'O', 'V', '8', 'm', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11885 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 11898 */ 'R', 'E', 'X', '6', '4', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11911 */ 'D', 'A', 'T', 'A', '1', '6', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11925 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11938 */ 'X', 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11954 */ 'X', 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11970 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11982 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 11993 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12003 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12013 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12023 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12033 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12043 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 12053 */ 'C', 'O', 'P', 'Y', 0,
-  /* 12058 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12076 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12091 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12107 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12125 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12140 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12156 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12174 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12189 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12205 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12223 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12238 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 'Y', 0,
-  /* 12254 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12272 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12287 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12303 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12321 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12336 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12352 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12370 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12385 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12401 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12419 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12434 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 'Y', 0,
-  /* 12450 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12468 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12483 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12499 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12517 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12532 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12548 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12566 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12581 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12597 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12615 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12630 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 'Y', 0,
-  /* 12646 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12662 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12675 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12689 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12705 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12718 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 'Y', 0,
-  /* 12732 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12748 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12761 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12775 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12791 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12804 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 'Y', 0,
-  /* 12818 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 'Y', 0,
-  /* 12832 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 'Y', 0,
-  /* 12843 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 'Y', 0,
-  /* 12857 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 'Y', 0,
-  /* 12868 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 'Y', 0,
-  /* 12878 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12896 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12911 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12927 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12945 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12960 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12976 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 12994 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13009 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13025 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13043 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13058 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 'Y', 0,
-  /* 13074 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13092 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13107 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13123 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13141 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13156 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13172 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13190 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13205 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13221 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13239 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13254 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 'Y', 0,
-  /* 13270 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13288 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13303 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13319 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13337 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13352 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13368 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13386 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13401 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13417 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13435 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13450 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 'Y', 0,
-  /* 13466 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13482 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13495 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13509 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13525 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13538 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 'Y', 0,
-  /* 13552 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13568 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13581 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13595 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13611 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13624 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 'Y', 0,
-  /* 13638 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 'Y', 0,
-  /* 13652 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 'Y', 0,
-  /* 13666 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 'Y', 0,
-  /* 13676 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13692 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13705 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13719 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13735 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13748 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 'Y', 0,
-  /* 13762 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13778 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13791 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13805 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13821 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13834 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 'Y', 0,
-  /* 13848 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 'Y', 0,
-  /* 13862 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 'Y', 0,
-  /* 13873 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 'Y', 0,
-  /* 13887 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 'Y', 0,
-  /* 13898 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 'Y', 0,
-  /* 13908 */ 'J', 'C', 'X', 'Z', 0,
-  /* 13913 */ 'J', 'R', 'C', 'X', 'Z', 0,
-  /* 13919 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
-  /* 13928 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
-  /* 13937 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
-  /* 13947 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
-  /* 13957 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
-  /* 13967 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
-  /* 13977 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
-  /* 13987 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
-  /* 13996 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
-  /* 14004 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
-  /* 14013 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
-  /* 14022 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 'b', 0,
-  /* 14034 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 'b', 0,
-  /* 14046 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14064 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14079 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14095 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14113 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14128 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14144 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14162 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14177 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14193 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14211 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14226 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 'b', 0,
-  /* 14242 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14260 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14275 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14291 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14309 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14324 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14340 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14358 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14373 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14389 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14407 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14422 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 'b', 0,
-  /* 14438 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 0,
-  /* 14453 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 0,
-  /* 14468 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14479 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14490 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14501 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14513 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14525 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14536 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14547 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14558 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14569 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14580 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14591 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14601 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14612 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14624 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14636 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14648 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 'b', 0,
-  /* 14660 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14671 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14682 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14693 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14705 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14715 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14726 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14738 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14750 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14762 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 'b', 0,
-  /* 14774 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14785 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14796 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14807 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14818 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14829 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 'b', 0,
-  /* 14840 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
-  /* 14852 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 'b', 0,
-  /* 14866 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
-  /* 14878 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 'b', 0,
-  /* 14892 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
-  /* 14904 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 'b', 0,
-  /* 14918 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
-  /* 14930 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 'b', 0,
-  /* 14944 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14958 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14972 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 14987 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 'b', 0,
-  /* 15002 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 15016 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 15030 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 'b', 0,
-  /* 15045 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
-  /* 15054 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
-  /* 15063 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
-  /* 15071 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
-  /* 15079 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
-  /* 15088 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
-  /* 15097 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
-  /* 15106 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
-  /* 15119 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
-  /* 15127 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
-  /* 15135 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
-  /* 15144 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
-  /* 15153 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
-  /* 15164 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
-  /* 15174 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
-  /* 15185 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
-  /* 15195 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
-  /* 15206 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
-  /* 15214 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
-  /* 15227 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
-  /* 15235 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
-  /* 15248 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
-  /* 15261 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
-  /* 15272 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
-  /* 15280 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
-  /* 15288 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
-  /* 15296 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
-  /* 15304 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
-  /* 15312 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
-  /* 15320 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
-  /* 15328 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
-  /* 15336 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15344 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15357 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 15369 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
-  /* 15378 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
-  /* 15386 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
-  /* 15395 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
-  /* 15406 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
-  /* 15414 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
-  /* 15422 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
-  /* 15430 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
-  /* 15438 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
-  /* 15446 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
-  /* 15454 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
-  /* 15462 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
-  /* 15470 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
-  /* 15479 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
-  /* 15487 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
-  /* 15500 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
-  /* 15508 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
-  /* 15521 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
-  /* 15534 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
-  /* 15542 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
-  /* 15550 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
-  /* 15558 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
-  /* 15566 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
-  /* 15574 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
-  /* 15582 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
-  /* 15590 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
-  /* 15598 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15606 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15619 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 15631 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
-  /* 15640 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
-  /* 15648 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
-  /* 15655 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
-  /* 15667 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
-  /* 15674 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
-  /* 15686 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
-  /* 15698 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
-  /* 15705 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
-  /* 15712 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
-  /* 15719 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
-  /* 15726 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
-  /* 15733 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
-  /* 15740 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
-  /* 15747 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
-  /* 15754 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15761 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15773 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
-  /* 15784 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
-  /* 15792 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
-  /* 15799 */ 'V', 'P', 'C', 'O', 'M', 'B', 'm', 'i', 0,
-  /* 15808 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'i', 0,
-  /* 15817 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'm', 'i', 0,
-  /* 15827 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'm', 'i', 0,
-  /* 15837 */ 'V', 'P', 'C', 'O', 'M', 'D', 'm', 'i', 0,
-  /* 15846 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'm', 'i', 0,
-  /* 15858 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'i', 0,
-  /* 15867 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'm', 'i', 0,
-  /* 15877 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
-  /* 15888 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'm', 'i', 0,
-  /* 15897 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'i', 0,
-  /* 15906 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'm', 'i', 0,
-  /* 15916 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'm', 'i', 0,
-  /* 15928 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'm', 'i', 0,
-  /* 15941 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'm', 'i', 0,
-  /* 15952 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'm', 'i', 0,
-  /* 15963 */ 'V', 'P', 'C', 'O', 'M', 'W', 'm', 'i', 0,
-  /* 15972 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'i', 0,
-  /* 15981 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'm', 'i', 0,
-  /* 15991 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'm', 'i', 0,
-  /* 16002 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'm', 'i', 0,
-  /* 16015 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'm', 'i', 0,
-  /* 16026 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'm', 'i', 0,
-  /* 16036 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'm', 'i', 0,
-  /* 16049 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'm', 'i', 0,
-  /* 16061 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'm', 'i', 0,
-  /* 16073 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 0,
-  /* 16083 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'm', 'i', 0,
-  /* 16094 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 0,
-  /* 16104 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 0,
-  /* 16114 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'm', 'i', 0,
-  /* 16127 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'm', 'i', 0,
-  /* 16138 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 0,
-  /* 16148 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 0,
-  /* 16158 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 0,
-  /* 16168 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'm', 'i', 0,
-  /* 16178 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'm', 'i', 0,
-  /* 16191 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
-  /* 16201 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'm', 'i', 0,
-  /* 16214 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
-  /* 16224 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'm', 'i', 0,
-  /* 16236 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'm', 'i', 0,
-  /* 16247 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16259 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16270 */ 'V', 'D', 'P', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16279 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', 0,
-  /* 16289 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'm', 'i', 0,
-  /* 16300 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16312 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16323 */ 'V', 'D', 'P', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16332 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', 0,
-  /* 16342 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 'i', 0,
-  /* 16354 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'm', 'i', 0,
-  /* 16366 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'm', 'i', 0,
-  /* 16377 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16390 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16403 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16415 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', 0,
-  /* 16426 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16439 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16451 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16461 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', 0,
-  /* 16472 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 'i', 0,
-  /* 16485 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'm', 'i', 0,
-  /* 16498 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16510 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16521 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16532 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', 0,
-  /* 16544 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', 0,
-  /* 16555 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', 0,
-  /* 16567 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
-  /* 16579 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', 0,
-  /* 16590 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'm', 'i', 0,
-  /* 16605 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
-  /* 16613 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
-  /* 16621 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
-  /* 16629 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
-  /* 16637 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
-  /* 16645 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
-  /* 16656 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
-  /* 16664 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
-  /* 16672 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
-  /* 16680 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
-  /* 16688 */ 'I', 'N', '3', '2', 'r', 'i', 0,
-  /* 16695 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
-  /* 16703 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
-  /* 16711 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
-  /* 16719 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
-  /* 16727 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 16735 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 16743 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
-  /* 16752 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
-  /* 16760 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
-  /* 16769 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
-  /* 16780 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
-  /* 16788 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
-  /* 16796 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
-  /* 16804 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
-  /* 16812 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
-  /* 16820 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
-  /* 16828 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
-  /* 16836 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
-  /* 16844 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
-  /* 16852 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
-  /* 16861 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
-  /* 16869 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
-  /* 16877 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
-  /* 16885 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
-  /* 16893 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
-  /* 16901 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
-  /* 16909 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
-  /* 16917 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
-  /* 16925 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
-  /* 16933 */ 'I', 'N', '1', '6', 'r', 'i', 0,
-  /* 16940 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
-  /* 16948 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
-  /* 16956 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
-  /* 16964 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
-  /* 16972 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 16980 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 16988 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
-  /* 16997 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
-  /* 17005 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
-  /* 17012 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
-  /* 17019 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
-  /* 17026 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
-  /* 17033 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
-  /* 17040 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
-  /* 17047 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
-  /* 17054 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
-  /* 17061 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
-  /* 17068 */ 'I', 'N', '8', 'r', 'i', 0,
-  /* 17074 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
-  /* 17081 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
-  /* 17088 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
-  /* 17095 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
-  /* 17102 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
-  /* 17109 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
-  /* 17116 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
-  /* 17124 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
-  /* 17131 */ 'V', 'P', 'C', 'O', 'M', 'B', 'r', 'i', 0,
-  /* 17140 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'i', 0,
-  /* 17149 */ 'V', 'P', 'C', 'O', 'M', 'U', 'B', 'r', 'i', 0,
-  /* 17159 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
-  /* 17168 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'i', 0,
-  /* 17180 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'r', 'i', 0,
-  /* 17190 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
-  /* 17199 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'i', 0,
-  /* 17211 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
-  /* 17220 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'i', 0,
-  /* 17232 */ 'V', 'P', 'C', 'O', 'M', 'D', 'r', 'i', 0,
-  /* 17241 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'i', 0,
-  /* 17253 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'i', 0,
-  /* 17262 */ 'V', 'P', 'C', 'O', 'M', 'U', 'D', 'r', 'i', 0,
-  /* 17272 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
-  /* 17283 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'r', 'i', 0,
-  /* 17293 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'r', 'i', 0,
-  /* 17303 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
-  /* 17312 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'i', 0,
-  /* 17324 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
-  /* 17333 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'i', 0,
-  /* 17345 */ 'V', 'P', 'C', 'O', 'M', 'Q', 'r', 'i', 0,
-  /* 17354 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'i', 0,
-  /* 17363 */ 'V', 'P', 'C', 'O', 'M', 'U', 'Q', 'r', 'i', 0,
-  /* 17373 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'i', 0,
-  /* 17385 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
-  /* 17394 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'i', 0,
-  /* 17406 */ 'M', 'M', 'X', '_', 'P', 'S', 'H', 'U', 'F', 'W', 'r', 'i', 0,
-  /* 17419 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'r', 'i', 0,
-  /* 17430 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'r', 'i', 0,
-  /* 17441 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
-  /* 17450 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'i', 0,
-  /* 17462 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
-  /* 17471 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'i', 0,
-  /* 17483 */ 'K', 'S', 'H', 'I', 'F', 'T', 'L', 'W', 'r', 'i', 0,
-  /* 17494 */ 'V', 'P', 'C', 'O', 'M', 'W', 'r', 'i', 0,
-  /* 17503 */ 'K', 'S', 'H', 'I', 'F', 'T', 'R', 'W', 'r', 'i', 0,
-  /* 17514 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'r', 'i', 0,
-  /* 17524 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'i', 0,
-  /* 17533 */ 'V', 'P', 'C', 'O', 'M', 'U', 'W', 'r', 'i', 0,
-  /* 17543 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'i', 0,
-  /* 17553 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Y', 'r', 'i', 0,
-  /* 17564 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'i', 0,
-  /* 17574 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'i', 0,
-  /* 17584 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'i', 0,
-  /* 17597 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Y', 'r', 'i', 0,
-  /* 17608 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
-  /* 17619 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Q', 'Y', 'r', 'i', 0,
-  /* 17630 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'i', 0,
-  /* 17640 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'i', 0,
-  /* 17650 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Y', 'r', 'i', 0,
-  /* 17660 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'i', 0,
-  /* 17673 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'i', 0,
-  /* 17683 */ 'V', 'P', 'S', 'H', 'U', 'F', 'H', 'W', 'Y', 'r', 'i', 0,
-  /* 17695 */ 'V', 'P', 'S', 'H', 'U', 'F', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17707 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17717 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'i', 0,
-  /* 17727 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 0,
-  /* 17737 */ 'V', 'P', 'S', 'H', 'U', 'F', 'D', 'Z', 'r', 'i', 0,
-  /* 17748 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 0,
-  /* 17758 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 0,
-  /* 17768 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Z', 'r', 'i', 0,
-  /* 17781 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'i', 0,
-  /* 17792 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 0,
-  /* 17802 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 0,
-  /* 17812 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 0,
-  /* 17822 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'i', 0,
-  /* 17832 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Z', 'r', 'i', 0,
-  /* 17845 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
-  /* 17855 */ 'S', 'H', 'A', '1', 'R', 'N', 'D', 'S', '4', 'r', 'r', 'i', 0,
-  /* 17868 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
-  /* 17878 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'r', 'r', 'i', 0,
-  /* 17890 */ 'V', 'A', 'L', 'I', 'G', 'N', 'D', 'r', 'r', 'i', 0,
-  /* 17901 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17913 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17924 */ 'V', 'D', 'P', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17933 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', 0,
-  /* 17943 */ 'V', 'A', 'L', 'I', 'G', 'N', 'Q', 'r', 'r', 'i', 0,
-  /* 17954 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17966 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17977 */ 'V', 'D', 'P', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17986 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', 0,
-  /* 17996 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 'i', 0,
-  /* 18008 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'r', 'r', 'i', 0,
-  /* 18020 */ 'V', 'P', 'I', 'N', 'S', 'R', 'W', 'r', 'r', 'i', 0,
-  /* 18031 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18044 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18057 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18069 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', 0,
-  /* 18080 */ 'V', 'B', 'L', 'E', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18093 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18105 */ 'V', 'D', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18115 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', 0,
-  /* 18126 */ 'V', 'M', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 'i', 0,
-  /* 18139 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'W', 'Y', 'r', 'r', 'i', 0,
-  /* 18152 */ 'V', 'S', 'H', 'U', 'F', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18164 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18175 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18186 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', 0,
-  /* 18198 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', 0,
-  /* 18209 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', 0,
-  /* 18221 */ 'V', 'S', 'H', 'U', 'F', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
-  /* 18233 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', 0,
-  /* 18244 */ 'M', 'M', 'X', '_', 'P', 'I', 'N', 'S', 'R', 'W', 'i', 'r', 'r', 'i', 0,
-  /* 18259 */ 'M', 'M', 'X', '_', 'P', 'E', 'X', 'T', 'R', 'W', 'i', 'r', 'r', 'i', 0,
-  /* 18274 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 0,
-  /* 18290 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 0,
-  /* 18306 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18317 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18328 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'm', 'i', 'k', 0,
-  /* 18339 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18350 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18361 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'm', 'i', 'k', 0,
-  /* 18372 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18383 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18394 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'i', 'k', 0,
-  /* 18405 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18416 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18427 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'i', 'k', 0,
-  /* 18438 */ 'K', 'M', 'O', 'V', 'W', 'k', 'k', 0,
-  /* 18446 */ 'K', 'M', 'O', 'V', 'W', 'm', 'k', 0,
-  /* 18454 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'm', 'k', 0,
-  /* 18467 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'm', 'k', 0,
-  /* 18480 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 0,
-  /* 18495 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 0,
-  /* 18510 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18521 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18532 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18543 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18555 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 'k', 0,
-  /* 18567 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 18578 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 18589 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 'k', 0,
-  /* 18600 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 18612 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 'k', 0,
-  /* 18624 */ 'K', 'M', 'O', 'V', 'W', 'r', 'k', 0,
-  /* 18632 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'r', 'k', 0,
-  /* 18645 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'r', 'k', 0,
-  /* 18658 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 0,
-  /* 18673 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 0,
-  /* 18688 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18699 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18710 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18721 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18733 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18745 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 'k', 0,
-  /* 18756 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 18767 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 18778 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 'k', 0,
-  /* 18789 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 18801 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 18813 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 'k', 0,
-  /* 18824 */ 'L', 'D', '_', 'F', '8', '0', 'm', 0,
-  /* 18832 */ 'S', 'T', '_', 'F', 'P', '8', '0', 'm', 0,
-  /* 18841 */ 'S', 'T', '_', 'F', 'p', 'P', '8', '0', 'm', 0,
-  /* 18851 */ 'L', 'D', '_', 'F', 'p', '8', '0', 'm', 0,
-  /* 18860 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18877 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18891 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18906 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18923 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18937 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18952 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18966 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18981 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 18995 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'm', 0,
-  /* 19010 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19027 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19041 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19056 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19073 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19087 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19102 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19116 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19131 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19145 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'm', 0,
-  /* 19160 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19177 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19191 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19206 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19223 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19237 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19252 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19266 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19281 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19295 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'm', 0,
-  /* 19310 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19327 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19341 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19356 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19373 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19387 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19402 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19416 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19431 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19445 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'm', 0,
-  /* 19460 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
-  /* 19472 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
-  /* 19484 */ 'S', 'U', 'B', '_', 'F', '3', '2', 'm', 0,
-  /* 19493 */ 'A', 'D', 'D', '_', 'F', '3', '2', 'm', 0,
-  /* 19502 */ 'I', 'L', 'D', '_', 'F', '3', '2', 'm', 0,
-  /* 19511 */ 'M', 'U', 'L', '_', 'F', '3', '2', 'm', 0,
-  /* 19520 */ 'S', 'U', 'B', 'R', '_', 'F', '3', '2', 'm', 0,
-  /* 19530 */ 'D', 'I', 'V', 'R', '_', 'F', '3', '2', 'm', 0,
-  /* 19540 */ 'I', 'S', 'T', '_', 'F', '3', '2', 'm', 0,
-  /* 19549 */ 'D', 'I', 'V', '_', 'F', '3', '2', 'm', 0,
-  /* 19558 */ 'N', 'E', 'G', '3', '2', 'm', 0,
-  /* 19565 */ 'S', 'U', 'B', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19575 */ 'A', 'D', 'D', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19585 */ 'M', 'U', 'L', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19595 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19606 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19617 */ 'D', 'I', 'V', '_', 'F', 'I', '3', '2', 'm', 0,
-  /* 19627 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
-  /* 19638 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
-  /* 19646 */ 'F', 'C', 'O', 'M', '3', '2', 'm', 0,
-  /* 19654 */ 'F', 'I', 'C', 'O', 'M', '3', '2', 'm', 0,
-  /* 19663 */ 'I', 'S', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
-  /* 19673 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '3', '2', 'm', 0,
-  /* 19684 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
-  /* 19694 */ 'F', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
-  /* 19703 */ 'F', 'I', 'C', 'O', 'M', 'P', '3', '2', 'm', 0,
-  /* 19713 */ 'S', 'T', '_', 'F', 'p', 'P', '3', '2', 'm', 0,
-  /* 19723 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 19731 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 19739 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 19747 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 19755 */ 'N', 'O', 'T', '3', '2', 'm', 0,
-  /* 19762 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
-  /* 19770 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 19780 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 19790 */ 'S', 'U', 'B', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19800 */ 'A', 'D', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19810 */ 'L', 'D', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19819 */ 'M', 'U', 'L', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19829 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19840 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19851 */ 'S', 'T', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19860 */ 'D', 'I', 'V', '_', 'F', 'p', '3', '2', 'm', 0,
-  /* 19870 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19887 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19901 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19916 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19933 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19947 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19962 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19976 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 19991 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 20005 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'm', 0,
-  /* 20020 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20037 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20051 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20066 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20083 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20097 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20112 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20126 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20141 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20155 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'm', 0,
-  /* 20170 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
-  /* 20182 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
-  /* 20194 */ 'S', 'U', 'B', '_', 'F', '6', '4', 'm', 0,
-  /* 20203 */ 'A', 'D', 'D', '_', 'F', '6', '4', 'm', 0,
-  /* 20212 */ 'I', 'L', 'D', '_', 'F', '6', '4', 'm', 0,
-  /* 20221 */ 'M', 'U', 'L', '_', 'F', '6', '4', 'm', 0,
-  /* 20230 */ 'S', 'U', 'B', 'R', '_', 'F', '6', '4', 'm', 0,
-  /* 20240 */ 'D', 'I', 'V', 'R', '_', 'F', '6', '4', 'm', 0,
-  /* 20250 */ 'S', 'T', '_', 'F', '6', '4', 'm', 0,
-  /* 20258 */ 'D', 'I', 'V', '_', 'F', '6', '4', 'm', 0,
-  /* 20267 */ 'N', 'E', 'G', '6', '4', 'm', 0,
-  /* 20274 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
-  /* 20282 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
-  /* 20290 */ 'F', 'C', 'O', 'M', '6', '4', 'm', 0,
-  /* 20298 */ 'I', 'S', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
-  /* 20308 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '6', '4', 'm', 0,
-  /* 20319 */ 'J', 'M', 'P', '6', '4', 'm', 0,
-  /* 20326 */ 'F', 'C', 'O', 'M', 'P', '6', '4', 'm', 0,
-  /* 20335 */ 'S', 'T', '_', 'F', 'p', 'P', '6', '4', 'm', 0,
-  /* 20345 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 20353 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 20361 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 20369 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 20377 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
-  /* 20385 */ 'N', 'O', 'T', '6', '4', 'm', 0,
-  /* 20392 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
-  /* 20400 */ 'S', 'U', 'B', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20410 */ 'A', 'D', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20420 */ 'L', 'D', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20429 */ 'M', 'U', 'L', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20439 */ 'S', 'U', 'B', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20450 */ 'D', 'I', 'V', 'R', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20461 */ 'S', 'T', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20470 */ 'D', 'I', 'V', '_', 'F', 'p', '6', '4', 'm', 0,
-  /* 20480 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
-  /* 20492 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
-  /* 20504 */ 'I', 'L', 'D', '_', 'F', '1', '6', 'm', 0,
-  /* 20513 */ 'I', 'S', 'T', '_', 'F', '1', '6', 'm', 0,
-  /* 20522 */ 'N', 'E', 'G', '1', '6', 'm', 0,
-  /* 20529 */ 'S', 'U', 'B', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20539 */ 'A', 'D', 'D', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20549 */ 'M', 'U', 'L', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20559 */ 'S', 'U', 'B', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20570 */ 'D', 'I', 'V', 'R', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20581 */ 'D', 'I', 'V', '_', 'F', 'I', '1', '6', 'm', 0,
-  /* 20591 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
-  /* 20602 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
-  /* 20610 */ 'F', 'I', 'C', 'O', 'M', '1', '6', 'm', 0,
-  /* 20619 */ 'I', 'S', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
-  /* 20629 */ 'I', 'S', 'T', 'T', '_', 'F', 'P', '1', '6', 'm', 0,
-  /* 20640 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
-  /* 20650 */ 'F', 'I', 'C', 'O', 'M', 'P', '1', '6', 'm', 0,
-  /* 20660 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 20668 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 20676 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 20684 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 20692 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 20700 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 20708 */ 'N', 'O', 'T', '1', '6', 'm', 0,
-  /* 20715 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
-  /* 20723 */ 'F', 'L', 'D', 'C', 'W', '1', '6', 'm', 0,
-  /* 20732 */ 'F', 'N', 'S', 'T', 'C', 'W', '1', '6', 'm', 0,
-  /* 20742 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 20750 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 20758 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 20768 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 20778 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
-  /* 20789 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
-  /* 20800 */ 'N', 'E', 'G', '8', 'm', 0,
-  /* 20806 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
-  /* 20813 */ 'N', 'O', 'T', '8', 'm', 0,
-  /* 20819 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
-  /* 20826 */ 'S', 'E', 'T', 'A', 'm', 0,
-  /* 20832 */ 'S', 'E', 'T', 'B', 'm', 0,
-  /* 20838 */ 'F', 'B', 'L', 'D', 'm', 0,
-  /* 20844 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
-  /* 20853 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'm', 0,
-  /* 20863 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'm', 0,
-  /* 20872 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'm', 0,
-  /* 20883 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'm', 0,
-  /* 20893 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'm', 0,
-  /* 20906 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', 0,
-  /* 20915 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
-  /* 20922 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
-  /* 20929 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
-  /* 20936 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
-  /* 20943 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
-  /* 20950 */ 'S', 'E', 'T', 'E', 'm', 0,
-  /* 20956 */ 'F', 'S', 'A', 'V', 'E', 'm', 0,
-  /* 20963 */ 'S', 'E', 'T', 'G', 'm', 0,
-  /* 20969 */ 'S', 'E', 'T', 'L', 'm', 0,
-  /* 20975 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
-  /* 20982 */ 'S', 'E', 'T', 'O', 'm', 0,
-  /* 20988 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
-  /* 20997 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
-  /* 21004 */ 'S', 'E', 'T', 'P', 'm', 0,
-  /* 21010 */ 'F', 'B', 'S', 'T', 'P', 'm', 0,
-  /* 21017 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
-  /* 21026 */ 'F', 'R', 'S', 'T', 'O', 'R', 'm', 0,
-  /* 21034 */ 'V', 'E', 'R', 'R', 'm', 0,
-  /* 21040 */ 'L', 'T', 'R', 'm', 0,
-  /* 21045 */ 'S', 'T', 'R', 'm', 0,
-  /* 21050 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
-  /* 21057 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'm', 0,
-  /* 21067 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', 0,
-  /* 21075 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
-  /* 21085 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', 0,
-  /* 21094 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'm', 0,
-  /* 21105 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'm', 0,
-  /* 21115 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'm', 0,
-  /* 21128 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', 0,
-  /* 21136 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
-  /* 21146 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', 0,
-  /* 21155 */ 'S', 'E', 'T', 'S', 'm', 0,
-  /* 21161 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
-  /* 21170 */ 'F', 'L', 'D', 'E', 'N', 'V', 'm', 0,
-  /* 21178 */ 'F', 'S', 'T', 'E', 'N', 'V', 'm', 0,
-  /* 21186 */ 'V', 'E', 'R', 'W', 'm', 0,
-  /* 21192 */ 'F', 'N', 'S', 'T', 'S', 'W', 'm', 0,
-  /* 21200 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'm', 0,
-  /* 21210 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', 0,
-  /* 21219 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
-  /* 21230 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', 0,
-  /* 21240 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21257 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21271 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21286 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21303 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21317 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'D', 'Z', 'm', 0,
-  /* 21332 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21349 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21363 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21378 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21395 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21409 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'm', 0,
-  /* 21424 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'm', 0,
-  /* 21435 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'm', 0,
-  /* 21448 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'm', 0,
-  /* 21459 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'm', 0,
-  /* 21472 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'm', 0,
-  /* 21486 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
-  /* 21497 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'm', 0,
-  /* 21509 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
-  /* 21520 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'm', 0,
-  /* 21532 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', 0,
-  /* 21542 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21559 */ 'V', 'F', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21573 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21588 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21605 */ 'V', 'F', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21619 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '1', '3', '2', 'P', 'S', 'Z', 'm', 0,
-  /* 21634 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21651 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21665 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21680 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21697 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21711 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'm', 0,
-  /* 21726 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'm', 0,
-  /* 21737 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'm', 0,
-  /* 21750 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'm', 0,
-  /* 21761 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'm', 0,
-  /* 21774 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'm', 0,
-  /* 21788 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
-  /* 21799 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'm', 0,
-  /* 21811 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
-  /* 21822 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'm', 0,
-  /* 21834 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', 0,
-  /* 21844 */ 'K', 'M', 'O', 'V', 'W', 'k', 'm', 0,
-  /* 21852 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
-  /* 21862 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
-  /* 21871 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
-  /* 21881 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
-  /* 21890 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
-  /* 21900 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
-  /* 21909 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'm', 0,
-  /* 21920 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'm', 0,
-  /* 21933 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'm', 0,
-  /* 21944 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'm', 0,
-  /* 21955 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'r', 'm', 0,
-  /* 21967 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
-  /* 21977 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
-  /* 21985 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
-  /* 21993 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
-  /* 22003 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
-  /* 22011 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 22021 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 22031 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
-  /* 22042 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
-  /* 22053 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
-  /* 22062 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
-  /* 22070 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
-  /* 22081 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
-  /* 22092 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
-  /* 22103 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
-  /* 22114 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
-  /* 22125 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
-  /* 22137 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
-  /* 22147 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
-  /* 22155 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
-  /* 22167 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
-  /* 22177 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
-  /* 22186 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
-  /* 22195 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
-  /* 22204 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 22215 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 22226 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 22236 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 22248 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 22260 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
-  /* 22268 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
-  /* 22277 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
-  /* 22287 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
-  /* 22296 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
-  /* 22307 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
-  /* 22317 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
-  /* 22326 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
-  /* 22334 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
-  /* 22345 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
-  /* 22355 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
-  /* 22363 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
-  /* 22371 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 22379 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 22388 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
-  /* 22398 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
-  /* 22407 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 22415 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 22426 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
-  /* 22434 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
-  /* 22442 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
-  /* 22450 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
-  /* 22461 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
-  /* 22469 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
-  /* 22479 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 22490 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 22500 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 22510 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
-  /* 22519 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
-  /* 22528 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'm', 0,
-  /* 22540 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
-  /* 22556 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
-  /* 22565 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 22574 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 22583 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
-  /* 22592 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 22601 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 22610 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'm', 0,
-  /* 22621 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'm', 0,
-  /* 22634 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'm', 0,
-  /* 22648 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'm', 0,
-  /* 22659 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'r', 'm', 0,
-  /* 22671 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
-  /* 22681 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
-  /* 22689 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
-  /* 22697 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
-  /* 22707 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
-  /* 22715 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 22725 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 22735 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
-  /* 22746 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
-  /* 22757 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
-  /* 22766 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
-  /* 22774 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
-  /* 22792 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'm', 0,
-  /* 22809 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'm', 0,
-  /* 22822 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
-  /* 22833 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
-  /* 22844 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
-  /* 22855 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
-  /* 22866 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
-  /* 22877 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
-  /* 22889 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
-  /* 22899 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
-  /* 22907 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
-  /* 22919 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
-  /* 22929 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
-  /* 22938 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
-  /* 22947 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 22966 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 22984 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 22998 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23017 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23035 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23049 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 23058 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 23069 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 23080 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 23090 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 23102 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 23114 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
-  /* 23122 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
-  /* 23131 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
-  /* 23141 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
-  /* 23150 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
-  /* 23161 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
-  /* 23171 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
-  /* 23180 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
-  /* 23188 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
-  /* 23199 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
-  /* 23209 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'm', 0,
-  /* 23222 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
-  /* 23230 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
-  /* 23238 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 23246 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 23255 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
-  /* 23265 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
-  /* 23274 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
-  /* 23282 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
-  /* 23290 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
-  /* 23301 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 23319 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 23336 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 23344 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
-  /* 23354 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 23365 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 23375 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 23385 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
-  /* 23394 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
-  /* 23403 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'm', 0,
-  /* 23415 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
-  /* 23431 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
-  /* 23440 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 23449 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 23458 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
-  /* 23467 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 23476 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 23485 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23500 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23512 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23525 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23540 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23552 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'm', 0,
-  /* 23565 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23577 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23590 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23602 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', 0,
-  /* 23615 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23630 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23642 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23655 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23670 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23682 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'm', 0,
-  /* 23695 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23707 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23720 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23732 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', 0,
-  /* 23745 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'm', 0,
-  /* 23760 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'm', 0,
-  /* 23775 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'm', 0,
-  /* 23790 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'm', 0,
-  /* 23805 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
-  /* 23815 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
-  /* 23823 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
-  /* 23831 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
-  /* 23841 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
-  /* 23849 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
-  /* 23858 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
-  /* 23866 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
-  /* 23877 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
-  /* 23888 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
-  /* 23899 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
-  /* 23910 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
-  /* 23921 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
-  /* 23931 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
-  /* 23939 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
-  /* 23951 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
-  /* 23961 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
-  /* 23969 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
-  /* 23978 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
-  /* 23988 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
-  /* 23999 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
-  /* 24009 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
-  /* 24017 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
-  /* 24028 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
-  /* 24038 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
-  /* 24046 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
-  /* 24054 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
-  /* 24062 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 24070 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 24081 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
-  /* 24089 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
-  /* 24097 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
-  /* 24105 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
-  /* 24116 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
-  /* 24124 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
-  /* 24134 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 24145 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 24155 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 24165 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
-  /* 24174 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
-  /* 24190 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'm', 0,
-  /* 24204 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'm', 0,
-  /* 24217 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'm', 0,
-  /* 24231 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'm', 0,
-  /* 24244 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'm', 0,
-  /* 24258 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
-  /* 24274 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'm', 0,
-  /* 24290 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'm', 0,
-  /* 24304 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'm', 0,
-  /* 24326 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
-  /* 24333 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
-  /* 24340 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
-  /* 24347 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
-  /* 24355 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
-  /* 24362 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
-  /* 24373 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
-  /* 24380 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
-  /* 24387 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
-  /* 24395 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
-  /* 24410 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'r', 'm', 0,
-  /* 24422 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'm', 0,
-  /* 24432 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'm', 0,
-  /* 24441 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'm', 0,
-  /* 24450 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'm', 0,
-  /* 24459 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'm', 0,
-  /* 24469 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'm', 0,
-  /* 24478 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'm', 0,
-  /* 24487 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'm', 0,
-  /* 24497 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'm', 0,
-  /* 24508 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'm', 0,
-  /* 24518 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'm', 0,
-  /* 24528 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'm', 0,
-  /* 24538 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'm', 0,
-  /* 24548 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 24559 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 24570 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'm', 0,
-  /* 24580 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'm', 0,
-  /* 24590 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'm', 0,
-  /* 24601 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'm', 0,
-  /* 24610 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'm', 0,
-  /* 24625 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'm', 0,
-  /* 24635 */ 'P', 'F', 'S', 'U', 'B', 'r', 'm', 0,
-  /* 24643 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'm', 0,
-  /* 24653 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'm', 0,
-  /* 24665 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'm', 0,
-  /* 24677 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'm', 0,
-  /* 24689 */ 'P', 'F', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 24697 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 24706 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'm', 0,
-  /* 24716 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'm', 0,
-  /* 24726 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'm', 0,
-  /* 24736 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'm', 0,
-  /* 24746 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'm', 0,
-  /* 24757 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'm', 0,
-  /* 24768 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'm', 0,
-  /* 24777 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
-  /* 24786 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'm', 0,
-  /* 24798 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'm', 0,
-  /* 24809 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 24821 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 24831 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'm', 0,
-  /* 24840 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'm', 0,
-  /* 24852 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'm', 0,
-  /* 24864 */ 'P', 'F', 'A', 'D', 'D', 'r', 'm', 0,
-  /* 24872 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'm', 0,
-  /* 24882 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'm', 0,
-  /* 24891 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'r', 'm', 0,
-  /* 24904 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'm', 0,
-  /* 24915 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'm', 0,
-  /* 24927 */ 'P', 'I', '2', 'F', 'D', 'r', 'm', 0,
-  /* 24935 */ 'P', 'F', '2', 'I', 'D', 'r', 'm', 0,
-  /* 24943 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'm', 0,
-  /* 24952 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 24961 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 24973 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'm', 0,
-  /* 24983 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
-  /* 24992 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'm', 0,
-  /* 25004 */ 'V', 'P', 'A', 'N', 'D', 'r', 'm', 0,
-  /* 25012 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'm', 0,
-  /* 25022 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25034 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25047 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25059 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25071 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'm', 0,
-  /* 25083 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 25095 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 25106 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'm', 0,
-  /* 25115 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 25127 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 25137 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'm', 0,
-  /* 25146 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'm', 0,
-  /* 25156 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'm', 0,
-  /* 25166 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25176 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25185 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25194 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25205 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'r', 'm', 0,
-  /* 25218 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'm', 0,
-  /* 25230 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'r', 'm', 0,
-  /* 25240 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25252 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25264 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25273 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'r', 'm', 0,
-  /* 25283 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 25293 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 25305 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'm', 0,
-  /* 25314 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'r', 'm', 0,
-  /* 25327 */ 'V', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25335 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25344 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25355 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'm', 0,
-  /* 25365 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'm', 0,
-  /* 25375 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'm', 0,
-  /* 25385 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 25397 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 25406 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'r', 'm', 0,
-  /* 25419 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'm', 0,
-  /* 25428 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'm', 0,
-  /* 25438 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'm', 0,
-  /* 25449 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'r', 'm', 0,
-  /* 25462 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'm', 0,
-  /* 25472 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25488 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25503 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25519 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'm', 0,
-  /* 25534 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'm', 0,
-  /* 25545 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'm', 0,
-  /* 25558 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'm', 0,
-  /* 25569 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'm', 0,
-  /* 25582 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'm', 0,
-  /* 25591 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', 0,
-  /* 25600 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'm', 0,
-  /* 25610 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'm', 0,
-  /* 25620 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', 0,
-  /* 25629 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25644 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25658 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25672 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'm', 0,
-  /* 25685 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', 0,
-  /* 25694 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
-  /* 25704 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', 0,
-  /* 25713 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
-  /* 25726 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'm', 0,
-  /* 25738 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', 0,
-  /* 25747 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'm', 0,
-  /* 25756 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
-  /* 25766 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', 0,
-  /* 25775 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'm', 0,
-  /* 25785 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'm', 0,
-  /* 25798 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 0,
-  /* 25812 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'm', 0,
-  /* 25823 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'm', 0,
-  /* 25832 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'm', 0,
-  /* 25847 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'm', 0,
-  /* 25857 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'm', 0,
-  /* 25867 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'm', 0,
-  /* 25877 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'm', 0,
-  /* 25887 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'm', 0,
-  /* 25897 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'r', 'm', 0,
-  /* 25910 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'm', 0,
-  /* 25921 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
-  /* 25932 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'm', 0,
-  /* 25943 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'm', 0,
-  /* 25956 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'm', 0,
-  /* 25969 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 25980 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 25992 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 26004 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'm', 0,
-  /* 26017 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'm', 0,
-  /* 26029 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'm', 0,
-  /* 26041 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'm', 0,
-  /* 26053 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'm', 0,
-  /* 26063 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'm', 0,
-  /* 26075 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
-  /* 26087 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'm', 0,
-  /* 26100 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'm', 0,
-  /* 26113 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 26126 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 26140 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'm', 0,
-  /* 26157 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'm', 0,
-  /* 26170 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'm', 0,
-  /* 26183 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26200 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26216 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26228 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26245 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26261 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'm', 0,
-  /* 26273 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
-  /* 26285 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'm', 0,
-  /* 26298 */ 'P', 'F', 'M', 'U', 'L', 'r', 'm', 0,
-  /* 26306 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'm', 0,
-  /* 26315 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'm', 0,
-  /* 26324 */ 'P', 'F', 'M', 'I', 'N', 'r', 'm', 0,
-  /* 26332 */ 'P', 'F', 'R', 'C', 'P', 'r', 'm', 0,
-  /* 26340 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 26351 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 26363 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'm', 0,
-  /* 26375 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'm', 0,
-  /* 26386 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'm', 0,
-  /* 26397 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'm', 0,
-  /* 26406 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'm', 0,
-  /* 26417 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'm', 0,
-  /* 26429 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'm', 0,
-  /* 26438 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'm', 0,
-  /* 26450 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'm', 0,
-  /* 26462 */ 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26474 */ 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26485 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26498 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'm', 0,
-  /* 26510 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'm', 0,
-  /* 26521 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'm', 0,
-  /* 26530 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'm', 0,
-  /* 26541 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'm', 0,
-  /* 26554 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'm', 0,
-  /* 26567 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'm', 0,
-  /* 26577 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 26591 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 26605 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'm', 0,
-  /* 26618 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'r', 'm', 0,
-  /* 26631 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'm', 0,
-  /* 26643 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'm', 0,
-  /* 26654 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'm', 0,
-  /* 26666 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'm', 0,
-  /* 26678 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'm', 0,
-  /* 26688 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'm', 0,
-  /* 26697 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
-  /* 26706 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'm', 0,
-  /* 26718 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
-  /* 26727 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'm', 0,
-  /* 26739 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'm', 0,
-  /* 26750 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'r', 'm', 0,
-  /* 26763 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'm', 0,
-  /* 26773 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'r', 'm', 0,
-  /* 26782 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 0,
-  /* 26796 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'm', 0,
-  /* 26807 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'm', 0,
-  /* 26816 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'm', 0,
-  /* 26831 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'm', 0,
-  /* 26841 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'm', 0,
-  /* 26851 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'r', 'm', 0,
-  /* 26864 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'm', 0,
-  /* 26875 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'm', 0,
-  /* 26887 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'm', 0,
-  /* 26899 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'm', 0,
-  /* 26911 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'm', 0,
-  /* 26920 */ 'V', 'P', 'O', 'R', 'r', 'm', 0,
-  /* 26927 */ 'V', 'P', 'X', 'O', 'R', 'r', 'm', 0,
-  /* 26935 */ 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26946 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26958 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26970 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26983 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'm', 0,
-  /* 26995 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'm', 0,
-  /* 27007 */ 'F', 's', 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
-  /* 27019 */ 'F', 's', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'm', 0,
-  /* 27030 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 27042 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 27052 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'm', 0,
-  /* 27061 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'm', 0,
-  /* 27071 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'm', 0,
-  /* 27081 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27091 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27100 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27109 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27120 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'r', 'm', 0,
-  /* 27133 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'm', 0,
-  /* 27145 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'r', 'm', 0,
-  /* 27155 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27167 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27179 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27188 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'r', 'm', 0,
-  /* 27198 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 27208 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 27220 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'm', 0,
-  /* 27229 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'r', 'm', 0,
-  /* 27242 */ 'V', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27250 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27259 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27270 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'm', 0,
-  /* 27280 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'm', 0,
-  /* 27292 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'm', 0,
-  /* 27302 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'm', 0,
-  /* 27312 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 27324 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 27333 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'r', 'm', 0,
-  /* 27346 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'm', 0,
-  /* 27355 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'm', 0,
-  /* 27365 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27381 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27396 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27408 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27424 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'm', 0,
-  /* 27439 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'm', 0,
-  /* 27450 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'm', 0,
-  /* 27463 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'm', 0,
-  /* 27474 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'm', 0,
-  /* 27487 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', 0,
-  /* 27496 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'm', 0,
-  /* 27506 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'm', 0,
-  /* 27516 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', 0,
-  /* 27525 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27540 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27554 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27568 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'm', 0,
-  /* 27581 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', 0,
-  /* 27590 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', 0,
-  /* 27599 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
-  /* 27612 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'm', 0,
-  /* 27624 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'm', 0,
-  /* 27639 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', 0,
-  /* 27648 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'm', 0,
-  /* 27657 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', 0,
-  /* 27666 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'm', 0,
-  /* 27676 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'm', 0,
-  /* 27686 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'm', 0,
-  /* 27696 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
-  /* 27710 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'm', 0,
-  /* 27724 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'm', 0,
-  /* 27733 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'r', 'm', 0,
-  /* 27742 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'm', 0,
-  /* 27752 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'm', 0,
-  /* 27761 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'm', 0,
-  /* 27770 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
-  /* 27779 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'm', 0,
-  /* 27791 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'm', 0,
-  /* 27802 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'm', 0,
-  /* 27812 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'm', 0,
-  /* 27823 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'm', 0,
-  /* 27836 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'm', 0,
-  /* 27849 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 27861 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 27871 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'm', 0,
-  /* 27880 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'm', 0,
-  /* 27892 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'm', 0,
-  /* 27904 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'm', 0,
-  /* 27914 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'm', 0,
-  /* 27923 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'm', 0,
-  /* 27935 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'm', 0,
-  /* 27947 */ 'P', 'I', '2', 'F', 'W', 'r', 'm', 0,
-  /* 27955 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'm', 0,
-  /* 27964 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'm', 0,
-  /* 27974 */ 'P', 'F', '2', 'I', 'W', 'r', 'm', 0,
-  /* 27982 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'm', 0,
-  /* 27991 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 28000 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 28012 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'm', 0,
-  /* 28022 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
-  /* 28031 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'm', 0,
-  /* 28043 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'm', 0,
-  /* 28053 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'm', 0,
-  /* 28064 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'm', 0,
-  /* 28074 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'm', 0,
-  /* 28084 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'm', 0,
-  /* 28094 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'm', 0,
-  /* 28104 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'm', 0,
-  /* 28115 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'm', 0,
-  /* 28126 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'm', 0,
-  /* 28136 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'm', 0,
-  /* 28147 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'm', 0,
-  /* 28156 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'm', 0,
-  /* 28171 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'm', 0,
-  /* 28182 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'm', 0,
-  /* 28192 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'm', 0,
-  /* 28202 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'm', 0,
-  /* 28213 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'm', 0,
-  /* 28225 */ 'P', 'F', 'M', 'A', 'X', 'r', 'm', 0,
-  /* 28233 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
-  /* 28247 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'X', 'r', 'm', 0,
-  /* 28260 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'X', 'r', 'm', 0,
-  /* 28273 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
-  /* 28286 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'm', 0,
-  /* 28297 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'm', 0,
-  /* 28307 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'm', 0,
-  /* 28317 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'm', 0,
-  /* 28328 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'm', 0,
-  /* 28338 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'm', 0,
-  /* 28349 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'm', 0,
-  /* 28361 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28372 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28383 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28394 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28406 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28418 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'm', 0,
-  /* 28429 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'm', 0,
-  /* 28441 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'm', 0,
-  /* 28457 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'm', 0,
-  /* 28468 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'm', 0,
-  /* 28479 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'm', 0,
-  /* 28492 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
-  /* 28505 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'm', 0,
-  /* 28518 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'm', 0,
-  /* 28528 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28539 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28549 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28562 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'm', 0,
-  /* 28575 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 28586 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 28596 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Y', 'r', 'm', 0,
-  /* 28610 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 28620 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 28631 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'm', 0,
-  /* 28641 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'm', 0,
-  /* 28651 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'm', 0,
-  /* 28660 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'm', 0,
-  /* 28671 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28684 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28697 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28708 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28721 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28732 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28742 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28753 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28764 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28775 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28785 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28795 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28809 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28822 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28835 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28848 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28858 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28869 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28879 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28893 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28902 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28912 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28923 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28934 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28947 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28957 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28971 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'm', 0,
-  /* 28981 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'm', 0,
-  /* 28993 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Y', 'r', 'm', 0,
-  /* 29007 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 29018 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 29034 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'm', 0,
-  /* 29045 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'm', 0,
-  /* 29057 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'm', 0,
-  /* 29073 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'm', 0,
-  /* 29084 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'm', 0,
-  /* 29095 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29106 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29117 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29128 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'r', 'm', 0,
-  /* 29142 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29154 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29168 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29182 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29195 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'm', 0,
-  /* 29208 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'm', 0,
-  /* 29218 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 29230 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 29243 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'm', 0,
-  /* 29256 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 29266 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 29279 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'm', 0,
-  /* 29292 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29306 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29319 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29333 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29346 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29356 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29370 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29384 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29395 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29410 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29425 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29439 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29451 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29464 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'm', 0,
-  /* 29477 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'm', 0,
-  /* 29487 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'm', 0,
-  /* 29497 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'm', 0,
-  /* 29509 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Y', 'r', 'm', 0,
-  /* 29523 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'm', 0,
-  /* 29535 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'm', 0,
-  /* 29551 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 29562 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 29573 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'r', 'm', 0,
-  /* 29587 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
-  /* 29600 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'm', 0,
-  /* 29613 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'm', 0,
-  /* 29621 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'm', 0,
-  /* 29630 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29643 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29656 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29669 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29680 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29693 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29704 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29714 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29725 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29736 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29747 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29757 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29767 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29781 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29794 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29807 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29820 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29830 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29841 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29852 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29862 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29876 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29885 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29895 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29906 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29917 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29930 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29940 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29954 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'm', 0,
-  /* 29964 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'm', 0,
-  /* 29980 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'm', 0,
-  /* 29990 */ 'V', 'L', 'D', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
-  /* 30000 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'm', 0,
-  /* 30011 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'm', 0,
-  /* 30021 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30032 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30046 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30060 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30071 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30081 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30094 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'm', 0,
-  /* 30107 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30118 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30128 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30141 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'm', 0,
-  /* 30154 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'm', 0,
-  /* 30164 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'm', 0,
-  /* 30175 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 30185 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 30196 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'm', 0,
-  /* 30206 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'm', 0,
-  /* 30217 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'm', 0,
-  /* 30229 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30240 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30251 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30262 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30274 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30286 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'm', 0,
-  /* 30297 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'm', 0,
-  /* 30309 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'm', 0,
-  /* 30325 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 30337 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 30348 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'm', 0,
-  /* 30359 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30378 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30398 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30418 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30433 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30453 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30468 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30489 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30505 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30526 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30542 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30561 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'm', 0,
-  /* 30581 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'm', 0,
-  /* 30591 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 30601 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 30614 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'm', 0,
-  /* 30627 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 30637 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 30647 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'D', 'Z', 'r', 'm', 0,
-  /* 30661 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 30671 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 30682 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'm', 0,
-  /* 30692 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30705 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30718 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30728 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'm', 0,
-  /* 30740 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'm', 0,
-  /* 30751 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30764 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30778 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30791 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30802 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30812 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30822 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30836 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30849 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30862 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30872 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30885 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30896 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30906 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30920 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30931 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30942 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30952 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'm', 0,
-  /* 30962 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'm', 0,
-  /* 30974 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'D', 'Z', 'r', 'm', 0,
-  /* 30988 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
-  /* 30997 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'm', 0,
-  /* 31007 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31022 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31038 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31055 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31073 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31086 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31096 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31106 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31122 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31137 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31147 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31158 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31168 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31178 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31194 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31204 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31214 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31225 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'm', 0,
-  /* 31235 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'm', 0,
-  /* 31247 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'm', 0,
-  /* 31263 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'm', 0,
-  /* 31274 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'm', 0,
-  /* 31285 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 31296 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 31307 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'm', 0,
-  /* 31318 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
-  /* 31331 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'm', 0,
-  /* 31344 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'm', 0,
-  /* 31358 */ 'V', 'M', 'O', 'V', 'Q', 'I', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
-  /* 31372 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'm', 0,
-  /* 31390 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31408 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31421 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31439 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31452 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31471 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31485 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31504 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'm', 0,
-  /* 31518 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 31530 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 31543 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'm', 0,
-  /* 31556 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'm', 0,
-  /* 31566 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 31576 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 31589 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'm', 0,
-  /* 31602 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31616 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31629 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31643 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31656 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31666 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31680 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31694 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31705 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31715 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31730 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31745 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31759 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31774 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31788 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31803 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31817 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31829 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31842 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'm', 0,
-  /* 31855 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'm', 0,
-  /* 31865 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'm', 0,
-  /* 31875 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31888 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31901 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31911 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'm', 0,
-  /* 31923 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'm', 0,
-  /* 31934 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'm', 0,
-  /* 31946 */ 'V', 'P', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'Q', 'Z', 'r', 'm', 0,
-  /* 31960 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
-  /* 31969 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'm', 0,
-  /* 31979 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 31990 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'm', 0,
-  /* 32001 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'm', 0,
-  /* 32013 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'm', 0,
-  /* 32029 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'm', 0,
-  /* 32040 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'm', 0,
-  /* 32051 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 32062 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 32073 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'm', 0,
-  /* 32084 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
-  /* 32097 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'm', 0,
-  /* 32110 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32123 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32136 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32149 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32163 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32174 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32184 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32194 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'D', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32208 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32221 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32234 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32244 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32257 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32268 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32278 */ 'V', 'G', 'A', 'T', 'H', 'E', 'R', 'Q', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32292 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32303 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32314 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32324 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'm', 0,
-  /* 32334 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32349 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32365 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32378 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32391 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32408 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32426 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32436 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32446 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32462 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32477 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32487 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32497 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32507 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32523 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32533 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32543 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'm', 0,
-  /* 32553 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'm', 0,
-  /* 32570 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'm', 0,
-  /* 32583 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'm', 0,
-  /* 32596 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'm', 0,
-  /* 32609 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'm', 0,
-  /* 32624 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32638 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32652 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32667 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'm', 0,
-  /* 32682 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'm', 0,
-  /* 32697 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'm', 0,
-  /* 32711 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'm', 0,
-  /* 32725 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'm', 0,
-  /* 32741 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'm', 0,
-  /* 32757 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'm', 0,
-  /* 32770 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'm', 0,
-  /* 32783 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'm', 0,
-  /* 32795 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'm', 0,
-  /* 32811 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'm', 0,
-  /* 32826 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'm', 0,
-  /* 32841 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 32856 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 32873 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'm', 0,
-  /* 32890 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32907 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32923 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32940 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'm', 0,
-  /* 32956 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'm', 0,
-  /* 32969 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'm', 0,
-  /* 32982 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 32995 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 33012 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 33029 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'm', 0,
-  /* 33044 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'm', 0,
-  /* 33055 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'm', 0,
-  /* 33067 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'm', 0,
-  /* 33083 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33097 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33114 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33131 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'm', 0,
-  /* 33144 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'm', 0,
-  /* 33157 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'm', 0,
-  /* 33173 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'm', 0,
-  /* 33186 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'm', 0,
-  /* 33200 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'm', 0,
-  /* 33214 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'm', 0,
-  /* 33229 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33243 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33257 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33271 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33286 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33301 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'm', 0,
-  /* 33315 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'm', 0,
-  /* 33330 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'm', 0,
-  /* 33345 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'm', 0,
-  /* 33362 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'm', 0,
-  /* 33379 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'm', 0,
-  /* 33392 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
-  /* 33400 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
-  /* 33408 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
-  /* 33416 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
-  /* 33430 */ 'S', 'U', 'B', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33440 */ 'A', 'D', 'D', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33450 */ 'M', 'U', 'L', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33460 */ 'C', 'O', 'M', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33470 */ 'C', 'O', 'M', 'P', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33481 */ 'S', 'U', 'B', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33492 */ 'D', 'I', 'V', 'R', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33503 */ 'D', 'I', 'V', '_', 'F', 'S', 'T', '0', 'r', 0,
-  /* 33513 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33530 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33544 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33559 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33576 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33590 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33605 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33619 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33634 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33648 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '3', '1', 'r', 0,
-  /* 33663 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33680 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33694 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33709 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33726 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33740 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33755 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33769 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33784 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33798 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '3', '1', 'r', 0,
-  /* 33813 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33830 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33844 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33859 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33876 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33890 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33905 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33919 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33934 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33948 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '1', '3', '2', 'r', 0,
-  /* 33963 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 33980 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 33994 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34009 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34026 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34040 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34055 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34069 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34084 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34098 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '1', '3', '2', 'r', 0,
-  /* 34113 */ 'L', 'E', 'A', '3', '2', 'r', 0,
-  /* 34120 */ 'D', 'E', 'C', '3', '2', 'r', 0,
-  /* 34127 */ 'I', 'N', 'C', '3', '2', 'r', 0,
-  /* 34134 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
-  /* 34143 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
-  /* 34153 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
-  /* 34163 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
-  /* 34173 */ 'N', 'E', 'G', '3', '2', 'r', 0,
-  /* 34180 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
-  /* 34188 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
-  /* 34196 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
-  /* 34204 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
-  /* 34213 */ 'J', 'M', 'P', '3', '2', 'r', 0,
-  /* 34220 */ 'P', 'O', 'P', '3', '2', 'r', 0,
-  /* 34227 */ 'S', 'T', 'R', '3', '2', 'r', 0,
-  /* 34234 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
-  /* 34242 */ 'N', 'O', 'T', '3', '2', 'r', 0,
-  /* 34249 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
-  /* 34257 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
-  /* 34265 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 34275 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 34285 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
-  /* 34295 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 34305 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 34315 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34332 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34346 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34361 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34378 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34392 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34407 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34421 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34436 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34450 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'r', '2', '1', '3', 'r', 0,
-  /* 34465 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34482 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34496 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34511 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34528 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34542 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34557 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34571 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34586 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34600 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'r', '2', '1', '3', 'r', 0,
-  /* 34615 */ 'L', 'E', 'A', '6', '4', 'r', 0,
-  /* 34622 */ 'D', 'E', 'C', '6', '4', 'r', 0,
-  /* 34629 */ 'I', 'N', 'C', '6', '4', 'r', 0,
-  /* 34636 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
-  /* 34646 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
-  /* 34656 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
-  /* 34666 */ 'N', 'E', 'G', '6', '4', 'r', 0,
-  /* 34673 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
-  /* 34681 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
-  /* 34689 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
-  /* 34697 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
-  /* 34706 */ 'J', 'M', 'P', '6', '4', 'r', 0,
-  /* 34713 */ 'P', 'O', 'P', '6', '4', 'r', 0,
-  /* 34720 */ 'S', 'T', 'R', '6', '4', 'r', 0,
-  /* 34727 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
-  /* 34735 */ 'N', 'O', 'T', '6', '4', 'r', 0,
-  /* 34742 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
-  /* 34750 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
-  /* 34758 */ 'L', 'E', 'A', '1', '6', 'r', 0,
-  /* 34765 */ 'D', 'E', 'C', '1', '6', 'r', 0,
-  /* 34772 */ 'I', 'N', 'C', '1', '6', 'r', 0,
-  /* 34779 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
-  /* 34789 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
-  /* 34799 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
-  /* 34809 */ 'N', 'E', 'G', '1', '6', 'r', 0,
-  /* 34816 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
-  /* 34824 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
-  /* 34832 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
-  /* 34840 */ 'J', 'M', 'P', '1', '6', 'r', 0,
-  /* 34847 */ 'P', 'O', 'P', '1', '6', 'r', 0,
-  /* 34854 */ 'S', 'T', 'R', '1', '6', 'r', 0,
-  /* 34861 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 34869 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 34877 */ 'N', 'O', 'T', '1', '6', 'r', 0,
-  /* 34884 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
-  /* 34892 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 34900 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 34908 */ 'F', 'N', 'S', 'T', 'S', 'W', '1', '6', 'r', 0,
-  /* 34918 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 34928 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 34938 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 34948 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 34958 */ 'D', 'E', 'C', '8', 'r', 0,
-  /* 34964 */ 'I', 'N', 'C', '8', 'r', 0,
-  /* 34970 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
-  /* 34979 */ 'N', 'E', 'G', '8', 'r', 0,
-  /* 34985 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
-  /* 34992 */ 'N', 'O', 'T', '8', 'r', 0,
-  /* 34998 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
-  /* 35005 */ 'S', 'E', 'T', 'A', 'r', 0,
-  /* 35011 */ 'S', 'E', 'T', 'B', 'r', 0,
-  /* 35017 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'D', 'r', 0,
-  /* 35027 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'r', 0,
-  /* 35036 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'D', 'r', 0,
-  /* 35047 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', 0,
-  /* 35057 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'D', 'r', 0,
-  /* 35070 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'r', 0,
-  /* 35079 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
-  /* 35086 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
-  /* 35093 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
-  /* 35100 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
-  /* 35107 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
-  /* 35114 */ 'S', 'E', 'T', 'E', 'r', 0,
-  /* 35120 */ 'U', 'C', 'O', 'M', '_', 'F', 'r', 0,
-  /* 35128 */ 'S', 'E', 'T', 'G', 'r', 0,
-  /* 35134 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'r', 0,
-  /* 35143 */ 'S', 'E', 'T', 'L', 'r', 0,
-  /* 35149 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
-  /* 35156 */ 'S', 'E', 'T', 'O', 'r', 0,
-  /* 35162 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'r', 0,
-  /* 35171 */ 'U', 'C', 'O', 'M', '_', 'F', 'I', 'P', 'r', 0,
-  /* 35181 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
-  /* 35190 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
-  /* 35197 */ 'U', 'C', 'O', 'M', '_', 'F', 'P', 'P', 'r', 0,
-  /* 35207 */ 'S', 'E', 'T', 'P', 'r', 0,
-  /* 35213 */ 'V', 'E', 'R', 'R', 'r', 0,
-  /* 35219 */ 'L', 'T', 'R', 'r', 0,
-  /* 35224 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
-  /* 35231 */ 'V', 'R', 'O', 'U', 'N', 'D', 'P', 'S', 'r', 0,
-  /* 35241 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', 0,
-  /* 35249 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
-  /* 35259 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', 0,
-  /* 35268 */ 'V', 'R', 'O', 'U', 'N', 'D', 'Y', 'P', 'S', 'r', 0,
-  /* 35279 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', 0,
-  /* 35289 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'S', 'S', 'r', 0,
-  /* 35302 */ 'V', 'R', 'C', 'P', 'S', 'S', 'r', 0,
-  /* 35310 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
-  /* 35320 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', 0,
-  /* 35329 */ 'S', 'E', 'T', 'S', 'r', 0,
-  /* 35335 */ 'V', 'E', 'R', 'W', 'r', 0,
-  /* 35341 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Y', 'r', 0,
-  /* 35351 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', 0,
-  /* 35360 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
-  /* 35371 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', 0,
-  /* 35381 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35398 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35412 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35427 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35444 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35458 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'D', 'Z', 'r', 0,
-  /* 35473 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'D', 'Z', 'r', 0,
-  /* 35484 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'D', 'Z', 'r', 0,
-  /* 35497 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'D', 'Z', 'r', 0,
-  /* 35508 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'D', 'Z', 'r', 0,
-  /* 35521 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'D', 'Z', 'r', 0,
-  /* 35535 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
-  /* 35546 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 0,
-  /* 35558 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
-  /* 35569 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 0,
-  /* 35581 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', 0,
-  /* 35591 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35608 */ 'V', 'F', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35622 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35637 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35654 */ 'V', 'F', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35668 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', '2', '1', '3', 'P', 'S', 'Z', 'r', 0,
-  /* 35683 */ 'V', 'R', 'C', 'P', '1', '4', 'P', 'S', 'Z', 'r', 0,
-  /* 35694 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'P', 'S', 'Z', 'r', 0,
-  /* 35707 */ 'V', 'R', 'C', 'P', '2', '8', 'P', 'S', 'Z', 'r', 0,
-  /* 35718 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'P', 'S', 'Z', 'r', 0,
-  /* 35731 */ 'V', 'R', 'N', 'D', 'S', 'C', 'A', 'L', 'E', 'P', 'S', 'Z', 'r', 0,
-  /* 35745 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
-  /* 35756 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 0,
-  /* 35768 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
-  /* 35779 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 0,
-  /* 35791 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', 0,
-  /* 35801 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
-  /* 35810 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
-  /* 35819 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
-  /* 35828 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
-  /* 35836 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
-  /* 35844 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
-  /* 35852 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
-  /* 35860 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
-  /* 35875 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
-  /* 35883 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
-  /* 35891 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
-  /* 35898 */ 'K', 'M', 'O', 'V', 'W', 'k', 'r', 0,
-  /* 35906 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'm', 'r', 0,
-  /* 35918 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
-  /* 35926 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
-  /* 35939 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
-  /* 35947 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
-  /* 35955 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
-  /* 35968 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
-  /* 35981 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
-  /* 35991 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
-  /* 35999 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 36012 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 36024 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
-  /* 36032 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
-  /* 36040 */ 'B', 'T', '3', '2', 'm', 'r', 0,
-  /* 36047 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'm', 'r', 0,
-  /* 36059 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
-  /* 36075 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'm', 'r', 0,
-  /* 36087 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
-  /* 36095 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
-  /* 36108 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
-  /* 36116 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
-  /* 36124 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
-  /* 36137 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
-  /* 36150 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'm', 'r', 0,
-  /* 36163 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
-  /* 36173 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
-  /* 36181 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'm', 'r', 0,
-  /* 36194 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 36207 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 36219 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
-  /* 36227 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
-  /* 36235 */ 'B', 'T', '6', '4', 'm', 'r', 0,
-  /* 36242 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'm', 'r', 0,
-  /* 36254 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
-  /* 36270 */ 'M', 'O', 'V', 'N', 'T', 'I', '_', '6', '4', 'm', 'r', 0,
-  /* 36282 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'm', 'r', 0,
-  /* 36295 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36310 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36322 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36335 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36350 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36362 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'm', 'r', 0,
-  /* 36375 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36387 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36400 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36412 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', 0,
-  /* 36425 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36440 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36452 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36465 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36480 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36492 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'm', 'r', 0,
-  /* 36505 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36517 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36530 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36542 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', 0,
-  /* 36555 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'm', 'r', 0,
-  /* 36571 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'm', 'r', 0,
-  /* 36587 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'm', 'r', 0,
-  /* 36603 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'm', 'r', 0,
-  /* 36619 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
-  /* 36627 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
-  /* 36640 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
-  /* 36648 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
-  /* 36656 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
-  /* 36669 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
-  /* 36682 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
-  /* 36692 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
-  /* 36701 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
-  /* 36709 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 36722 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 36734 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
-  /* 36742 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
-  /* 36750 */ 'B', 'T', '1', '6', 'm', 'r', 0,
-  /* 36757 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
-  /* 36773 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'm', 'r', 0,
-  /* 36788 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'm', 'r', 0,
-  /* 36803 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
-  /* 36810 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
-  /* 36822 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
-  /* 36829 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
-  /* 36841 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
-  /* 36853 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
-  /* 36860 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
-  /* 36872 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
-  /* 36883 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
-  /* 36898 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'm', 'r', 0,
-  /* 36908 */ 'V', 'P', 'S', 'H', 'A', 'B', 'm', 'r', 0,
-  /* 36917 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'm', 'r', 0,
-  /* 36929 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'm', 'r', 0,
-  /* 36940 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'm', 'r', 0,
-  /* 36950 */ 'V', 'P', 'S', 'H', 'L', 'B', 'm', 'r', 0,
-  /* 36959 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'm', 'r', 0,
-  /* 36971 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'm', 'r', 0,
-  /* 36982 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'm', 'r', 0,
-  /* 36992 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'm', 'r', 0,
-  /* 37002 */ 'V', 'P', 'R', 'O', 'T', 'B', 'm', 'r', 0,
-  /* 37011 */ 'V', 'P', 'S', 'H', 'A', 'D', 'm', 'r', 0,
-  /* 37020 */ 'V', 'P', 'S', 'H', 'L', 'D', 'm', 'r', 0,
-  /* 37029 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'm', 'r', 0,
-  /* 37042 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'm', 'r', 0,
-  /* 37052 */ 'V', 'M', 'O', 'V', 'H', 'P', 'D', 'm', 'r', 0,
-  /* 37062 */ 'V', 'M', 'O', 'V', 'L', 'P', 'D', 'm', 'r', 0,
-  /* 37072 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'm', 'r', 0,
-  /* 37083 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'm', 'r', 0,
-  /* 37093 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'm', 'r', 0,
-  /* 37106 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'm', 'r', 0,
-  /* 37118 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'm', 'r', 0,
-  /* 37129 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'm', 'r', 0,
-  /* 37139 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'm', 'r', 0,
-  /* 37149 */ 'V', 'M', 'O', 'V', 'S', 'D', 'm', 'r', 0,
-  /* 37158 */ 'V', 'P', 'R', 'O', 'T', 'D', 'm', 'r', 0,
-  /* 37167 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'm', 'r', 0,
-  /* 37180 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'm', 'r', 0,
-  /* 37192 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'm', 'r', 0,
-  /* 37205 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'm', 'r', 0,
-  /* 37217 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'm', 'r', 0,
-  /* 37230 */ 'M', 'O', 'V', 'N', 'T', 'I', 'm', 'r', 0,
-  /* 37239 */ 'V', 'P', 'P', 'E', 'R', 'M', 'm', 'r', 0,
-  /* 37248 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'm', 'r', 0,
-  /* 37257 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'm', 'r', 0,
-  /* 37268 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'm', 'r', 0,
-  /* 37277 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'm', 'r', 0,
-  /* 37287 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'N', 'T', 'Q', 'm', 'r', 0,
-  /* 37300 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'm', 'r', 0,
-  /* 37309 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'm', 'r', 0,
-  /* 37322 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'm', 'r', 0,
-  /* 37335 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'm', 'r', 0,
-  /* 37345 */ 'V', 'M', 'O', 'V', 'H', 'P', 'S', 'm', 'r', 0,
-  /* 37355 */ 'V', 'M', 'O', 'V', 'L', 'P', 'S', 'm', 'r', 0,
-  /* 37365 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'm', 'r', 0,
-  /* 37378 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'm', 'r', 0,
-  /* 37389 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'm', 'r', 0,
-  /* 37399 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'm', 'r', 0,
-  /* 37412 */ 'V', 'M', 'O', 'V', 'S', 'S', 'm', 'r', 0,
-  /* 37421 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'm', 'r', 0,
-  /* 37431 */ 'V', 'P', 'C', 'M', 'O', 'V', 'm', 'r', 0,
-  /* 37440 */ 'V', 'P', 'S', 'H', 'A', 'W', 'm', 'r', 0,
-  /* 37449 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'm', 'r', 0,
-  /* 37461 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'm', 'r', 0,
-  /* 37472 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'm', 'r', 0,
-  /* 37482 */ 'V', 'P', 'S', 'H', 'L', 'W', 'm', 'r', 0,
-  /* 37491 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'm', 'r', 0,
-  /* 37503 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'm', 'r', 0,
-  /* 37514 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'm', 'r', 0,
-  /* 37524 */ 'V', 'P', 'E', 'X', 'T', 'R', 'W', 'm', 'r', 0,
-  /* 37534 */ 'V', 'P', 'R', 'O', 'T', 'W', 'm', 'r', 0,
-  /* 37543 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'm', 'r', 0,
-  /* 37554 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37565 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37577 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37588 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'D', 'Y', 'm', 'r', 0,
-  /* 37602 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'D', 'Y', 'm', 'r', 0,
-  /* 37616 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'm', 'r', 0,
-  /* 37629 */ 'V', 'M', 'O', 'V', 'N', 'T', 'D', 'Q', 'Y', 'm', 'r', 0,
-  /* 37641 */ 'V', 'P', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'Q', 'Y', 'm', 'r', 0,
-  /* 37655 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37666 */ 'V', 'M', 'O', 'V', 'N', 'T', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37678 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37689 */ 'V', 'M', 'A', 'S', 'K', 'M', 'O', 'V', 'P', 'S', 'Y', 'm', 'r', 0,
-  /* 37703 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'm', 'r', 0,
-  /* 37714 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
-  /* 37728 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'm', 'r', 0,
-  /* 37743 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'D', 'Z', 'm', 'r', 0,
-  /* 37758 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37769 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37784 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37799 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'm', 'r', 0,
-  /* 37810 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'D', 'Z', 'm', 'r', 0,
-  /* 37825 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'm', 'r', 0,
-  /* 37835 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'm', 'r', 0,
-  /* 37848 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'm', 'r', 0,
-  /* 37862 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'm', 'r', 0,
-  /* 37875 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'Q', 'Z', 'm', 'r', 0,
-  /* 37890 */ 'V', 'P', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'Q', 'Z', 'm', 'r', 0,
-  /* 37905 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37916 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'D', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37931 */ 'V', 'S', 'C', 'A', 'T', 'T', 'E', 'R', 'Q', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37946 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'm', 'r', 0,
-  /* 37957 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'm', 'r', 0,
-  /* 37967 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
-  /* 37977 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
-  /* 37986 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
-  /* 37996 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
-  /* 38005 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
-  /* 38015 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
-  /* 38024 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'm', 'r', 0,
-  /* 38038 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '1', 'r', 'r', 0,
-  /* 38049 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '1', 'r', 'r', 0,
-  /* 38062 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '1', 'r', 'r', 0,
-  /* 38073 */ 'P', 'F', 'R', 'S', 'Q', 'I', 'T', '1', 'r', 'r', 0,
-  /* 38084 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '3', '2', 'r', 'r', 0,
-  /* 38096 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
-  /* 38106 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
-  /* 38114 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
-  /* 38122 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
-  /* 38132 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
-  /* 38140 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 38150 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 38160 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
-  /* 38171 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
-  /* 38179 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
-  /* 38190 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
-  /* 38199 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
-  /* 38207 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
-  /* 38218 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
-  /* 38229 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
-  /* 38240 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
-  /* 38251 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
-  /* 38262 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
-  /* 38274 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
-  /* 38284 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
-  /* 38292 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
-  /* 38304 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
-  /* 38314 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
-  /* 38323 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
-  /* 38332 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
-  /* 38341 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 38352 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 38363 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 38373 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 38385 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 38397 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
-  /* 38405 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
-  /* 38414 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
-  /* 38424 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
-  /* 38433 */ 'I', 'N', '3', '2', 'r', 'r', 0,
-  /* 38440 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
-  /* 38451 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
-  /* 38461 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
-  /* 38470 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
-  /* 38478 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
-  /* 38489 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
-  /* 38499 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
-  /* 38507 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
-  /* 38515 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 38523 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 38532 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 38540 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 38550 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
-  /* 38559 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
-  /* 38570 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
-  /* 38578 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
-  /* 38588 */ 'B', 'T', '3', '2', 'r', 'r', 0,
-  /* 38595 */ 'P', 'O', 'P', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 38606 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 38616 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 38626 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
-  /* 38635 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
-  /* 38643 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
-  /* 38652 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'r', 0,
-  /* 38664 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
-  /* 38672 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
-  /* 38681 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 38690 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 38699 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
-  /* 38708 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 38717 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 38726 */ 'S', 'H', 'A', '1', 'M', 'S', 'G', '2', 'r', 'r', 0,
-  /* 38737 */ 'S', 'H', 'A', '2', '5', '6', 'M', 'S', 'G', '2', 'r', 'r', 0,
-  /* 38750 */ 'S', 'H', 'A', '2', '5', '6', 'R', 'N', 'D', 'S', '2', 'r', 'r', 0,
-  /* 38764 */ 'P', 'F', 'R', 'C', 'P', 'I', 'T', '2', 'r', 'r', 0,
-  /* 38775 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', '6', '4', 'r', 'r', 0,
-  /* 38787 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
-  /* 38797 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
-  /* 38805 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
-  /* 38813 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
-  /* 38823 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
-  /* 38831 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 38841 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 38851 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
-  /* 38862 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
-  /* 38870 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
-  /* 38881 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
-  /* 38890 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
-  /* 38898 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
-  /* 38916 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'r', 'r', 0,
-  /* 38933 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'r', 'r', 0,
-  /* 38946 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
-  /* 38957 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
-  /* 38968 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
-  /* 38979 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
-  /* 38990 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
-  /* 39001 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
-  /* 39013 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
-  /* 39023 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
-  /* 39031 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
-  /* 39043 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
-  /* 39053 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
-  /* 39062 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
-  /* 39071 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39090 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39108 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39122 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39141 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39159 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39173 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 39182 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 39193 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 39204 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 39214 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 39226 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 39238 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
-  /* 39246 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
-  /* 39255 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
-  /* 39265 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
-  /* 39274 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
-  /* 39285 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
-  /* 39295 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
-  /* 39304 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
-  /* 39312 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
-  /* 39323 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
-  /* 39333 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '6', '4', 'r', 'r', 0,
-  /* 39346 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
-  /* 39354 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'F', 'R', '6', '4', 'r', 'r', 0,
-  /* 39370 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
-  /* 39378 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 39386 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 39395 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 39403 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 39413 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
-  /* 39422 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
-  /* 39433 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
-  /* 39451 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'r', 'r', 0,
-  /* 39468 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
-  /* 39476 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
-  /* 39486 */ 'B', 'T', '6', '4', 'r', 'r', 0,
-  /* 39493 */ 'P', 'O', 'P', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 39504 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 39514 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 39524 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
-  /* 39533 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
-  /* 39542 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'r', 0,
-  /* 39554 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
-  /* 39562 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
-  /* 39571 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 39580 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 39589 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
-  /* 39598 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 39607 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 39616 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'f', 'r', 'o', 'm', '6', '4', 'r', 'r', 0,
-  /* 39635 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 39652 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 39665 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'r', 'r', 0,
-  /* 39679 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39694 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39706 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39719 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39734 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39746 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'D', '4', 'r', 'r', 0,
-  /* 39759 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39771 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39784 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39796 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', 0,
-  /* 39809 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39824 */ 'V', 'F', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39836 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39849 */ 'V', 'F', 'M', 'S', 'U', 'B', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39864 */ 'V', 'F', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39876 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'P', 'S', '4', 'r', 'r', 0,
-  /* 39889 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39901 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39914 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39926 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', 0,
-  /* 39939 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 39955 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 39970 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 39986 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '3', '2', 'x', '4', 'r', 'r', 0,
-  /* 40001 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40017 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40032 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40048 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '6', '4', 'x', '4', 'r', 'r', 0,
-  /* 40063 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
-  /* 40073 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
-  /* 40081 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
-  /* 40089 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
-  /* 40099 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
-  /* 40107 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
-  /* 40115 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
-  /* 40124 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
-  /* 40132 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
-  /* 40143 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
-  /* 40154 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
-  /* 40165 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
-  /* 40176 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
-  /* 40187 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
-  /* 40197 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
-  /* 40205 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
-  /* 40217 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
-  /* 40227 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
-  /* 40236 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
-  /* 40244 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
-  /* 40253 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
-  /* 40263 */ 'I', 'N', '1', '6', 'r', 'r', 0,
-  /* 40270 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
-  /* 40281 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
-  /* 40291 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
-  /* 40299 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
-  /* 40310 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
-  /* 40320 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
-  /* 40328 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
-  /* 40336 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
-  /* 40344 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
-  /* 40352 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
-  /* 40363 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
-  /* 40371 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
-  /* 40381 */ 'B', 'T', '1', '6', 'r', 'r', 0,
-  /* 40388 */ 'P', 'O', 'P', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 40399 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 40409 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 40419 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
-  /* 40428 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
-  /* 40436 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
-  /* 40444 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '2', '5', '6', 'r', 'r', 0,
-  /* 40458 */ 'V', 'P', 'E', 'R', 'M', '2', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 40471 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 40486 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'F', '1', '2', '8', 'r', 'r', 0,
-  /* 40500 */ 'V', 'P', 'E', 'R', 'M', '2', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 40513 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 40528 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'I', '1', '2', '8', 'r', 'r', 0,
-  /* 40542 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
-  /* 40558 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'M', '1', '2', '8', 'r', 'r', 0,
-  /* 40574 */ 'V', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '1', '2', '8', 'r', 'r', 0,
-  /* 40588 */ 'V', 'A', 'E', 'S', 'K', 'E', 'Y', 'G', 'E', 'N', 'A', 'S', 'S', 'I', 'S', 'T', '1', '2', '8', 'r', 'r', 0,
-  /* 40610 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
-  /* 40617 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
-  /* 40624 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
-  /* 40631 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
-  /* 40639 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
-  /* 40646 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
-  /* 40657 */ 'I', 'N', '8', 'r', 'r', 0,
-  /* 40663 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
-  /* 40670 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
-  /* 40677 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
-  /* 40685 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
-  /* 40692 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
-  /* 40699 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'r', 'r', 0,
-  /* 40709 */ 'V', 'P', 'S', 'H', 'A', 'B', 'r', 'r', 0,
-  /* 40718 */ 'V', 'P', 'S', 'U', 'B', 'B', 'r', 'r', 0,
-  /* 40727 */ 'V', 'P', 'A', 'D', 'D', 'B', 'r', 'r', 0,
-  /* 40736 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'r', 'r', 0,
-  /* 40748 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'r', 'r', 0,
-  /* 40759 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'r', 'r', 0,
-  /* 40769 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'r', 'r', 0,
-  /* 40779 */ 'V', 'P', 'A', 'V', 'G', 'B', 'r', 'r', 0,
-  /* 40788 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
-  /* 40800 */ 'M', 'M', 'X', '_', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'r', 'r', 0,
-  /* 40815 */ 'V', 'P', 'S', 'H', 'L', 'B', 'r', 'r', 0,
-  /* 40824 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'r', 'r', 0,
-  /* 40834 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'r', 'r', 0,
-  /* 40845 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'r', 'r', 0,
-  /* 40857 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'r', 'r', 0,
-  /* 40868 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'r', 'r', 0,
-  /* 40878 */ 'V', 'P', 'I', 'N', 'S', 'R', 'B', 'r', 'r', 0,
-  /* 40888 */ 'V', 'P', 'E', 'X', 'T', 'R', 'B', 'r', 'r', 0,
-  /* 40898 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'r', 'r', 0,
-  /* 40908 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'r', 'r', 0,
-  /* 40918 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'r', 'r', 0,
-  /* 40928 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 40939 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 40950 */ 'P', 'A', 'V', 'G', 'U', 'S', 'B', 'r', 'r', 0,
-  /* 40960 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'r', 'r', 0,
-  /* 40970 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'r', 'r', 0,
-  /* 40981 */ 'V', 'P', 'R', 'O', 'T', 'B', 'r', 'r', 0,
-  /* 40990 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'r', 'r', 0,
-  /* 41005 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'r', 'r', 0,
-  /* 41015 */ 'P', 'F', 'S', 'U', 'B', 'r', 'r', 0,
-  /* 41023 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'r', 'r', 0,
-  /* 41033 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'r', 'r', 0,
-  /* 41045 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'r', 'r', 0,
-  /* 41057 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'r', 'r', 0,
-  /* 41069 */ 'P', 'F', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 41077 */ 'P', 'F', 'N', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 41086 */ 'P', 'F', 'P', 'N', 'A', 'C', 'C', 'r', 'r', 0,
-  /* 41096 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'r', 'r', 0,
-  /* 41106 */ 'V', 'A', 'E', 'S', 'I', 'M', 'C', 'r', 'r', 0,
-  /* 41116 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'r', 'r', 0,
-  /* 41126 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'D', 'r', 'r', 0,
-  /* 41137 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'D', 'r', 'r', 0,
-  /* 41148 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'W', '2', 'D', 'r', 'r', 0,
-  /* 41166 */ 'V', 'P', 'S', 'H', 'A', 'D', 'r', 'r', 0,
-  /* 41175 */ 'V', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
-  /* 41184 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'D', 'r', 'r', 0,
-  /* 41196 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'D', 'r', 'r', 0,
-  /* 41207 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 41219 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 41229 */ 'V', 'P', 'S', 'U', 'B', 'D', 'r', 'r', 0,
-  /* 41238 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'r', 'r', 0,
-  /* 41250 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'r', 'r', 0,
-  /* 41262 */ 'P', 'F', 'A', 'D', 'D', 'r', 'r', 0,
-  /* 41270 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'r', 'r', 0,
-  /* 41280 */ 'V', 'P', 'A', 'D', 'D', 'D', 'r', 'r', 0,
-  /* 41289 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'D', 'r', 'r', 0,
-  /* 41300 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'D', 'r', 'r', 0,
-  /* 41312 */ 'P', 'I', '2', 'F', 'D', 'r', 'r', 0,
-  /* 41320 */ 'P', 'F', '2', 'I', 'D', 'r', 'r', 0,
-  /* 41328 */ 'V', 'P', 'S', 'H', 'L', 'D', 'r', 'r', 0,
-  /* 41337 */ 'V', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 41346 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 41358 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'r', 'r', 0,
-  /* 41368 */ 'V', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
-  /* 41377 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'D', 'r', 'r', 0,
-  /* 41389 */ 'V', 'P', 'A', 'N', 'D', 'r', 'r', 0,
-  /* 41397 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'r', 'r', 0,
-  /* 41407 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41419 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41432 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41444 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41456 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'D', 'r', 'r', 0,
-  /* 41468 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'r', 'r', 0,
-  /* 41478 */ 'P', 'S', 'W', 'A', 'P', 'D', 'r', 'r', 0,
-  /* 41487 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 41499 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 41509 */ 'V', 'S', 'U', 'B', 'P', 'D', 'r', 'r', 0,
-  /* 41518 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'r', 'r', 0,
-  /* 41528 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'r', 'r', 0,
-  /* 41538 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41548 */ 'V', 'A', 'D', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41557 */ 'V', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41566 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'D', 'r', 'r', 0,
-  /* 41577 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'r', 'r', 0,
-  /* 41589 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'r', 'r', 0,
-  /* 41601 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 41613 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 41625 */ 'V', 'M', 'U', 'L', 'P', 'D', 'r', 'r', 0,
-  /* 41634 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 41644 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 41656 */ 'V', 'M', 'I', 'N', 'P', 'D', 'r', 'r', 0,
-  /* 41665 */ 'V', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41673 */ 'V', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41682 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41693 */ 'V', 'F', 's', 'O', 'R', 'P', 'D', 'r', 'r', 0,
-  /* 41703 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'r', 'r', 0,
-  /* 41713 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'r', 'r', 0,
-  /* 41723 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'r', 'r', 0,
-  /* 41735 */ 'V', 'D', 'I', 'V', 'P', 'D', 'r', 'r', 0,
-  /* 41744 */ 'V', 'M', 'A', 'X', 'P', 'D', 'r', 'r', 0,
-  /* 41753 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'D', 'r', 'r', 0,
-  /* 41763 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'r', 'r', 0,
-  /* 41774 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'r', 'r', 0,
-  /* 41786 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'r', 'r', 0,
-  /* 41797 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'r', 'r', 0,
-  /* 41807 */ 'V', 'P', 'I', 'N', 'S', 'R', 'D', 'r', 'r', 0,
-  /* 41817 */ 'V', 'P', 'E', 'X', 'T', 'R', 'D', 'r', 'r', 0,
-  /* 41827 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41843 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41858 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41874 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'r', 'r', 0,
-  /* 41889 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'D', 'r', 'r', 0,
-  /* 41900 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'D', 'r', 'r', 0,
-  /* 41913 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'D', 'r', 'r', 0,
-  /* 41924 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'D', 'r', 'r', 0,
-  /* 41937 */ 'V', 'P', 'A', 'B', 'S', 'D', 'r', 'r', 0,
-  /* 41946 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', 0,
-  /* 41955 */ 'V', 'M', 'I', 'N', 'C', 'S', 'D', 'r', 'r', 0,
-  /* 41965 */ 'V', 'M', 'A', 'X', 'C', 'S', 'D', 'r', 'r', 0,
-  /* 41975 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', 0,
-  /* 41984 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 41999 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 42013 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 42027 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'D', 'r', 'r', 0,
-  /* 42040 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', 0,
-  /* 42049 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
-  /* 42059 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', 0,
-  /* 42068 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
-  /* 42081 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'D', 'r', 'r', 0,
-  /* 42093 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', 0,
-  /* 42102 */ 'V', 'M', 'O', 'V', 'S', 'D', 'r', 'r', 0,
-  /* 42111 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
-  /* 42121 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', 0,
-  /* 42130 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'D', 'r', 'r', 0,
-  /* 42140 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'r', 'r', 0,
-  /* 42153 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 0,
-  /* 42167 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'r', 'r', 0,
-  /* 42178 */ 'V', 'P', 'R', 'O', 'T', 'D', 'r', 'r', 0,
-  /* 42187 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'r', 0,
-  /* 42202 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'r', 'r', 0,
-  /* 42212 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'r', 'r', 0,
-  /* 42222 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'r', 'r', 0,
-  /* 42232 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'r', 'r', 0,
-  /* 42242 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'r', 'r', 0,
-  /* 42252 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'D', 'r', 'r', 0,
-  /* 42263 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
-  /* 42274 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'r', 'r', 0,
-  /* 42285 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'r', 'r', 0,
-  /* 42298 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'r', 'r', 0,
-  /* 42311 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42322 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42334 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42346 */ 'V', 'P', 'M', 'A', 'D', 'C', 'S', 'S', 'W', 'D', 'r', 'r', 0,
-  /* 42359 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'D', 'r', 'r', 0,
-  /* 42371 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'r', 'r', 0,
-  /* 42383 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'r', 'r', 0,
-  /* 42395 */ 'P', 'F', 'C', 'M', 'P', 'G', 'E', 'r', 'r', 0,
-  /* 42405 */ 'S', 'H', 'A', '1', 'N', 'E', 'X', 'T', 'E', 'r', 'r', 0,
-  /* 42417 */ 'L', 'D', '_', 'F', 'r', 'r', 0,
-  /* 42424 */ 'S', 'T', '_', 'F', 'r', 'r', 0,
-  /* 42431 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'r', 'r', 0,
-  /* 42443 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
-  /* 42455 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'H', 'r', 'r', 0,
-  /* 42468 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'r', 'r', 0,
-  /* 42481 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'r', 'r', 0,
-  /* 42493 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'r', 'r', 0,
-  /* 42506 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', '2', 'Q', 'I', 'r', 'r', 0,
-  /* 42519 */ 'V', 'M', 'O', 'V', 'Z', 'Q', 'I', '2', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 42533 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 42550 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'r', 'r', 0,
-  /* 42564 */ 'V', 'P', 'C', 'M', 'P', 'E', 'S', 'T', 'R', 'I', 'r', 'r', 0,
-  /* 42577 */ 'V', 'P', 'C', 'M', 'P', 'I', 'S', 'T', 'R', 'I', 'r', 'r', 0,
-  /* 42590 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42607 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42623 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42635 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42652 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42668 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'r', 'r', 0,
-  /* 42680 */ 'V', 'P', 'M', 'A', 'C', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
-  /* 42692 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'D', 'Q', 'L', 'r', 'r', 0,
-  /* 42705 */ 'P', 'F', 'M', 'U', 'L', 'r', 'r', 0,
-  /* 42713 */ 'V', 'P', 'P', 'E', 'R', 'M', 'r', 'r', 0,
-  /* 42722 */ 'V', 'P', 'A', 'N', 'D', 'N', 'r', 'r', 0,
-  /* 42731 */ 'P', 'F', 'M', 'I', 'N', 'r', 'r', 0,
-  /* 42739 */ 'P', 'F', 'R', 'C', 'P', 'r', 'r', 0,
-  /* 42747 */ 'S', 'T', '_', 'F', 'P', 'r', 'r', 0,
-  /* 42755 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 42766 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 42778 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'r', 'r', 0,
-  /* 42790 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'F', 'R', '6', '4', '2', 'Q', 'r', 'r', 0,
-  /* 42806 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'M', 'B', '2', 'Q', 'r', 'r', 0,
-  /* 42824 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'Q', 'r', 'r', 0,
-  /* 42835 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', 'Q', '2', 'Q', 'r', 'r', 0,
-  /* 42849 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'Q', 'r', 'r', 0,
-  /* 42860 */ 'V', 'P', 'S', 'H', 'A', 'Q', 'r', 'r', 0,
-  /* 42869 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'Q', 'r', 'r', 0,
-  /* 42880 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'Q', 'r', 'r', 0,
-  /* 42892 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'r', 'r', 0,
-  /* 42901 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'r', 'r', 0,
-  /* 42913 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'r', 'r', 0,
-  /* 42925 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42938 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42950 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'Q', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42964 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42977 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'r', 'r', 0,
-  /* 42989 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Q', 'r', 'r', 0,
-  /* 43000 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'r', 'r', 0,
-  /* 43009 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Q', 'r', 'r', 0,
-  /* 43020 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'r', 'r', 0,
-  /* 43033 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'r', 'r', 0,
-  /* 43046 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'r', 'r', 0,
-  /* 43056 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 43070 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 43084 */ 'V', 'P', 'C', 'L', 'M', 'U', 'L', 'Q', 'D', 'Q', 'r', 'r', 0,
-  /* 43097 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'D', 'Q', 'r', 'r', 0,
-  /* 43109 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'r', 'r', 0,
-  /* 43120 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'r', 'r', 0,
-  /* 43132 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'r', 'r', 0,
-  /* 43144 */ 'P', 'F', 'C', 'M', 'P', 'E', 'Q', 'r', 'r', 0,
-  /* 43154 */ 'V', 'P', 'S', 'H', 'L', 'Q', 'r', 'r', 0,
-  /* 43163 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
-  /* 43172 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'Q', 'r', 'r', 0,
-  /* 43184 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
-  /* 43193 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'Q', 'r', 'r', 0,
-  /* 43205 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'r', 'r', 0,
-  /* 43216 */ 'V', 'P', 'I', 'N', 'S', 'R', 'Q', 'r', 'r', 0,
-  /* 43226 */ 'V', 'P', 'E', 'X', 'T', 'R', 'Q', 'r', 'r', 0,
-  /* 43236 */ 'V', 'P', 'A', 'B', 'S', 'Q', 'r', 'r', 0,
-  /* 43245 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 0,
-  /* 43259 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'r', 'r', 0,
-  /* 43270 */ 'V', 'P', 'R', 'O', 'T', 'Q', 'r', 'r', 0,
-  /* 43279 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'r', 0,
-  /* 43294 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'r', 'r', 0,
-  /* 43304 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'r', 'r', 0,
-  /* 43314 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Q', 'r', 'r', 0,
-  /* 43325 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'W', 'Q', 'r', 'r', 0,
-  /* 43337 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'r', 'r', 0,
-  /* 43349 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'r', 'r', 0,
-  /* 43361 */ 'P', 'F', 'S', 'U', 'B', 'R', 'r', 'r', 0,
-  /* 43370 */ 'V', 'P', 'O', 'R', 'r', 'r', 0,
-  /* 43377 */ 'V', 'P', 'X', 'O', 'R', 'r', 'r', 0,
-  /* 43385 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43397 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43409 */ 'V', 'P', 'E', 'R', 'M', 'I', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43421 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43434 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43446 */ 'V', 'P', 'E', 'R', 'M', 'T', '2', 'P', 'S', 'r', 'r', 0,
-  /* 43458 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'r', 'r', 0,
-  /* 43468 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 43480 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 43490 */ 'V', 'S', 'U', 'B', 'P', 'S', 'r', 'r', 0,
-  /* 43499 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'r', 'r', 0,
-  /* 43509 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'r', 'r', 0,
-  /* 43519 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43529 */ 'V', 'A', 'D', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43538 */ 'V', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43547 */ 'V', 'F', 's', 'A', 'N', 'D', 'P', 'S', 'r', 'r', 0,
-  /* 43558 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'r', 'r', 0,
-  /* 43570 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'r', 'r', 0,
-  /* 43581 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'r', 'r', 0,
-  /* 43593 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43604 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43616 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43628 */ 'V', 'M', 'U', 'L', 'P', 'S', 'r', 'r', 0,
-  /* 43637 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 43647 */ 'V', 'F', 's', 'A', 'N', 'D', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 43659 */ 'V', 'M', 'I', 'N', 'P', 'S', 'r', 'r', 0,
-  /* 43668 */ 'V', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43676 */ 'V', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43685 */ 'V', 'F', 's', 'X', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43696 */ 'V', 'F', 's', 'O', 'R', 'P', 'S', 'r', 'r', 0,
-  /* 43706 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 43719 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 43731 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'r', 'r', 0,
-  /* 43741 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'r', 'r', 0,
-  /* 43751 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'r', 'r', 0,
-  /* 43763 */ 'V', 'D', 'I', 'V', 'P', 'S', 'r', 'r', 0,
-  /* 43772 */ 'V', 'M', 'A', 'X', 'P', 'S', 'r', 'r', 0,
-  /* 43781 */ 'V', 'F', 'R', 'C', 'Z', 'P', 'S', 'r', 'r', 0,
-  /* 43791 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43807 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43822 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43834 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43850 */ 'I', 'n', 't', '_', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'r', 'r', 0,
-  /* 43865 */ 'V', 'R', 'C', 'P', '1', '4', 'S', 'S', 'r', 'r', 0,
-  /* 43876 */ 'V', 'R', 'S', 'Q', 'R', 'T', '1', '4', 'S', 'S', 'r', 'r', 0,
-  /* 43889 */ 'V', 'R', 'C', 'P', '2', '8', 'S', 'S', 'r', 'r', 0,
-  /* 43900 */ 'V', 'R', 'S', 'Q', 'R', 'T', '2', '8', 'S', 'S', 'r', 'r', 0,
-  /* 43913 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', 0,
-  /* 43922 */ 'V', 'M', 'I', 'N', 'C', 'S', 'S', 'r', 'r', 0,
-  /* 43932 */ 'V', 'M', 'A', 'X', 'C', 'S', 'S', 'r', 'r', 0,
-  /* 43942 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', 0,
-  /* 43951 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 43966 */ 'I', 'n', 't', '_', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 43980 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 43994 */ 'I', 'n', 't', '_', 'C', 'O', 'M', 'I', 'S', 'S', 'r', 'r', 0,
-  /* 44007 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', 0,
-  /* 44016 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', 0,
-  /* 44025 */ 'I', 'n', 't', '_', 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
-  /* 44038 */ 'I', 'n', 't', '_', 'C', 'M', 'P', 'S', 'S', 'r', 'r', 0,
-  /* 44050 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'r', 'r', 0,
-  /* 44065 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', 0,
-  /* 44074 */ 'V', 'M', 'O', 'V', 'S', 'S', 'r', 'r', 0,
-  /* 44083 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', 0,
-  /* 44092 */ 'V', 'F', 'R', 'C', 'Z', 'S', 'S', 'r', 'r', 0,
-  /* 44102 */ 'P', 'F', 'C', 'M', 'P', 'G', 'T', 'r', 'r', 0,
-  /* 44112 */ 'M', 'W', 'A', 'I', 'T', 'r', 'r', 0,
-  /* 44120 */ 'P', 'F', 'R', 'S', 'Q', 'R', 'T', 'r', 'r', 0,
-  /* 44130 */ 'V', 'A', 'E', 'S', 'D', 'E', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
-  /* 44144 */ 'V', 'A', 'E', 'S', 'E', 'N', 'C', 'L', 'A', 'S', 'T', 'r', 'r', 0,
-  /* 44158 */ 'V', 'P', 'T', 'E', 'S', 'T', 'r', 'r', 0,
-  /* 44167 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'r', 'r', 0,
-  /* 44177 */ 'V', 'P', 'C', 'M', 'O', 'V', 'r', 'r', 0,
-  /* 44186 */ 'V', 'P', 'S', 'H', 'A', 'W', 'r', 'r', 0,
-  /* 44195 */ 'V', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
-  /* 44204 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'A', 'W', 'r', 'r', 0,
-  /* 44216 */ 'V', 'P', 'H', 'S', 'U', 'B', 'B', 'W', 'r', 'r', 0,
-  /* 44227 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'r', 'r', 0,
-  /* 44237 */ 'V', 'P', 'H', 'A', 'D', 'D', 'B', 'W', 'r', 'r', 0,
-  /* 44248 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'r', 'r', 0,
-  /* 44261 */ 'K', 'U', 'N', 'P', 'C', 'K', 'B', 'W', 'r', 'r', 0,
-  /* 44272 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'r', 'r', 0,
-  /* 44285 */ 'V', 'P', 'H', 'A', 'D', 'D', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 44297 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 44307 */ 'V', 'P', 'S', 'U', 'B', 'W', 'r', 'r', 0,
-  /* 44316 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'r', 'r', 0,
-  /* 44328 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'r', 'r', 0,
-  /* 44340 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'r', 'r', 0,
-  /* 44350 */ 'V', 'P', 'A', 'D', 'D', 'W', 'r', 'r', 0,
-  /* 44359 */ 'K', 'A', 'N', 'D', 'W', 'r', 'r', 0,
-  /* 44367 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44379 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44391 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44403 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'r', 'r', 0,
-  /* 44414 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'r', 'r', 0,
-  /* 44424 */ 'P', 'I', '2', 'F', 'W', 'r', 'r', 0,
-  /* 44432 */ 'V', 'P', 'A', 'V', 'G', 'W', 'r', 'r', 0,
-  /* 44441 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'r', 'r', 0,
-  /* 44451 */ 'P', 'F', '2', 'I', 'W', 'r', 'r', 0,
-  /* 44459 */ 'V', 'P', 'S', 'H', 'L', 'W', 'r', 'r', 0,
-  /* 44468 */ 'V', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 44477 */ 'M', 'M', 'X', '_', 'P', 'S', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 44489 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'r', 'r', 0,
-  /* 44499 */ 'V', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
-  /* 44508 */ 'M', 'M', 'X', '_', 'P', 'S', 'R', 'L', 'W', 'r', 'r', 0,
-  /* 44520 */ 'K', 'A', 'N', 'D', 'N', 'W', 'r', 'r', 0,
-  /* 44529 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'r', 'r', 0,
-  /* 44539 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'r', 'r', 0,
-  /* 44550 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'r', 'r', 0,
-  /* 44562 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'r', 'r', 0,
-  /* 44573 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'r', 'r', 0,
-  /* 44583 */ 'P', 'M', 'U', 'L', 'H', 'R', 'W', 'r', 'r', 0,
-  /* 44593 */ 'K', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 44600 */ 'K', 'X', 'N', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 44609 */ 'K', 'X', 'O', 'R', 'W', 'r', 'r', 0,
-  /* 44617 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'r', 'r', 0,
-  /* 44627 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'r', 'r', 0,
-  /* 44637 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'r', 'r', 0,
-  /* 44647 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'r', 'r', 0,
-  /* 44658 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'r', 'r', 0,
-  /* 44669 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'r', 'r', 0,
-  /* 44679 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'r', 'r', 0,
-  /* 44690 */ 'K', 'N', 'O', 'T', 'W', 'r', 'r', 0,
-  /* 44698 */ 'V', 'P', 'R', 'O', 'T', 'W', 'r', 'r', 0,
-  /* 44707 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'r', 'r', 0,
-  /* 44722 */ 'K', 'O', 'R', 'T', 'E', 'S', 'T', 'W', 'r', 'r', 0,
-  /* 44733 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'r', 'r', 0,
-  /* 44744 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'r', 'r', 0,
-  /* 44754 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'r', 'r', 0,
-  /* 44764 */ 'V', 'P', 'M', 'A', 'C', 'S', 'W', 'W', 'r', 'r', 0,
-  /* 44775 */ 'V', 'P', 'M', 'A', 'C', 'S', 'S', 'W', 'W', 'r', 'r', 0,
-  /* 44787 */ 'P', 'F', 'M', 'A', 'X', 'r', 'r', 0,
-  /* 44795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'A', 'Y', 'r', 'r', 0,
-  /* 44806 */ 'V', 'P', 'S', 'U', 'B', 'B', 'Y', 'r', 'r', 0,
-  /* 44816 */ 'V', 'P', 'A', 'D', 'D', 'B', 'Y', 'r', 'r', 0,
-  /* 44826 */ 'V', 'P', 'S', 'H', 'U', 'F', 'B', 'Y', 'r', 'r', 0,
-  /* 44837 */ 'V', 'P', 'A', 'V', 'G', 'B', 'Y', 'r', 'r', 0,
-  /* 44847 */ 'V', 'P', 'M', 'O', 'V', 'M', 'S', 'K', 'B', 'Y', 'r', 'r', 0,
-  /* 44860 */ 'V', 'P', 'S', 'I', 'G', 'N', 'B', 'Y', 'r', 'r', 0,
-  /* 44871 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'Y', 'r', 'r', 0,
-  /* 44883 */ 'V', 'P', 'S', 'U', 'B', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44894 */ 'V', 'P', 'A', 'D', 'D', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44905 */ 'V', 'P', 'M', 'I', 'N', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44916 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44928 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44940 */ 'V', 'P', 'M', 'A', 'X', 'S', 'B', 'Y', 'r', 'r', 0,
-  /* 44951 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'Y', 'r', 'r', 0,
-  /* 44963 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'B', 'Y', 'r', 'r', 0,
-  /* 44979 */ 'V', 'P', 'M', 'I', 'N', 'U', 'B', 'Y', 'r', 'r', 0,
-  /* 44990 */ 'V', 'P', 'M', 'A', 'X', 'U', 'B', 'Y', 'r', 'r', 0,
-  /* 45001 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'V', 'B', 'Y', 'r', 'r', 0,
-  /* 45014 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
-  /* 45027 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'Y', 'r', 'r', 0,
-  /* 45040 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Y', 'r', 'r', 0,
-  /* 45050 */ 'V', 'P', 'H', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45061 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45071 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45084 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Y', 'r', 'r', 0,
-  /* 45097 */ 'V', 'P', 'H', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
-  /* 45108 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Y', 'r', 'r', 0,
-  /* 45118 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 45128 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 45139 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Y', 'r', 'r', 0,
-  /* 45149 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Y', 'r', 'r', 0,
-  /* 45159 */ 'V', 'P', 'A', 'N', 'D', 'Y', 'r', 'r', 0,
-  /* 45168 */ 'V', 'P', 'S', 'I', 'G', 'N', 'D', 'Y', 'r', 'r', 0,
-  /* 45179 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45192 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45205 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45216 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45229 */ 'V', 'H', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45240 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45250 */ 'V', 'M', 'I', 'N', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45261 */ 'V', 'M', 'A', 'X', 'C', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45272 */ 'V', 'H', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45283 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45293 */ 'V', 'A', 'N', 'D', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45303 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45316 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45329 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45342 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45355 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45365 */ 'V', 'A', 'N', 'D', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45376 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45386 */ 'V', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45395 */ 'V', 'X', 'O', 'R', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45405 */ 'V', 'T', 'E', 'S', 'T', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45416 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45427 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45440 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45450 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Y', 'r', 'r', 0,
-  /* 45460 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Y', 'r', 'r', 0,
-  /* 45472 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 45483 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 45499 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Y', 'r', 'r', 0,
-  /* 45510 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Y', 'r', 'r', 0,
-  /* 45522 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Y', 'r', 'r', 0,
-  /* 45538 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Y', 'r', 'r', 0,
-  /* 45549 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Y', 'r', 'r', 0,
-  /* 45560 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 45571 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 45582 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Y', 'r', 'r', 0,
-  /* 45593 */ 'V', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45605 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45619 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45633 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45646 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Y', 'r', 'r', 0,
-  /* 45659 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Y', 'r', 'r', 0,
-  /* 45672 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Y', 'r', 'r', 0,
-  /* 45682 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 45694 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 45707 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Y', 'r', 'r', 0,
-  /* 45720 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 45730 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 45743 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Y', 'r', 'r', 0,
-  /* 45756 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45770 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45783 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45797 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45810 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45820 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45834 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45848 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45859 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45874 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45889 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45901 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45914 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Y', 'r', 'r', 0,
-  /* 45927 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Y', 'r', 'r', 0,
-  /* 45937 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Y', 'r', 'r', 0,
-  /* 45947 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Y', 'r', 'r', 0,
-  /* 45959 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Y', 'r', 'r', 0,
-  /* 45971 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Y', 'r', 'r', 0,
-  /* 45987 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
-  /* 45998 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Y', 'r', 'r', 0,
-  /* 46009 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
-  /* 46022 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Y', 'r', 'r', 0,
-  /* 46035 */ 'V', 'P', 'O', 'R', 'Y', 'r', 'r', 0,
-  /* 46043 */ 'V', 'P', 'X', 'O', 'R', 'Y', 'r', 'r', 0,
-  /* 46052 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46065 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46078 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46091 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46102 */ 'V', 'A', 'D', 'D', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46115 */ 'V', 'H', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46126 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46136 */ 'V', 'M', 'I', 'N', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46147 */ 'V', 'M', 'A', 'X', 'C', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46158 */ 'V', 'H', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46169 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46179 */ 'V', 'A', 'N', 'D', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46189 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46202 */ 'V', 'M', 'O', 'V', 'M', 'S', 'K', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46215 */ 'V', 'P', 'E', 'R', 'M', 'I', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46228 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46241 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46251 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46262 */ 'V', 'A', 'N', 'D', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46273 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46283 */ 'V', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46292 */ 'V', 'X', 'O', 'R', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46302 */ 'V', 'T', 'E', 'S', 'T', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46313 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46324 */ 'V', 'B', 'L', 'E', 'N', 'D', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46337 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46347 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Y', 'r', 'r', 0,
-  /* 46357 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Y', 'r', 'r', 0,
-  /* 46373 */ 'V', 'P', 'T', 'E', 'S', 'T', 'Y', 'r', 'r', 0,
-  /* 46383 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', 'Y', 'r', 'r', 0,
-  /* 46394 */ 'V', 'P', 'S', 'R', 'A', 'W', 'Y', 'r', 'r', 0,
-  /* 46404 */ 'V', 'P', 'S', 'A', 'D', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46415 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46429 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46443 */ 'V', 'P', 'H', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46454 */ 'V', 'P', 'S', 'U', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46464 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46477 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'W', 'Y', 'r', 'r', 0,
-  /* 46490 */ 'V', 'P', 'H', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46501 */ 'V', 'P', 'A', 'D', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46511 */ 'V', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46524 */ 'V', 'P', 'A', 'C', 'K', 'U', 'S', 'D', 'W', 'Y', 'r', 'r', 0,
-  /* 46537 */ 'V', 'P', 'A', 'V', 'G', 'W', 'Y', 'r', 'r', 0,
-  /* 46547 */ 'V', 'P', 'M', 'U', 'L', 'H', 'W', 'Y', 'r', 'r', 0,
-  /* 46558 */ 'V', 'P', 'S', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 46568 */ 'V', 'P', 'M', 'U', 'L', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 46579 */ 'V', 'P', 'S', 'R', 'L', 'W', 'Y', 'r', 'r', 0,
-  /* 46589 */ 'V', 'P', 'S', 'I', 'G', 'N', 'W', 'Y', 'r', 'r', 0,
-  /* 46600 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'Y', 'r', 'r', 0,
-  /* 46612 */ 'V', 'P', 'S', 'U', 'B', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46623 */ 'V', 'P', 'A', 'D', 'D', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46634 */ 'V', 'P', 'M', 'I', 'N', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46645 */ 'V', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46657 */ 'V', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46669 */ 'V', 'P', 'M', 'A', 'X', 'S', 'W', 'Y', 'r', 'r', 0,
-  /* 46680 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'Y', 'r', 'r', 0,
-  /* 46692 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'W', 'Y', 'r', 'r', 0,
-  /* 46708 */ 'V', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 46720 */ 'V', 'P', 'M', 'I', 'N', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 46731 */ 'V', 'P', 'M', 'A', 'X', 'U', 'W', 'Y', 'r', 'r', 0,
-  /* 46742 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46761 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46781 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46801 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46816 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46836 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46851 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46872 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46888 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46909 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46925 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46944 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46964 */ 'V', 'M', 'O', 'V', 'S', 'D', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46978 */ 'V', 'M', 'O', 'V', 'P', 'Q', 'I', 't', 'o', '6', '4', 'Z', 'r', 'r', 0,
-  /* 46993 */ 'V', 'P', 'S', 'R', 'A', 'D', 'Z', 'r', 'r', 0,
-  /* 47003 */ 'V', 'P', 'S', 'U', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 47013 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 47026 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'D', 'Z', 'r', 'r', 0,
-  /* 47039 */ 'V', 'P', 'A', 'D', 'D', 'D', 'Z', 'r', 'r', 0,
-  /* 47049 */ 'V', 'P', 'A', 'N', 'D', 'D', 'Z', 'r', 'r', 0,
-  /* 47059 */ 'V', 'P', 'S', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 47069 */ 'V', 'P', 'M', 'U', 'L', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 47080 */ 'V', 'P', 'S', 'R', 'L', 'D', 'Z', 'r', 'r', 0,
-  /* 47090 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47103 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47116 */ 'V', 'P', 'E', 'R', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47126 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'D', 'Z', 'r', 'r', 0,
-  /* 47138 */ 'V', 'P', 'A', 'N', 'D', 'N', 'D', 'Z', 'r', 'r', 0,
-  /* 47149 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47162 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47176 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47189 */ 'V', 'M', 'O', 'V', 'A', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47200 */ 'V', 'S', 'U', 'B', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47210 */ 'V', 'A', 'D', 'D', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47220 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47233 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47246 */ 'V', 'M', 'U', 'L', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47256 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47269 */ 'V', 'P', 'E', 'R', 'M', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47280 */ 'V', 'M', 'I', 'N', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47290 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47301 */ 'V', 'M', 'O', 'V', 'U', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47312 */ 'V', 'D', 'I', 'V', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47322 */ 'V', 'M', 'A', 'X', 'P', 'D', 'Z', 'r', 'r', 0,
-  /* 47332 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'Z', 'r', 'r', 0,
-  /* 47344 */ 'V', 'P', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
-  /* 47353 */ 'V', 'P', 'X', 'O', 'R', 'D', 'Z', 'r', 'r', 0,
-  /* 47363 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47378 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47394 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47411 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47429 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47442 */ 'V', 'S', 'U', 'B', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47452 */ 'V', 'A', 'D', 'D', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47462 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47478 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47493 */ 'V', 'M', 'U', 'L', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47503 */ 'V', 'P', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47514 */ 'V', 'M', 'I', 'N', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47524 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47534 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47550 */ 'V', 'D', 'I', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47560 */ 'V', 'M', 'O', 'V', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47570 */ 'V', 'P', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47581 */ 'V', 'M', 'A', 'X', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47591 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'S', 'D', 'Z', 'r', 'r', 0,
-  /* 47605 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'Z', 'r', 'r', 0,
-  /* 47617 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'r', 'r', 0,
-  /* 47633 */ 'V', 'P', 'M', 'I', 'N', 'U', 'D', 'Z', 'r', 'r', 0,
-  /* 47644 */ 'V', 'P', 'M', 'A', 'X', 'U', 'D', 'Z', 'r', 'r', 0,
-  /* 47655 */ 'V', 'P', 'S', 'R', 'A', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 47666 */ 'V', 'P', 'S', 'L', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 47677 */ 'V', 'P', 'S', 'R', 'L', 'V', 'D', 'Z', 'r', 'r', 0,
-  /* 47688 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
-  /* 47701 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'D', 'Z', 'r', 'r', 0,
-  /* 47714 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'H', 'Z', 'r', 'r', 0,
-  /* 47727 */ 'V', 'M', 'O', 'V', 'P', 'D', 'I', '2', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 47741 */ 'V', 'M', 'O', 'V', 'S', 'S', '2', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 47754 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'P', 'D', 'I', 'Z', 'r', 'r', 0,
-  /* 47768 */ 'V', 'M', 'O', 'V', 'Z', 'P', 'Q', 'I', 'L', 'o', '2', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
-  /* 47786 */ 'V', 'M', 'O', 'V', '6', '4', 't', 'o', 'P', 'Q', 'I', 'Z', 'r', 'r', 0,
-  /* 47801 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47819 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47832 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47850 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47863 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47882 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47896 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47915 */ 'V', 'C', 'V', 'T', 'S', 'S', '2', 'U', 'S', 'I', 'Z', 'r', 'r', 0,
-  /* 47929 */ 'V', 'M', 'O', 'V', 'D', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 47941 */ 'V', 'M', 'O', 'V', 'S', 'H', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 47954 */ 'V', 'M', 'O', 'V', 'S', 'L', 'D', 'U', 'P', 'Z', 'r', 'r', 0,
-  /* 47967 */ 'V', 'P', 'S', 'R', 'A', 'Q', 'Z', 'r', 'r', 0,
-  /* 47977 */ 'V', 'P', 'S', 'U', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 47987 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 48000 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'B', 'Q', 'Z', 'r', 'r', 0,
-  /* 48013 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48027 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48040 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48054 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48067 */ 'V', 'P', 'A', 'D', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48077 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48091 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48105 */ 'V', 'P', 'M', 'U', 'L', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48116 */ 'V', 'P', 'A', 'N', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48126 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48141 */ 'V', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'Q', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48156 */ 'V', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48171 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48185 */ 'V', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48200 */ 'V', 'C', 'V', 'T', 'P', 'S', '2', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48214 */ 'V', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48226 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48239 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'D', 'Q', 'Z', 'r', 'r', 0,
-  /* 48252 */ 'V', 'P', 'S', 'L', 'L', 'Q', 'Z', 'r', 'r', 0,
-  /* 48262 */ 'V', 'P', 'S', 'R', 'L', 'Q', 'Z', 'r', 'r', 0,
-  /* 48272 */ 'V', 'P', 'B', 'L', 'E', 'N', 'D', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48285 */ 'V', 'P', 'T', 'E', 'S', 'T', 'N', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48298 */ 'V', 'P', 'E', 'R', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48308 */ 'V', 'P', 'T', 'E', 'S', 'T', 'M', 'Q', 'Z', 'r', 'r', 0,
-  /* 48320 */ 'V', 'P', 'A', 'N', 'D', 'N', 'Q', 'Z', 'r', 'r', 0,
-  /* 48331 */ 'V', 'P', 'C', 'M', 'P', 'E', 'Q', 'Q', 'Z', 'r', 'r', 0,
-  /* 48343 */ 'V', 'P', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
-  /* 48352 */ 'V', 'P', 'X', 'O', 'R', 'Q', 'Z', 'r', 'r', 0,
-  /* 48362 */ 'V', 'P', 'M', 'I', 'N', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 48373 */ 'V', 'P', 'M', 'A', 'X', 'S', 'Q', 'Z', 'r', 'r', 0,
-  /* 48384 */ 'V', 'P', 'C', 'M', 'P', 'G', 'T', 'Q', 'Z', 'r', 'r', 0,
-  /* 48396 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'r', 'r', 0,
-  /* 48412 */ 'V', 'P', 'M', 'I', 'N', 'U', 'Q', 'Z', 'r', 'r', 0,
-  /* 48423 */ 'V', 'P', 'M', 'A', 'X', 'U', 'Q', 'Z', 'r', 'r', 0,
-  /* 48434 */ 'V', 'P', 'S', 'R', 'A', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 48445 */ 'V', 'P', 'S', 'L', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 48456 */ 'V', 'P', 'S', 'R', 'L', 'V', 'Q', 'Z', 'r', 'r', 0,
-  /* 48467 */ 'V', 'P', 'M', 'O', 'V', 'S', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
-  /* 48480 */ 'V', 'P', 'M', 'O', 'V', 'Z', 'X', 'W', 'Q', 'Z', 'r', 'r', 0,
-  /* 48493 */ 'V', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48506 */ 'V', 'C', 'V', 'T', 'P', 'H', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48519 */ 'V', 'C', 'V', 'T', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48532 */ 'V', 'C', 'V', 'T', 'U', 'D', 'Q', '2', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48546 */ 'V', 'M', 'O', 'V', 'A', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48557 */ 'V', 'S', 'U', 'B', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48567 */ 'V', 'A', 'D', 'D', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48577 */ 'V', 'U', 'N', 'P', 'C', 'K', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48590 */ 'V', 'M', 'O', 'V', 'L', 'H', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48602 */ 'V', 'M', 'O', 'V', 'H', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48614 */ 'V', 'U', 'N', 'P', 'C', 'K', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48627 */ 'V', 'M', 'U', 'L', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48637 */ 'V', 'B', 'L', 'E', 'N', 'D', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48650 */ 'V', 'P', 'E', 'R', 'M', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48661 */ 'V', 'M', 'I', 'N', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48671 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48682 */ 'V', 'M', 'O', 'V', 'U', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48693 */ 'V', 'D', 'I', 'V', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48703 */ 'V', 'M', 'A', 'X', 'P', 'S', 'Z', 'r', 'r', 0,
-  /* 48713 */ 'V', 'C', 'V', 'T', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48728 */ 'V', 'C', 'V', 'T', 'U', 'S', 'I', '6', '4', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48744 */ 'V', 'C', 'V', 'T', 'S', 'D', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48757 */ 'V', 'M', 'O', 'V', 'D', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48770 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48787 */ 'I', 'n', 't', '_', 'V', 'C', 'V', 'T', 'U', 'S', 'I', '2', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48805 */ 'V', 'S', 'U', 'B', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48815 */ 'V', 'A', 'D', 'D', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48825 */ 'I', 'n', 't', '_', 'V', 'U', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48841 */ 'I', 'n', 't', '_', 'V', 'C', 'O', 'M', 'I', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48856 */ 'V', 'M', 'U', 'L', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48866 */ 'V', 'M', 'I', 'N', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48876 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48886 */ 'V', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48902 */ 'V', 'D', 'I', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48912 */ 'V', 'M', 'O', 'V', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48922 */ 'V', 'M', 'A', 'X', 'S', 'S', 'Z', 'r', 'r', 0,
-  /* 48932 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'r', 'r', 0,
-  /* 48949 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'r', 'r', 0,
-  /* 48966 */ 'M', 'M', 'X', '_', 'M', 'O', 'V', 'D', '6', '4', 'g', 'r', 'r', 0,
-  /* 48980 */ 'M', 'M', 'X', '_', 'P', 'A', 'L', 'I', 'G', 'N', 'R', '6', '4', 'i', 'r', 'r', 0,
-  /* 48997 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'B', 'i', 'r', 'r', 0,
-  /* 49010 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'B', 'i', 'r', 'r', 0,
-  /* 49023 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'B', 'i', 'r', 'r', 0,
-  /* 49036 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'B', 'i', 'r', 'r', 0,
-  /* 49051 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49065 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49079 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49094 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'B', 'i', 'r', 'r', 0,
-  /* 49109 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'B', 'i', 'r', 'r', 0,
-  /* 49124 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'U', 'B', 'i', 'r', 'r', 0,
-  /* 49138 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'U', 'B', 'i', 'r', 'r', 0,
-  /* 49152 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'W', 'B', 'i', 'r', 'r', 0,
-  /* 49168 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'U', 'S', 'W', 'B', 'i', 'r', 'r', 0,
-  /* 49184 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'D', 'i', 'r', 'r', 0,
-  /* 49197 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'D', 'i', 'r', 'r', 0,
-  /* 49210 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'i', 'r', 'r', 0,
-  /* 49222 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'D', 'i', 'r', 'r', 0,
-  /* 49238 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'D', 'i', 'r', 'r', 0,
-  /* 49253 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'D', 'i', 'r', 'r', 0,
-  /* 49268 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'D', 'D', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 49283 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 49300 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'W', 'D', 'i', 'r', 'r', 0,
-  /* 49317 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49334 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'D', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49350 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49367 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'S', '2', 'P', 'I', 'i', 'r', 'r', 0,
-  /* 49383 */ 'M', 'M', 'X', '_', 'P', 'A', 'N', 'D', 'N', 'i', 'r', 'r', 0,
-  /* 49396 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'Q', 'i', 'r', 'r', 0,
-  /* 49409 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49422 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49439 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49456 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'U', 'D', 'Q', 'i', 'r', 'r', 0,
-  /* 49471 */ 'M', 'M', 'X', '_', 'P', 'O', 'R', 'i', 'r', 'r', 0,
-  /* 49482 */ 'M', 'M', 'X', '_', 'P', 'X', 'O', 'R', 'i', 'r', 'r', 0,
-  /* 49494 */ 'M', 'M', 'X', '_', 'C', 'V', 'T', 'P', 'I', '2', 'P', 'S', 'i', 'r', 'r', 0,
-  /* 49510 */ 'M', 'M', 'X', '_', 'P', 'S', 'A', 'D', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49524 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'H', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49541 */ 'M', 'M', 'X', '_', 'P', 'U', 'N', 'P', 'C', 'K', 'L', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49558 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'W', 'i', 'r', 'r', 0,
-  /* 49571 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'W', 'i', 'r', 'r', 0,
-  /* 49584 */ 'M', 'M', 'X', '_', 'P', 'A', 'C', 'K', 'S', 'S', 'D', 'W', 'i', 'r', 'r', 0,
-  /* 49600 */ 'M', 'M', 'X', '_', 'P', 'A', 'V', 'G', 'W', 'i', 'r', 'r', 0,
-  /* 49613 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'W', 'i', 'r', 'r', 0,
-  /* 49627 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'L', 'W', 'i', 'r', 'r', 0,
-  /* 49641 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'E', 'Q', 'W', 'i', 'r', 'r', 0,
-  /* 49656 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49670 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49684 */ 'M', 'M', 'X', '_', 'P', 'M', 'I', 'N', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49698 */ 'M', 'M', 'X', '_', 'P', 'S', 'U', 'B', 'U', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49713 */ 'M', 'M', 'X', '_', 'P', 'A', 'D', 'D', 'U', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49728 */ 'M', 'M', 'X', '_', 'P', 'M', 'A', 'X', 'S', 'W', 'i', 'r', 'r', 0,
-  /* 49742 */ 'M', 'M', 'X', '_', 'P', 'C', 'M', 'P', 'G', 'T', 'W', 'i', 'r', 'r', 0,
-  /* 49757 */ 'M', 'M', 'X', '_', 'P', 'M', 'U', 'L', 'H', 'U', 'W', 'i', 'r', 'r', 0,
-  /* 49772 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'B', 'k', 'r', 'r', 0,
-  /* 49785 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'B', 'k', 'r', 'r', 0,
-  /* 49797 */ 'V', 'P', 'M', 'O', 'V', 'D', 'B', 'k', 'r', 'r', 0,
-  /* 49808 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'B', 'k', 'r', 'r', 0,
-  /* 49821 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'B', 'k', 'r', 'r', 0,
-  /* 49833 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'B', 'k', 'r', 'r', 0,
-  /* 49844 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'D', 'k', 'r', 'r', 0,
-  /* 49857 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'D', 'k', 'r', 'r', 0,
-  /* 49869 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'D', 'k', 'r', 'r', 0,
-  /* 49880 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'D', 'W', 'k', 'r', 'r', 0,
-  /* 49893 */ 'V', 'P', 'M', 'O', 'V', 'S', 'D', 'W', 'k', 'r', 'r', 0,
-  /* 49905 */ 'V', 'P', 'M', 'O', 'V', 'D', 'W', 'k', 'r', 'r', 0,
-  /* 49916 */ 'V', 'P', 'M', 'O', 'V', 'U', 'S', 'Q', 'W', 'k', 'r', 'r', 0,
-  /* 49929 */ 'V', 'P', 'M', 'O', 'V', 'S', 'Q', 'W', 'k', 'r', 'r', 0,
-  /* 49941 */ 'V', 'P', 'M', 'O', 'V', 'Q', 'W', 'k', 'r', 'r', 0,
-  /* 49952 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'Z', 'k', 'r', 'r', 0,
-  /* 49969 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'Z', 'k', 'r', 'r', 0,
-  /* 49986 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'D', 'r', 'Z', 'k', 'r', 'r', 0,
-  /* 50004 */ 'V', 'P', 'B', 'R', 'O', 'A', 'D', 'C', 'A', 'S', 'T', 'Q', 'r', 'Z', 'k', 'r', 'r', 0,
-  /* 50022 */ 'M', 'O', 'N', 'I', 'T', 'O', 'R', 'r', 'r', 'r', 0,
-  /* 50033 */ 'V', 'E', 'X', 'T', 'R', 'A', 'C', 'T', 'P', 'S', 'z', 'r', 'r', 0,
-  /* 50047 */ 'V', 'I', 'N', 'S', 'E', 'R', 'T', 'P', 'S', 'z', 'r', 'r', 0,
-  /* 50060 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
-  /* 50068 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
-  /* 50076 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
-  /* 50084 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
-  /* 50092 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
-  /* 50100 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
-  /* 50108 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
-  /* 50116 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
-  /* 50124 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
-  /* 50132 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 50147 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 50162 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50175 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50188 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50200 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50214 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50228 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50243 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50258 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50273 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50288 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50303 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50319 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50334 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50350 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50365 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 50380 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50393 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50405 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50418 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50430 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50442 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50453 */ 'V', 'C', 'M', 'P', 'P', 'D', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50467 */ 'V', 'C', 'M', 'P', 'P', 'S', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50481 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50496 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Y', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50511 */ 'V', 'P', 'C', 'M', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50526 */ 'V', 'C', 'M', 'P', 'P', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50541 */ 'V', 'C', 'M', 'P', 'S', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50556 */ 'V', 'P', 'C', 'M', 'P', 'U', 'D', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50572 */ 'V', 'P', 'C', 'M', 'P', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50587 */ 'V', 'P', 'C', 'M', 'P', 'U', 'Q', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50603 */ 'V', 'C', 'M', 'P', 'P', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50618 */ 'V', 'C', 'M', 'P', 'S', 'S', 'Z', 'r', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 50633 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'm', '_', 'a', 'l', 't', 0,
-  /* 50646 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'm', '_', 'a', 'l', 't', 0,
-  /* 50659 */ 'V', 'C', 'M', 'P', 'S', 'D', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 50672 */ 'V', 'C', 'M', 'P', 'S', 'S', 'r', 'r', '_', 'a', 'l', 't', 0,
-  /* 50685 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'm', '_', 'I', 'n', 't', 0,
-  /* 50698 */ 'V', 'R', 'C', 'P', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50710 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50724 */ 'V', 'R', 'C', 'P', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50736 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50750 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'm', '_', 'I', 'n', 't', 0,
-  /* 50763 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
-  /* 50776 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'm', '_', 'I', 'n', 't', 0,
-  /* 50791 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50805 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50819 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50833 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'm', '_', 'I', 'n', 't', 0,
-  /* 50847 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50863 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50880 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50896 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50913 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50929 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50946 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50962 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50979 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 50992 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51005 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51018 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51031 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51044 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51057 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51070 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51083 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51096 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51109 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51122 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'm', '_', 'I', 'n', 't', 0,
-  /* 51135 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
-  /* 51149 */ 'S', 'Q', 'R', 'T', 'S', 'D', 'r', '_', 'I', 'n', 't', 0,
-  /* 51161 */ 'V', 'R', 'C', 'P', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51173 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51187 */ 'V', 'R', 'O', 'U', 'N', 'D', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51201 */ 'R', 'C', 'P', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51212 */ 'R', 'S', 'Q', 'R', 'T', 'S', 'S', 'r', '_', 'I', 'n', 't', 0,
-  /* 51225 */ 'V', 'R', 'C', 'P', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
-  /* 51238 */ 'V', 'R', 'S', 'Q', 'R', 'T', 'P', 'S', 'Y', 'r', '_', 'I', 'n', 't', 0,
-  /* 51253 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51267 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'D', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51281 */ 'V', 'S', 'Q', 'R', 'T', 'P', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51295 */ 'V', 'S', 'Q', 'R', 'T', 'S', 'S', 'Z', 'r', '_', 'I', 'n', 't', 0,
-  /* 51309 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51325 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51342 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51358 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51375 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51391 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51408 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51424 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'm', 'r', '_', 'I', 'n', 't', 0,
-  /* 51441 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51457 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51474 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51490 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'D', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51507 */ 'V', 'F', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51523 */ 'V', 'F', 'N', 'M', 'S', 'U', 'B', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51540 */ 'V', 'F', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51556 */ 'V', 'F', 'N', 'M', 'A', 'D', 'D', 'S', 'S', '4', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51573 */ 'V', 'S', 'U', 'B', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51586 */ 'V', 'A', 'D', 'D', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51599 */ 'V', 'M', 'U', 'L', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51612 */ 'V', 'M', 'I', 'N', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51625 */ 'V', 'D', 'I', 'V', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51638 */ 'V', 'M', 'A', 'X', 'S', 'D', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51651 */ 'V', 'S', 'U', 'B', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51664 */ 'V', 'A', 'D', 'D', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51677 */ 'V', 'M', 'U', 'L', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51690 */ 'V', 'M', 'I', 'N', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51703 */ 'V', 'D', 'I', 'V', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51716 */ 'V', 'M', 'A', 'X', 'S', 'S', 'r', 'r', '_', 'I', 'n', 't', 0,
-  /* 51729 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 51746 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'b', 'k', 'z', 0,
-  /* 51763 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'm', 'k', 'z', 0,
-  /* 51779 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'm', 'k', 'z', 0,
-  /* 51795 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '3', '2', 'r', 'r', 'k', 'z', 0,
-  /* 51809 */ 'V', 'M', 'O', 'V', 'D', 'Q', 'U', '6', '4', 'r', 'r', 'k', 'z', 0,
-  /* 51823 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'D', 'r', 'r', 'k', 'z', 0,
-  /* 51839 */ 'V', 'P', 'C', 'O', 'N', 'F', 'L', 'I', 'C', 'T', 'Q', 'r', 'r', 'k', 'z', 0,
+typedef struct x86_op_id_pair {
+	uint16_t first;
+	uint16_t second;
+} x86_op_id_pair;
+
+static const x86_op_id_pair x86_16_bit_eq_tbl[] = {
+	{ 29, 28 },
+	{ 30, 28 },
+	{ 41, 32 },
+	{ 42, 33 },
+	{ 43, 34 },
+	{ 44, 35 },
+	{ 45, 36 },
+	{ 46, 37 },
+	{ 47, 38 },
+	{ 48, 39 },
+	{ 49, 40 },
+	{ 50, 32 },
+	{ 52, 34 },
+	{ 53, 35 },
+	{ 55, 37 },
+	{ 56, 38 },
+	{ 57, 39 },
+	{ 58, 40 },
+	{ 82, 70 },
+	{ 83, 71 },
+	{ 84, 72 },
+	{ 85, 73 },
+	{ 86, 74 },
+	{ 87, 75 },
+	{ 88, 76 },
+	{ 89, 77 },
+	{ 90, 78 },
+	{ 91, 79 },
+	{ 92, 80 },
+	{ 93, 81 },
+	{ 94, 70 },
+	{ 96, 72 },
+	{ 97, 73 },
+	{ 100, 75 },
+	{ 101, 76 },
+	{ 102, 78 },
+	{ 103, 79 },
+	{ 104, 80 },
+	{ 105, 81 },
+	{ 133, 132 },
+	{ 147, 144 },
+	{ 148, 145 },
+	{ 149, 146 },
+	{ 180, 171 },
+	{ 181, 172 },
+	{ 182, 173 },
+	{ 183, 174 },
+	{ 184, 175 },
+	{ 185, 176 },
+	{ 186, 177 },
+	{ 187, 178 },
+	{ 188, 179 },
+	{ 189, 171 },
+	{ 191, 173 },
+	{ 192, 174 },
+	{ 194, 176 },
+	{ 195, 177 },
+	{ 196, 178 },
+	{ 197, 179 },
+	{ 280, 279 },
+	{ 283, 281 },
+	{ 284, 282 },
+	{ 285, 281 },
+	{ 286, 282 },
+	{ 289, 287 },
+	{ 290, 288 },
+	{ 291, 287 },
+	{ 292, 288 },
+	{ 299, 295 },
+	{ 300, 296 },
+	{ 301, 297 },
+	{ 302, 298 },
+	{ 303, 295 },
+	{ 304, 296 },
+	{ 305, 297 },
+	{ 306, 298 },
+	{ 311, 307 },
+	{ 312, 308 },
+	{ 313, 309 },
+	{ 314, 310 },
+	{ 315, 307 },
+	{ 316, 308 },
+	{ 317, 309 },
+	{ 318, 310 },
+	{ 323, 319 },
+	{ 324, 320 },
+	{ 325, 321 },
+	{ 326, 322 },
+	{ 327, 319 },
+	{ 328, 320 },
+	{ 329, 321 },
+	{ 330, 322 },
+	{ 335, 331 },
+	{ 336, 332 },
+	{ 337, 333 },
+	{ 338, 334 },
+	{ 339, 331 },
+	{ 340, 332 },
+	{ 341, 333 },
+	{ 342, 334 },
+	{ 349, 347 },
+	{ 350, 348 },
+	{ 351, 347 },
+	{ 353, 348 },
+	{ 355, 354 },
+	{ 365, 649 },
+	{ 373, 371 },
+	{ 374, 372 },
+	{ 375, 371 },
+	{ 376, 372 },
+	{ 379, 377 },
+	{ 380, 378 },
+	{ 381, 377 },
+	{ 382, 378 },
+	{ 385, 383 },
+	{ 386, 384 },
+	{ 387, 383 },
+	{ 388, 384 },
+	{ 391, 389 },
+	{ 392, 390 },
+	{ 393, 389 },
+	{ 394, 390 },
+	{ 405, 403 },
+	{ 406, 404 },
+	{ 407, 403 },
+	{ 408, 404 },
+	{ 415, 413 },
+	{ 416, 414 },
+	{ 417, 413 },
+	{ 418, 414 },
+	{ 421, 419 },
+	{ 422, 420 },
+	{ 423, 419 },
+	{ 424, 420 },
+	{ 427, 425 },
+	{ 428, 426 },
+	{ 429, 425 },
+	{ 430, 426 },
+	{ 433, 431 },
+	{ 434, 432 },
+	{ 435, 431 },
+	{ 436, 432 },
+	{ 447, 445 },
+	{ 448, 446 },
+	{ 449, 445 },
+	{ 450, 446 },
+	{ 457, 455 },
+	{ 458, 456 },
+	{ 459, 455 },
+	{ 460, 456 },
+	{ 463, 461 },
+	{ 464, 462 },
+	{ 465, 461 },
+	{ 466, 462 },
+	{ 473, 471 },
+	{ 474, 472 },
+	{ 475, 471 },
+	{ 476, 472 },
+	{ 479, 477 },
+	{ 480, 478 },
+	{ 481, 477 },
+	{ 482, 478 },
+	{ 485, 483 },
+	{ 486, 484 },
+	{ 487, 483 },
+	{ 488, 484 },
+	{ 495, 493 },
+	{ 496, 494 },
+	{ 497, 493 },
+	{ 498, 494 },
+	{ 502, 501 },
+	{ 525, 516 },
+	{ 526, 517 },
+	{ 527, 518 },
+	{ 528, 519 },
+	{ 529, 520 },
+	{ 530, 521 },
+	{ 531, 522 },
+	{ 532, 523 },
+	{ 533, 524 },
+	{ 534, 516 },
+	{ 536, 518 },
+	{ 537, 519 },
+	{ 539, 521 },
+	{ 540, 522 },
+	{ 541, 523 },
+	{ 542, 524 },
+	{ 563, 569 },
+	{ 564, 569 },
+	{ 573, 571 },
+	{ 574, 572 },
+	{ 575, 571 },
+	{ 576, 572 },
+	{ 596, 595 },
+	{ 599, 598 },
+	{ 657, 656 },
+	{ 658, 654 },
+	{ 659, 655 },
+	{ 662, 660 },
+	{ 663, 661 },
+	{ 664, 654 },
+	{ 665, 655 },
+	{ 670, 668 },
+	{ 671, 669 },
+	{ 672, 668 },
+	{ 673, 669 },
+	{ 683, 682 },
+	{ 694, 691 },
+	{ 695, 692 },
+	{ 696, 693 },
+	{ 709, 708 },
+	{ 723, 720 },
+	{ 724, 721 },
+	{ 725, 722 },
+	{ 748, 746 },
+	{ 749, 747 },
+	{ 753, 751 },
+	{ 754, 752 },
+	{ 767, 766 },
+	{ 769, 768 },
+	{ 785, 784 },
+	{ 786, 784 },
+	{ 788, 787 },
+	{ 789, 787 },
+	{ 791, 790 },
+	{ 792, 790 },
+	{ 846, 844 },
+	{ 847, 845 },
+	{ 848, 844 },
+	{ 849, 845 },
+	{ 853, 852 },
+	{ 854, 852 },
+	{ 858, 855 },
+	{ 859, 856 },
+	{ 860, 857 },
+	{ 861, 855 },
+	{ 862, 856 },
+	{ 863, 857 },
+	{ 872, 864 },
+	{ 873, 865 },
+	{ 874, 866 },
+	{ 875, 867 },
+	{ 876, 868 },
+	{ 877, 869 },
+	{ 878, 870 },
+	{ 879, 871 },
+	{ 880, 864 },
+	{ 881, 865 },
+	{ 882, 866 },
+	{ 884, 868 },
+	{ 885, 869 },
+	{ 887, 871 },
+	{ 892, 890 },
+	{ 893, 891 },
+	{ 899, 898 },
+	{ 900, 896 },
+	{ 901, 897 },
+	{ 904, 902 },
+	{ 905, 903 },
+	{ 906, 896 },
+	{ 907, 897 },
+	{ 915, 916 },
+	{ 919, 918 },
+	{ 932, 931 },
+	{ 933, 931 },
+	{ 935, 934 },
+	{ 936, 934 },
+	{ 940, 937 },
+	{ 941, 938 },
+	{ 942, 939 },
+	{ 943, 937 },
+	{ 944, 938 },
+	{ 945, 939 },
+	{ 947, 946 },
+	{ 949, 948 },
+	{ 950, 948 },
+	{ 954, 951 },
+	{ 955, 952 },
+	{ 956, 953 },
+	{ 957, 951 },
+	{ 958, 952 },
+	{ 959, 953 },
+	{ 1097, 1095 },
+	{ 1098, 1096 },
+	{ 1099, 1095 },
+	{ 1100, 1096 },
+	{ 1130, 1131 },
+	{ 1132, 1133 },
+	{ 1144, 1149 },
+	{ 1145, 1150 },
+	{ 1146, 1151 },
+	{ 1147, 1152 },
+	{ 1148, 1153 },
+	{ 1156, 1157 },
+	{ 1160, 1162 },
+	{ 1172, 1173 },
+	{ 1176, 1177 },
+	{ 1181, 1179 },
+	{ 1182, 1180 },
+	{ 1183, 1179 },
+	{ 1184, 1180 },
+	{ 1187, 1185 },
+	{ 1188, 1185 },
+	{ 1194, 1193 },
+	{ 1214, 1213 },
+	{ 1216, 1213 },
+	{ 1220, 1219 },
+	{ 1223, 1222 },
+	{ 1224, 1222 },
+	{ 1226, 1225 },
+	{ 1227, 1225 },
+	{ 1229, 1228 },
+	{ 1230, 1228 },
+	{ 1232, 1231 },
+	{ 1233, 1231 },
+	{ 1241, 1238 },
+	{ 1242, 1239 },
+	{ 1243, 1240 },
+	{ 1245, 1239 },
+	{ 1246, 1240 },
+	{ 1252, 1249 },
+	{ 1253, 1250 },
+	{ 1254, 1251 },
+	{ 1256, 1250 },
+	{ 1257, 1251 },
+	{ 1261, 1260 },
+	{ 1262, 1260 },
+	{ 1265, 1264 },
+	{ 1266, 1264 },
+	{ 1271, 1268 },
+	{ 1272, 1269 },
+	{ 1273, 1270 },
+	{ 1275, 1269 },
+	{ 1276, 1270 },
+	{ 1283, 1280 },
+	{ 1284, 1281 },
+	{ 1285, 1282 },
+	{ 1287, 1281 },
+	{ 1288, 1282 },
+	{ 1294, 1291 },
+	{ 1295, 1292 },
+	{ 1296, 1293 },
+	{ 1298, 1292 },
+	{ 1299, 1293 },
+	{ 1303, 1305 },
+	{ 1304, 1305 },
+	{ 1309, 1311 },
+	{ 1310, 1311 },
+	{ 1312, 1314 },
+	{ 1313, 1314 },
+	{ 1317, 1315 },
+	{ 1318, 1316 },
+	{ 1319, 1315 },
+	{ 1320, 1316 },
+	{ 1322, 1321 },
+	{ 1323, 1321 },
+	{ 1327, 1326 },
+	{ 1328, 1326 },
+	{ 1332, 1330 },
+	{ 1333, 1331 },
+	{ 1334, 1330 },
+	{ 1335, 1331 },
+	{ 1425, 1435 },
+	{ 1426, 1436 },
+	{ 1514, 1517 },
+	{ 1515, 1518 },
+	{ 1516, 1519 },
+	{ 1529, 1532 },
+	{ 1530, 1533 },
+	{ 1531, 1534 },
+	{ 1539, 1549 },
+	{ 1540, 1550 },
+	{ 1585, 1570 },
+	{ 1586, 1571 },
+	{ 1589, 1572 },
+	{ 1590, 1573 },
+	{ 1591, 1574 },
+	{ 1592, 1575 },
+	{ 1593, 1576 },
+	{ 1597, 1577 },
+	{ 1599, 1578 },
+	{ 1600, 1579 },
+	{ 1601, 1580 },
+	{ 1602, 1581 },
+	{ 1603, 1582 },
+	{ 1604, 1583 },
+	{ 1605, 1584 },
+	{ 1606, 1570 },
+	{ 1607, 1570 },
+	{ 1607, 1606 },
+	{ 1608, 1570 },
+	{ 1608, 1606 },
+	{ 1613, 1573 },
+	{ 1614, 1574 },
+	{ 1615, 1575 },
+	{ 1616, 1575 },
+	{ 1616, 1615 },
+	{ 1617, 1575 },
+	{ 1617, 1615 },
+	{ 1621, 1577 },
+	{ 1623, 1579 },
+	{ 1624, 1580 },
+	{ 1625, 1581 },
+	{ 1626, 1582 },
+	{ 1627, 1583 },
+	{ 1628, 1584 },
+	{ 1656, 1654 },
+	{ 1657, 1655 },
+	{ 1658, 1654 },
+	{ 1659, 1655 },
+	{ 1710, 1720 },
+	{ 1713, 1720 },
+	{ 1724, 1721 },
+	{ 1726, 1722 },
+	{ 1728, 1727 },
+	{ 1729, 1721 },
+	{ 1731, 1730 },
+	{ 1732, 1722 },
+	{ 1750, 1745 },
+	{ 1752, 1746 },
+	{ 1761, 1759 },
+	{ 1762, 1760 },
+	{ 1763, 1759 },
+	{ 1764, 1760 },
+	{ 1786, 1785 },
+	{ 1800, 1797 },
+	{ 1801, 1798 },
+	{ 1802, 1799 },
+	{ 1807, 1805 },
+	{ 1808, 1806 },
+	{ 1809, 1805 },
+	{ 1810, 1806 },
+	{ 1814, 1816 },
+	{ 1818, 1820 },
+	{ 1822, 1824 },
+	{ 1826, 1828 },
+	{ 1830, 1837 },
+	{ 1831, 1838 },
+	{ 1832, 1839 },
+	{ 1833, 1840 },
+	{ 1834, 1841 },
+	{ 1835, 1842 },
+	{ 1836, 1843 },
+	{ 1846, 1844 },
+	{ 1847, 1845 },
+	{ 1848, 1844 },
+	{ 1849, 1845 },
+	{ 1861, 1852 },
+	{ 1862, 1853 },
+	{ 1863, 1854 },
+	{ 1864, 1855 },
+	{ 1866, 1856 },
+	{ 1867, 1857 },
+	{ 1868, 1858 },
+	{ 1869, 1859 },
+	{ 1870, 1860 },
+	{ 1871, 1852 },
+	{ 1873, 1854 },
+	{ 1874, 1855 },
+	{ 1876, 1857 },
+	{ 1877, 1858 },
+	{ 1878, 1859 },
+	{ 1879, 1860 },
+	{ 1894, 1892 },
+	{ 1895, 1893 },
+	{ 1899, 1900 },
+	{ 1919, 1929 },
+	{ 1920, 1930 },
+	{ 1954, 1956 },
+	{ 1955, 1957 },
+	{ 1970, 1972 },
+	{ 1971, 1973 },
+	{ 1994, 1996 },
+	{ 2094, 2096 },
+	{ 2095, 2097 },
+	{ 2106, 2108 },
+	{ 2107, 2109 },
+	{ 2135, 2132 },
+	{ 2136, 2133 },
+	{ 2137, 2134 },
+	{ 2138, 2132 },
+	{ 2139, 2133 },
+	{ 2140, 2134 },
+	{ 2142, 2141 },
+	{ 2145, 2143 },
+	{ 2146, 2144 },
+	{ 2147, 2143 },
+	{ 2148, 2144 },
+	{ 2150, 2149 },
+	{ 2152, 2151 },
+	{ 2154, 2153 },
+	{ 2155, 2153 },
+	{ 2157, 2156 },
+	{ 2158, 2156 },
+	{ 2160, 2159 },
+	{ 2161, 2159 },
+	{ 2163, 2162 },
+	{ 2192, 2195 },
+	{ 2193, 2196 },
+	{ 2194, 2197 },
+	{ 2208, 2211 },
+	{ 2209, 2212 },
+	{ 2210, 2213 },
+	{ 2218, 2228 },
+	{ 2219, 2229 },
+	{ 2254, 2250 },
+	{ 2255, 2251 },
+	{ 2256, 2252 },
+	{ 2257, 2253 },
+	{ 2259, 2258 },
+	{ 2260, 2250 },
+	{ 2261, 2251 },
+	{ 2262, 2252 },
+	{ 2263, 2253 },
+	{ 2265, 2264 },
+	{ 2267, 2266 },
+	{ 2269, 2268 },
+	{ 2271, 2270 },
+	{ 2273, 2272 },
+	{ 2274, 2272 },
+	{ 2276, 2275 },
+	{ 2277, 2275 },
+	{ 2279, 2278 },
+	{ 2280, 2278 },
+	{ 2282, 2281 },
+	{ 2284, 2283 },
+	{ 2293, 2287 },
+	{ 2294, 2288 },
+	{ 2295, 2289 },
+	{ 2296, 2290 },
+	{ 2297, 2291 },
+	{ 2298, 2292 },
+	{ 2299, 2287 },
+	{ 2300, 2288 },
+	{ 2301, 2289 },
+	{ 2302, 2290 },
+	{ 2303, 2291 },
+	{ 2304, 2292 },
+	{ 2325, 2319 },
+	{ 2326, 2320 },
+	{ 2327, 2321 },
+	{ 2328, 2322 },
+	{ 2329, 2323 },
+	{ 2330, 2324 },
+	{ 2331, 2319 },
+	{ 2332, 2320 },
+	{ 2333, 2321 },
+	{ 2334, 2322 },
+	{ 2335, 2323 },
+	{ 2336, 2324 },
+	{ 2350, 2349 },
+	{ 2351, 2349 },
+	{ 2353, 2352 },
+	{ 2354, 2352 },
+	{ 2358, 2357 },
+	{ 2359, 2357 },
+	{ 2366, 2368 },
+	{ 2374, 2376 },
+	{ 2377, 2379 },
+	{ 2378, 2379 },
+	{ 2380, 2382 },
+	{ 2381, 2382 },
+	{ 2390, 2384 },
+	{ 2391, 2385 },
+	{ 2392, 2386 },
+	{ 2393, 2387 },
+	{ 2394, 2388 },
+	{ 2395, 2389 },
+	{ 2396, 2384 },
+	{ 2397, 2385 },
+	{ 2398, 2386 },
+	{ 2399, 2387 },
+	{ 2400, 2388 },
+	{ 2401, 2389 },
+	{ 2414, 2408 },
+	{ 2415, 2409 },
+	{ 2416, 2410 },
+	{ 2417, 2411 },
+	{ 2418, 2412 },
+	{ 2419, 2413 },
+	{ 2420, 2408 },
+	{ 2421, 2409 },
+	{ 2422, 2410 },
+	{ 2423, 2411 },
+	{ 2424, 2412 },
+	{ 2425, 2413 },
+	{ 2462, 2456 },
+	{ 2463, 2457 },
+	{ 2464, 2458 },
+	{ 2465, 2459 },
+	{ 2466, 2460 },
+	{ 2467, 2461 },
+	{ 2468, 2456 },
+	{ 2469, 2457 },
+	{ 2470, 2458 },
+	{ 2471, 2459 },
+	{ 2472, 2460 },
+	{ 2473, 2461 },
+	{ 2487, 2481 },
+	{ 2488, 2482 },
+	{ 2489, 2483 },
+	{ 2490, 2484 },
+	{ 2491, 2485 },
+	{ 2492, 2486 },
+	{ 2493, 2481 },
+	{ 2494, 2482 },
+	{ 2495, 2483 },
+	{ 2496, 2484 },
+	{ 2497, 2485 },
+	{ 2498, 2486 },
+	{ 2518, 2509 },
+	{ 2519, 2510 },
+	{ 2520, 2511 },
+	{ 2521, 2512 },
+	{ 2522, 2513 },
+	{ 2523, 2514 },
+	{ 2524, 2515 },
+	{ 2525, 2516 },
+	{ 2526, 2517 },
+	{ 2527, 2509 },
+	{ 2529, 2511 },
+	{ 2530, 2512 },
+	{ 2532, 2514 },
+	{ 2533, 2515 },
+	{ 2534, 2516 },
+	{ 2535, 2517 },
+	{ 2544, 2546 },
+	{ 2545, 2546 },
+	{ 2564, 2563 },
+	{ 2565, 2563 },
+	{ 2595, 2594 },
+	{ 2596, 2594 },
+	{ 2617, 2611 },
+	{ 2618, 2612 },
+	{ 2619, 2613 },
+	{ 2620, 2614 },
+	{ 2621, 2615 },
+	{ 2622, 2616 },
+	{ 2623, 2611 },
+	{ 2624, 2612 },
+	{ 2625, 2613 },
+	{ 2626, 2614 },
+	{ 2627, 2615 },
+	{ 2628, 2616 },
+	{ 2639, 2635 },
+	{ 2640, 2636 },
+	{ 2641, 2637 },
+	{ 2642, 2638 },
+	{ 2643, 2635 },
+	{ 2644, 2636 },
+	{ 2645, 2637 },
+	{ 2646, 2638 },
+	{ 2657, 2651 },
+	{ 2658, 2652 },
+	{ 2659, 2653 },
+	{ 2660, 2654 },
+	{ 2661, 2655 },
+	{ 2662, 2656 },
+	{ 2663, 2651 },
+	{ 2664, 2652 },
+	{ 2665, 2653 },
+	{ 2666, 2654 },
+	{ 2667, 2655 },
+	{ 2668, 2656 },
+	{ 2679, 2675 },
+	{ 2680, 2676 },
+	{ 2681, 2677 },
+	{ 2682, 2678 },
+	{ 2683, 2675 },
+	{ 2684, 2676 },
+	{ 2685, 2677 },
+	{ 2686, 2678 },
+	{ 2696, 2695 },
+	{ 2697, 2695 },
+	{ 2705, 2704 },
+	{ 2706, 2703 },
+	{ 2707, 2704 },
+	{ 2710, 2709 },
+	{ 2711, 2709 },
+	{ 2735, 2737 },
+	{ 2736, 2737 },
+	{ 2739, 2738 },
+	{ 2740, 2738 },
+	{ 2771, 2762 },
+	{ 2772, 2763 },
+	{ 2773, 2764 },
+	{ 2774, 2765 },
+	{ 2775, 2766 },
+	{ 2776, 2767 },
+	{ 2777, 2768 },
+	{ 2778, 2769 },
+	{ 2779, 2770 },
+	{ 2780, 2762 },
+	{ 2782, 2764 },
+	{ 2783, 2765 },
+	{ 2785, 2767 },
+	{ 2786, 2768 },
+	{ 2787, 2769 },
+	{ 2788, 2770 },
+	{ 2804, 2803 },
+	{ 2815, 2812 },
+	{ 2816, 2813 },
+	{ 2817, 2814 },
+	{ 2830, 2829 },
+	{ 2844, 2841 },
+	{ 2845, 2842 },
+	{ 2846, 2843 },
+	{ 2878, 2871 },
+	{ 2879, 2872 },
+	{ 2880, 2873 },
+	{ 2881, 2874 },
+	{ 2882, 2875 },
+	{ 2883, 2876 },
+	{ 2884, 2877 },
+	{ 2885, 2871 },
+	{ 2890, 2876 },
+	{ 2891, 2877 },
+	{ 2913, 2911 },
+	{ 2914, 2912 },
+	{ 2915, 2911 },
+	{ 2916, 2912 },
+	{ 4190, 4157 },
+	{ 4191, 4158 },
+	{ 4192, 4159 },
+	{ 4193, 4160 },
+	{ 4194, 4161 },
+	{ 4195, 4162 },
+	{ 4196, 4163 },
+	{ 4197, 4164 },
+	{ 4198, 4165 },
+	{ 4199, 4166 },
+	{ 4200, 4167 },
+	{ 4201, 4168 },
+	{ 4202, 4169 },
+	{ 4203, 4170 },
+	{ 4204, 4171 },
+	{ 4205, 4172 },
+	{ 4206, 4173 },
+	{ 4207, 4174 },
+	{ 4208, 4175 },
+	{ 4209, 4176 },
+	{ 4210, 4177 },
+	{ 4211, 4178 },
+	{ 4212, 4179 },
+	{ 4213, 4180 },
+	{ 4214, 4181 },
+	{ 4215, 4182 },
+	{ 4216, 4183 },
+	{ 4217, 4184 },
+	{ 4218, 4185 },
+	{ 4219, 4186 },
+	{ 4220, 4187 },
+	{ 4221, 4188 },
+	{ 4222, 4189 },
+	{ 4223, 4157 },
+	{ 4224, 4158 },
+	{ 4225, 4159 },
+	{ 4226, 4160 },
+	{ 4227, 4161 },
+	{ 4228, 4162 },
+	{ 4229, 4163 },
+	{ 4230, 4164 },
+	{ 4231, 4165 },
+	{ 4232, 4166 },
+	{ 4233, 4167 },
+	{ 4234, 4168 },
+	{ 4235, 4169 },
+	{ 4236, 4170 },
+	{ 4237, 4171 },
+	{ 4238, 4172 },
+	{ 4239, 4173 },
+	{ 4240, 4174 },
+	{ 4241, 4175 },
+	{ 4242, 4176 },
+	{ 4243, 4177 },
+	{ 4244, 4178 },
+	{ 4245, 4179 },
+	{ 4246, 4180 },
+	{ 4247, 4181 },
+	{ 4248, 4182 },
+	{ 4249, 4183 },
+	{ 4250, 4184 },
+	{ 4251, 4185 },
+	{ 4252, 4186 },
+	{ 4253, 4187 },
+	{ 4254, 4188 },
+	{ 4255, 4189 },
+	{ 4600, 4629 },
+	{ 4601, 4630 },
+	{ 4611, 4631 },
+	{ 4612, 4632 },
+	{ 4721, 4731 },
+	{ 4722, 4732 },
+	{ 4729, 4733 },
+	{ 4730, 4734 },
+	{ 4787, 4809 },
+	{ 4788, 4810 },
+	{ 4789, 4811 },
+	{ 4792, 4812 },
+	{ 4793, 4813 },
+	{ 4794, 4814 },
+	{ 4795, 4815 },
+	{ 4798, 4816 },
+	{ 4799, 4817 },
+	{ 4800, 4818 },
+	{ 4801, 4819 },
+	{ 4804, 4820 },
+	{ 4805, 4821 },
+	{ 4806, 4822 },
+	{ 4807, 4823 },
+	{ 4808, 4824 },
+	{ 4871, 4893 },
+	{ 4872, 4894 },
+	{ 4873, 4895 },
+	{ 4876, 4896 },
+	{ 4877, 4897 },
+	{ 4878, 4898 },
+	{ 4879, 4899 },
+	{ 4882, 4900 },
+	{ 4883, 4901 },
+	{ 4884, 4902 },
+	{ 4885, 4903 },
+	{ 4888, 4904 },
+	{ 4889, 4905 },
+	{ 4890, 4906 },
+	{ 4891, 4907 },
+	{ 4892, 4908 },
+	{ 4939, 4949 },
+	{ 4940, 4950 },
+	{ 4945, 4947 },
+	{ 4946, 4948 },
+	{ 5077, 5079 },
+	{ 5096, 5098 },
+	{ 5097, 5099 },
+	{ 5112, 5114 },
+	{ 5113, 5115 },
+	{ 5398, 5408 },
+	{ 5399, 5409 },
+	{ 5406, 5410 },
+	{ 5407, 5411 },
+	{ 5477, 5487 },
+	{ 5478, 5488 },
+	{ 5485, 5489 },
+	{ 5486, 5490 },
+	{ 5611, 5616 },
+	{ 5612, 5617 },
+	{ 5613, 5618 },
+	{ 5614, 5619 },
+	{ 5615, 5620 },
+	{ 5635, 5638 },
+	{ 5636, 5639 },
+	{ 5637, 5640 },
+	{ 5647, 5650 },
+	{ 5648, 5651 },
+	{ 5649, 5652 },
+	{ 5699, 5725 },
+	{ 5700, 5726 },
+	{ 5701, 5727 },
+	{ 5710, 5728 },
+	{ 5711, 5729 },
+	{ 5712, 5730 },
+	{ 5783, 5809 },
+	{ 5784, 5810 },
+	{ 5785, 5811 },
+	{ 5794, 5812 },
+	{ 5795, 5813 },
+	{ 5796, 5814 },
+	{ 5832, 5861 },
+	{ 5833, 5862 },
+	{ 5843, 5863 },
+	{ 5844, 5864 },
+	{ 6179, 6177 },
+	{ 6180, 6178 },
+	{ 6181, 6177 },
+	{ 6182, 6178 },
+	{ 6190, 6187 },
+	{ 6192, 6188 },
+	{ 6193, 6189 },
+	{ 6194, 6187 },
+	{ 6195, 6188 },
+	{ 6196, 6189 },
+	{ 6217, 6208 },
+	{ 6218, 6209 },
+	{ 6219, 6210 },
+	{ 6220, 6211 },
+	{ 6221, 6212 },
+	{ 6222, 6213 },
+	{ 6223, 6214 },
+	{ 6224, 6215 },
+	{ 6225, 6216 },
+	{ 6226, 6208 },
+	{ 6228, 6210 },
+	{ 6229, 6211 },
+	{ 6231, 6213 },
+	{ 6232, 6214 },
+	{ 6233, 6215 },
+	{ 6234, 6216 },
 };
 
-static const unsigned X86InstrNameIndices[] = {
-    8864U, 9991U, 9612U, 9625U, 9603U, 9673U, 8750U, 8765U, 
-    8573U, 8807U, 10399U, 8550U, 8427U, 12053U, 8467U, 10529U, 
-    8354U, 10050U, 10509U, 8041U, 6851U, 6872U, 10301U, 8685U, 
-    3187U, 4999U, 456U, 24174U, 22540U, 23415U, 24395U, 5950U, 
-    15500U, 7186U, 36640U, 16877U, 7541U, 23841U, 40099U, 11359U, 
-    2147U, 15227U, 6924U, 35939U, 16621U, 7345U, 22003U, 38132U, 
-    10827U, 2220U, 2318U, 7055U, 36108U, 2450U, 7443U, 22707U, 
-    38823U, 10923U, 6844U, 15667U, 36822U, 17019U, 24340U, 40624U, 
-    11453U, 22556U, 38672U, 23431U, 39562U, 5959U, 15513U, 7209U, 
-    36661U, 16885U, 7559U, 8171U, 8194U, 23850U, 40116U, 8227U, 
-    11371U, 2156U, 15240U, 6947U, 35960U, 16629U, 7363U, 8147U, 
-    8183U, 22054U, 38191U, 8205U, 10839U, 2229U, 2333U, 7078U, 
-    36129U, 2460U, 8134U, 7461U, 8159U, 22758U, 38882U, 8216U, 
-    10935U, 6858U, 15679U, 36834U, 17026U, 7629U, 24348U, 40632U, 
-    11464U, 25168U, 41540U, 27083U, 43521U, 25621U, 50993U, 41976U, 
-    51587U, 27517U, 51071U, 43943U, 51665U, 25116U, 41488U, 27031U, 
-    43469U, 19493U, 20203U, 20539U, 19575U, 656U, 33440U, 3089U, 
-    19800U, 4901U, 20410U, 2809U, 358U, 2583U, 4264U, 2921U, 
-    4516U, 222U, 2669U, 4350U, 68U, 593U, 1774U, 3782U, 
-    1804U, 3821U, 22583U, 38699U, 23458U, 39589U, 27697U, 44131U, 
-    24717U, 41097U, 27711U, 44145U, 24737U, 41117U, 24727U, 41107U, 
-    24305U, 40589U, 5968U, 15526U, 7223U, 36674U, 16893U, 7568U, 
-    23858U, 40124U, 11383U, 2165U, 15253U, 6961U, 35973U, 16637U, 
-    7372U, 22062U, 38199U, 10851U, 2238U, 2348U, 7092U, 36142U, 
-    2470U, 7470U, 22766U, 38890U, 10947U, 6865U, 15691U, 36846U, 
-    17033U, 7637U, 24355U, 40639U, 11475U, 22287U, 38424U, 23141U, 
-    39265U, 25284U, 41635U, 27199U, 43638U, 25186U, 41558U, 27101U, 
-    43539U, 36692U, 40227U, 1462U, 5615U, 1655U, 3548U, 1474U, 
-    6698U, 5827U, 1984U, 4000U, 1560U, 6784U, 5662U, 1745U, 
-    3741U, 1499U, 6727U, 5625U, 1665U, 3558U, 1486U, 6707U, 
-    5693U, 1841U, 3863U, 1537U, 6755U, 1450U, 1524U, 5837U, 
-    1994U, 4010U, 1572U, 6793U, 5672U, 1755U, 3751U, 1511U, 
-    6736U, 5702U, 1850U, 3882U, 1548U, 6763U, 10309U, 540U, 
-    563U, 22388U, 38540U, 23255U, 39403U, 15261U, 16645U, 15395U, 
-    16769U, 22236U, 38373U, 23090U, 39214U, 22177U, 38314U, 22929U, 
-    39053U, 22011U, 38140U, 22715U, 38831U, 22204U, 38341U, 23058U, 
-    39182U, 22398U, 38550U, 23265U, 39413U, 16248U, 17902U, 16301U, 
-    17955U, 725U, 769U, 737U, 781U, 22248U, 38385U, 23102U, 
-    39226U, 22195U, 38332U, 23049U, 39173U, 22021U, 38150U, 22725U, 
-    38841U, 22215U, 38352U, 23069U, 39193U, 22379U, 38523U, 23246U, 
-    39386U, 24070U, 22415U, 23931U, 40197U, 22147U, 38284U, 22899U, 
-    39023U, 24054U, 40336U, 22371U, 38515U, 23238U, 39378U, 34204U, 
-    34697U, 7286U, 36750U, 7613U, 40381U, 7024U, 36040U, 7417U, 
-    38588U, 7155U, 36235U, 7515U, 39486U, 7195U, 36648U, 7550U, 
-    40107U, 6933U, 35947U, 7354U, 38171U, 7064U, 36116U, 7452U, 
-    38862U, 7268U, 36734U, 7595U, 40344U, 7006U, 36024U, 7399U, 
-    38532U, 7137U, 36219U, 7497U, 39395U, 7277U, 36742U, 7604U, 
-    40363U, 7015U, 36032U, 7408U, 38570U, 7146U, 36227U, 7506U, 
-    39468U, 22186U, 38323U, 22938U, 39062U, 20594U, 34824U, 19630U, 
-    34188U, 20274U, 2533U, 34681U, 6013U, 2547U, 11775U, 10109U, 
-    8496U, 8691U, 3196U, 5008U, 465U, 8282U, 8314U, 8345U, 
-    8846U, 8854U, 8868U, 10424U, 8318U, 23805U, 40063U, 21967U, 
-    38096U, 22671U, 38787U, 23866U, 40132U, 22070U, 38207U, 22822U, 
-    38946U, 23831U, 40089U, 21993U, 38122U, 22697U, 38813U, 23877U, 
-    40143U, 22081U, 38218U, 22833U, 38957U, 8630U, 3111U, 4923U, 
-    380U, 8612U, 3078U, 4890U, 347U, 23921U, 40187U, 22137U, 
-    38274U, 22889U, 39013U, 8648U, 3135U, 4947U, 404U, 23951U, 
-    40217U, 22167U, 38304U, 22919U, 39043U, 23888U, 40154U, 22092U, 
-    38229U, 22844U, 38968U, 23978U, 40253U, 22277U, 38414U, 23131U, 
-    39255U, 23899U, 40165U, 22103U, 38240U, 22855U, 38979U, 8620U, 
-    3098U, 4910U, 367U, 8603U, 3057U, 4869U, 326U, 23910U, 
-    40176U, 22114U, 38251U, 22866U, 38990U, 8639U, 3123U, 4935U, 
-    392U, 23988U, 40270U, 22296U, 38440U, 23150U, 39274U, 24017U, 
-    40299U, 22334U, 38478U, 23188U, 39312U, 8668U, 3164U, 4976U, 
-    433U, 24105U, 40352U, 22450U, 38559U, 23290U, 39422U, 23999U, 
-    40281U, 22307U, 38451U, 23161U, 39285U, 24028U, 40310U, 22345U, 
-    38489U, 23199U, 39323U, 8677U, 3176U, 4988U, 445U, 24124U, 
-    40371U, 22469U, 38578U, 23344U, 39476U, 1821U, 3853U, 5683U, 
-    1831U, 6746U, 1793U, 3801U, 44U, 1696U, 3639U, 3698U, 
-    1685U, 3650U, 3709U, 1708U, 3661U, 3720U, 5977U, 15566U, 
-    7232U, 36701U, 16940U, 7577U, 24009U, 40291U, 11395U, 2174U, 
-    15304U, 6970U, 35991U, 16695U, 7381U, 22326U, 38470U, 10863U, 
-    2257U, 2358U, 7101U, 36173U, 2480U, 7479U, 23180U, 39304U, 
-    10959U, 6879U, 15726U, 36853U, 17074U, 24373U, 40663U, 11486U, 
-    16280U, 50201U, 17934U, 50454U, 16333U, 50215U, 17987U, 50468U, 
-    5796U, 1944U, 3933U, 6778U, 25718U, 50634U, 42073U, 50660U, 
-    27604U, 50647U, 44030U, 50673U, 8102U, 23939U, 40205U, 22155U, 
-    38292U, 22907U, 39031U, 8114U, 24362U, 40646U, 25635U, 41990U, 
-    27531U, 43957U, 33470U, 35172U, 35135U, 33460U, 8697U, 3205U, 
-    5017U, 474U, 1647U, 3540U, 10041U, 6025U, 2773U, 7818U, 
-    6093U, 3277U, 7929U, 4491U, 7829U, 5089U, 7940U, 11993U, 
-    25048U, 41433U, 26984U, 43435U, 26474U, 42939U, 26935U, 43386U, 
-    26499U, 42978U, 25060U, 41445U, 22985U, 39109U, 26217U, 42624U, 
-    27370U, 43796U, 22779U, 38903U, 25477U, 41832U, 23306U, 39438U, 
-    27413U, 43839U, 25508U, 41863U, 23036U, 39160U, 26262U, 42669U, 
-    26462U, 42926U, 26486U, 42965U, 22952U, 39076U, 26188U, 42595U, 
-    23003U, 39127U, 26233U, 42640U, 8395U, 8449U, 8045U, 10305U, 
-    11911U, 20485U, 34765U, 34918U, 34265U, 19465U, 34120U, 20758U, 
-    34938U, 19770U, 34295U, 20175U, 34622U, 20783U, 34958U, 20716U, 
-    34885U, 19763U, 34250U, 20393U, 34743U, 20820U, 34999U, 25398U, 
-    41736U, 27325U, 43764U, 19530U, 20240U, 20570U, 19606U, 690U, 
-    33492U, 19840U, 20450U, 2858U, 2620U, 4301U, 2961U, 4556U, 
-    262U, 2709U, 4390U, 108U, 624U, 25739U, 51032U, 42094U, 
-    51626U, 27640U, 51110U, 44066U, 51704U, 19549U, 20258U, 20581U, 
-    19617U, 702U, 33503U, 3233U, 19860U, 5045U, 20470U, 2896U, 
-    502U, 2644U, 4325U, 2975U, 4570U, 276U, 2723U, 4404U, 
-    122U, 635U, 16271U, 17925U, 16324U, 17978U, 12003U, 10031U, 
-    3762U, 3242U, 5054U, 3260U, 5072U, 33416U, 10239U, 12013U, 
-    37366U, 43707U, 10120U, 8878U, 812U, 15174U, 20591U, 15153U, 
-    19627U, 3731U, 15185U, 20640U, 15164U, 19684U, 3812U, 20838U, 
-    21010U, 19646U, 20290U, 19694U, 20326U, 10086U, 10093U, 10367U, 
-    8454U, 20610U, 19654U, 20650U, 19703U, 10101U, 20723U, 21170U, 
-    8399U, 10429U, 3351U, 3358U, 8872U, 11838U, 10482U, 10071U, 
-    20732U, 34908U, 21192U, 9936U, 9810U, 9873U, 9957U, 9831U, 
-    9894U, 9915U, 9789U, 9852U, 10005U, 9978U, 805U, 10012U, 
-    10501U, 21026U, 20956U, 8460U, 9984U, 10382U, 21178U, 12023U, 
-    9722U, 10253U, 3872U, 8566U, 3630U, 10441U, 11832U, 818U, 
-    8898U, 25294U, 41645U, 27209U, 43648U, 25195U, 41567U, 27110U, 
-    43548U, 8367U, 10390U, 25095U, 27019U, 25356U, 41694U, 27271U, 
-    43697U, 25083U, 27007U, 25345U, 41683U, 27260U, 43686U, 8302U, 
-    12033U, 25167U, 41539U, 27082U, 43520U, 10497U, 25128U, 41500U, 
-    27043U, 43481U, 20715U, 34884U, 19762U, 34249U, 20392U, 34742U, 
-    20819U, 34998U, 20504U, 19502U, 20212U, 2988U, 4583U, 289U, 
-    2736U, 4417U, 135U, 2821U, 4454U, 172U, 20602U, 34832U, 
-    23969U, 16214U, 7316U, 40244U, 17868U, 7807U, 19638U, 34196U, 
-    22268U, 16191U, 7294U, 38405U, 17845U, 7741U, 20282U, 34689U, 
-    23122U, 2418U, 7305U, 39246U, 2521U, 7774U, 20806U, 34985U, 
-    5667U, 16933U, 40263U, 1750U, 16688U, 38433U, 6732U, 17068U, 
-    40657U, 20497U, 34772U, 34928U, 34275U, 19477U, 34127U, 20768U, 
-    34948U, 19780U, 34305U, 20187U, 34629U, 20794U, 34964U, 27281U, 
-    43720U, 10150U, 8885U, 10505U, 837U, 3470U, 10045U, 8390U, 
-    1975U, 3966U, 8821U, 1585U, 3493U, 1627U, 3520U, 1637U, 
-    3530U, 5820U, 1968U, 3940U, 20629U, 19673U, 20308U, 3012U, 
-    4607U, 313U, 2760U, 4441U, 159U, 2883U, 4478U, 196U, 
-    20513U, 19540U, 20619U, 19663U, 20298U, 3000U, 4595U, 301U, 
-    2748U, 4429U, 147U, 2871U, 4466U, 184U, 25726U, 42081U, 
-    27612U, 44038U, 25672U, 42027U, 27568U, 43994U, 27381U, 43807U, 
-    22792U, 38916U, 25488U, 41843U, 23319U, 39451U, 27424U, 43850U, 
-    25519U, 41874U, 22966U, 39090U, 26200U, 42607U, 23017U, 39141U, 
-    26245U, 42652U, 35860U, 25644U, 41999U, 27540U, 43966U, 25713U, 
-    42068U, 27599U, 44025U, 31122U, 47478U, 25658U, 42013U, 32462U, 
-    48841U, 27554U, 43980U, 27365U, 43791U, 30359U, 46742U, 22774U, 
-    38898U, 31038U, 47394U, 25472U, 41827U, 30542U, 46925U, 23301U, 
-    39433U, 32391U, 48770U, 27408U, 43834U, 25503U, 41858U, 30398U, 
-    46781U, 22947U, 39071U, 31390U, 47801U, 26183U, 42590U, 30468U, 
-    46851U, 31452U, 47863U, 30433U, 46816U, 22998U, 39122U, 31421U, 
-    47832U, 26228U, 42635U, 30505U, 46888U, 31485U, 47896U, 30378U, 
-    46761U, 31055U, 47411U, 30561U, 46944U, 32408U, 48787U, 31106U, 
-    47462U, 25629U, 41984U, 32446U, 48825U, 27525U, 43951U, 852U, 
-    3386U, 5411U, 842U, 3376U, 5401U, 858U, 3392U, 5417U, 
-    847U, 3381U, 5406U, 13908U, 2109U, 4160U, 870U, 3404U, 
-    5429U, 864U, 3398U, 5423U, 887U, 3421U, 5446U, 875U, 
-    3409U, 5434U, 892U, 3426U, 5451U, 20643U, 34840U, 19687U, 
-    34213U, 20319U, 34706U, 913U, 3447U, 5481U, 881U, 3415U, 
-    5440U, 902U, 3436U, 5470U, 919U, 3453U, 5487U, 930U, 
-    3464U, 5498U, 897U, 3431U, 5465U, 908U, 3442U, 5476U, 
-    13913U, 925U, 3459U, 5493U, 44520U, 44359U, 18438U, 21844U, 
-    35898U, 18446U, 18624U, 44690U, 44722U, 44593U, 8082U, 11761U, 
-    8089U, 11768U, 17483U, 17503U, 44261U, 44600U, 44609U, 8593U, 
-    24038U, 40320U, 22355U, 38499U, 23222U, 39346U, 5651U, 8101U, 
-    1734U, 3687U, 6717U, 8113U, 27734U, 10262U, 24062U, 22407U, 
-    534U, 799U, 19503U, 20213U, 18824U, 1432U, 3475U, 26U, 
-    1441U, 3484U, 35U, 19810U, 4418U, 136U, 20420U, 173U, 
-    18851U, 42417U, 34758U, 34113U, 34285U, 34615U, 8560U, 3613U, 
-    24081U, 22426U, 8406U, 24089U, 22434U, 23274U, 20660U, 19723U, 
-    20345U, 24097U, 22442U, 23282U, 20676U, 19739U, 20361U, 20692U, 
-    34861U, 20742U, 34892U, 15508U, 7204U, 36656U, 15235U, 6942U, 
-    35955U, 2328U, 7073U, 36124U, 15674U, 36829U, 15521U, 7218U, 
-    36669U, 15248U, 6956U, 35968U, 2343U, 7087U, 36137U, 15686U, 
-    36841U, 20480U, 19460U, 20170U, 20778U, 20492U, 19472U, 20182U, 
-    20789U, 15619U, 7255U, 36722U, 15357U, 6993U, 36012U, 2383U, 
-    7124U, 36207U, 15773U, 36872U, 11970U, 15487U, 7172U, 36627U, 
-    15214U, 6910U, 35926U, 2303U, 7041U, 36095U, 15655U, 36810U, 
-    15606U, 7241U, 36709U, 15344U, 6979U, 35999U, 2368U, 7110U, 
-    36194U, 15761U, 36860U, 8258U, 9684U, 10126U, 11802U, 10076U, 
-    8490U, 8483U, 9634U, 10113U, 11789U, 9708U, 10144U, 11826U, 
-    23961U, 40236U, 22260U, 38397U, 23114U, 39238U, 24116U, 22461U, 
-    23336U, 21040U, 35219U, 5607U, 1619U, 3512U, 6691U, 24145U, 
-    40399U, 22490U, 38606U, 23365U, 39504U, 10558U, 3987U, 25157U, 
-    41529U, 27072U, 43510U, 25611U, 41966U, 27507U, 43933U, 25420U, 
-    41745U, 27347U, 43773U, 25758U, 51045U, 42113U, 51639U, 27658U, 
-    51123U, 44084U, 51717U, 8413U, 25147U, 41519U, 27062U, 43500U, 
-    25601U, 41956U, 27497U, 43923U, 25306U, 41657U, 27221U, 43660U, 
-    25696U, 51019U, 42051U, 51613U, 27591U, 51097U, 44017U, 51691U, 
-    32907U, 49334U, 32795U, 49222U, 33067U, 49494U, 32940U, 49367U, 
-    32890U, 49317U, 32923U, 49350U, 10373U, 10158U, 3838U, 39616U, 
-    48966U, 36150U, 22809U, 38933U, 39635U, 42835U, 42790U, 37287U, 
-    42950U, 39354U, 36181U, 23209U, 39333U, 4661U, 5203U, 4719U, 
-    5261U, 4778U, 5320U, 33157U, 49584U, 32725U, 49152U, 32741U, 
-    49168U, 32583U, 49010U, 32770U, 49197U, 32982U, 49409U, 32638U, 
-    49065U, 33243U, 49670U, 32667U, 49094U, 33286U, 49713U, 33144U, 
-    49571U, 32553U, 48980U, 32956U, 49383U, 32783U, 49210U, 32596U, 
-    49023U, 33173U, 49600U, 32609U, 49036U, 32811U, 49238U, 33214U, 
-    49641U, 32682U, 49109U, 32826U, 49253U, 33315U, 49742U, 18259U, 
-    4826U, 5368U, 4748U, 5290U, 4690U, 5232U, 4675U, 5217U, 
-    4810U, 5352U, 4733U, 5275U, 16590U, 18244U, 4792U, 5334U, 
-    32841U, 49268U, 33301U, 49728U, 32711U, 49138U, 33257U, 49684U, 
-    32697U, 49124U, 40800U, 4842U, 5384U, 33330U, 49757U, 33186U, 
-    49613U, 33200U, 49627U, 33029U, 49456U, 33044U, 49471U, 33083U, 
-    49510U, 4631U, 5173U, 15928U, 17406U, 4646U, 5188U, 4704U, 
-    5246U, 4763U, 5305U, 17199U, 24961U, 41346U, 17312U, 26706U, 
-    43172U, 17450U, 28000U, 44477U, 17168U, 24786U, 41184U, 17394U, 
-    27779U, 44204U, 17220U, 24992U, 41377U, 17333U, 26727U, 43193U, 
-    17471U, 28031U, 44508U, 32570U, 48997U, 32757U, 49184U, 32969U, 
-    49396U, 32624U, 49051U, 33229U, 49656U, 32652U, 49079U, 33271U, 
-    49698U, 33131U, 49558U, 33097U, 49524U, 32995U, 49422U, 32856U, 
-    49283U, 33114U, 49541U, 33012U, 49439U, 32873U, 49300U, 33055U, 
-    49482U, 10245U, 50022U, 9714U, 10456U, 0U, 6083U, 5861U, 
-    15640U, 36765U, 50100U, 13977U, 5898U, 16997U, 50418U, 24182U, 
-    40436U, 11419U, 50124U, 33408U, 50076U, 3037U, 5848U, 35828U, 
-    35844U, 15378U, 36067U, 50084U, 13937U, 5885U, 749U, 15063U, 
-    15119U, 16752U, 4217U, 50393U, 22548U, 38664U, 10887U, 50108U, 
-    33392U, 50060U, 6073U, 3047U, 4859U, 7912U, 35836U, 35852U, 
-    2408U, 36262U, 50092U, 13967U, 13947U, 13957U, 13987U, 15071U, 
-    15127U, 16844U, 2511U, 23423U, 39554U, 10983U, 50116U, 33400U, 
-    50068U, 42551U, 25786U, 42141U, 7921U, 5874U, 15792U, 36891U, 
-    11872U, 13996U, 5911U, 17124U, 50442U, 24403U, 11859U, 40692U, 
-    11885U, 11508U, 37043U, 25086U, 41469U, 11534U, 37336U, 27010U, 
-    43459U, 11575U, 36682U, 23878U, 35981U, 22082U, 36163U, 22834U, 
-    26341U, 42756U, 26101U, 42494U, 27397U, 43823U, 36899U, 24423U, 
-    40700U, 11520U, 37422U, 27743U, 44168U, 11616U, 43594U, 37053U, 
-    25231U, 37346U, 27146U, 43571U, 37063U, 25274U, 37356U, 27189U, 
-    41590U, 43582U, 24411U, 37258U, 36270U, 37230U, 37073U, 37379U, 
-    8376U, 10416U, 34134U, 37193U, 42469U, 37218U, 42507U, 39666U, 
-    26114U, 8276U, 37150U, 25748U, 42103U, 11562U, 36283U, 39653U, 
-    26352U, 42767U, 9702U, 26364U, 42779U, 10138U, 37206U, 42482U, 
-    37413U, 27649U, 44075U, 11603U, 11820U, 7873U, 7984U, 6037U, 
-    7840U, 6105U, 7951U, 6061U, 3025U, 7862U, 6129U, 3339U, 
-    7973U, 37084U, 25376U, 41714U, 11548U, 37390U, 27303U, 43742U, 
-    11589U, 26141U, 42534U, 26127U, 42520U, 7884U, 7995U, 7895U, 
-    8006U, 6049U, 7851U, 6117U, 7962U, 10171U, 10199U, 10185U, 
-    10212U, 16343U, 17997U, 20603U, 34833U, 19639U, 34197U, 20283U, 
-    34690U, 20807U, 34986U, 25265U, 41626U, 27180U, 43629U, 25686U, 
-    51006U, 42041U, 51600U, 27582U, 51084U, 44008U, 51678U, 22574U, 
-    38690U, 23449U, 39580U, 19511U, 20221U, 20549U, 19585U, 667U, 
-    33450U, 3146U, 19819U, 4958U, 20429U, 2833U, 415U, 2595U, 
-    4276U, 2934U, 4529U, 235U, 2682U, 4363U, 81U, 603U, 
-    44112U, 20522U, 34809U, 19558U, 34173U, 20267U, 34666U, 20800U, 
-    34979U, 10081U, 5504U, 5548U, 6339U, 6383U, 5526U, 5570U, 
-    6361U, 6415U, 5516U, 5560U, 6351U, 6395U, 5538U, 5582U, 
-    6373U, 6427U, 9678U, 8023U, 13919U, 14004U, 15045U, 15079U, 
-    15135U, 11796U, 8032U, 13928U, 14013U, 15054U, 15088U, 15144U, 
-    20708U, 34877U, 19755U, 34242U, 20385U, 34735U, 20813U, 34992U, 
-    5987U, 15599U, 7247U, 36715U, 16973U, 7587U, 24047U, 40329U, 
-    11408U, 2184U, 15337U, 6985U, 36005U, 15106U, 16728U, 7391U, 
-    22364U, 38508U, 10876U, 2267U, 2374U, 7116U, 36200U, 2491U, 
-    7489U, 23231U, 39371U, 10972U, 6887U, 15755U, 36866U, 17103U, 
-    7646U, 24381U, 40671U, 11498U, 25328U, 41666U, 27243U, 43669U, 
-    35883U, 40428U, 35875U, 38635U, 35891U, 40685U, 8270U, 9696U, 
-    11814U, 6468U, 6580U, 6480U, 6592U, 6492U, 6604U, 27924U, 
-    44368U, 24666U, 41046U, 27936U, 44380U, 24678U, 41058U, 24451U, 
-    40728U, 24883U, 41281U, 26522U, 43001U, 24529U, 40909U, 28085U, 
-    44628U, 24560U, 40940U, 28116U, 44659U, 27915U, 44351U, 24291U, 
-    40575U, 26316U, 42723U, 25005U, 41390U, 8544U, 24470U, 40780U, 
-    24570U, 40950U, 27956U, 44433U, 713U, 757U, 16355U, 18009U, 
-    26606U, 43085U, 24498U, 40835U, 25439U, 41764U, 26740U, 43206U, 
-    28054U, 44540U, 9762U, 8780U, 26158U, 42565U, 9728U, 8717U, 
-    24259U, 40543U, 24591U, 40971U, 25813U, 42168U, 26797U, 43260U, 
-    28137U, 44680U, 9776U, 8794U, 26171U, 42578U, 9745U, 8734U, 
-    24275U, 40559U, 22317U, 38461U, 23171U, 39295U, 22519U, 38643U, 
-    23394U, 39533U, 36993U, 40889U, 37140U, 41818U, 37278U, 43227U, 
-    37525U, 17515U, 11630U, 24935U, 41320U, 27974U, 44451U, 24689U, 
-    41069U, 24864U, 41262U, 26678U, 43144U, 26053U, 42395U, 27676U, 
-    44102U, 28225U, 44787U, 26324U, 42731U, 26298U, 42705U, 24697U, 
-    41077U, 24706U, 41086U, 21933U, 38062U, 22648U, 38764U, 26332U, 
-    42739U, 21944U, 38073U, 27686U, 44120U, 26911U, 43361U, 24635U, 
-    41015U, 24873U, 41271U, 6534U, 6646U, 27905U, 44341U, 6563U, 
-    6675U, 24822U, 41220U, 6520U, 6632U, 27862U, 44298U, 24927U, 
-    41312U, 27947U, 44424U, 24509U, 40879U, 25463U, 41808U, 26764U, 
-    43217U, 16367U, 18021U, 6504U, 6616U, 25933U, 42275U, 24581U, 
-    40961U, 25757U, 42112U, 28127U, 44670U, 24644U, 41024U, 25858U, 
-    42213U, 28193U, 44755U, 24539U, 40919U, 25695U, 42050U, 28095U, 
-    44638U, 24626U, 41006U, 25848U, 42203U, 28183U, 44745U, 40789U, 
-    24841U, 41239U, 26439U, 42902U, 27881U, 44317U, 26655U, 43121U, 
-    26030U, 42372U, 26888U, 43338U, 24853U, 41251U, 26451U, 42914U, 
-    27893U, 44329U, 26667U, 43133U, 26042U, 42384U, 26900U, 43350U, 
-    26568U, 43047U, 6548U, 6660U, 28064U, 44583U, 28172U, 44734U, 
-    27965U, 44442U, 24974U, 41359U, 28013U, 44490U, 26644U, 43110U, 
-    34847U, 21900U, 38015U, 34220U, 21862U, 37977U, 34713U, 21881U, 
-    37996U, 5600U, 1603U, 24134U, 40388U, 22479U, 38595U, 23354U, 
-    39493U, 5737U, 1885U, 5754U, 1902U, 5644U, 1727U, 3680U, 
-    5771U, 1919U, 3908U, 5788U, 1936U, 3925U, 5812U, 1960U, 
-    26921U, 43371U, 8837U, 8070U, 572U, 826U, 3365U, 11779U, 
-    27803U, 44228U, 24460U, 40770U, 15828U, 17181U, 15942U, 17420U, 
-    15953U, 17431U, 24488U, 40825U, 25013U, 41398U, 28044U, 44530U, 
-    17284U, 17191U, 24953U, 41338U, 17304U, 26698U, 43164U, 17442U, 
-    27992U, 44469U, 17160U, 24778U, 41176U, 17386U, 27771U, 44196U, 
-    17294U, 17212U, 24984U, 41369U, 17325U, 26719U, 43185U, 17463U, 
-    28023U, 44500U, 24442U, 40719U, 24832U, 41230U, 26430U, 42893U, 
-    24519U, 40899U, 28075U, 44618U, 24549U, 40929U, 28105U, 44648U, 
-    27872U, 44308U, 25106U, 41478U, 27725U, 44159U, 27824U, 44249U, 
-    26542U, 43021U, 26578U, 43057U, 25944U, 42286U, 27837U, 44273U, 
-    26555U, 43034U, 26592U, 43071U, 25957U, 42299U, 6821U, 34816U, 
-    21890U, 38005U, 6803U, 34180U, 21852U, 37967U, 5922U, 2247U, 
-    6812U, 34673U, 21871U, 37986U, 5592U, 1595U, 5719U, 1867U, 
-    5728U, 1876U, 5745U, 1893U, 5636U, 1719U, 3672U, 5762U, 
-    1910U, 3899U, 5779U, 1927U, 3916U, 5803U, 1951U, 6005U, 
-    2285U, 26928U, 43378U, 1072U, 9064U, 15542U, 1320U, 9344U, 
-    16909U, 944U, 8920U, 15280U, 1192U, 9200U, 16664U, 1008U, 
-    8992U, 15414U, 1256U, 9272U, 16788U, 1135U, 9135U, 15705U, 
-    1383U, 9415U, 17047U, 21068U, 50699U, 35242U, 51162U, 21129U, 
-    50725U, 35303U, 51201U, 1104U, 9100U, 15582U, 1352U, 9380U, 
-    16956U, 976U, 8956U, 15320U, 1224U, 9236U, 16711U, 1040U, 
-    9028U, 15446U, 1288U, 9308U, 16820U, 1163U, 9167U, 15740U, 
-    1411U, 9447U, 17088U, 8508U, 3569U, 8526U, 3591U, 10279U, 
-    8322U, 34799U, 34163U, 34656U, 34789U, 34153U, 34646U, 8335U, 
-    10064U, 36757U, 36059U, 36254U, 36883U, 11925U, 2032U, 4048U, 
-    2058U, 4074U, 4121U, 2096U, 4147U, 11982U, 2019U, 4035U, 
-    2045U, 4061U, 4108U, 2083U, 4134U, 9635U, 10114U, 11790U, 
-    9709U, 10145U, 11827U, 11898U, 1088U, 9082U, 15558U, 1336U, 
-    9362U, 16925U, 960U, 8938U, 15296U, 1208U, 9218U, 16680U, 
-    1024U, 9010U, 15430U, 1272U, 9290U, 16804U, 1149U, 9151U, 
-    15719U, 1397U, 9431U, 17061U, 1120U, 9118U, 15598U, 1368U, 
-    9398U, 16972U, 992U, 8974U, 15336U, 1240U, 9254U, 16727U, 
-    1056U, 9046U, 15462U, 1304U, 9326U, 16836U, 1177U, 9183U, 
-    15754U, 1425U, 9463U, 17102U, 15386U, 16760U, 15470U, 16852U, 
-    20854U, 35018U, 21058U, 35232U, 20884U, 35048U, 51136U, 21106U, 
-    35280U, 51188U, 10001U, 21076U, 50711U, 35250U, 51174U, 21137U, 
-    50737U, 35311U, 51212U, 8598U, 1064U, 9055U, 15534U, 1312U, 
-    9335U, 16901U, 936U, 8911U, 15272U, 1184U, 9191U, 16656U, 
-    1000U, 8983U, 15406U, 1248U, 9263U, 16780U, 1128U, 9127U, 
-    15698U, 1376U, 9407U, 17040U, 8309U, 1096U, 9091U, 15574U, 
-    1344U, 9371U, 16948U, 968U, 8947U, 15312U, 1216U, 9227U, 
-    16703U, 1032U, 9019U, 15438U, 1280U, 9299U, 16812U, 1156U, 
-    9159U, 15733U, 1404U, 9439U, 17081U, 22592U, 38708U, 23467U, 
-    39598U, 5932U, 15479U, 7163U, 36619U, 16861U, 7523U, 23815U, 
-    40073U, 11335U, 2129U, 15206U, 6901U, 35918U, 16605U, 7327U, 
-    21977U, 38106U, 10803U, 2202U, 2293U, 7032U, 36087U, 2430U, 
-    7425U, 22681U, 38797U, 10899U, 6830U, 15648U, 36803U, 17005U, 
-    24326U, 40610U, 11431U, 5712U, 1860U, 3892U, 6772U, 2005U, 
-    4021U, 20915U, 35079U, 20826U, 35005U, 20922U, 35086U, 34779U, 
-    34143U, 34636U, 34970U, 20832U, 35011U, 20950U, 35114U, 20929U, 
-    35093U, 20963U, 35128U, 20936U, 35100U, 20969U, 35143U, 20943U, 
-    35107U, 20975U, 35149U, 20997U, 35190U, 21050U, 35224U, 20982U, 
-    35156U, 21004U, 35207U, 21155U, 35329U, 8420U, 20668U, 19731U, 
-    20353U, 21909U, 38038U, 22610U, 38726U, 26063U, 42405U, 16201U, 
-    17855U, 21920U, 38049U, 22621U, 38737U, 22634U, 38750U, 1080U, 
-    9073U, 15550U, 1328U, 9353U, 16917U, 952U, 8929U, 15288U, 
-    1200U, 9209U, 16672U, 1016U, 9001U, 15422U, 1264U, 9281U, 
-    16796U, 1142U, 9143U, 15712U, 1390U, 9423U, 17054U, 9515U, 
-    7697U, 9581U, 7785U, 9471U, 7653U, 9537U, 7719U, 9493U, 
-    7675U, 9559U, 7752U, 22565U, 38681U, 23440U, 39571U, 1112U, 
-    9109U, 15590U, 1360U, 9389U, 16964U, 984U, 8965U, 15328U, 
-    1232U, 9245U, 16719U, 1048U, 9037U, 15454U, 1296U, 9317U, 
-    16828U, 1170U, 9175U, 15747U, 1418U, 9455U, 17095U, 9526U, 
-    7708U, 9592U, 7796U, 9482U, 7664U, 9548U, 7730U, 9504U, 
-    7686U, 9570U, 7763U, 22601U, 38717U, 23476U, 39607U, 16260U, 
-    17914U, 16313U, 17967U, 20684U, 19747U, 20369U, 8662U, 3155U, 
-    4967U, 424U, 10475U, 20700U, 34869U, 34234U, 20377U, 34727U, 
-    20750U, 34900U, 34257U, 34750U, 20864U, 35028U, 21077U, 35251U, 
-    20907U, 50686U, 35071U, 51149U, 21138U, 50738U, 35312U, 51213U, 
-    8703U, 3214U, 5026U, 483U, 12043U, 8287U, 8341U, 8384U, 
-    8859U, 8894U, 10271U, 8264U, 9690U, 10132U, 11808U, 34854U, 
-    34227U, 34720U, 21045U, 19541U, 20250U, 19664U, 20299U, 18832U, 
-    8440U, 6405U, 42747U, 19851U, 20461U, 2872U, 2633U, 4314U, 
-    19713U, 20335U, 2785U, 18841U, 2559U, 4240U, 42424U, 5941U, 
-    15492U, 7177U, 36632U, 16869U, 7532U, 23823U, 40081U, 11347U, 
-    2138U, 15219U, 6915U, 35931U, 16613U, 7336U, 21985U, 38114U, 
-    10815U, 2211U, 2308U, 7046U, 36100U, 2440U, 7434U, 22689U, 
-    38805U, 10911U, 6837U, 15660U, 36815U, 17012U, 7621U, 24333U, 
-    40617U, 11442U, 25119U, 41491U, 27034U, 43472U, 19520U, 20230U, 
-    20559U, 19595U, 678U, 33481U, 19829U, 20439U, 2845U, 2607U, 
-    4288U, 2947U, 4542U, 248U, 2695U, 4376U, 94U, 613U, 
-    25592U, 50980U, 41947U, 51574U, 27488U, 51058U, 43914U, 51652U, 
-    19484U, 20194U, 20529U, 19565U, 645U, 33430U, 3069U, 19790U, 
-    4881U, 20400U, 2797U, 338U, 2571U, 4252U, 2908U, 4503U, 
-    209U, 2656U, 4337U, 55U, 583U, 10360U, 9656U, 10236U, 
-    10489U, 3956U, 10449U, 3947U, 22031U, 38160U, 22735U, 38851U, 
-    15097U, 4180U, 20988U, 4620U, 35181U, 5113U, 15195U, 4191U, 
-    15877U, 4204U, 17272U, 4227U, 5995U, 15631U, 50175U, 16988U, 
-    50405U, 24165U, 40419U, 2192U, 15369U, 50162U, 16743U, 50380U, 
-    22510U, 38626U, 2275U, 2397U, 50132U, 2500U, 50147U, 23385U, 
-    39524U, 6893U, 15784U, 50188U, 17116U, 11845U, 50430U, 24387U, 
-    40677U, 2118U, 4169U, 3301U, 5135U, 3312U, 5146U, 10059U, 
-    8710U, 3224U, 5036U, 493U, 24155U, 40409U, 22500U, 38616U, 
-    23375U, 39514U, 22226U, 38363U, 23080U, 39204U, 25634U, 41989U, 
-    27530U, 43956U, 35171U, 35134U, 35197U, 35162U, 3289U, 5101U, 
-    511U, 3328U, 5162U, 523U, 35120U, 8096U, 25219U, 41578U, 
-    27134U, 43559U, 25253U, 41614U, 27168U, 43617U, 4087U, 28775U, 
-    45283U, 30812U, 14536U, 47210U, 25176U, 41548U, 29747U, 46169U, 
-    32184U, 14785U, 48567U, 27091U, 43529U, 31096U, 47452U, 25620U, 
-    50992U, 41975U, 51586U, 32436U, 48815U, 27516U, 51070U, 43942U, 
-    51664U, 28708U, 45216U, 25115U, 41487U, 29680U, 46102U, 27030U, 
-    43468U, 27696U, 44130U, 24716U, 41096U, 27710U, 44144U, 24736U, 
-    41116U, 24726U, 41106U, 24304U, 40588U, 16236U, 17890U, 16289U, 
-    17943U, 28858U, 45365U, 25283U, 41634U, 29841U, 46262U, 27198U, 
-    43637U, 28785U, 45293U, 25185U, 41557U, 29757U, 46179U, 27100U, 
-    43538U, 10338U, 30872U, 47256U, 32244U, 48637U, 16390U, 18044U, 
-    16247U, 17901U, 16426U, 18080U, 16300U, 17954U, 28934U, 45427U, 
-    25385U, 41723U, 29917U, 46324U, 27312U, 43751U, 6437U, 6452U, 
-    29018U, 45483U, 31178U, 47534U, 29964U, 46357U, 32507U, 48886U, 
-    27624U, 44050U, 16415U, 50228U, 18069U, 50481U, 16521U, 50273U, 
-    18175U, 50526U, 14022U, 16279U, 50200U, 17933U, 50453U, 16461U, 
-    50243U, 18115U, 50496U, 16579U, 50350U, 18233U, 50603U, 14034U, 
-    16332U, 50214U, 17986U, 50467U, 31168U, 50288U, 47524U, 50541U, 
-    25717U, 50633U, 42072U, 50659U, 32497U, 50365U, 48876U, 50618U, 
-    27603U, 50646U, 44029U, 50672U, 31126U, 47482U, 25662U, 42017U, 
-    32466U, 48845U, 27558U, 43984U, 28671U, 45179U, 30751U, 47149U, 
-    25047U, 41432U, 29656U, 46078U, 32136U, 48519U, 15016U, 26983U, 
-    43434U, 28247U, 29306U, 45770U, 31616U, 48027U, 14944U, 42938U, 
-    28260U, 29630U, 46052U, 32110U, 48493U, 15002U, 43385U, 31774U, 
-    48171U, 14972U, 29643U, 46065U, 32123U, 48506U, 26946U, 43397U, 
-    29333U, 45797U, 31643U, 48054U, 14958U, 26498U, 42977U, 28684U, 
-    45192U, 30778U, 47176U, 25059U, 41444U, 37616U, 45659U, 37835U, 
-    47714U, 37180U, 42431U, 31803U, 48200U, 14987U, 30418U, 46801U, 
-    22984U, 39108U, 31408U, 47819U, 26216U, 42623U, 32365U, 48744U, 
-    27369U, 43795U, 30489U, 46872U, 31471U, 47882U, 22778U, 38902U, 
-    31042U, 47398U, 25476U, 41831U, 23305U, 39437U, 32395U, 48774U, 
-    27412U, 43838U, 31007U, 47363U, 32334U, 48713U, 31073U, 47429U, 
-    25507U, 41862U, 30453U, 46836U, 23035U, 39159U, 31439U, 47850U, 
-    26261U, 42668U, 30526U, 46909U, 31504U, 47915U, 28233U, 29292U, 
-    45756U, 31602U, 48013U, 42925U, 31759U, 48156U, 29319U, 45783U, 
-    31629U, 48040U, 26485U, 42964U, 31788U, 48185U, 30402U, 46785U, 
-    22951U, 39075U, 31394U, 47805U, 26187U, 42594U, 30472U, 46855U, 
-    31456U, 47867U, 30437U, 46820U, 23002U, 39126U, 31425U, 47836U, 
-    26232U, 42639U, 30509U, 46892U, 31489U, 47900U, 30764U, 47162U, 
-    32149U, 48532U, 15030U, 31059U, 47415U, 32412U, 48791U, 31022U, 
-    47378U, 32349U, 48728U, 28947U, 45440U, 30942U, 14569U, 47312U, 
-    25397U, 41735U, 29930U, 46337U, 32314U, 14818U, 48693U, 27324U, 
-    43763U, 31194U, 47550U, 25738U, 51031U, 42093U, 51625U, 32523U, 
-    48902U, 27639U, 51109U, 44065U, 51703U, 16270U, 17924U, 16451U, 
-    18105U, 16323U, 17977U, 21034U, 35213U, 21186U, 35335U, 36773U, 
-    40471U, 36555U, 39939U, 36587U, 40001U, 36788U, 40513U, 36571U, 
-    39970U, 36603U, 40032U, 37365U, 43706U, 38024U, 50033U, 21303U, 
-    14113U, 21605U, 14309U, 21395U, 14211U, 35444U, 21697U, 14407U, 
-    35654U, 36350U, 13525U, 23540U, 12705U, 39734U, 13735U, 10658U, 
-    11066U, 19223U, 12321U, 33876U, 13141U, 19933U, 12517U, 34378U, 
-    13337U, 18923U, 12125U, 33576U, 12945U, 36480U, 13611U, 23670U, 
-    12791U, 39864U, 13821U, 10768U, 11236U, 19373U, 12419U, 34026U, 
-    13239U, 20083U, 12615U, 34528U, 13435U, 19073U, 12223U, 33726U, 
-    13043U, 36400U, 51342U, 23590U, 50880U, 39784U, 51474U, 11132U, 
-    21509U, 35558U, 19281U, 33934U, 19991U, 34436U, 18981U, 33634U, 
-    36530U, 51408U, 23720U, 50946U, 39914U, 51540U, 11302U, 21811U, 
-    35768U, 19431U, 34084U, 20141U, 34586U, 19131U, 33784U, 21240U, 
-    14046U, 21542U, 14242U, 21332U, 14144U, 35381U, 21634U, 14340U, 
-    35591U, 36295U, 13466U, 23485U, 12646U, 39679U, 13676U, 10583U, 
-    10995U, 19160U, 12254U, 33813U, 13074U, 19870U, 12450U, 34315U, 
-    13270U, 18860U, 12058U, 33513U, 12878U, 36425U, 13552U, 23615U, 
-    12732U, 39809U, 13762U, 10693U, 11165U, 19310U, 12352U, 33963U, 
-    13172U, 20020U, 12548U, 34465U, 13368U, 19010U, 12156U, 33663U, 
-    12976U, 21257U, 14064U, 21559U, 14260U, 21349U, 14162U, 35398U, 
-    21651U, 14358U, 35608U, 21286U, 14095U, 21588U, 14291U, 21378U, 
-    14193U, 35427U, 21680U, 14389U, 35637U, 36335U, 13509U, 23525U, 
-    12689U, 39719U, 13719U, 10638U, 11047U, 19206U, 12303U, 33859U, 
-    13123U, 19916U, 12499U, 34361U, 13319U, 18906U, 12107U, 33559U, 
-    12927U, 36465U, 13595U, 23655U, 12775U, 39849U, 13805U, 10748U, 
-    11217U, 19356U, 12401U, 34009U, 13221U, 20066U, 12597U, 34511U, 
-    13417U, 19056U, 12205U, 33709U, 13025U, 36310U, 13482U, 23500U, 
-    12662U, 39694U, 13692U, 10603U, 11014U, 19177U, 12272U, 33830U, 
-    13092U, 19887U, 12468U, 34332U, 13288U, 18877U, 12076U, 33530U, 
-    12896U, 36440U, 13568U, 23630U, 12748U, 39824U, 13778U, 10713U, 
-    11184U, 19327U, 12370U, 33980U, 13190U, 20037U, 12566U, 34482U, 
-    13386U, 19027U, 12174U, 33680U, 12994U, 36375U, 51309U, 23565U, 
-    50847U, 39759U, 51441U, 11099U, 21486U, 35535U, 19252U, 33905U, 
-    19962U, 34407U, 18952U, 33605U, 36505U, 51375U, 23695U, 50913U, 
-    39889U, 51507U, 11269U, 21788U, 35745U, 19402U, 34055U, 20112U, 
-    34557U, 19102U, 33755U, 21317U, 14128U, 21619U, 14324U, 21409U, 
-    14226U, 35458U, 21711U, 14422U, 35668U, 36362U, 13538U, 23552U, 
-    12718U, 39746U, 13748U, 10675U, 11082U, 19237U, 12336U, 33890U, 
-    13156U, 19947U, 12532U, 34392U, 13352U, 18937U, 12140U, 33590U, 
-    12960U, 36492U, 13624U, 23682U, 12804U, 39876U, 13834U, 10785U, 
-    11252U, 19387U, 12434U, 34040U, 13254U, 20097U, 12630U, 34542U, 
-    13450U, 19087U, 12238U, 33740U, 13058U, 36412U, 51358U, 23602U, 
-    50896U, 39796U, 51490U, 11148U, 21520U, 35569U, 19295U, 33948U, 
-    20005U, 34450U, 18995U, 33648U, 36542U, 51424U, 23732U, 50962U, 
-    39926U, 51556U, 11318U, 21822U, 35779U, 19445U, 34098U, 20155U, 
-    34600U, 19145U, 33798U, 21271U, 14079U, 21573U, 14275U, 21363U, 
-    14177U, 35412U, 21665U, 14373U, 35622U, 36322U, 13495U, 23512U, 
-    12675U, 39706U, 13705U, 10620U, 11030U, 19191U, 12287U, 33844U, 
-    13107U, 19901U, 12483U, 34346U, 13303U, 18891U, 12091U, 33544U, 
-    12911U, 36452U, 13581U, 23642U, 12761U, 39836U, 13791U, 10730U, 
-    11200U, 19341U, 12385U, 33994U, 13205U, 20051U, 12581U, 34496U, 
-    13401U, 19041U, 12189U, 33694U, 13009U, 36387U, 51325U, 23577U, 
-    50863U, 39771U, 51457U, 11115U, 21497U, 35546U, 19266U, 33919U, 
-    19976U, 34421U, 18966U, 33619U, 36517U, 51391U, 23707U, 50929U, 
-    39901U, 51523U, 11285U, 21799U, 35756U, 19416U, 34069U, 20126U, 
-    34571U, 19116U, 33769U, 25428U, 12832U, 41753U, 13862U, 27355U, 
-    12857U, 43781U, 13887U, 25775U, 42130U, 27666U, 44092U, 25293U, 
-    41644U, 27208U, 43647U, 25194U, 41566U, 27109U, 43547U, 25355U, 
-    41693U, 27270U, 43696U, 25344U, 41682U, 27259U, 43685U, 28795U, 
-    30822U, 25205U, 29767U, 32194U, 27120U, 28879U, 30906U, 25314U, 
-    29862U, 32278U, 27229U, 28764U, 45272U, 25166U, 41538U, 29736U, 
-    46158U, 27081U, 43519U, 28721U, 45229U, 25127U, 41499U, 29693U, 
-    46115U, 27042U, 43480U, 24217U, 40486U, 23745U, 39955U, 23775U, 
-    40017U, 24244U, 40528U, 23760U, 39986U, 23790U, 40048U, 27280U, 
-    43719U, 33379U, 50047U, 29990U, 27733U, 10261U, 10557U, 3986U, 
-    37588U, 28957U, 37093U, 25406U, 37689U, 29940U, 37399U, 27333U, 
-    28753U, 45261U, 25156U, 41528U, 29725U, 46147U, 27071U, 43509U, 
-    25610U, 41965U, 27506U, 43932U, 28971U, 45450U, 30952U, 14580U, 
-    47322U, 25419U, 41744U, 29954U, 46347U, 32324U, 14829U, 48703U, 
-    27346U, 43772U, 31225U, 47581U, 25766U, 51044U, 42121U, 51638U, 
-    32543U, 48922U, 27657U, 51122U, 44083U, 51716U, 9649U, 21017U, 
-    8328U, 28742U, 45250U, 25146U, 41518U, 29714U, 46136U, 27061U, 
-    43499U, 25600U, 41955U, 27496U, 43922U, 28869U, 45376U, 30896U, 
-    14558U, 47280U, 25305U, 41656U, 29852U, 46273U, 32268U, 14807U, 
-    48661U, 27220U, 43659U, 31158U, 47514U, 25704U, 51018U, 42059U, 
-    51612U, 32487U, 48866U, 27590U, 51096U, 44016U, 51690U, 8828U, 
-    1610U, 3503U, 9641U, 47786U, 42550U, 47591U, 25785U, 42140U, 
-    37554U, 28697U, 45205U, 11658U, 37758U, 30791U, 18543U, 47189U, 
-    18721U, 37042U, 25085U, 41468U, 11533U, 37655U, 29669U, 46091U, 
-    11688U, 37905U, 32163U, 18600U, 48546U, 18789U, 37335U, 27009U, 
-    43458U, 11574U, 29218U, 45682U, 31518U, 47929U, 26340U, 42755U, 
-    31344U, 47754U, 26100U, 42493U, 32378U, 48757U, 27396U, 43822U, 
-    35906U, 21955U, 38084U, 36075U, 22659U, 38775U, 37543U, 28286U, 
-    44795U, 11643U, 36898U, 24422U, 40699U, 11519U, 36047U, 22528U, 
-    18454U, 38652U, 18632U, 51795U, 36242U, 23403U, 18467U, 39542U, 
-    18645U, 51809U, 37703U, 30000U, 46383U, 11718U, 37421U, 27742U, 
-    44167U, 11615U, 48602U, 43593U, 37052U, 25230U, 37345U, 27145U, 
-    48590U, 43570U, 37062U, 25273U, 37355U, 27188U, 45316U, 41589U, 
-    46202U, 43581U, 28273U, 24410U, 37629U, 37257U, 37565U, 37072U, 
-    37666U, 37378U, 37848U, 47727U, 37192U, 42468U, 37217U, 42506U, 
-    37728U, 46978U, 39665U, 31358U, 26113U, 37825U, 31204U, 47560U, 
-    11733U, 18745U, 37149U, 25747U, 42102U, 11561U, 37714U, 46964U, 
-    36282U, 39652U, 29230U, 45694U, 31530U, 47941U, 26351U, 42766U, 
-    29243U, 45707U, 31543U, 47954U, 26363U, 42778U, 37862U, 47741U, 
-    37205U, 42481U, 37957U, 32533U, 48912U, 11747U, 18813U, 37412U, 
-    27648U, 44074U, 11602U, 37577U, 28923U, 45416U, 11673U, 37799U, 
-    30931U, 18555U, 47301U, 18733U, 37083U, 25375U, 41713U, 11547U, 
-    37678U, 29906U, 46313U, 11703U, 37946U, 32303U, 18612U, 48682U, 
-    18801U, 37389U, 27302U, 43741U, 11588U, 31372U, 47768U, 26140U, 
-    42533U, 26126U, 42519U, 16472U, 18126U, 16342U, 17996U, 20844U, 
-    21161U, 22042U, 38179U, 22746U, 38870U, 8474U, 1766U, 3774U, 
-    1676U, 3621U, 28848U, 45355U, 30862U, 14547U, 47246U, 25264U, 
-    41625U, 29820U, 46241U, 32234U, 14796U, 48627U, 27179U, 43628U, 
-    31137U, 47493U, 25685U, 51005U, 42040U, 51599U, 32477U, 48856U, 
-    27581U, 51083U, 44007U, 51677U, 22125U, 38262U, 22877U, 39001U, 
-    8586U, 10025U, 28893U, 45386U, 25327U, 41665U, 29876U, 46283U, 
-    27242U, 43668U, 6467U, 6149U, 6579U, 6244U, 25582U, 6479U, 
-    6161U, 41937U, 6591U, 6256U, 26773U, 43236U, 6491U, 6173U, 
-    6603U, 6268U, 30128U, 46511U, 27923U, 44367U, 28492U, 45014U, 
-    24665U, 41045U, 30141U, 46524U, 27935U, 44379U, 28505U, 45027U, 
-    24677U, 41057U, 28307U, 44816U, 24450U, 40727U, 28586U, 45108U, 
-    30627U, 14479U, 47039U, 24882U, 41280U, 29346U, 45810U, 31656U, 
-    14671U, 48067U, 26521U, 43000U, 28372U, 44894U, 24528U, 40908U, 
-    30240U, 46623U, 28084U, 44627U, 28406U, 44928U, 24559U, 40939U, 
-    30274U, 46657U, 28115U, 44658U, 30118U, 46501U, 27914U, 44350U, 
-    24290U, 40574U, 24190U, 40444U, 30637U, 14490U, 47049U, 30740U, 
-    14513U, 47138U, 31923U, 14693U, 48320U, 29208U, 45672U, 26315U, 
-    42722U, 31705U, 14682U, 48116U, 28651U, 45159U, 25004U, 41389U, 
-    28328U, 44837U, 24469U, 40779U, 30154U, 46537U, 27955U, 44432U, 
-    16377U, 18031U, 16224U, 17878U, 30692U, 47090U, 31875U, 48272U, 
-    28479U, 45001U, 24653U, 41033U, 16485U, 18139U, 16354U, 18008U, 
-    28441U, 44963U, 24610U, 40990U, 29057U, 45522U, 33345U, 49952U, 
-    31247U, 47617U, 49986U, 48932U, 25832U, 42187U, 42806U, 41148U, 
-    29535U, 45971U, 33362U, 49969U, 32013U, 48396U, 50004U, 48949U, 
-    26816U, 43279U, 30309U, 46692U, 28156U, 44707U, 26605U, 43084U, 
-    37431U, 13666U, 27752U, 12868U, 44177U, 13898U, 16510U, 50258U, 
-    18164U, 50511U, 28349U, 44871U, 24497U, 40834U, 28981U, 45460U, 
-    30962U, 47332U, 25438U, 41763U, 29497U, 45947U, 31934U, 48331U, 
-    26739U, 43205U, 30217U, 46600U, 28053U, 44539U, 9761U, 8779U, 
-    26157U, 42564U, 9727U, 8716U, 24258U, 40542U, 28429U, 44951U, 
-    24590U, 40970U, 29045U, 45510U, 31235U, 47605U, 25812U, 42167U, 
-    29523U, 45959U, 32001U, 48384U, 26796U, 43259U, 30297U, 46680U, 
-    28136U, 44679U, 9775U, 8793U, 26170U, 42577U, 9744U, 8733U, 
-    24274U, 40558U, 16544U, 50319U, 18198U, 50572U, 16532U, 50303U, 
-    18186U, 50556U, 16555U, 50334U, 18209U, 50587U, 15799U, 17131U, 
-    15837U, 17232U, 15888U, 17345U, 15817U, 17149U, 15867U, 17262U, 
-    15906U, 17363U, 15981U, 17533U, 15963U, 17494U, 25798U, 14438U, 
-    18274U, 51729U, 18480U, 51763U, 42153U, 18658U, 51823U, 26782U, 
-    14453U, 18290U, 51746U, 18495U, 51779U, 43245U, 18673U, 51839U, 
-    24204U, 40458U, 24231U, 40500U, 28641U, 45149U, 30718U, 47116U, 
-    24746U, 41126U, 25022U, 41407U, 26958U, 43409U, 26375U, 42824U, 
-    37029U, 13638U, 25034U, 12818U, 41419U, 13848U, 37322U, 13652U, 
-    26970U, 12843U, 43421U, 13873U, 16002U, 17584U, 28822U, 45329U, 
-    16114U, 17768U, 15846U, 17241U, 25240U, 41601U, 16036U, 17660U, 
-    29794U, 46215U, 16178U, 17832U, 15916U, 17373U, 27155U, 43604U, 
-    16015U, 17597U, 16127U, 17781U, 30885U, 47269U, 29830U, 46251U, 
-    32257U, 48650U, 16026U, 17650U, 16168U, 17822U, 31901U, 48298U, 
-    24757U, 41137U, 25071U, 41456U, 26995U, 43446U, 26386U, 42849U, 
-    36992U, 40888U, 37139U, 41817U, 37277U, 43226U, 37524U, 17514U, 
-    11629U, 28596U, 30647U, 24891U, 29425U, 31745U, 26618U, 28993U, 
-    30974U, 25449U, 29509U, 31946U, 26750U, 24798U, 41196U, 26406U, 
-    42869U, 27812U, 44237U, 26530U, 43009U, 28575U, 45097U, 24872U, 
-    41270U, 6533U, 6215U, 6645U, 6310U, 24809U, 41207U, 26417U, 
-    42880U, 27849U, 44285U, 26631U, 43097U, 26017U, 42359U, 26875U, 
-    43325U, 25921U, 42263U, 26864U, 43314U, 30107U, 46490U, 27904U, 
-    44340U, 6562U, 6674U, 27791U, 44216U, 26510U, 42989U, 28528U, 
-    45050U, 24821U, 41219U, 6519U, 6201U, 6631U, 6296U, 25910U, 
-    42252U, 30060U, 46443U, 27861U, 44297U, 24508U, 40878U, 25462U, 
-    41807U, 26763U, 43216U, 16366U, 18020U, 24904U, 41289U, 26075U, 
-    42443U, 26273U, 42680U, 24915U, 41300U, 26087U, 42455U, 26285U, 
-    42692U, 25992U, 42334U, 28213U, 44775U, 25969U, 42311U, 28202U, 
-    44764U, 26004U, 42346U, 25980U, 42322U, 6503U, 6185U, 6615U, 
-    6280U, 29142U, 45593U, 25932U, 42274U, 37602U, 29128U, 37167U, 
-    25897U, 37641U, 29573U, 37309U, 26851U, 28418U, 44940U, 24580U, 
-    40960U, 29034U, 45499U, 31214U, 14624U, 47570U, 25756U, 42111U, 
-    31990U, 14738U, 48373U, 30286U, 46669U, 28126U, 44669U, 28468U, 
-    44990U, 24643U, 41023U, 29084U, 45549U, 31274U, 14648U, 47644U, 
-    25857U, 42212U, 32040U, 14762U, 48423U, 30348U, 46731U, 28192U, 
-    44754U, 28383U, 44905U, 24538U, 40918U, 29007U, 45472U, 31147U, 
-    14612U, 47503U, 25694U, 42049U, 31979U, 14726U, 48362U, 30251U, 
-    46634U, 28094U, 44637U, 28457U, 44979U, 24625U, 41005U, 29073U, 
-    45538U, 31263U, 14636U, 47633U, 25847U, 42202U, 32029U, 14750U, 
-    48412U, 30337U, 46720U, 28182U, 44744U, 49797U, 36940U, 40759U, 
-    49905U, 37472U, 44414U, 44847U, 40788U, 49833U, 36982U, 40868U, 
-    49869U, 37129U, 41797U, 49941U, 37514U, 44573U, 49785U, 36929U, 
-    40748U, 49893U, 37461U, 44403U, 49821U, 36971U, 40857U, 49857U, 
-    37118U, 41786U, 49929U, 37503U, 44562U, 28549U, 45071U, 30601U, 
-    47013U, 24840U, 41238U, 29266U, 45730U, 31576U, 47987U, 26438U, 
-    42901U, 30081U, 46464U, 27880U, 44316U, 29451U, 45901U, 31829U, 
-    48226U, 26654U, 43120U, 29182U, 45633U, 31318U, 47688U, 26029U, 
-    42371U, 29587U, 46009U, 32084U, 48467U, 26887U, 43337U, 49772U, 
-    36917U, 40736U, 49880U, 37449U, 44391U, 49808U, 36959U, 40845U, 
-    49844U, 37106U, 41774U, 49916U, 37491U, 44550U, 28562U, 45084U, 
-    30614U, 47026U, 24852U, 41250U, 29279U, 45743U, 31589U, 48000U, 
-    26450U, 42913U, 30094U, 46477U, 27892U, 44328U, 29464U, 45914U, 
-    31842U, 48239U, 26666U, 43132U, 29195U, 45646U, 31331U, 47701U, 
-    26041U, 42383U, 29600U, 46022U, 32097U, 48480U, 26899U, 43349U, 
-    29384U, 45848U, 31694U, 48105U, 26567U, 43046U, 6547U, 6229U, 
-    6659U, 6324U, 30325U, 46708U, 28171U, 44733U, 30164U, 46547U, 
-    27964U, 44441U, 28620U, 45128U, 30671U, 14501U, 47069U, 24973U, 
-    41358U, 30185U, 46568U, 28012U, 44489U, 29439U, 45889U, 31817U, 
-    48214U, 26643U, 43109U, 30988U, 14591U, 47344U, 31960U, 14705U, 
-    48343U, 29613U, 46035U, 26920U, 43370U, 37239U, 26306U, 42713U, 
-    15808U, 37002U, 17140U, 24601U, 40981U, 15858U, 37158U, 17253U, 
-    25823U, 42178U, 15897U, 37300U, 17354U, 26807U, 43270U, 15972U, 
-    37534U, 17524U, 28147U, 44698U, 30021U, 46404U, 27802U, 44227U, 
-    37743U, 37875U, 37810U, 37890U, 36908U, 24432U, 40709U, 37011U, 
-    24768U, 41166U, 37248U, 26397U, 42860U, 37440U, 27761U, 44186U, 
-    36950U, 24478U, 40815U, 37020U, 24943U, 41328U, 37268U, 26688U, 
-    43154U, 37482U, 27982U, 44459U, 28317U, 44826U, 24459U, 40769U, 
-    15991U, 17553U, 16083U, 17737U, 15827U, 17180U, 16049U, 17683U, 
-    15941U, 17419U, 16061U, 17695U, 15952U, 17430U, 28338U, 44860U, 
-    24487U, 40824U, 28660U, 45168U, 25012U, 41397U, 30206U, 46589U, 
-    28043U, 44529U, 17608U, 17283U, 17564U, 28610U, 45118U, 16094U, 
-    18317U, 17748U, 18383U, 30661U, 18521U, 47059U, 18699U, 17190U, 
-    24952U, 41337U, 17630U, 29477U, 45927U, 16148U, 18350U, 17802U, 
-    18416U, 31855U, 18578U, 48252U, 18767U, 17303U, 26697U, 43163U, 
-    29106U, 45571U, 31296U, 47666U, 25877U, 42232U, 29551U, 45987U, 
-    32062U, 48445U, 26831U, 43294U, 17707U, 30175U, 46558U, 17441U, 
-    27991U, 44468U, 17543U, 28518U, 45040U, 16073U, 18306U, 17727U, 
-    18372U, 30581U, 18510U, 46993U, 18688U, 17159U, 24777U, 41175U, 
-    16138U, 18339U, 17792U, 18405U, 31556U, 18567U, 47967U, 18756U, 
-    29095U, 45560U, 31285U, 47655U, 25867U, 42222U, 32051U, 48434U, 
-    17673U, 30011U, 46394U, 17385U, 27770U, 44195U, 17619U, 17293U, 
-    17574U, 28631U, 45139U, 16104U, 18328U, 17758U, 18394U, 30682U, 
-    18532U, 47080U, 18710U, 17211U, 24983U, 41368U, 17640U, 29487U, 
-    45937U, 16158U, 18361U, 17812U, 18427U, 31865U, 18589U, 48262U, 
-    18778U, 17324U, 26718U, 43184U, 29117U, 45582U, 31307U, 47677U, 
-    25887U, 42242U, 29562U, 45998U, 32073U, 48456U, 26841U, 43304U, 
-    17717U, 30196U, 46579U, 17462U, 28022U, 44499U, 28297U, 44806U, 
-    24441U, 40718U, 28539U, 45061U, 30591U, 14468U, 47003U, 24831U, 
-    41229U, 29256U, 45720U, 31566U, 14660U, 47977U, 26429U, 42892U, 
-    28361U, 44883U, 24518U, 40898U, 30229U, 46612U, 28074U, 44617U, 
-    28394U, 44916U, 24548U, 40928U, 30262U, 46645U, 28104U, 44647U, 
-    30071U, 46454U, 27871U, 44307U, 30728U, 47126U, 31911U, 48308U, 
-    30705U, 47103U, 31888U, 48285U, 29980U, 46373U, 27724U, 44158U, 
-    30032U, 46415U, 27823U, 44248U, 29356U, 45820U, 31666U, 48077U, 
-    26541U, 43020U, 29395U, 45859U, 31715U, 48126U, 26577U, 43056U, 
-    29154U, 45605U, 25943U, 42285U, 30046U, 46429U, 27836U, 44272U, 
-    29370U, 45834U, 31680U, 48091U, 26554U, 43033U, 29410U, 45874U, 
-    31730U, 48141U, 26591U, 43070U, 29168U, 45619U, 25956U, 42298U, 
-    30997U, 14601U, 47353U, 31969U, 14715U, 48352U, 29621U, 46043U, 
-    26927U, 43377U, 21424U, 35473U, 21726U, 35683U, 25534U, 41889U, 
-    27439U, 43865U, 21448U, 35497U, 14840U, 21750U, 35707U, 14866U, 
-    25558U, 41913U, 14892U, 27463U, 43889U, 14918U, 21210U, 50763U, 
-    35351U, 51225U, 21067U, 50698U, 35241U, 51161U, 21128U, 50724U, 
-    35302U, 21472U, 35521U, 21774U, 35731U, 20893U, 35057U, 21115U, 
-    35289U, 20853U, 35017U, 21057U, 35231U, 20883U, 35047U, 51135U, 
-    21105U, 35279U, 51187U, 20872U, 35036U, 21094U, 35268U, 21435U, 
-    35484U, 21737U, 35694U, 25545U, 41900U, 27450U, 43876U, 21459U, 
-    35508U, 14852U, 21761U, 35718U, 14878U, 25569U, 41924U, 14904U, 
-    27474U, 43900U, 14930U, 21219U, 50776U, 35360U, 51238U, 21075U, 
-    50710U, 35249U, 51173U, 21136U, 50736U, 35310U, 37769U, 37916U, 
-    37784U, 37931U, 16403U, 18057U, 16498U, 18152U, 16259U, 17913U, 
-    16439U, 18093U, 16567U, 18221U, 16312U, 17966U, 21200U, 35341U, 
-    50791U, 51253U, 30920U, 47290U, 20863U, 35027U, 21230U, 35371U, 
-    50819U, 51281U, 32292U, 48671U, 21085U, 35259U, 21532U, 50805U, 
-    35581U, 51267U, 20906U, 50685U, 35070U, 21834U, 50833U, 35791U, 
-    51295U, 21146U, 50750U, 35320U, 10270U, 28732U, 45240U, 30802U, 
-    14525U, 47200U, 25137U, 41509U, 29704U, 46126U, 32174U, 14774U, 
-    48557U, 27052U, 43490U, 31086U, 47442U, 25591U, 50979U, 41946U, 
-    51573U, 32426U, 48805U, 27487U, 51057U, 43913U, 51651U, 28912U, 
-    45405U, 25365U, 41703U, 29895U, 46302U, 27292U, 43731U, 31110U, 
-    47466U, 25633U, 41988U, 32450U, 48829U, 27529U, 43955U, 28809U, 
-    45303U, 30836U, 47220U, 25218U, 41577U, 29781U, 46189U, 32208U, 
-    48577U, 27133U, 43558U, 28835U, 45342U, 30849U, 47233U, 25252U, 
-    41613U, 29807U, 46228U, 32221U, 48614U, 27167U, 43616U, 28902U, 
-    45395U, 25335U, 41673U, 29885U, 46292U, 27250U, 43676U, 9664U, 
-    10225U, 556U, 10325U, 8049U, 10470U, 8388U, 8059U, 2071U, 
-    4096U, 8517U, 3580U, 8535U, 3602U, 10285U, 10544U, 11938U, 
-    23849U, 40115U, 22053U, 38190U, 22757U, 38881U, 24347U, 40631U, 
-    10018U, 5456U, 35819U, 23942U, 40208U, 35801U, 5124U, 22158U, 
-    38295U, 35810U, 22910U, 39034U, 24365U, 40649U, 8656U, 8292U, 
-    8238U, 10291U, 8124U, 8248U, 8349U, 10569U, 10436U, 5986U, 
-    15611U, 7246U, 36714U, 16980U, 7586U, 24046U, 40328U, 11407U, 
-    2183U, 15349U, 6984U, 36004U, 16735U, 7390U, 22363U, 38507U, 
-    10875U, 2266U, 2373U, 7115U, 36199U, 2490U, 7488U, 23230U, 
-    39370U, 10971U, 6886U, 15766U, 36865U, 17109U, 7645U, 24380U, 
-    40670U, 11497U, 25336U, 41674U, 27251U, 43677U, 11954U, 10254U, 
-    3873U, 8567U, 3631U, 10520U, 3975U, 10576U, 793U, 6141U, 
-    8501U, 10551U, 
+static const uint16_t x86_16_bit_eq_lookup[] = {
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 1, 2, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 3, 4, 5, 6, 7, 8, 9, 
+	10, 11, 12, 0, 13, 14, 0, 15, 16, 17, 18, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 19, 20, 
+	21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 0, 
+	32, 33, 0, 0, 34, 35, 36, 37, 38, 39, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 40, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 41, 42, 43, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 0, 54, 
+	55, 0, 56, 57, 58, 59, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 60, 0, 0, 61, 62, 63, 64, 0, 
+	0, 65, 66, 67, 68, 0, 0, 0, 0, 0, 0, 69, 
+	70, 71, 72, 73, 74, 75, 76, 0, 0, 0, 0, 77, 
+	78, 79, 80, 81, 82, 83, 84, 0, 0, 0, 0, 85, 
+	86, 87, 88, 89, 90, 91, 92, 0, 0, 0, 0, 93, 
+	94, 95, 96, 97, 98, 99, 100, 0, 0, 0, 0, 0, 
+	0, 101, 102, 103, 0, 104, 0, 105, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 106, 0, 0, 0, 0, 0, 0, 
+	0, 107, 108, 109, 110, 0, 0, 111, 112, 113, 114, 0, 
+	0, 115, 116, 117, 118, 0, 0, 119, 120, 121, 122, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 123, 124, 125, 
+	126, 0, 0, 0, 0, 0, 0, 127, 128, 129, 130, 0, 
+	0, 131, 132, 133, 134, 0, 0, 135, 136, 137, 138, 0, 
+	0, 139, 140, 141, 142, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 143, 144, 145, 146, 0, 0, 0, 0, 0, 
+	0, 147, 148, 149, 150, 0, 0, 151, 152, 153, 154, 0, 
+	0, 0, 0, 0, 0, 155, 156, 157, 158, 0, 0, 159, 
+	160, 161, 162, 0, 0, 163, 164, 165, 166, 0, 0, 0, 
+	0, 0, 0, 167, 168, 169, 170, 0, 0, 0, 171, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 172, 173, 174, 
+	175, 176, 177, 178, 179, 180, 181, 0, 182, 183, 0, 184, 
+	185, 186, 187, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 188, 
+	189, 0, 0, 0, 0, 0, 0, 0, 0, 190, 191, 192, 
+	193, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 194, 0, 0, 195, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 196, 197, 198, 
+	0, 0, 199, 200, 201, 202, 0, 0, 0, 0, 203, 204, 
+	205, 206, 0, 0, 0, 0, 0, 0, 0, 0, 0, 207, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 208, 209, 
+	210, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 211, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 212, 213, 214, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 215, 216, 0, 0, 0, 217, 218, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 219, 
+	0, 220, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 221, 222, 0, 223, 224, 0, 225, 
+	226, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 227, 228, 229, 230, 0, 0, 
+	0, 231, 232, 0, 0, 0, 233, 234, 235, 236, 237, 238, 
+	0, 0, 0, 0, 0, 0, 0, 0, 239, 240, 241, 242, 
+	243, 244, 245, 246, 247, 248, 249, 0, 250, 251, 0, 252, 
+	0, 0, 0, 0, 253, 254, 0, 0, 0, 0, 0, 255, 
+	256, 257, 0, 0, 258, 259, 260, 261, 0, 0, 0, 0, 
+	0, 0, 0, 262, 0, 0, 0, 263, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 264, 265, 0, 266, 
+	267, 0, 0, 0, 268, 269, 270, 271, 272, 273, 0, 274, 
+	0, 275, 276, 0, 0, 0, 277, 278, 279, 280, 281, 282, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 283, 284, 285, 286, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 287, 0, 288, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 289, 290, 291, 292, 293, 0, 0, 0, 
+	0, 0, 0, 0, 294, 0, 0, 0, 295, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 296, 0, 0, 0, 
+	297, 0, 0, 0, 0, 298, 299, 300, 301, 0, 0, 302, 
+	303, 0, 0, 0, 0, 0, 304, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 305, 0, 306, 0, 0, 0, 307, 0, 0, 308, 
+	309, 0, 310, 311, 0, 312, 313, 0, 314, 315, 0, 0, 
+	0, 0, 0, 0, 0, 316, 317, 318, 0, 319, 320, 0, 
+	0, 0, 0, 0, 321, 322, 323, 0, 324, 325, 0, 0, 
+	0, 326, 327, 0, 0, 328, 329, 0, 0, 0, 0, 330, 
+	331, 332, 0, 333, 334, 0, 0, 0, 0, 0, 0, 335, 
+	336, 337, 0, 338, 339, 0, 0, 0, 0, 0, 340, 341, 
+	342, 0, 343, 344, 0, 0, 0, 345, 346, 0, 0, 0, 
+	0, 347, 348, 0, 349, 350, 0, 0, 0, 351, 352, 353, 
+	354, 0, 355, 356, 0, 0, 0, 357, 358, 0, 0, 0, 
+	359, 360, 361, 362, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 363, 364, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 365, 366, 367, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 368, 369, 370, 0, 0, 0, 0, 
+	0, 0, 0, 371, 372, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 373, 374, 0, 0, 375, 376, 377, 378, 379, 0, 0, 
+	0, 380, 0, 381, 382, 383, 384, 385, 386, 387, 388, 389, 
+	391, 0, 0, 0, 0, 393, 394, 395, 396, 398, 0, 0, 
+	0, 400, 0, 401, 402, 403, 404, 405, 406, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	407, 408, 409, 410, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 411, 0, 0, 412, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 413, 0, 414, 0, 
+	415, 416, 0, 417, 418, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 419, 0, 
+	420, 0, 0, 0, 0, 0, 0, 0, 0, 421, 422, 423, 
+	424, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 425, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	426, 427, 428, 0, 0, 0, 0, 429, 430, 431, 432, 0, 
+	0, 0, 433, 0, 0, 0, 434, 0, 0, 0, 435, 0, 
+	0, 0, 436, 0, 0, 0, 437, 438, 439, 440, 441, 442, 
+	443, 0, 0, 0, 0, 0, 0, 0, 0, 0, 444, 445, 
+	446, 447, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 448, 449, 450, 451, 0, 452, 453, 454, 455, 456, 457, 
+	0, 458, 459, 0, 460, 461, 462, 463, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 464, 465, 
+	0, 0, 0, 466, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 467, 
+	468, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 469, 470, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 471, 472, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 473, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 474, 475, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 476, 477, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 478, 
+	479, 480, 481, 482, 483, 0, 484, 0, 0, 485, 486, 487, 
+	488, 0, 489, 0, 490, 0, 491, 492, 0, 493, 494, 0, 
+	495, 496, 0, 497, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 498, 499, 500, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	501, 502, 503, 0, 0, 0, 0, 0, 0, 0, 504, 505, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 506, 507, 
+	508, 509, 0, 510, 511, 512, 513, 514, 0, 515, 0, 516, 
+	0, 517, 0, 518, 0, 519, 520, 0, 521, 522, 0, 523, 
+	524, 0, 525, 0, 526, 0, 0, 0, 0, 0, 0, 0, 
+	0, 527, 528, 529, 530, 531, 532, 533, 534, 535, 536, 537, 
+	538, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 539, 540, 541, 
+	542, 543, 544, 545, 546, 547, 548, 549, 550, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 551, 552, 
+	0, 553, 554, 0, 0, 0, 555, 556, 0, 0, 0, 0, 
+	0, 0, 557, 0, 0, 0, 0, 0, 0, 0, 558, 0, 
+	0, 559, 560, 0, 561, 562, 0, 0, 0, 0, 0, 0, 
+	0, 0, 563, 564, 565, 566, 567, 568, 569, 570, 571, 572, 
+	573, 574, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 575, 576, 577, 578, 579, 580, 581, 582, 583, 584, 
+	585, 586, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 587, 588, 589, 590, 591, 592, 593, 594, 595, 596, 
+	597, 598, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 599, 600, 601, 602, 603, 604, 605, 606, 607, 
+	608, 609, 610, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 611, 612, 
+	613, 614, 615, 616, 617, 618, 619, 620, 0, 621, 622, 0, 
+	623, 624, 625, 626, 0, 0, 0, 0, 0, 0, 0, 0, 
+	627, 628, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 629, 630, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 631, 632, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 633, 634, 635, 636, 637, 638, 639, 640, 641, 642, 643, 
+	644, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 645, 
+	646, 647, 648, 649, 650, 651, 652, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 653, 654, 655, 656, 657, 658, 659, 
+	660, 661, 662, 663, 664, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 665, 666, 667, 668, 669, 670, 671, 672, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 673, 674, 0, 0, 
+	0, 0, 0, 0, 0, 675, 676, 677, 0, 0, 678, 679, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 680, 
+	681, 0, 0, 682, 683, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 684, 
+	685, 686, 687, 688, 689, 690, 691, 692, 693, 0, 694, 695, 
+	0, 696, 697, 698, 699, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 700, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 701, 702, 703, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 704, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	705, 706, 707, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 708, 709, 
+	710, 711, 712, 713, 714, 715, 0, 0, 0, 0, 716, 717, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 718, 719, 720, 
+	721, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 722, 723, 724, 725, 726, 727, 728, 729, 730, 731, 
+	732, 733, 734, 735, 736, 737, 738, 739, 740, 741, 742, 743, 
+	744, 745, 746, 747, 748, 749, 750, 751, 752, 753, 754, 755, 
+	756, 757, 758, 759, 760, 761, 762, 763, 764, 765, 766, 767, 
+	768, 769, 770, 771, 772, 773, 774, 775, 776, 777, 778, 779, 
+	780, 781, 782, 783, 784, 785, 786, 787, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 788, 789, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 790, 791, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 792, 793, 0, 0, 0, 0, 0, 
+	0, 794, 795, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 796, 
+	797, 798, 0, 0, 799, 800, 801, 802, 0, 0, 803, 804, 
+	805, 806, 0, 0, 807, 808, 809, 810, 811, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 812, 
+	813, 814, 0, 0, 815, 816, 817, 818, 0, 0, 819, 820, 
+	821, 822, 0, 0, 823, 824, 825, 826, 827, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 828, 829, 0, 0, 0, 
+	0, 830, 831, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 832, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 833, 834, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	835, 836, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 837, 838, 
+	0, 0, 0, 0, 0, 0, 839, 840, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 841, 842, 0, 0, 0, 0, 0, 
+	0, 843, 844, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 845, 846, 847, 848, 849, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 850, 851, 852, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 853, 854, 855, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 856, 
+	857, 858, 0, 0, 0, 0, 0, 0, 0, 0, 859, 860, 
+	861, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 862, 
+	863, 864, 0, 0, 0, 0, 0, 0, 0, 0, 865, 866, 
+	867, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	868, 869, 0, 0, 0, 0, 0, 0, 0, 0, 0, 870, 
+	871, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 872, 
+	873, 874, 875, 0, 0, 0, 0, 0, 0, 0, 876, 0, 
+	877, 878, 879, 880, 881, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 882, 883, 884, 885, 886, 887, 888, 889, 890, 891, 0, 
+	892, 893, 0, 894, 895, 896, 897, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0,
 };
 
 #endif // GET_INSTRINFO_MC_DESC
diff --git a/arch/X86/X86GenInstrInfo_reduce.inc b/arch/X86/X86GenInstrInfo_reduce.inc
index e6daa2d..014b24a 100644
--- a/arch/X86/X86GenInstrInfo_reduce.inc
+++ b/arch/X86/X86GenInstrInfo_reduce.inc
@@ -16,7 +16,7 @@
 enum {
     X86_PHI	= 0,
     X86_INLINEASM	= 1,
-    X86_PROLOG_LABEL	= 2,
+    X86_CFI_INSTRUCTION	= 2,
     X86_EH_LABEL	= 3,
     X86_GC_LABEL	= 4,
     X86_KILL	= 5,
@@ -33,1697 +33,1657 @@
     X86_LIFETIME_END	= 16,
     X86_STACKMAP	= 17,
     X86_PATCHPOINT	= 18,
-    X86_AAA	= 19,
-    X86_AAD8i8	= 20,
-    X86_AAM8i8	= 21,
-    X86_AAS	= 22,
-    X86_ACQUIRE_MOV16rm	= 23,
-    X86_ACQUIRE_MOV32rm	= 24,
-    X86_ACQUIRE_MOV64rm	= 25,
-    X86_ACQUIRE_MOV8rm	= 26,
-    X86_ADC16i16	= 27,
-    X86_ADC16mi	= 28,
-    X86_ADC16mi8	= 29,
-    X86_ADC16mr	= 30,
-    X86_ADC16ri	= 31,
-    X86_ADC16ri8	= 32,
-    X86_ADC16rm	= 33,
-    X86_ADC16rr	= 34,
-    X86_ADC16rr_REV	= 35,
-    X86_ADC32i32	= 36,
-    X86_ADC32mi	= 37,
-    X86_ADC32mi8	= 38,
-    X86_ADC32mr	= 39,
-    X86_ADC32ri	= 40,
-    X86_ADC32ri8	= 41,
-    X86_ADC32rm	= 42,
-    X86_ADC32rr	= 43,
-    X86_ADC32rr_REV	= 44,
-    X86_ADC64i32	= 45,
-    X86_ADC64mi32	= 46,
-    X86_ADC64mi8	= 47,
-    X86_ADC64mr	= 48,
-    X86_ADC64ri32	= 49,
-    X86_ADC64ri8	= 50,
-    X86_ADC64rm	= 51,
-    X86_ADC64rr	= 52,
-    X86_ADC64rr_REV	= 53,
-    X86_ADC8i8	= 54,
-    X86_ADC8mi	= 55,
-    X86_ADC8mr	= 56,
-    X86_ADC8ri	= 57,
-    X86_ADC8rm	= 58,
-    X86_ADC8rr	= 59,
-    X86_ADC8rr_REV	= 60,
-    X86_ADCX32rm	= 61,
-    X86_ADCX32rr	= 62,
-    X86_ADCX64rm	= 63,
-    X86_ADCX64rr	= 64,
-    X86_ADD16i16	= 65,
-    X86_ADD16mi	= 66,
-    X86_ADD16mi8	= 67,
-    X86_ADD16mr	= 68,
-    X86_ADD16ri	= 69,
-    X86_ADD16ri8	= 70,
-    X86_ADD16ri8_DB	= 71,
-    X86_ADD16ri_DB	= 72,
-    X86_ADD16rm	= 73,
-    X86_ADD16rr	= 74,
-    X86_ADD16rr_DB	= 75,
-    X86_ADD16rr_REV	= 76,
-    X86_ADD32i32	= 77,
-    X86_ADD32mi	= 78,
-    X86_ADD32mi8	= 79,
-    X86_ADD32mr	= 80,
-    X86_ADD32ri	= 81,
-    X86_ADD32ri8	= 82,
-    X86_ADD32ri8_DB	= 83,
-    X86_ADD32ri_DB	= 84,
-    X86_ADD32rm	= 85,
-    X86_ADD32rr	= 86,
-    X86_ADD32rr_DB	= 87,
-    X86_ADD32rr_REV	= 88,
-    X86_ADD64i32	= 89,
-    X86_ADD64mi32	= 90,
-    X86_ADD64mi8	= 91,
-    X86_ADD64mr	= 92,
-    X86_ADD64ri32	= 93,
-    X86_ADD64ri32_DB	= 94,
-    X86_ADD64ri8	= 95,
-    X86_ADD64ri8_DB	= 96,
-    X86_ADD64rm	= 97,
-    X86_ADD64rr	= 98,
-    X86_ADD64rr_DB	= 99,
-    X86_ADD64rr_REV	= 100,
-    X86_ADD8i8	= 101,
-    X86_ADD8mi	= 102,
-    X86_ADD8mr	= 103,
-    X86_ADD8ri	= 104,
-    X86_ADD8ri8	= 105,
-    X86_ADD8rm	= 106,
-    X86_ADD8rr	= 107,
-    X86_ADD8rr_REV	= 108,
-    X86_ADJCALLSTACKDOWN32	= 109,
-    X86_ADJCALLSTACKDOWN64	= 110,
-    X86_ADJCALLSTACKUP32	= 111,
-    X86_ADJCALLSTACKUP64	= 112,
-    X86_ADOX32rm	= 113,
-    X86_ADOX32rr	= 114,
-    X86_ADOX64rm	= 115,
-    X86_ADOX64rr	= 116,
-    X86_AND16i16	= 117,
-    X86_AND16mi	= 118,
-    X86_AND16mi8	= 119,
-    X86_AND16mr	= 120,
-    X86_AND16ri	= 121,
-    X86_AND16ri8	= 122,
-    X86_AND16rm	= 123,
-    X86_AND16rr	= 124,
-    X86_AND16rr_REV	= 125,
-    X86_AND32i32	= 126,
-    X86_AND32mi	= 127,
-    X86_AND32mi8	= 128,
-    X86_AND32mr	= 129,
-    X86_AND32ri	= 130,
-    X86_AND32ri8	= 131,
-    X86_AND32rm	= 132,
-    X86_AND32rr	= 133,
-    X86_AND32rr_REV	= 134,
-    X86_AND64i32	= 135,
-    X86_AND64mi32	= 136,
-    X86_AND64mi8	= 137,
-    X86_AND64mr	= 138,
-    X86_AND64ri32	= 139,
-    X86_AND64ri8	= 140,
-    X86_AND64rm	= 141,
-    X86_AND64rr	= 142,
-    X86_AND64rr_REV	= 143,
-    X86_AND8i8	= 144,
-    X86_AND8mi	= 145,
-    X86_AND8mr	= 146,
-    X86_AND8ri	= 147,
-    X86_AND8ri8	= 148,
-    X86_AND8rm	= 149,
-    X86_AND8rr	= 150,
-    X86_AND8rr_REV	= 151,
-    X86_ANDN32rm	= 152,
-    X86_ANDN32rr	= 153,
-    X86_ANDN64rm	= 154,
-    X86_ANDN64rr	= 155,
-    X86_ARPL16mr	= 156,
-    X86_ARPL16rr	= 157,
-    X86_ATOMADD6432	= 158,
-    X86_ATOMAND16	= 159,
-    X86_ATOMAND32	= 160,
-    X86_ATOMAND64	= 161,
-    X86_ATOMAND6432	= 162,
-    X86_ATOMAND8	= 163,
-    X86_ATOMMAX16	= 164,
-    X86_ATOMMAX32	= 165,
-    X86_ATOMMAX64	= 166,
-    X86_ATOMMAX6432	= 167,
-    X86_ATOMMAX8	= 168,
-    X86_ATOMMIN16	= 169,
-    X86_ATOMMIN32	= 170,
-    X86_ATOMMIN64	= 171,
-    X86_ATOMMIN6432	= 172,
-    X86_ATOMMIN8	= 173,
-    X86_ATOMNAND16	= 174,
-    X86_ATOMNAND32	= 175,
-    X86_ATOMNAND64	= 176,
-    X86_ATOMNAND6432	= 177,
-    X86_ATOMNAND8	= 178,
-    X86_ATOMOR16	= 179,
-    X86_ATOMOR32	= 180,
-    X86_ATOMOR64	= 181,
-    X86_ATOMOR6432	= 182,
-    X86_ATOMOR8	= 183,
-    X86_ATOMSUB6432	= 184,
-    X86_ATOMSWAP6432	= 185,
-    X86_ATOMUMAX16	= 186,
-    X86_ATOMUMAX32	= 187,
-    X86_ATOMUMAX64	= 188,
-    X86_ATOMUMAX6432	= 189,
-    X86_ATOMUMAX8	= 190,
-    X86_ATOMUMIN16	= 191,
-    X86_ATOMUMIN32	= 192,
-    X86_ATOMUMIN64	= 193,
-    X86_ATOMUMIN6432	= 194,
-    X86_ATOMUMIN8	= 195,
-    X86_ATOMXOR16	= 196,
-    X86_ATOMXOR32	= 197,
-    X86_ATOMXOR64	= 198,
-    X86_ATOMXOR6432	= 199,
-    X86_ATOMXOR8	= 200,
-    X86_BEXTR32rm	= 201,
-    X86_BEXTR32rr	= 202,
-    X86_BEXTR64rm	= 203,
-    X86_BEXTR64rr	= 204,
-    X86_BEXTRI32mi	= 205,
-    X86_BEXTRI32ri	= 206,
-    X86_BEXTRI64mi	= 207,
-    X86_BEXTRI64ri	= 208,
-    X86_BLCFILL32rm	= 209,
-    X86_BLCFILL32rr	= 210,
-    X86_BLCFILL64rm	= 211,
-    X86_BLCFILL64rr	= 212,
-    X86_BLCI32rm	= 213,
-    X86_BLCI32rr	= 214,
-    X86_BLCI64rm	= 215,
-    X86_BLCI64rr	= 216,
-    X86_BLCIC32rm	= 217,
-    X86_BLCIC32rr	= 218,
-    X86_BLCIC64rm	= 219,
-    X86_BLCIC64rr	= 220,
-    X86_BLCMSK32rm	= 221,
-    X86_BLCMSK32rr	= 222,
-    X86_BLCMSK64rm	= 223,
-    X86_BLCMSK64rr	= 224,
-    X86_BLCS32rm	= 225,
-    X86_BLCS32rr	= 226,
-    X86_BLCS64rm	= 227,
-    X86_BLCS64rr	= 228,
-    X86_BLSFILL32rm	= 229,
-    X86_BLSFILL32rr	= 230,
-    X86_BLSFILL64rm	= 231,
-    X86_BLSFILL64rr	= 232,
-    X86_BLSI32rm	= 233,
-    X86_BLSI32rr	= 234,
-    X86_BLSI64rm	= 235,
-    X86_BLSI64rr	= 236,
-    X86_BLSIC32rm	= 237,
-    X86_BLSIC32rr	= 238,
-    X86_BLSIC64rm	= 239,
-    X86_BLSIC64rr	= 240,
-    X86_BLSMSK32rm	= 241,
-    X86_BLSMSK32rr	= 242,
-    X86_BLSMSK64rm	= 243,
-    X86_BLSMSK64rr	= 244,
-    X86_BLSR32rm	= 245,
-    X86_BLSR32rr	= 246,
-    X86_BLSR64rm	= 247,
-    X86_BLSR64rr	= 248,
-    X86_BOUNDS16rm	= 249,
-    X86_BOUNDS32rm	= 250,
-    X86_BSF16rm	= 251,
-    X86_BSF16rr	= 252,
-    X86_BSF32rm	= 253,
-    X86_BSF32rr	= 254,
-    X86_BSF64rm	= 255,
-    X86_BSF64rr	= 256,
-    X86_BSR16rm	= 257,
-    X86_BSR16rr	= 258,
-    X86_BSR32rm	= 259,
-    X86_BSR32rr	= 260,
-    X86_BSR64rm	= 261,
-    X86_BSR64rr	= 262,
-    X86_BSWAP32r	= 263,
-    X86_BSWAP64r	= 264,
-    X86_BT16mi8	= 265,
-    X86_BT16mr	= 266,
-    X86_BT16ri8	= 267,
-    X86_BT16rr	= 268,
-    X86_BT32mi8	= 269,
-    X86_BT32mr	= 270,
-    X86_BT32ri8	= 271,
-    X86_BT32rr	= 272,
-    X86_BT64mi8	= 273,
-    X86_BT64mr	= 274,
-    X86_BT64ri8	= 275,
-    X86_BT64rr	= 276,
-    X86_BTC16mi8	= 277,
-    X86_BTC16mr	= 278,
-    X86_BTC16ri8	= 279,
-    X86_BTC16rr	= 280,
-    X86_BTC32mi8	= 281,
-    X86_BTC32mr	= 282,
-    X86_BTC32ri8	= 283,
-    X86_BTC32rr	= 284,
-    X86_BTC64mi8	= 285,
-    X86_BTC64mr	= 286,
-    X86_BTC64ri8	= 287,
-    X86_BTC64rr	= 288,
-    X86_BTR16mi8	= 289,
-    X86_BTR16mr	= 290,
-    X86_BTR16ri8	= 291,
-    X86_BTR16rr	= 292,
-    X86_BTR32mi8	= 293,
-    X86_BTR32mr	= 294,
-    X86_BTR32ri8	= 295,
-    X86_BTR32rr	= 296,
-    X86_BTR64mi8	= 297,
-    X86_BTR64mr	= 298,
-    X86_BTR64ri8	= 299,
-    X86_BTR64rr	= 300,
-    X86_BTS16mi8	= 301,
-    X86_BTS16mr	= 302,
-    X86_BTS16ri8	= 303,
-    X86_BTS16rr	= 304,
-    X86_BTS32mi8	= 305,
-    X86_BTS32mr	= 306,
-    X86_BTS32ri8	= 307,
-    X86_BTS32rr	= 308,
-    X86_BTS64mi8	= 309,
-    X86_BTS64mr	= 310,
-    X86_BTS64ri8	= 311,
-    X86_BTS64rr	= 312,
-    X86_BZHI32rm	= 313,
-    X86_BZHI32rr	= 314,
-    X86_BZHI64rm	= 315,
-    X86_BZHI64rr	= 316,
-    X86_CALL16m	= 317,
-    X86_CALL16r	= 318,
-    X86_CALL32m	= 319,
-    X86_CALL32r	= 320,
-    X86_CALL64m	= 321,
-    X86_CALL64pcrel32	= 322,
-    X86_CALL64r	= 323,
-    X86_CALLpcrel16	= 324,
-    X86_CALLpcrel32	= 325,
-    X86_CBW	= 326,
-    X86_CDQ	= 327,
-    X86_CDQE	= 328,
-    X86_CLAC	= 329,
-    X86_CLC	= 330,
-    X86_CLD	= 331,
-    X86_CLGI	= 332,
-    X86_CLI	= 333,
-    X86_CLTS	= 334,
-    X86_CMC	= 335,
-    X86_CMOVA16rm	= 336,
-    X86_CMOVA16rr	= 337,
-    X86_CMOVA32rm	= 338,
-    X86_CMOVA32rr	= 339,
-    X86_CMOVA64rm	= 340,
-    X86_CMOVA64rr	= 341,
-    X86_CMOVAE16rm	= 342,
-    X86_CMOVAE16rr	= 343,
-    X86_CMOVAE32rm	= 344,
-    X86_CMOVAE32rr	= 345,
-    X86_CMOVAE64rm	= 346,
-    X86_CMOVAE64rr	= 347,
-    X86_CMOVB16rm	= 348,
-    X86_CMOVB16rr	= 349,
-    X86_CMOVB32rm	= 350,
-    X86_CMOVB32rr	= 351,
-    X86_CMOVB64rm	= 352,
-    X86_CMOVB64rr	= 353,
-    X86_CMOVBE16rm	= 354,
-    X86_CMOVBE16rr	= 355,
-    X86_CMOVBE32rm	= 356,
-    X86_CMOVBE32rr	= 357,
-    X86_CMOVBE64rm	= 358,
-    X86_CMOVBE64rr	= 359,
-    X86_CMOVE16rm	= 360,
-    X86_CMOVE16rr	= 361,
-    X86_CMOVE32rm	= 362,
-    X86_CMOVE32rr	= 363,
-    X86_CMOVE64rm	= 364,
-    X86_CMOVE64rr	= 365,
-    X86_CMOVG16rm	= 366,
-    X86_CMOVG16rr	= 367,
-    X86_CMOVG32rm	= 368,
-    X86_CMOVG32rr	= 369,
-    X86_CMOVG64rm	= 370,
-    X86_CMOVG64rr	= 371,
-    X86_CMOVGE16rm	= 372,
-    X86_CMOVGE16rr	= 373,
-    X86_CMOVGE32rm	= 374,
-    X86_CMOVGE32rr	= 375,
-    X86_CMOVGE64rm	= 376,
-    X86_CMOVGE64rr	= 377,
-    X86_CMOVL16rm	= 378,
-    X86_CMOVL16rr	= 379,
-    X86_CMOVL32rm	= 380,
-    X86_CMOVL32rr	= 381,
-    X86_CMOVL64rm	= 382,
-    X86_CMOVL64rr	= 383,
-    X86_CMOVLE16rm	= 384,
-    X86_CMOVLE16rr	= 385,
-    X86_CMOVLE32rm	= 386,
-    X86_CMOVLE32rr	= 387,
-    X86_CMOVLE64rm	= 388,
-    X86_CMOVLE64rr	= 389,
-    X86_CMOVNE16rm	= 390,
-    X86_CMOVNE16rr	= 391,
-    X86_CMOVNE32rm	= 392,
-    X86_CMOVNE32rr	= 393,
-    X86_CMOVNE64rm	= 394,
-    X86_CMOVNE64rr	= 395,
-    X86_CMOVNO16rm	= 396,
-    X86_CMOVNO16rr	= 397,
-    X86_CMOVNO32rm	= 398,
-    X86_CMOVNO32rr	= 399,
-    X86_CMOVNO64rm	= 400,
-    X86_CMOVNO64rr	= 401,
-    X86_CMOVNP16rm	= 402,
-    X86_CMOVNP16rr	= 403,
-    X86_CMOVNP32rm	= 404,
-    X86_CMOVNP32rr	= 405,
-    X86_CMOVNP64rm	= 406,
-    X86_CMOVNP64rr	= 407,
-    X86_CMOVNS16rm	= 408,
-    X86_CMOVNS16rr	= 409,
-    X86_CMOVNS32rm	= 410,
-    X86_CMOVNS32rr	= 411,
-    X86_CMOVNS64rm	= 412,
-    X86_CMOVNS64rr	= 413,
-    X86_CMOVO16rm	= 414,
-    X86_CMOVO16rr	= 415,
-    X86_CMOVO32rm	= 416,
-    X86_CMOVO32rr	= 417,
-    X86_CMOVO64rm	= 418,
-    X86_CMOVO64rr	= 419,
-    X86_CMOVP16rm	= 420,
-    X86_CMOVP16rr	= 421,
-    X86_CMOVP32rm	= 422,
-    X86_CMOVP32rr	= 423,
-    X86_CMOVP64rm	= 424,
-    X86_CMOVP64rr	= 425,
-    X86_CMOVS16rm	= 426,
-    X86_CMOVS16rr	= 427,
-    X86_CMOVS32rm	= 428,
-    X86_CMOVS32rr	= 429,
-    X86_CMOVS64rm	= 430,
-    X86_CMOVS64rr	= 431,
-    X86_CMOV_FR32	= 432,
-    X86_CMOV_FR64	= 433,
-    X86_CMOV_GR16	= 434,
-    X86_CMOV_GR32	= 435,
-    X86_CMOV_GR8	= 436,
-    X86_CMOV_RFP32	= 437,
-    X86_CMOV_RFP64	= 438,
-    X86_CMOV_RFP80	= 439,
-    X86_CMOV_V16F32	= 440,
-    X86_CMOV_V2F64	= 441,
-    X86_CMOV_V2I64	= 442,
-    X86_CMOV_V4F32	= 443,
-    X86_CMOV_V4F64	= 444,
-    X86_CMOV_V4I64	= 445,
-    X86_CMOV_V8F32	= 446,
-    X86_CMOV_V8F64	= 447,
-    X86_CMOV_V8I64	= 448,
-    X86_CMP16i16	= 449,
-    X86_CMP16mi	= 450,
-    X86_CMP16mi8	= 451,
-    X86_CMP16mr	= 452,
-    X86_CMP16ri	= 453,
-    X86_CMP16ri8	= 454,
-    X86_CMP16rm	= 455,
-    X86_CMP16rr	= 456,
-    X86_CMP16rr_REV	= 457,
-    X86_CMP32i32	= 458,
-    X86_CMP32mi	= 459,
-    X86_CMP32mi8	= 460,
-    X86_CMP32mr	= 461,
-    X86_CMP32ri	= 462,
-    X86_CMP32ri8	= 463,
-    X86_CMP32rm	= 464,
-    X86_CMP32rr	= 465,
-    X86_CMP32rr_REV	= 466,
-    X86_CMP64i32	= 467,
-    X86_CMP64mi32	= 468,
-    X86_CMP64mi8	= 469,
-    X86_CMP64mr	= 470,
-    X86_CMP64ri32	= 471,
-    X86_CMP64ri8	= 472,
-    X86_CMP64rm	= 473,
-    X86_CMP64rr	= 474,
-    X86_CMP64rr_REV	= 475,
-    X86_CMP8i8	= 476,
-    X86_CMP8mi	= 477,
-    X86_CMP8mr	= 478,
-    X86_CMP8ri	= 479,
-    X86_CMP8rm	= 480,
-    X86_CMP8rr	= 481,
-    X86_CMP8rr_REV	= 482,
-    X86_CMPS16	= 483,
-    X86_CMPS32	= 484,
-    X86_CMPS64	= 485,
-    X86_CMPS8	= 486,
-    X86_CMPXCHG16B	= 487,
-    X86_CMPXCHG16rm	= 488,
-    X86_CMPXCHG16rr	= 489,
-    X86_CMPXCHG32rm	= 490,
-    X86_CMPXCHG32rr	= 491,
-    X86_CMPXCHG64rm	= 492,
-    X86_CMPXCHG64rr	= 493,
-    X86_CMPXCHG8B	= 494,
-    X86_CMPXCHG8rm	= 495,
-    X86_CMPXCHG8rr	= 496,
-    X86_CPUID32	= 497,
-    X86_CPUID64	= 498,
-    X86_CQO	= 499,
-    X86_CS_PREFIX	= 500,
-    X86_CWD	= 501,
-    X86_CWDE	= 502,
-    X86_DAA	= 503,
-    X86_DAS	= 504,
-    X86_DATA16_PREFIX	= 505,
-    X86_DEC16m	= 506,
-    X86_DEC16r	= 507,
-    X86_DEC32_16r	= 508,
-    X86_DEC32_32r	= 509,
-    X86_DEC32m	= 510,
-    X86_DEC32r	= 511,
-    X86_DEC64_16m	= 512,
-    X86_DEC64_16r	= 513,
-    X86_DEC64_32m	= 514,
-    X86_DEC64_32r	= 515,
-    X86_DEC64m	= 516,
-    X86_DEC64r	= 517,
-    X86_DEC8m	= 518,
-    X86_DEC8r	= 519,
-    X86_DIV16m	= 520,
-    X86_DIV16r	= 521,
-    X86_DIV32m	= 522,
-    X86_DIV32r	= 523,
-    X86_DIV64m	= 524,
-    X86_DIV64r	= 525,
-    X86_DIV8m	= 526,
-    X86_DIV8r	= 527,
-    X86_DS_PREFIX	= 528,
-    X86_EH_RETURN	= 529,
-    X86_EH_RETURN64	= 530,
-    X86_EH_SjLj_LongJmp32	= 531,
-    X86_EH_SjLj_LongJmp64	= 532,
-    X86_EH_SjLj_SetJmp32	= 533,
-    X86_EH_SjLj_SetJmp64	= 534,
-    X86_EH_SjLj_Setup	= 535,
-    X86_ENTER	= 536,
-    X86_ES_PREFIX	= 537,
-    X86_FARCALL16i	= 538,
-    X86_FARCALL16m	= 539,
-    X86_FARCALL32i	= 540,
-    X86_FARCALL32m	= 541,
-    X86_FARCALL64	= 542,
-    X86_FARJMP16i	= 543,
-    X86_FARJMP16m	= 544,
-    X86_FARJMP32i	= 545,
-    X86_FARJMP32m	= 546,
-    X86_FARJMP64	= 547,
-    X86_FSETPM	= 548,
-    X86_FS_PREFIX	= 549,
-    X86_GETSEC	= 550,
-    X86_GS_PREFIX	= 551,
-    X86_HLT	= 552,
-    X86_IDIV16m	= 553,
-    X86_IDIV16r	= 554,
-    X86_IDIV32m	= 555,
-    X86_IDIV32r	= 556,
-    X86_IDIV64m	= 557,
-    X86_IDIV64r	= 558,
-    X86_IDIV8m	= 559,
-    X86_IDIV8r	= 560,
-    X86_IMUL16m	= 561,
-    X86_IMUL16r	= 562,
-    X86_IMUL16rm	= 563,
-    X86_IMUL16rmi	= 564,
-    X86_IMUL16rmi8	= 565,
-    X86_IMUL16rr	= 566,
-    X86_IMUL16rri	= 567,
-    X86_IMUL16rri8	= 568,
-    X86_IMUL32m	= 569,
-    X86_IMUL32r	= 570,
-    X86_IMUL32rm	= 571,
-    X86_IMUL32rmi	= 572,
-    X86_IMUL32rmi8	= 573,
-    X86_IMUL32rr	= 574,
-    X86_IMUL32rri	= 575,
-    X86_IMUL32rri8	= 576,
-    X86_IMUL64m	= 577,
-    X86_IMUL64r	= 578,
-    X86_IMUL64rm	= 579,
-    X86_IMUL64rmi32	= 580,
-    X86_IMUL64rmi8	= 581,
-    X86_IMUL64rr	= 582,
-    X86_IMUL64rri32	= 583,
-    X86_IMUL64rri8	= 584,
-    X86_IMUL8m	= 585,
-    X86_IMUL8r	= 586,
-    X86_IN16	= 587,
-    X86_IN16ri	= 588,
-    X86_IN16rr	= 589,
-    X86_IN32	= 590,
-    X86_IN32ri	= 591,
-    X86_IN32rr	= 592,
-    X86_IN8	= 593,
-    X86_IN8ri	= 594,
-    X86_IN8rr	= 595,
-    X86_INC16m	= 596,
-    X86_INC16r	= 597,
-    X86_INC32_16r	= 598,
-    X86_INC32_32r	= 599,
-    X86_INC32m	= 600,
-    X86_INC32r	= 601,
-    X86_INC64_16m	= 602,
-    X86_INC64_16r	= 603,
-    X86_INC64_32m	= 604,
-    X86_INC64_32r	= 605,
-    X86_INC64m	= 606,
-    X86_INC64r	= 607,
-    X86_INC8m	= 608,
-    X86_INC8r	= 609,
-    X86_INT	= 610,
-    X86_INT1	= 611,
-    X86_INT3	= 612,
-    X86_INTO	= 613,
-    X86_INVD	= 614,
-    X86_INVEPT32	= 615,
-    X86_INVEPT64	= 616,
-    X86_INVLPG	= 617,
-    X86_INVLPGA32	= 618,
-    X86_INVLPGA64	= 619,
-    X86_INVPCID32	= 620,
-    X86_INVPCID64	= 621,
-    X86_INVVPID32	= 622,
-    X86_INVVPID64	= 623,
-    X86_IRET16	= 624,
-    X86_IRET32	= 625,
-    X86_IRET64	= 626,
-    X86_Int_MemBarrier	= 627,
-    X86_JAE_1	= 628,
-    X86_JAE_2	= 629,
-    X86_JAE_4	= 630,
-    X86_JA_1	= 631,
-    X86_JA_2	= 632,
-    X86_JA_4	= 633,
-    X86_JBE_1	= 634,
-    X86_JBE_2	= 635,
-    X86_JBE_4	= 636,
-    X86_JB_1	= 637,
-    X86_JB_2	= 638,
-    X86_JB_4	= 639,
-    X86_JCXZ	= 640,
-    X86_JECXZ_32	= 641,
-    X86_JECXZ_64	= 642,
-    X86_JE_1	= 643,
-    X86_JE_2	= 644,
-    X86_JE_4	= 645,
-    X86_JGE_1	= 646,
-    X86_JGE_2	= 647,
-    X86_JGE_4	= 648,
-    X86_JG_1	= 649,
-    X86_JG_2	= 650,
-    X86_JG_4	= 651,
-    X86_JLE_1	= 652,
-    X86_JLE_2	= 653,
-    X86_JLE_4	= 654,
-    X86_JL_1	= 655,
-    X86_JL_2	= 656,
-    X86_JL_4	= 657,
-    X86_JMP16m	= 658,
-    X86_JMP16r	= 659,
-    X86_JMP32m	= 660,
-    X86_JMP32r	= 661,
-    X86_JMP64m	= 662,
-    X86_JMP64r	= 663,
-    X86_JMP_1	= 664,
-    X86_JMP_2	= 665,
-    X86_JMP_4	= 666,
-    X86_JNE_1	= 667,
-    X86_JNE_2	= 668,
-    X86_JNE_4	= 669,
-    X86_JNO_1	= 670,
-    X86_JNO_2	= 671,
-    X86_JNO_4	= 672,
-    X86_JNP_1	= 673,
-    X86_JNP_2	= 674,
-    X86_JNP_4	= 675,
-    X86_JNS_1	= 676,
-    X86_JNS_2	= 677,
-    X86_JNS_4	= 678,
-    X86_JO_1	= 679,
-    X86_JO_2	= 680,
-    X86_JO_4	= 681,
-    X86_JP_1	= 682,
-    X86_JP_2	= 683,
-    X86_JP_4	= 684,
-    X86_JRCXZ	= 685,
-    X86_JS_1	= 686,
-    X86_JS_2	= 687,
-    X86_JS_4	= 688,
-    X86_LAHF	= 689,
-    X86_LAR16rm	= 690,
-    X86_LAR16rr	= 691,
-    X86_LAR32rm	= 692,
-    X86_LAR32rr	= 693,
-    X86_LAR64rm	= 694,
-    X86_LAR64rr	= 695,
-    X86_LCMPXCHG16	= 696,
-    X86_LCMPXCHG16B	= 697,
-    X86_LCMPXCHG32	= 698,
-    X86_LCMPXCHG64	= 699,
-    X86_LCMPXCHG8	= 700,
-    X86_LCMPXCHG8B	= 701,
-    X86_LDS16rm	= 702,
-    X86_LDS32rm	= 703,
-    X86_LEA16r	= 704,
-    X86_LEA32r	= 705,
-    X86_LEA64_32r	= 706,
-    X86_LEA64r	= 707,
-    X86_LEAVE	= 708,
-    X86_LEAVE64	= 709,
-    X86_LES16rm	= 710,
-    X86_LES32rm	= 711,
-    X86_LFS16rm	= 712,
-    X86_LFS32rm	= 713,
-    X86_LFS64rm	= 714,
-    X86_LGDT16m	= 715,
-    X86_LGDT32m	= 716,
-    X86_LGDT64m	= 717,
-    X86_LGS16rm	= 718,
-    X86_LGS32rm	= 719,
-    X86_LGS64rm	= 720,
-    X86_LIDT16m	= 721,
-    X86_LIDT32m	= 722,
-    X86_LIDT64m	= 723,
-    X86_LLDT16m	= 724,
-    X86_LLDT16r	= 725,
-    X86_LMSW16m	= 726,
-    X86_LMSW16r	= 727,
-    X86_LOCK_ADD16mi	= 728,
-    X86_LOCK_ADD16mi8	= 729,
-    X86_LOCK_ADD16mr	= 730,
-    X86_LOCK_ADD32mi	= 731,
-    X86_LOCK_ADD32mi8	= 732,
-    X86_LOCK_ADD32mr	= 733,
-    X86_LOCK_ADD64mi32	= 734,
-    X86_LOCK_ADD64mi8	= 735,
-    X86_LOCK_ADD64mr	= 736,
-    X86_LOCK_ADD8mi	= 737,
-    X86_LOCK_ADD8mr	= 738,
-    X86_LOCK_AND16mi	= 739,
-    X86_LOCK_AND16mi8	= 740,
-    X86_LOCK_AND16mr	= 741,
-    X86_LOCK_AND32mi	= 742,
-    X86_LOCK_AND32mi8	= 743,
-    X86_LOCK_AND32mr	= 744,
-    X86_LOCK_AND64mi32	= 745,
-    X86_LOCK_AND64mi8	= 746,
-    X86_LOCK_AND64mr	= 747,
-    X86_LOCK_AND8mi	= 748,
-    X86_LOCK_AND8mr	= 749,
-    X86_LOCK_DEC16m	= 750,
-    X86_LOCK_DEC32m	= 751,
-    X86_LOCK_DEC64m	= 752,
-    X86_LOCK_DEC8m	= 753,
-    X86_LOCK_INC16m	= 754,
-    X86_LOCK_INC32m	= 755,
-    X86_LOCK_INC64m	= 756,
-    X86_LOCK_INC8m	= 757,
-    X86_LOCK_OR16mi	= 758,
-    X86_LOCK_OR16mi8	= 759,
-    X86_LOCK_OR16mr	= 760,
-    X86_LOCK_OR32mi	= 761,
-    X86_LOCK_OR32mi8	= 762,
-    X86_LOCK_OR32mr	= 763,
-    X86_LOCK_OR64mi32	= 764,
-    X86_LOCK_OR64mi8	= 765,
-    X86_LOCK_OR64mr	= 766,
-    X86_LOCK_OR8mi	= 767,
-    X86_LOCK_OR8mr	= 768,
-    X86_LOCK_PREFIX	= 769,
-    X86_LOCK_SUB16mi	= 770,
-    X86_LOCK_SUB16mi8	= 771,
-    X86_LOCK_SUB16mr	= 772,
-    X86_LOCK_SUB32mi	= 773,
-    X86_LOCK_SUB32mi8	= 774,
-    X86_LOCK_SUB32mr	= 775,
-    X86_LOCK_SUB64mi32	= 776,
-    X86_LOCK_SUB64mi8	= 777,
-    X86_LOCK_SUB64mr	= 778,
-    X86_LOCK_SUB8mi	= 779,
-    X86_LOCK_SUB8mr	= 780,
-    X86_LOCK_XOR16mi	= 781,
-    X86_LOCK_XOR16mi8	= 782,
-    X86_LOCK_XOR16mr	= 783,
-    X86_LOCK_XOR32mi	= 784,
-    X86_LOCK_XOR32mi8	= 785,
-    X86_LOCK_XOR32mr	= 786,
-    X86_LOCK_XOR64mi32	= 787,
-    X86_LOCK_XOR64mi8	= 788,
-    X86_LOCK_XOR64mr	= 789,
-    X86_LOCK_XOR8mi	= 790,
-    X86_LOCK_XOR8mr	= 791,
-    X86_LODSB	= 792,
-    X86_LODSL	= 793,
-    X86_LODSQ	= 794,
-    X86_LODSW	= 795,
-    X86_LOOP	= 796,
-    X86_LOOPE	= 797,
-    X86_LOOPNE	= 798,
-    X86_LRETIL	= 799,
-    X86_LRETIQ	= 800,
-    X86_LRETIW	= 801,
-    X86_LRETL	= 802,
-    X86_LRETQ	= 803,
-    X86_LRETW	= 804,
-    X86_LSL16rm	= 805,
-    X86_LSL16rr	= 806,
-    X86_LSL32rm	= 807,
-    X86_LSL32rr	= 808,
-    X86_LSL64rm	= 809,
-    X86_LSL64rr	= 810,
-    X86_LSS16rm	= 811,
-    X86_LSS32rm	= 812,
-    X86_LSS64rm	= 813,
-    X86_LTRm	= 814,
-    X86_LTRr	= 815,
-    X86_LXADD16	= 816,
-    X86_LXADD32	= 817,
-    X86_LXADD64	= 818,
-    X86_LXADD8	= 819,
-    X86_LZCNT16rm	= 820,
-    X86_LZCNT16rr	= 821,
-    X86_LZCNT32rm	= 822,
-    X86_LZCNT32rr	= 823,
-    X86_LZCNT64rm	= 824,
-    X86_LZCNT64rr	= 825,
-    X86_MONTMUL	= 826,
-    X86_MORESTACK_RET	= 827,
-    X86_MORESTACK_RET_RESTORE_R10	= 828,
-    X86_MOV16ao16	= 829,
-    X86_MOV16ao16_16	= 830,
-    X86_MOV16mi	= 831,
-    X86_MOV16mr	= 832,
-    X86_MOV16ms	= 833,
-    X86_MOV16o16a	= 834,
-    X86_MOV16o16a_16	= 835,
-    X86_MOV16ri	= 836,
-    X86_MOV16ri_alt	= 837,
-    X86_MOV16rm	= 838,
-    X86_MOV16rr	= 839,
-    X86_MOV16rr_REV	= 840,
-    X86_MOV16rs	= 841,
-    X86_MOV16sm	= 842,
-    X86_MOV16sr	= 843,
-    X86_MOV32ao32	= 844,
-    X86_MOV32ao32_16	= 845,
-    X86_MOV32cr	= 846,
-    X86_MOV32dr	= 847,
-    X86_MOV32mi	= 848,
-    X86_MOV32mr	= 849,
-    X86_MOV32ms	= 850,
-    X86_MOV32o32a	= 851,
-    X86_MOV32o32a_16	= 852,
-    X86_MOV32r0	= 853,
-    X86_MOV32rc	= 854,
-    X86_MOV32rd	= 855,
-    X86_MOV32ri	= 856,
-    X86_MOV32ri64	= 857,
-    X86_MOV32ri_alt	= 858,
-    X86_MOV32rm	= 859,
-    X86_MOV32rr	= 860,
-    X86_MOV32rr_REV	= 861,
-    X86_MOV32rs	= 862,
-    X86_MOV32sm	= 863,
-    X86_MOV32sr	= 864,
-    X86_MOV64ao16	= 865,
-    X86_MOV64ao32	= 866,
-    X86_MOV64ao64	= 867,
-    X86_MOV64ao8	= 868,
-    X86_MOV64cr	= 869,
-    X86_MOV64dr	= 870,
-    X86_MOV64mi32	= 871,
-    X86_MOV64mr	= 872,
-    X86_MOV64ms	= 873,
-    X86_MOV64o16a	= 874,
-    X86_MOV64o32a	= 875,
-    X86_MOV64o64a	= 876,
-    X86_MOV64o8a	= 877,
-    X86_MOV64rc	= 878,
-    X86_MOV64rd	= 879,
-    X86_MOV64ri	= 880,
-    X86_MOV64ri32	= 881,
-    X86_MOV64rm	= 882,
-    X86_MOV64rr	= 883,
-    X86_MOV64rr_REV	= 884,
-    X86_MOV64rs	= 885,
-    X86_MOV64sm	= 886,
-    X86_MOV64sr	= 887,
-    X86_MOV8ao8	= 888,
-    X86_MOV8ao8_16	= 889,
-    X86_MOV8mi	= 890,
-    X86_MOV8mr	= 891,
-    X86_MOV8mr_NOREX	= 892,
-    X86_MOV8o8a	= 893,
-    X86_MOV8o8a_16	= 894,
-    X86_MOV8ri	= 895,
-    X86_MOV8ri_alt	= 896,
-    X86_MOV8rm	= 897,
-    X86_MOV8rm_NOREX	= 898,
-    X86_MOV8rr	= 899,
-    X86_MOV8rr_NOREX	= 900,
-    X86_MOV8rr_REV	= 901,
-    X86_MOVBE16mr	= 902,
-    X86_MOVBE16rm	= 903,
-    X86_MOVBE32mr	= 904,
-    X86_MOVBE32rm	= 905,
-    X86_MOVBE64mr	= 906,
-    X86_MOVBE64rm	= 907,
-    X86_MOVPC32r	= 908,
-    X86_MOVSB	= 909,
-    X86_MOVSL	= 910,
-    X86_MOVSQ	= 911,
-    X86_MOVSW	= 912,
-    X86_MOVSX16rm8	= 913,
-    X86_MOVSX16rr8	= 914,
-    X86_MOVSX32rm16	= 915,
-    X86_MOVSX32rm8	= 916,
-    X86_MOVSX32rr16	= 917,
-    X86_MOVSX32rr8	= 918,
-    X86_MOVSX64rm16	= 919,
-    X86_MOVSX64rm32	= 920,
-    X86_MOVSX64rm8	= 921,
-    X86_MOVSX64rr16	= 922,
-    X86_MOVSX64rr32	= 923,
-    X86_MOVSX64rr8	= 924,
-    X86_MOVZX16rm8	= 925,
-    X86_MOVZX16rr8	= 926,
-    X86_MOVZX32_NOREXrm8	= 927,
-    X86_MOVZX32_NOREXrr8	= 928,
-    X86_MOVZX32rm16	= 929,
-    X86_MOVZX32rm8	= 930,
-    X86_MOVZX32rr16	= 931,
-    X86_MOVZX32rr8	= 932,
-    X86_MOVZX64rm16_Q	= 933,
-    X86_MOVZX64rm8_Q	= 934,
-    X86_MOVZX64rr16_Q	= 935,
-    X86_MOVZX64rr8_Q	= 936,
-    X86_MUL16m	= 937,
-    X86_MUL16r	= 938,
-    X86_MUL32m	= 939,
-    X86_MUL32r	= 940,
-    X86_MUL64m	= 941,
-    X86_MUL64r	= 942,
-    X86_MUL8m	= 943,
-    X86_MUL8r	= 944,
-    X86_MULX32rm	= 945,
-    X86_MULX32rr	= 946,
-    X86_MULX64rm	= 947,
-    X86_MULX64rr	= 948,
-    X86_NEG16m	= 949,
-    X86_NEG16r	= 950,
-    X86_NEG32m	= 951,
-    X86_NEG32r	= 952,
-    X86_NEG64m	= 953,
-    X86_NEG64r	= 954,
-    X86_NEG8m	= 955,
-    X86_NEG8r	= 956,
-    X86_NOOP	= 957,
-    X86_NOOP18_16m4	= 958,
-    X86_NOOP18_16m5	= 959,
-    X86_NOOP18_16m6	= 960,
-    X86_NOOP18_16m7	= 961,
-    X86_NOOP18_16r4	= 962,
-    X86_NOOP18_16r5	= 963,
-    X86_NOOP18_16r6	= 964,
-    X86_NOOP18_16r7	= 965,
-    X86_NOOP18_m4	= 966,
-    X86_NOOP18_m5	= 967,
-    X86_NOOP18_m6	= 968,
-    X86_NOOP18_m7	= 969,
-    X86_NOOP18_r4	= 970,
-    X86_NOOP18_r5	= 971,
-    X86_NOOP18_r6	= 972,
-    X86_NOOP18_r7	= 973,
-    X86_NOOPL	= 974,
-    X86_NOOPL_19	= 975,
-    X86_NOOPL_1a	= 976,
-    X86_NOOPL_1b	= 977,
-    X86_NOOPL_1c	= 978,
-    X86_NOOPL_1d	= 979,
-    X86_NOOPL_1e	= 980,
-    X86_NOOPW	= 981,
-    X86_NOOPW_19	= 982,
-    X86_NOOPW_1a	= 983,
-    X86_NOOPW_1b	= 984,
-    X86_NOOPW_1c	= 985,
-    X86_NOOPW_1d	= 986,
-    X86_NOOPW_1e	= 987,
-    X86_NOT16m	= 988,
-    X86_NOT16r	= 989,
-    X86_NOT32m	= 990,
-    X86_NOT32r	= 991,
-    X86_NOT64m	= 992,
-    X86_NOT64r	= 993,
-    X86_NOT8m	= 994,
-    X86_NOT8r	= 995,
-    X86_OR16i16	= 996,
-    X86_OR16mi	= 997,
-    X86_OR16mi8	= 998,
-    X86_OR16mr	= 999,
-    X86_OR16ri	= 1000,
-    X86_OR16ri8	= 1001,
-    X86_OR16rm	= 1002,
-    X86_OR16rr	= 1003,
-    X86_OR16rr_REV	= 1004,
-    X86_OR32i32	= 1005,
-    X86_OR32mi	= 1006,
-    X86_OR32mi8	= 1007,
-    X86_OR32mr	= 1008,
-    X86_OR32mrLocked	= 1009,
-    X86_OR32ri	= 1010,
-    X86_OR32ri8	= 1011,
-    X86_OR32rm	= 1012,
-    X86_OR32rr	= 1013,
-    X86_OR32rr_REV	= 1014,
-    X86_OR64i32	= 1015,
-    X86_OR64mi32	= 1016,
-    X86_OR64mi8	= 1017,
-    X86_OR64mr	= 1018,
-    X86_OR64ri32	= 1019,
-    X86_OR64ri8	= 1020,
-    X86_OR64rm	= 1021,
-    X86_OR64rr	= 1022,
-    X86_OR64rr_REV	= 1023,
-    X86_OR8i8	= 1024,
-    X86_OR8mi	= 1025,
-    X86_OR8mr	= 1026,
-    X86_OR8ri	= 1027,
-    X86_OR8ri8	= 1028,
-    X86_OR8rm	= 1029,
-    X86_OR8rr	= 1030,
-    X86_OR8rr_REV	= 1031,
-    X86_OUT16ir	= 1032,
-    X86_OUT16rr	= 1033,
-    X86_OUT32ir	= 1034,
-    X86_OUT32rr	= 1035,
-    X86_OUT8ir	= 1036,
-    X86_OUT8rr	= 1037,
-    X86_OUTSB	= 1038,
-    X86_OUTSL	= 1039,
-    X86_OUTSW	= 1040,
-    X86_PDEP32rm	= 1041,
-    X86_PDEP32rr	= 1042,
-    X86_PDEP64rm	= 1043,
-    X86_PDEP64rr	= 1044,
-    X86_PEXT32rm	= 1045,
-    X86_PEXT32rr	= 1046,
-    X86_PEXT64rm	= 1047,
-    X86_PEXT64rr	= 1048,
-    X86_POP16r	= 1049,
-    X86_POP16rmm	= 1050,
-    X86_POP16rmr	= 1051,
-    X86_POP32r	= 1052,
-    X86_POP32rmm	= 1053,
-    X86_POP32rmr	= 1054,
-    X86_POP64r	= 1055,
-    X86_POP64rmm	= 1056,
-    X86_POP64rmr	= 1057,
-    X86_POPA16	= 1058,
-    X86_POPA32	= 1059,
-    X86_POPDS16	= 1060,
-    X86_POPDS32	= 1061,
-    X86_POPES16	= 1062,
-    X86_POPES32	= 1063,
-    X86_POPF16	= 1064,
-    X86_POPF32	= 1065,
-    X86_POPF64	= 1066,
-    X86_POPFS16	= 1067,
-    X86_POPFS32	= 1068,
-    X86_POPFS64	= 1069,
-    X86_POPGS16	= 1070,
-    X86_POPGS32	= 1071,
-    X86_POPGS64	= 1072,
-    X86_POPSS16	= 1073,
-    X86_POPSS32	= 1074,
-    X86_PUSH16i8	= 1075,
-    X86_PUSH16r	= 1076,
-    X86_PUSH16rmm	= 1077,
-    X86_PUSH16rmr	= 1078,
-    X86_PUSH32i8	= 1079,
-    X86_PUSH32r	= 1080,
-    X86_PUSH32rmm	= 1081,
-    X86_PUSH32rmr	= 1082,
-    X86_PUSH64i16	= 1083,
-    X86_PUSH64i32	= 1084,
-    X86_PUSH64i8	= 1085,
-    X86_PUSH64r	= 1086,
-    X86_PUSH64rmm	= 1087,
-    X86_PUSH64rmr	= 1088,
-    X86_PUSHA16	= 1089,
-    X86_PUSHA32	= 1090,
-    X86_PUSHCS16	= 1091,
-    X86_PUSHCS32	= 1092,
-    X86_PUSHDS16	= 1093,
-    X86_PUSHDS32	= 1094,
-    X86_PUSHES16	= 1095,
-    X86_PUSHES32	= 1096,
-    X86_PUSHF16	= 1097,
-    X86_PUSHF32	= 1098,
-    X86_PUSHF64	= 1099,
-    X86_PUSHFS16	= 1100,
-    X86_PUSHFS32	= 1101,
-    X86_PUSHFS64	= 1102,
-    X86_PUSHGS16	= 1103,
-    X86_PUSHGS32	= 1104,
-    X86_PUSHGS64	= 1105,
-    X86_PUSHSS16	= 1106,
-    X86_PUSHSS32	= 1107,
-    X86_PUSHi16	= 1108,
-    X86_PUSHi32	= 1109,
-    X86_RCL16m1	= 1110,
-    X86_RCL16mCL	= 1111,
-    X86_RCL16mi	= 1112,
-    X86_RCL16r1	= 1113,
-    X86_RCL16rCL	= 1114,
-    X86_RCL16ri	= 1115,
-    X86_RCL32m1	= 1116,
-    X86_RCL32mCL	= 1117,
-    X86_RCL32mi	= 1118,
-    X86_RCL32r1	= 1119,
-    X86_RCL32rCL	= 1120,
-    X86_RCL32ri	= 1121,
-    X86_RCL64m1	= 1122,
-    X86_RCL64mCL	= 1123,
-    X86_RCL64mi	= 1124,
-    X86_RCL64r1	= 1125,
-    X86_RCL64rCL	= 1126,
-    X86_RCL64ri	= 1127,
-    X86_RCL8m1	= 1128,
-    X86_RCL8mCL	= 1129,
-    X86_RCL8mi	= 1130,
-    X86_RCL8r1	= 1131,
-    X86_RCL8rCL	= 1132,
-    X86_RCL8ri	= 1133,
-    X86_RCR16m1	= 1134,
-    X86_RCR16mCL	= 1135,
-    X86_RCR16mi	= 1136,
-    X86_RCR16r1	= 1137,
-    X86_RCR16rCL	= 1138,
-    X86_RCR16ri	= 1139,
-    X86_RCR32m1	= 1140,
-    X86_RCR32mCL	= 1141,
-    X86_RCR32mi	= 1142,
-    X86_RCR32r1	= 1143,
-    X86_RCR32rCL	= 1144,
-    X86_RCR32ri	= 1145,
-    X86_RCR64m1	= 1146,
-    X86_RCR64mCL	= 1147,
-    X86_RCR64mi	= 1148,
-    X86_RCR64r1	= 1149,
-    X86_RCR64rCL	= 1150,
-    X86_RCR64ri	= 1151,
-    X86_RCR8m1	= 1152,
-    X86_RCR8mCL	= 1153,
-    X86_RCR8mi	= 1154,
-    X86_RCR8r1	= 1155,
-    X86_RCR8rCL	= 1156,
-    X86_RCR8ri	= 1157,
-    X86_RDFSBASE	= 1158,
-    X86_RDFSBASE64	= 1159,
-    X86_RDGSBASE	= 1160,
-    X86_RDGSBASE64	= 1161,
-    X86_RDMSR	= 1162,
-    X86_RDPMC	= 1163,
-    X86_RDRAND16r	= 1164,
-    X86_RDRAND32r	= 1165,
-    X86_RDRAND64r	= 1166,
-    X86_RDSEED16r	= 1167,
-    X86_RDSEED32r	= 1168,
-    X86_RDSEED64r	= 1169,
-    X86_RDTSC	= 1170,
-    X86_RDTSCP	= 1171,
-    X86_RELEASE_MOV16mr	= 1172,
-    X86_RELEASE_MOV32mr	= 1173,
-    X86_RELEASE_MOV64mr	= 1174,
-    X86_RELEASE_MOV8mr	= 1175,
-    X86_REPNE_PREFIX	= 1176,
-    X86_REP_MOVSB_32	= 1177,
-    X86_REP_MOVSB_64	= 1178,
-    X86_REP_MOVSD_32	= 1179,
-    X86_REP_MOVSD_64	= 1180,
-    X86_REP_MOVSQ_64	= 1181,
-    X86_REP_MOVSW_32	= 1182,
-    X86_REP_MOVSW_64	= 1183,
-    X86_REP_PREFIX	= 1184,
-    X86_REP_STOSB_32	= 1185,
-    X86_REP_STOSB_64	= 1186,
-    X86_REP_STOSD_32	= 1187,
-    X86_REP_STOSD_64	= 1188,
-    X86_REP_STOSQ_64	= 1189,
-    X86_REP_STOSW_32	= 1190,
-    X86_REP_STOSW_64	= 1191,
-    X86_RETIL	= 1192,
-    X86_RETIQ	= 1193,
-    X86_RETIW	= 1194,
-    X86_RETL	= 1195,
-    X86_RETQ	= 1196,
-    X86_RETW	= 1197,
-    X86_REX64_PREFIX	= 1198,
-    X86_ROL16m1	= 1199,
-    X86_ROL16mCL	= 1200,
-    X86_ROL16mi	= 1201,
-    X86_ROL16r1	= 1202,
-    X86_ROL16rCL	= 1203,
-    X86_ROL16ri	= 1204,
-    X86_ROL32m1	= 1205,
-    X86_ROL32mCL	= 1206,
-    X86_ROL32mi	= 1207,
-    X86_ROL32r1	= 1208,
-    X86_ROL32rCL	= 1209,
-    X86_ROL32ri	= 1210,
-    X86_ROL64m1	= 1211,
-    X86_ROL64mCL	= 1212,
-    X86_ROL64mi	= 1213,
-    X86_ROL64r1	= 1214,
-    X86_ROL64rCL	= 1215,
-    X86_ROL64ri	= 1216,
-    X86_ROL8m1	= 1217,
-    X86_ROL8mCL	= 1218,
-    X86_ROL8mi	= 1219,
-    X86_ROL8r1	= 1220,
-    X86_ROL8rCL	= 1221,
-    X86_ROL8ri	= 1222,
-    X86_ROR16m1	= 1223,
-    X86_ROR16mCL	= 1224,
-    X86_ROR16mi	= 1225,
-    X86_ROR16r1	= 1226,
-    X86_ROR16rCL	= 1227,
-    X86_ROR16ri	= 1228,
-    X86_ROR32m1	= 1229,
-    X86_ROR32mCL	= 1230,
-    X86_ROR32mi	= 1231,
-    X86_ROR32r1	= 1232,
-    X86_ROR32rCL	= 1233,
-    X86_ROR32ri	= 1234,
-    X86_ROR64m1	= 1235,
-    X86_ROR64mCL	= 1236,
-    X86_ROR64mi	= 1237,
-    X86_ROR64r1	= 1238,
-    X86_ROR64rCL	= 1239,
-    X86_ROR64ri	= 1240,
-    X86_ROR8m1	= 1241,
-    X86_ROR8mCL	= 1242,
-    X86_ROR8mi	= 1243,
-    X86_ROR8r1	= 1244,
-    X86_ROR8rCL	= 1245,
-    X86_ROR8ri	= 1246,
-    X86_RORX32mi	= 1247,
-    X86_RORX32ri	= 1248,
-    X86_RORX64mi	= 1249,
-    X86_RORX64ri	= 1250,
-    X86_RSM	= 1251,
-    X86_SAHF	= 1252,
-    X86_SAL16m1	= 1253,
-    X86_SAL16mCL	= 1254,
-    X86_SAL16mi	= 1255,
-    X86_SAL16r1	= 1256,
-    X86_SAL16rCL	= 1257,
-    X86_SAL16ri	= 1258,
-    X86_SAL32m1	= 1259,
-    X86_SAL32mCL	= 1260,
-    X86_SAL32mi	= 1261,
-    X86_SAL32r1	= 1262,
-    X86_SAL32rCL	= 1263,
-    X86_SAL32ri	= 1264,
-    X86_SAL64m1	= 1265,
-    X86_SAL64mCL	= 1266,
-    X86_SAL64mi	= 1267,
-    X86_SAL64r1	= 1268,
-    X86_SAL64rCL	= 1269,
-    X86_SAL64ri	= 1270,
-    X86_SAL8m1	= 1271,
-    X86_SAL8mCL	= 1272,
-    X86_SAL8mi	= 1273,
-    X86_SAL8r1	= 1274,
-    X86_SAL8rCL	= 1275,
-    X86_SAL8ri	= 1276,
-    X86_SALC	= 1277,
-    X86_SAR16m1	= 1278,
-    X86_SAR16mCL	= 1279,
-    X86_SAR16mi	= 1280,
-    X86_SAR16r1	= 1281,
-    X86_SAR16rCL	= 1282,
-    X86_SAR16ri	= 1283,
-    X86_SAR32m1	= 1284,
-    X86_SAR32mCL	= 1285,
-    X86_SAR32mi	= 1286,
-    X86_SAR32r1	= 1287,
-    X86_SAR32rCL	= 1288,
-    X86_SAR32ri	= 1289,
-    X86_SAR64m1	= 1290,
-    X86_SAR64mCL	= 1291,
-    X86_SAR64mi	= 1292,
-    X86_SAR64r1	= 1293,
-    X86_SAR64rCL	= 1294,
-    X86_SAR64ri	= 1295,
-    X86_SAR8m1	= 1296,
-    X86_SAR8mCL	= 1297,
-    X86_SAR8mi	= 1298,
-    X86_SAR8r1	= 1299,
-    X86_SAR8rCL	= 1300,
-    X86_SAR8ri	= 1301,
-    X86_SARX32rm	= 1302,
-    X86_SARX32rr	= 1303,
-    X86_SARX64rm	= 1304,
-    X86_SARX64rr	= 1305,
-    X86_SBB16i16	= 1306,
-    X86_SBB16mi	= 1307,
-    X86_SBB16mi8	= 1308,
-    X86_SBB16mr	= 1309,
-    X86_SBB16ri	= 1310,
-    X86_SBB16ri8	= 1311,
-    X86_SBB16rm	= 1312,
-    X86_SBB16rr	= 1313,
-    X86_SBB16rr_REV	= 1314,
-    X86_SBB32i32	= 1315,
-    X86_SBB32mi	= 1316,
-    X86_SBB32mi8	= 1317,
-    X86_SBB32mr	= 1318,
-    X86_SBB32ri	= 1319,
-    X86_SBB32ri8	= 1320,
-    X86_SBB32rm	= 1321,
-    X86_SBB32rr	= 1322,
-    X86_SBB32rr_REV	= 1323,
-    X86_SBB64i32	= 1324,
-    X86_SBB64mi32	= 1325,
-    X86_SBB64mi8	= 1326,
-    X86_SBB64mr	= 1327,
-    X86_SBB64ri32	= 1328,
-    X86_SBB64ri8	= 1329,
-    X86_SBB64rm	= 1330,
-    X86_SBB64rr	= 1331,
-    X86_SBB64rr_REV	= 1332,
-    X86_SBB8i8	= 1333,
-    X86_SBB8mi	= 1334,
-    X86_SBB8mr	= 1335,
-    X86_SBB8ri	= 1336,
-    X86_SBB8rm	= 1337,
-    X86_SBB8rr	= 1338,
-    X86_SBB8rr_REV	= 1339,
-    X86_SCAS16	= 1340,
-    X86_SCAS32	= 1341,
-    X86_SCAS64	= 1342,
-    X86_SCAS8	= 1343,
-    X86_SEG_ALLOCA_32	= 1344,
-    X86_SEG_ALLOCA_64	= 1345,
-    X86_SETAEm	= 1346,
-    X86_SETAEr	= 1347,
-    X86_SETAm	= 1348,
-    X86_SETAr	= 1349,
-    X86_SETBEm	= 1350,
-    X86_SETBEr	= 1351,
-    X86_SETB_C16r	= 1352,
-    X86_SETB_C32r	= 1353,
-    X86_SETB_C64r	= 1354,
-    X86_SETB_C8r	= 1355,
-    X86_SETBm	= 1356,
-    X86_SETBr	= 1357,
-    X86_SETEm	= 1358,
-    X86_SETEr	= 1359,
-    X86_SETGEm	= 1360,
-    X86_SETGEr	= 1361,
-    X86_SETGm	= 1362,
-    X86_SETGr	= 1363,
-    X86_SETLEm	= 1364,
-    X86_SETLEr	= 1365,
-    X86_SETLm	= 1366,
-    X86_SETLr	= 1367,
-    X86_SETNEm	= 1368,
-    X86_SETNEr	= 1369,
-    X86_SETNOm	= 1370,
-    X86_SETNOr	= 1371,
-    X86_SETNPm	= 1372,
-    X86_SETNPr	= 1373,
-    X86_SETNSm	= 1374,
-    X86_SETNSr	= 1375,
-    X86_SETOm	= 1376,
-    X86_SETOr	= 1377,
-    X86_SETPm	= 1378,
-    X86_SETPr	= 1379,
-    X86_SETSm	= 1380,
-    X86_SETSr	= 1381,
-    X86_SGDT16m	= 1382,
-    X86_SGDT32m	= 1383,
-    X86_SGDT64m	= 1384,
-    X86_SHL16m1	= 1385,
-    X86_SHL16mCL	= 1386,
-    X86_SHL16mi	= 1387,
-    X86_SHL16r1	= 1388,
-    X86_SHL16rCL	= 1389,
-    X86_SHL16ri	= 1390,
-    X86_SHL32m1	= 1391,
-    X86_SHL32mCL	= 1392,
-    X86_SHL32mi	= 1393,
-    X86_SHL32r1	= 1394,
-    X86_SHL32rCL	= 1395,
-    X86_SHL32ri	= 1396,
-    X86_SHL64m1	= 1397,
-    X86_SHL64mCL	= 1398,
-    X86_SHL64mi	= 1399,
-    X86_SHL64r1	= 1400,
-    X86_SHL64rCL	= 1401,
-    X86_SHL64ri	= 1402,
-    X86_SHL8m1	= 1403,
-    X86_SHL8mCL	= 1404,
-    X86_SHL8mi	= 1405,
-    X86_SHL8r1	= 1406,
-    X86_SHL8rCL	= 1407,
-    X86_SHL8ri	= 1408,
-    X86_SHLD16mrCL	= 1409,
-    X86_SHLD16mri8	= 1410,
-    X86_SHLD16rrCL	= 1411,
-    X86_SHLD16rri8	= 1412,
-    X86_SHLD32mrCL	= 1413,
-    X86_SHLD32mri8	= 1414,
-    X86_SHLD32rrCL	= 1415,
-    X86_SHLD32rri8	= 1416,
-    X86_SHLD64mrCL	= 1417,
-    X86_SHLD64mri8	= 1418,
-    X86_SHLD64rrCL	= 1419,
-    X86_SHLD64rri8	= 1420,
-    X86_SHLX32rm	= 1421,
-    X86_SHLX32rr	= 1422,
-    X86_SHLX64rm	= 1423,
-    X86_SHLX64rr	= 1424,
-    X86_SHR16m1	= 1425,
-    X86_SHR16mCL	= 1426,
-    X86_SHR16mi	= 1427,
-    X86_SHR16r1	= 1428,
-    X86_SHR16rCL	= 1429,
-    X86_SHR16ri	= 1430,
-    X86_SHR32m1	= 1431,
-    X86_SHR32mCL	= 1432,
-    X86_SHR32mi	= 1433,
-    X86_SHR32r1	= 1434,
-    X86_SHR32rCL	= 1435,
-    X86_SHR32ri	= 1436,
-    X86_SHR64m1	= 1437,
-    X86_SHR64mCL	= 1438,
-    X86_SHR64mi	= 1439,
-    X86_SHR64r1	= 1440,
-    X86_SHR64rCL	= 1441,
-    X86_SHR64ri	= 1442,
-    X86_SHR8m1	= 1443,
-    X86_SHR8mCL	= 1444,
-    X86_SHR8mi	= 1445,
-    X86_SHR8r1	= 1446,
-    X86_SHR8rCL	= 1447,
-    X86_SHR8ri	= 1448,
-    X86_SHRD16mrCL	= 1449,
-    X86_SHRD16mri8	= 1450,
-    X86_SHRD16rrCL	= 1451,
-    X86_SHRD16rri8	= 1452,
-    X86_SHRD32mrCL	= 1453,
-    X86_SHRD32mri8	= 1454,
-    X86_SHRD32rrCL	= 1455,
-    X86_SHRD32rri8	= 1456,
-    X86_SHRD64mrCL	= 1457,
-    X86_SHRD64mri8	= 1458,
-    X86_SHRD64rrCL	= 1459,
-    X86_SHRD64rri8	= 1460,
-    X86_SHRX32rm	= 1461,
-    X86_SHRX32rr	= 1462,
-    X86_SHRX64rm	= 1463,
-    X86_SHRX64rr	= 1464,
-    X86_SIDT16m	= 1465,
-    X86_SIDT32m	= 1466,
-    X86_SIDT64m	= 1467,
-    X86_SKINIT	= 1468,
-    X86_SLDT16m	= 1469,
-    X86_SLDT16r	= 1470,
-    X86_SLDT32r	= 1471,
-    X86_SLDT64m	= 1472,
-    X86_SLDT64r	= 1473,
-    X86_SMSW16m	= 1474,
-    X86_SMSW16r	= 1475,
-    X86_SMSW32r	= 1476,
-    X86_SMSW64r	= 1477,
-    X86_SS_PREFIX	= 1478,
-    X86_STAC	= 1479,
-    X86_STC	= 1480,
-    X86_STD	= 1481,
-    X86_STGI	= 1482,
-    X86_STI	= 1483,
-    X86_STOSB	= 1484,
-    X86_STOSL	= 1485,
-    X86_STOSQ	= 1486,
-    X86_STOSW	= 1487,
-    X86_STR16r	= 1488,
-    X86_STR32r	= 1489,
-    X86_STR64r	= 1490,
-    X86_STRm	= 1491,
-    X86_SUB16i16	= 1492,
-    X86_SUB16mi	= 1493,
-    X86_SUB16mi8	= 1494,
-    X86_SUB16mr	= 1495,
-    X86_SUB16ri	= 1496,
-    X86_SUB16ri8	= 1497,
-    X86_SUB16rm	= 1498,
-    X86_SUB16rr	= 1499,
-    X86_SUB16rr_REV	= 1500,
-    X86_SUB32i32	= 1501,
-    X86_SUB32mi	= 1502,
-    X86_SUB32mi8	= 1503,
-    X86_SUB32mr	= 1504,
-    X86_SUB32ri	= 1505,
-    X86_SUB32ri8	= 1506,
-    X86_SUB32rm	= 1507,
-    X86_SUB32rr	= 1508,
-    X86_SUB32rr_REV	= 1509,
-    X86_SUB64i32	= 1510,
-    X86_SUB64mi32	= 1511,
-    X86_SUB64mi8	= 1512,
-    X86_SUB64mr	= 1513,
-    X86_SUB64ri32	= 1514,
-    X86_SUB64ri8	= 1515,
-    X86_SUB64rm	= 1516,
-    X86_SUB64rr	= 1517,
-    X86_SUB64rr_REV	= 1518,
-    X86_SUB8i8	= 1519,
-    X86_SUB8mi	= 1520,
-    X86_SUB8mr	= 1521,
-    X86_SUB8ri	= 1522,
-    X86_SUB8ri8	= 1523,
-    X86_SUB8rm	= 1524,
-    X86_SUB8rr	= 1525,
-    X86_SUB8rr_REV	= 1526,
-    X86_SWAPGS	= 1527,
-    X86_SYSCALL	= 1528,
-    X86_SYSENTER	= 1529,
-    X86_SYSEXIT	= 1530,
-    X86_SYSEXIT64	= 1531,
-    X86_SYSRET	= 1532,
-    X86_SYSRET64	= 1533,
-    X86_T1MSKC32rm	= 1534,
-    X86_T1MSKC32rr	= 1535,
-    X86_T1MSKC64rm	= 1536,
-    X86_T1MSKC64rr	= 1537,
-    X86_TAILJMPd	= 1538,
-    X86_TAILJMPd64	= 1539,
-    X86_TAILJMPm	= 1540,
-    X86_TAILJMPm64	= 1541,
-    X86_TAILJMPr	= 1542,
-    X86_TAILJMPr64	= 1543,
-    X86_TCRETURNdi	= 1544,
-    X86_TCRETURNdi64	= 1545,
-    X86_TCRETURNmi	= 1546,
-    X86_TCRETURNmi64	= 1547,
-    X86_TCRETURNri	= 1548,
-    X86_TCRETURNri64	= 1549,
-    X86_TEST16i16	= 1550,
-    X86_TEST16mi	= 1551,
-    X86_TEST16mi_alt	= 1552,
-    X86_TEST16ri	= 1553,
-    X86_TEST16ri_alt	= 1554,
-    X86_TEST16rm	= 1555,
-    X86_TEST16rr	= 1556,
-    X86_TEST32i32	= 1557,
-    X86_TEST32mi	= 1558,
-    X86_TEST32mi_alt	= 1559,
-    X86_TEST32ri	= 1560,
-    X86_TEST32ri_alt	= 1561,
-    X86_TEST32rm	= 1562,
-    X86_TEST32rr	= 1563,
-    X86_TEST64i32	= 1564,
-    X86_TEST64mi32	= 1565,
-    X86_TEST64mi32_alt	= 1566,
-    X86_TEST64ri32	= 1567,
-    X86_TEST64ri32_alt	= 1568,
-    X86_TEST64rm	= 1569,
-    X86_TEST64rr	= 1570,
-    X86_TEST8i8	= 1571,
-    X86_TEST8mi	= 1572,
-    X86_TEST8mi_alt	= 1573,
-    X86_TEST8ri	= 1574,
-    X86_TEST8ri_NOREX	= 1575,
-    X86_TEST8ri_alt	= 1576,
-    X86_TEST8rm	= 1577,
-    X86_TEST8rr	= 1578,
-    X86_TLSCall_32	= 1579,
-    X86_TLSCall_64	= 1580,
-    X86_TLS_addr32	= 1581,
-    X86_TLS_addr64	= 1582,
-    X86_TLS_base_addr32	= 1583,
-    X86_TLS_base_addr64	= 1584,
-    X86_TRAP	= 1585,
-    X86_TZCNT16rm	= 1586,
-    X86_TZCNT16rr	= 1587,
-    X86_TZCNT32rm	= 1588,
-    X86_TZCNT32rr	= 1589,
-    X86_TZCNT64rm	= 1590,
-    X86_TZCNT64rr	= 1591,
-    X86_TZMSK32rm	= 1592,
-    X86_TZMSK32rr	= 1593,
-    X86_TZMSK64rm	= 1594,
-    X86_TZMSK64rr	= 1595,
-    X86_UD2B	= 1596,
-    X86_VAARG_64	= 1597,
-    X86_VASTART_SAVE_XMM_REGS	= 1598,
-    X86_VERRm	= 1599,
-    X86_VERRr	= 1600,
-    X86_VERWm	= 1601,
-    X86_VERWr	= 1602,
-    X86_VMCALL	= 1603,
-    X86_VMCLEARm	= 1604,
-    X86_VMFUNC	= 1605,
-    X86_VMLAUNCH	= 1606,
-    X86_VMLOAD32	= 1607,
-    X86_VMLOAD64	= 1608,
-    X86_VMMCALL	= 1609,
-    X86_VMPTRLDm	= 1610,
-    X86_VMPTRSTm	= 1611,
-    X86_VMREAD32rm	= 1612,
-    X86_VMREAD32rr	= 1613,
-    X86_VMREAD64rm	= 1614,
-    X86_VMREAD64rr	= 1615,
-    X86_VMRESUME	= 1616,
-    X86_VMRUN32	= 1617,
-    X86_VMRUN64	= 1618,
-    X86_VMSAVE32	= 1619,
-    X86_VMSAVE64	= 1620,
-    X86_VMWRITE32rm	= 1621,
-    X86_VMWRITE32rr	= 1622,
-    X86_VMWRITE64rm	= 1623,
-    X86_VMWRITE64rr	= 1624,
-    X86_VMXOFF	= 1625,
-    X86_VMXON	= 1626,
-    X86_W64ALLOCA	= 1627,
-    X86_WBINVD	= 1628,
-    X86_WIN_ALLOCA	= 1629,
-    X86_WIN_FTOL_32	= 1630,
-    X86_WIN_FTOL_64	= 1631,
-    X86_WRFSBASE	= 1632,
-    X86_WRFSBASE64	= 1633,
-    X86_WRGSBASE	= 1634,
-    X86_WRGSBASE64	= 1635,
-    X86_WRMSR	= 1636,
-    X86_XADD16rm	= 1637,
-    X86_XADD16rr	= 1638,
-    X86_XADD32rm	= 1639,
-    X86_XADD32rr	= 1640,
-    X86_XADD64rm	= 1641,
-    X86_XADD64rr	= 1642,
-    X86_XADD8rm	= 1643,
-    X86_XADD8rr	= 1644,
-    X86_XCHG16ar	= 1645,
-    X86_XCHG16rm	= 1646,
-    X86_XCHG16rr	= 1647,
-    X86_XCHG32ar	= 1648,
-    X86_XCHG32ar64	= 1649,
-    X86_XCHG32rm	= 1650,
-    X86_XCHG32rr	= 1651,
-    X86_XCHG64ar	= 1652,
-    X86_XCHG64rm	= 1653,
-    X86_XCHG64rr	= 1654,
-    X86_XCHG8rm	= 1655,
-    X86_XCHG8rr	= 1656,
-    X86_XCRYPTCBC	= 1657,
-    X86_XCRYPTCFB	= 1658,
-    X86_XCRYPTCTR	= 1659,
-    X86_XCRYPTECB	= 1660,
-    X86_XCRYPTOFB	= 1661,
-    X86_XGETBV	= 1662,
-    X86_XLAT	= 1663,
-    X86_XOR16i16	= 1664,
-    X86_XOR16mi	= 1665,
-    X86_XOR16mi8	= 1666,
-    X86_XOR16mr	= 1667,
-    X86_XOR16ri	= 1668,
-    X86_XOR16ri8	= 1669,
-    X86_XOR16rm	= 1670,
-    X86_XOR16rr	= 1671,
-    X86_XOR16rr_REV	= 1672,
-    X86_XOR32i32	= 1673,
-    X86_XOR32mi	= 1674,
-    X86_XOR32mi8	= 1675,
-    X86_XOR32mr	= 1676,
-    X86_XOR32ri	= 1677,
-    X86_XOR32ri8	= 1678,
-    X86_XOR32rm	= 1679,
-    X86_XOR32rr	= 1680,
-    X86_XOR32rr_REV	= 1681,
-    X86_XOR64i32	= 1682,
-    X86_XOR64mi32	= 1683,
-    X86_XOR64mi8	= 1684,
-    X86_XOR64mr	= 1685,
-    X86_XOR64ri32	= 1686,
-    X86_XOR64ri8	= 1687,
-    X86_XOR64rm	= 1688,
-    X86_XOR64rr	= 1689,
-    X86_XOR64rr_REV	= 1690,
-    X86_XOR8i8	= 1691,
-    X86_XOR8mi	= 1692,
-    X86_XOR8mr	= 1693,
-    X86_XOR8ri	= 1694,
-    X86_XOR8ri8	= 1695,
-    X86_XOR8rm	= 1696,
-    X86_XOR8rr	= 1697,
-    X86_XOR8rr_REV	= 1698,
-    X86_XRSTOR	= 1699,
-    X86_XRSTOR64	= 1700,
-    X86_XSAVE	= 1701,
-    X86_XSAVE64	= 1702,
-    X86_XSAVEOPT	= 1703,
-    X86_XSAVEOPT64	= 1704,
-    X86_XSETBV	= 1705,
-    X86_XSHA1	= 1706,
-    X86_XSHA256	= 1707,
-    X86_XSTORE	= 1708,
-    X86_INSTRUCTION_LIST_END = 1709
+    X86_LOAD_STACK_GUARD	= 19,
+    X86_AAA	= 20,
+    X86_AAD8i8	= 21,
+    X86_AAM8i8	= 22,
+    X86_AAS	= 23,
+    X86_ACQUIRE_MOV16rm	= 24,
+    X86_ACQUIRE_MOV32rm	= 25,
+    X86_ACQUIRE_MOV64rm	= 26,
+    X86_ACQUIRE_MOV8rm	= 27,
+    X86_ADC16i16	= 28,
+    X86_ADC16mi	= 29,
+    X86_ADC16mi8	= 30,
+    X86_ADC16mr	= 31,
+    X86_ADC16ri	= 32,
+    X86_ADC16ri8	= 33,
+    X86_ADC16rm	= 34,
+    X86_ADC16rr	= 35,
+    X86_ADC16rr_REV	= 36,
+    X86_ADC32i32	= 37,
+    X86_ADC32mi	= 38,
+    X86_ADC32mi8	= 39,
+    X86_ADC32mr	= 40,
+    X86_ADC32ri	= 41,
+    X86_ADC32ri8	= 42,
+    X86_ADC32rm	= 43,
+    X86_ADC32rr	= 44,
+    X86_ADC32rr_REV	= 45,
+    X86_ADC64i32	= 46,
+    X86_ADC64mi32	= 47,
+    X86_ADC64mi8	= 48,
+    X86_ADC64mr	= 49,
+    X86_ADC64ri32	= 50,
+    X86_ADC64ri8	= 51,
+    X86_ADC64rm	= 52,
+    X86_ADC64rr	= 53,
+    X86_ADC64rr_REV	= 54,
+    X86_ADC8i8	= 55,
+    X86_ADC8mi	= 56,
+    X86_ADC8mr	= 57,
+    X86_ADC8ri	= 58,
+    X86_ADC8rm	= 59,
+    X86_ADC8rr	= 60,
+    X86_ADC8rr_REV	= 61,
+    X86_ADCX32rm	= 62,
+    X86_ADCX32rr	= 63,
+    X86_ADCX64rm	= 64,
+    X86_ADCX64rr	= 65,
+    X86_ADD16i16	= 66,
+    X86_ADD16mi	= 67,
+    X86_ADD16mi8	= 68,
+    X86_ADD16mr	= 69,
+    X86_ADD16ri	= 70,
+    X86_ADD16ri8	= 71,
+    X86_ADD16ri8_DB	= 72,
+    X86_ADD16ri_DB	= 73,
+    X86_ADD16rm	= 74,
+    X86_ADD16rr	= 75,
+    X86_ADD16rr_DB	= 76,
+    X86_ADD16rr_REV	= 77,
+    X86_ADD32i32	= 78,
+    X86_ADD32mi	= 79,
+    X86_ADD32mi8	= 80,
+    X86_ADD32mr	= 81,
+    X86_ADD32ri	= 82,
+    X86_ADD32ri8	= 83,
+    X86_ADD32ri8_DB	= 84,
+    X86_ADD32ri_DB	= 85,
+    X86_ADD32rm	= 86,
+    X86_ADD32rr	= 87,
+    X86_ADD32rr_DB	= 88,
+    X86_ADD32rr_REV	= 89,
+    X86_ADD64i32	= 90,
+    X86_ADD64mi32	= 91,
+    X86_ADD64mi8	= 92,
+    X86_ADD64mr	= 93,
+    X86_ADD64ri32	= 94,
+    X86_ADD64ri32_DB	= 95,
+    X86_ADD64ri8	= 96,
+    X86_ADD64ri8_DB	= 97,
+    X86_ADD64rm	= 98,
+    X86_ADD64rr	= 99,
+    X86_ADD64rr_DB	= 100,
+    X86_ADD64rr_REV	= 101,
+    X86_ADD8i8	= 102,
+    X86_ADD8mi	= 103,
+    X86_ADD8mr	= 104,
+    X86_ADD8ri	= 105,
+    X86_ADD8ri8	= 106,
+    X86_ADD8rm	= 107,
+    X86_ADD8rr	= 108,
+    X86_ADD8rr_REV	= 109,
+    X86_ADJCALLSTACKDOWN32	= 110,
+    X86_ADJCALLSTACKDOWN64	= 111,
+    X86_ADJCALLSTACKUP32	= 112,
+    X86_ADJCALLSTACKUP64	= 113,
+    X86_ADOX32rm	= 114,
+    X86_ADOX32rr	= 115,
+    X86_ADOX64rm	= 116,
+    X86_ADOX64rr	= 117,
+    X86_AND16i16	= 118,
+    X86_AND16mi	= 119,
+    X86_AND16mi8	= 120,
+    X86_AND16mr	= 121,
+    X86_AND16ri	= 122,
+    X86_AND16ri8	= 123,
+    X86_AND16rm	= 124,
+    X86_AND16rr	= 125,
+    X86_AND16rr_REV	= 126,
+    X86_AND32i32	= 127,
+    X86_AND32mi	= 128,
+    X86_AND32mi8	= 129,
+    X86_AND32mr	= 130,
+    X86_AND32ri	= 131,
+    X86_AND32ri8	= 132,
+    X86_AND32rm	= 133,
+    X86_AND32rr	= 134,
+    X86_AND32rr_REV	= 135,
+    X86_AND64i32	= 136,
+    X86_AND64mi32	= 137,
+    X86_AND64mi8	= 138,
+    X86_AND64mr	= 139,
+    X86_AND64ri32	= 140,
+    X86_AND64ri8	= 141,
+    X86_AND64rm	= 142,
+    X86_AND64rr	= 143,
+    X86_AND64rr_REV	= 144,
+    X86_AND8i8	= 145,
+    X86_AND8mi	= 146,
+    X86_AND8mr	= 147,
+    X86_AND8ri	= 148,
+    X86_AND8ri8	= 149,
+    X86_AND8rm	= 150,
+    X86_AND8rr	= 151,
+    X86_AND8rr_REV	= 152,
+    X86_ANDN32rm	= 153,
+    X86_ANDN32rr	= 154,
+    X86_ANDN64rm	= 155,
+    X86_ANDN64rr	= 156,
+    X86_ARPL16mr	= 157,
+    X86_ARPL16rr	= 158,
+    X86_BEXTR32rm	= 159,
+    X86_BEXTR32rr	= 160,
+    X86_BEXTR64rm	= 161,
+    X86_BEXTR64rr	= 162,
+    X86_BEXTRI32mi	= 163,
+    X86_BEXTRI32ri	= 164,
+    X86_BEXTRI64mi	= 165,
+    X86_BEXTRI64ri	= 166,
+    X86_BLCFILL32rm	= 167,
+    X86_BLCFILL32rr	= 168,
+    X86_BLCFILL64rm	= 169,
+    X86_BLCFILL64rr	= 170,
+    X86_BLCI32rm	= 171,
+    X86_BLCI32rr	= 172,
+    X86_BLCI64rm	= 173,
+    X86_BLCI64rr	= 174,
+    X86_BLCIC32rm	= 175,
+    X86_BLCIC32rr	= 176,
+    X86_BLCIC64rm	= 177,
+    X86_BLCIC64rr	= 178,
+    X86_BLCMSK32rm	= 179,
+    X86_BLCMSK32rr	= 180,
+    X86_BLCMSK64rm	= 181,
+    X86_BLCMSK64rr	= 182,
+    X86_BLCS32rm	= 183,
+    X86_BLCS32rr	= 184,
+    X86_BLCS64rm	= 185,
+    X86_BLCS64rr	= 186,
+    X86_BLSFILL32rm	= 187,
+    X86_BLSFILL32rr	= 188,
+    X86_BLSFILL64rm	= 189,
+    X86_BLSFILL64rr	= 190,
+    X86_BLSI32rm	= 191,
+    X86_BLSI32rr	= 192,
+    X86_BLSI64rm	= 193,
+    X86_BLSI64rr	= 194,
+    X86_BLSIC32rm	= 195,
+    X86_BLSIC32rr	= 196,
+    X86_BLSIC64rm	= 197,
+    X86_BLSIC64rr	= 198,
+    X86_BLSMSK32rm	= 199,
+    X86_BLSMSK32rr	= 200,
+    X86_BLSMSK64rm	= 201,
+    X86_BLSMSK64rr	= 202,
+    X86_BLSR32rm	= 203,
+    X86_BLSR32rr	= 204,
+    X86_BLSR64rm	= 205,
+    X86_BLSR64rr	= 206,
+    X86_BOUNDS16rm	= 207,
+    X86_BOUNDS32rm	= 208,
+    X86_BSF16rm	= 209,
+    X86_BSF16rr	= 210,
+    X86_BSF32rm	= 211,
+    X86_BSF32rr	= 212,
+    X86_BSF64rm	= 213,
+    X86_BSF64rr	= 214,
+    X86_BSR16rm	= 215,
+    X86_BSR16rr	= 216,
+    X86_BSR32rm	= 217,
+    X86_BSR32rr	= 218,
+    X86_BSR64rm	= 219,
+    X86_BSR64rr	= 220,
+    X86_BSWAP32r	= 221,
+    X86_BSWAP64r	= 222,
+    X86_BT16mi8	= 223,
+    X86_BT16mr	= 224,
+    X86_BT16ri8	= 225,
+    X86_BT16rr	= 226,
+    X86_BT32mi8	= 227,
+    X86_BT32mr	= 228,
+    X86_BT32ri8	= 229,
+    X86_BT32rr	= 230,
+    X86_BT64mi8	= 231,
+    X86_BT64mr	= 232,
+    X86_BT64ri8	= 233,
+    X86_BT64rr	= 234,
+    X86_BTC16mi8	= 235,
+    X86_BTC16mr	= 236,
+    X86_BTC16ri8	= 237,
+    X86_BTC16rr	= 238,
+    X86_BTC32mi8	= 239,
+    X86_BTC32mr	= 240,
+    X86_BTC32ri8	= 241,
+    X86_BTC32rr	= 242,
+    X86_BTC64mi8	= 243,
+    X86_BTC64mr	= 244,
+    X86_BTC64ri8	= 245,
+    X86_BTC64rr	= 246,
+    X86_BTR16mi8	= 247,
+    X86_BTR16mr	= 248,
+    X86_BTR16ri8	= 249,
+    X86_BTR16rr	= 250,
+    X86_BTR32mi8	= 251,
+    X86_BTR32mr	= 252,
+    X86_BTR32ri8	= 253,
+    X86_BTR32rr	= 254,
+    X86_BTR64mi8	= 255,
+    X86_BTR64mr	= 256,
+    X86_BTR64ri8	= 257,
+    X86_BTR64rr	= 258,
+    X86_BTS16mi8	= 259,
+    X86_BTS16mr	= 260,
+    X86_BTS16ri8	= 261,
+    X86_BTS16rr	= 262,
+    X86_BTS32mi8	= 263,
+    X86_BTS32mr	= 264,
+    X86_BTS32ri8	= 265,
+    X86_BTS32rr	= 266,
+    X86_BTS64mi8	= 267,
+    X86_BTS64mr	= 268,
+    X86_BTS64ri8	= 269,
+    X86_BTS64rr	= 270,
+    X86_BZHI32rm	= 271,
+    X86_BZHI32rr	= 272,
+    X86_BZHI64rm	= 273,
+    X86_BZHI64rr	= 274,
+    X86_CALL16m	= 275,
+    X86_CALL16r	= 276,
+    X86_CALL32m	= 277,
+    X86_CALL32r	= 278,
+    X86_CALL64m	= 279,
+    X86_CALL64pcrel32	= 280,
+    X86_CALL64r	= 281,
+    X86_CALLpcrel16	= 282,
+    X86_CALLpcrel32	= 283,
+    X86_CBW	= 284,
+    X86_CDQ	= 285,
+    X86_CDQE	= 286,
+    X86_CLAC	= 287,
+    X86_CLC	= 288,
+    X86_CLD	= 289,
+    X86_CLGI	= 290,
+    X86_CLI	= 291,
+    X86_CLTS	= 292,
+    X86_CMC	= 293,
+    X86_CMOVA16rm	= 294,
+    X86_CMOVA16rr	= 295,
+    X86_CMOVA32rm	= 296,
+    X86_CMOVA32rr	= 297,
+    X86_CMOVA64rm	= 298,
+    X86_CMOVA64rr	= 299,
+    X86_CMOVAE16rm	= 300,
+    X86_CMOVAE16rr	= 301,
+    X86_CMOVAE32rm	= 302,
+    X86_CMOVAE32rr	= 303,
+    X86_CMOVAE64rm	= 304,
+    X86_CMOVAE64rr	= 305,
+    X86_CMOVB16rm	= 306,
+    X86_CMOVB16rr	= 307,
+    X86_CMOVB32rm	= 308,
+    X86_CMOVB32rr	= 309,
+    X86_CMOVB64rm	= 310,
+    X86_CMOVB64rr	= 311,
+    X86_CMOVBE16rm	= 312,
+    X86_CMOVBE16rr	= 313,
+    X86_CMOVBE32rm	= 314,
+    X86_CMOVBE32rr	= 315,
+    X86_CMOVBE64rm	= 316,
+    X86_CMOVBE64rr	= 317,
+    X86_CMOVE16rm	= 318,
+    X86_CMOVE16rr	= 319,
+    X86_CMOVE32rm	= 320,
+    X86_CMOVE32rr	= 321,
+    X86_CMOVE64rm	= 322,
+    X86_CMOVE64rr	= 323,
+    X86_CMOVG16rm	= 324,
+    X86_CMOVG16rr	= 325,
+    X86_CMOVG32rm	= 326,
+    X86_CMOVG32rr	= 327,
+    X86_CMOVG64rm	= 328,
+    X86_CMOVG64rr	= 329,
+    X86_CMOVGE16rm	= 330,
+    X86_CMOVGE16rr	= 331,
+    X86_CMOVGE32rm	= 332,
+    X86_CMOVGE32rr	= 333,
+    X86_CMOVGE64rm	= 334,
+    X86_CMOVGE64rr	= 335,
+    X86_CMOVL16rm	= 336,
+    X86_CMOVL16rr	= 337,
+    X86_CMOVL32rm	= 338,
+    X86_CMOVL32rr	= 339,
+    X86_CMOVL64rm	= 340,
+    X86_CMOVL64rr	= 341,
+    X86_CMOVLE16rm	= 342,
+    X86_CMOVLE16rr	= 343,
+    X86_CMOVLE32rm	= 344,
+    X86_CMOVLE32rr	= 345,
+    X86_CMOVLE64rm	= 346,
+    X86_CMOVLE64rr	= 347,
+    X86_CMOVNE16rm	= 348,
+    X86_CMOVNE16rr	= 349,
+    X86_CMOVNE32rm	= 350,
+    X86_CMOVNE32rr	= 351,
+    X86_CMOVNE64rm	= 352,
+    X86_CMOVNE64rr	= 353,
+    X86_CMOVNO16rm	= 354,
+    X86_CMOVNO16rr	= 355,
+    X86_CMOVNO32rm	= 356,
+    X86_CMOVNO32rr	= 357,
+    X86_CMOVNO64rm	= 358,
+    X86_CMOVNO64rr	= 359,
+    X86_CMOVNP16rm	= 360,
+    X86_CMOVNP16rr	= 361,
+    X86_CMOVNP32rm	= 362,
+    X86_CMOVNP32rr	= 363,
+    X86_CMOVNP64rm	= 364,
+    X86_CMOVNP64rr	= 365,
+    X86_CMOVNS16rm	= 366,
+    X86_CMOVNS16rr	= 367,
+    X86_CMOVNS32rm	= 368,
+    X86_CMOVNS32rr	= 369,
+    X86_CMOVNS64rm	= 370,
+    X86_CMOVNS64rr	= 371,
+    X86_CMOVO16rm	= 372,
+    X86_CMOVO16rr	= 373,
+    X86_CMOVO32rm	= 374,
+    X86_CMOVO32rr	= 375,
+    X86_CMOVO64rm	= 376,
+    X86_CMOVO64rr	= 377,
+    X86_CMOVP16rm	= 378,
+    X86_CMOVP16rr	= 379,
+    X86_CMOVP32rm	= 380,
+    X86_CMOVP32rr	= 381,
+    X86_CMOVP64rm	= 382,
+    X86_CMOVP64rr	= 383,
+    X86_CMOVS16rm	= 384,
+    X86_CMOVS16rr	= 385,
+    X86_CMOVS32rm	= 386,
+    X86_CMOVS32rr	= 387,
+    X86_CMOVS64rm	= 388,
+    X86_CMOVS64rr	= 389,
+    X86_CMOV_FR32	= 390,
+    X86_CMOV_FR64	= 391,
+    X86_CMOV_GR16	= 392,
+    X86_CMOV_GR32	= 393,
+    X86_CMOV_GR8	= 394,
+    X86_CMOV_RFP32	= 395,
+    X86_CMOV_RFP64	= 396,
+    X86_CMOV_RFP80	= 397,
+    X86_CMOV_V16F32	= 398,
+    X86_CMOV_V2F64	= 399,
+    X86_CMOV_V2I64	= 400,
+    X86_CMOV_V4F32	= 401,
+    X86_CMOV_V4F64	= 402,
+    X86_CMOV_V4I64	= 403,
+    X86_CMOV_V8F32	= 404,
+    X86_CMOV_V8F64	= 405,
+    X86_CMOV_V8I64	= 406,
+    X86_CMP16i16	= 407,
+    X86_CMP16mi	= 408,
+    X86_CMP16mi8	= 409,
+    X86_CMP16mr	= 410,
+    X86_CMP16ri	= 411,
+    X86_CMP16ri8	= 412,
+    X86_CMP16rm	= 413,
+    X86_CMP16rr	= 414,
+    X86_CMP16rr_REV	= 415,
+    X86_CMP32i32	= 416,
+    X86_CMP32mi	= 417,
+    X86_CMP32mi8	= 418,
+    X86_CMP32mr	= 419,
+    X86_CMP32ri	= 420,
+    X86_CMP32ri8	= 421,
+    X86_CMP32rm	= 422,
+    X86_CMP32rr	= 423,
+    X86_CMP32rr_REV	= 424,
+    X86_CMP64i32	= 425,
+    X86_CMP64mi32	= 426,
+    X86_CMP64mi8	= 427,
+    X86_CMP64mr	= 428,
+    X86_CMP64ri32	= 429,
+    X86_CMP64ri8	= 430,
+    X86_CMP64rm	= 431,
+    X86_CMP64rr	= 432,
+    X86_CMP64rr_REV	= 433,
+    X86_CMP8i8	= 434,
+    X86_CMP8mi	= 435,
+    X86_CMP8mr	= 436,
+    X86_CMP8ri	= 437,
+    X86_CMP8rm	= 438,
+    X86_CMP8rr	= 439,
+    X86_CMP8rr_REV	= 440,
+    X86_CMPSB	= 441,
+    X86_CMPSL	= 442,
+    X86_CMPSQ	= 443,
+    X86_CMPSW	= 444,
+    X86_CMPXCHG16B	= 445,
+    X86_CMPXCHG16rm	= 446,
+    X86_CMPXCHG16rr	= 447,
+    X86_CMPXCHG32rm	= 448,
+    X86_CMPXCHG32rr	= 449,
+    X86_CMPXCHG64rm	= 450,
+    X86_CMPXCHG64rr	= 451,
+    X86_CMPXCHG8B	= 452,
+    X86_CMPXCHG8rm	= 453,
+    X86_CMPXCHG8rr	= 454,
+    X86_CPUID32	= 455,
+    X86_CPUID64	= 456,
+    X86_CQO	= 457,
+    X86_CWD	= 458,
+    X86_CWDE	= 459,
+    X86_DAA	= 460,
+    X86_DAS	= 461,
+    X86_DATA16_PREFIX	= 462,
+    X86_DEC16m	= 463,
+    X86_DEC16r	= 464,
+    X86_DEC32_16r	= 465,
+    X86_DEC32_32r	= 466,
+    X86_DEC32m	= 467,
+    X86_DEC32r	= 468,
+    X86_DEC64_16m	= 469,
+    X86_DEC64_16r	= 470,
+    X86_DEC64_32m	= 471,
+    X86_DEC64_32r	= 472,
+    X86_DEC64m	= 473,
+    X86_DEC64r	= 474,
+    X86_DEC8m	= 475,
+    X86_DEC8r	= 476,
+    X86_DIV16m	= 477,
+    X86_DIV16r	= 478,
+    X86_DIV32m	= 479,
+    X86_DIV32r	= 480,
+    X86_DIV64m	= 481,
+    X86_DIV64r	= 482,
+    X86_DIV8m	= 483,
+    X86_DIV8r	= 484,
+    X86_EH_RETURN	= 485,
+    X86_EH_RETURN64	= 486,
+    X86_EH_SjLj_LongJmp32	= 487,
+    X86_EH_SjLj_LongJmp64	= 488,
+    X86_EH_SjLj_SetJmp32	= 489,
+    X86_EH_SjLj_SetJmp64	= 490,
+    X86_EH_SjLj_Setup	= 491,
+    X86_ENTER	= 492,
+    X86_FARCALL16i	= 493,
+    X86_FARCALL16m	= 494,
+    X86_FARCALL32i	= 495,
+    X86_FARCALL32m	= 496,
+    X86_FARCALL64	= 497,
+    X86_FARJMP16i	= 498,
+    X86_FARJMP16m	= 499,
+    X86_FARJMP32i	= 500,
+    X86_FARJMP32m	= 501,
+    X86_FARJMP64	= 502,
+    X86_FSETPM	= 503,
+    X86_GETSEC	= 504,
+    X86_HLT	= 505,
+    X86_IDIV16m	= 506,
+    X86_IDIV16r	= 507,
+    X86_IDIV32m	= 508,
+    X86_IDIV32r	= 509,
+    X86_IDIV64m	= 510,
+    X86_IDIV64r	= 511,
+    X86_IDIV8m	= 512,
+    X86_IDIV8r	= 513,
+    X86_IMUL16m	= 514,
+    X86_IMUL16r	= 515,
+    X86_IMUL16rm	= 516,
+    X86_IMUL16rmi	= 517,
+    X86_IMUL16rmi8	= 518,
+    X86_IMUL16rr	= 519,
+    X86_IMUL16rri	= 520,
+    X86_IMUL16rri8	= 521,
+    X86_IMUL32m	= 522,
+    X86_IMUL32r	= 523,
+    X86_IMUL32rm	= 524,
+    X86_IMUL32rmi	= 525,
+    X86_IMUL32rmi8	= 526,
+    X86_IMUL32rr	= 527,
+    X86_IMUL32rri	= 528,
+    X86_IMUL32rri8	= 529,
+    X86_IMUL64m	= 530,
+    X86_IMUL64r	= 531,
+    X86_IMUL64rm	= 532,
+    X86_IMUL64rmi32	= 533,
+    X86_IMUL64rmi8	= 534,
+    X86_IMUL64rr	= 535,
+    X86_IMUL64rri32	= 536,
+    X86_IMUL64rri8	= 537,
+    X86_IMUL8m	= 538,
+    X86_IMUL8r	= 539,
+    X86_IN16ri	= 540,
+    X86_IN16rr	= 541,
+    X86_IN32ri	= 542,
+    X86_IN32rr	= 543,
+    X86_IN8ri	= 544,
+    X86_IN8rr	= 545,
+    X86_INC16m	= 546,
+    X86_INC16r	= 547,
+    X86_INC32_16r	= 548,
+    X86_INC32_32r	= 549,
+    X86_INC32m	= 550,
+    X86_INC32r	= 551,
+    X86_INC64_16m	= 552,
+    X86_INC64_16r	= 553,
+    X86_INC64_32m	= 554,
+    X86_INC64_32r	= 555,
+    X86_INC64m	= 556,
+    X86_INC64r	= 557,
+    X86_INC8m	= 558,
+    X86_INC8r	= 559,
+    X86_INSB	= 560,
+    X86_INSL	= 561,
+    X86_INSW	= 562,
+    X86_INT	= 563,
+    X86_INT1	= 564,
+    X86_INT3	= 565,
+    X86_INTO	= 566,
+    X86_INVD	= 567,
+    X86_INVEPT32	= 568,
+    X86_INVEPT64	= 569,
+    X86_INVLPG	= 570,
+    X86_INVLPGA32	= 571,
+    X86_INVLPGA64	= 572,
+    X86_INVPCID32	= 573,
+    X86_INVPCID64	= 574,
+    X86_INVVPID32	= 575,
+    X86_INVVPID64	= 576,
+    X86_IRET16	= 577,
+    X86_IRET32	= 578,
+    X86_IRET64	= 579,
+    X86_Int_MemBarrier	= 580,
+    X86_JAE_1	= 581,
+    X86_JAE_2	= 582,
+    X86_JAE_4	= 583,
+    X86_JA_1	= 584,
+    X86_JA_2	= 585,
+    X86_JA_4	= 586,
+    X86_JBE_1	= 587,
+    X86_JBE_2	= 588,
+    X86_JBE_4	= 589,
+    X86_JB_1	= 590,
+    X86_JB_2	= 591,
+    X86_JB_4	= 592,
+    X86_JCXZ	= 593,
+    X86_JECXZ_32	= 594,
+    X86_JECXZ_64	= 595,
+    X86_JE_1	= 596,
+    X86_JE_2	= 597,
+    X86_JE_4	= 598,
+    X86_JGE_1	= 599,
+    X86_JGE_2	= 600,
+    X86_JGE_4	= 601,
+    X86_JG_1	= 602,
+    X86_JG_2	= 603,
+    X86_JG_4	= 604,
+    X86_JLE_1	= 605,
+    X86_JLE_2	= 606,
+    X86_JLE_4	= 607,
+    X86_JL_1	= 608,
+    X86_JL_2	= 609,
+    X86_JL_4	= 610,
+    X86_JMP16m	= 611,
+    X86_JMP16r	= 612,
+    X86_JMP32m	= 613,
+    X86_JMP32r	= 614,
+    X86_JMP64m	= 615,
+    X86_JMP64r	= 616,
+    X86_JMP_1	= 617,
+    X86_JMP_2	= 618,
+    X86_JMP_4	= 619,
+    X86_JNE_1	= 620,
+    X86_JNE_2	= 621,
+    X86_JNE_4	= 622,
+    X86_JNO_1	= 623,
+    X86_JNO_2	= 624,
+    X86_JNO_4	= 625,
+    X86_JNP_1	= 626,
+    X86_JNP_2	= 627,
+    X86_JNP_4	= 628,
+    X86_JNS_1	= 629,
+    X86_JNS_2	= 630,
+    X86_JNS_4	= 631,
+    X86_JO_1	= 632,
+    X86_JO_2	= 633,
+    X86_JO_4	= 634,
+    X86_JP_1	= 635,
+    X86_JP_2	= 636,
+    X86_JP_4	= 637,
+    X86_JRCXZ	= 638,
+    X86_JS_1	= 639,
+    X86_JS_2	= 640,
+    X86_JS_4	= 641,
+    X86_LAHF	= 642,
+    X86_LAR16rm	= 643,
+    X86_LAR16rr	= 644,
+    X86_LAR32rm	= 645,
+    X86_LAR32rr	= 646,
+    X86_LAR64rm	= 647,
+    X86_LAR64rr	= 648,
+    X86_LCMPXCHG16	= 649,
+    X86_LCMPXCHG16B	= 650,
+    X86_LCMPXCHG32	= 651,
+    X86_LCMPXCHG64	= 652,
+    X86_LCMPXCHG8	= 653,
+    X86_LCMPXCHG8B	= 654,
+    X86_LDS16rm	= 655,
+    X86_LDS32rm	= 656,
+    X86_LEA16r	= 657,
+    X86_LEA32r	= 658,
+    X86_LEA64_32r	= 659,
+    X86_LEA64r	= 660,
+    X86_LEAVE	= 661,
+    X86_LEAVE64	= 662,
+    X86_LES16rm	= 663,
+    X86_LES32rm	= 664,
+    X86_LFS16rm	= 665,
+    X86_LFS32rm	= 666,
+    X86_LFS64rm	= 667,
+    X86_LGDT16m	= 668,
+    X86_LGDT32m	= 669,
+    X86_LGDT64m	= 670,
+    X86_LGS16rm	= 671,
+    X86_LGS32rm	= 672,
+    X86_LGS64rm	= 673,
+    X86_LIDT16m	= 674,
+    X86_LIDT32m	= 675,
+    X86_LIDT64m	= 676,
+    X86_LLDT16m	= 677,
+    X86_LLDT16r	= 678,
+    X86_LMSW16m	= 679,
+    X86_LMSW16r	= 680,
+    X86_LOCK_ADD16mi	= 681,
+    X86_LOCK_ADD16mi8	= 682,
+    X86_LOCK_ADD16mr	= 683,
+    X86_LOCK_ADD32mi	= 684,
+    X86_LOCK_ADD32mi8	= 685,
+    X86_LOCK_ADD32mr	= 686,
+    X86_LOCK_ADD64mi32	= 687,
+    X86_LOCK_ADD64mi8	= 688,
+    X86_LOCK_ADD64mr	= 689,
+    X86_LOCK_ADD8mi	= 690,
+    X86_LOCK_ADD8mr	= 691,
+    X86_LOCK_AND16mi	= 692,
+    X86_LOCK_AND16mi8	= 693,
+    X86_LOCK_AND16mr	= 694,
+    X86_LOCK_AND32mi	= 695,
+    X86_LOCK_AND32mi8	= 696,
+    X86_LOCK_AND32mr	= 697,
+    X86_LOCK_AND64mi32	= 698,
+    X86_LOCK_AND64mi8	= 699,
+    X86_LOCK_AND64mr	= 700,
+    X86_LOCK_AND8mi	= 701,
+    X86_LOCK_AND8mr	= 702,
+    X86_LOCK_DEC16m	= 703,
+    X86_LOCK_DEC32m	= 704,
+    X86_LOCK_DEC64m	= 705,
+    X86_LOCK_DEC8m	= 706,
+    X86_LOCK_INC16m	= 707,
+    X86_LOCK_INC32m	= 708,
+    X86_LOCK_INC64m	= 709,
+    X86_LOCK_INC8m	= 710,
+    X86_LOCK_OR16mi	= 711,
+    X86_LOCK_OR16mi8	= 712,
+    X86_LOCK_OR16mr	= 713,
+    X86_LOCK_OR32mi	= 714,
+    X86_LOCK_OR32mi8	= 715,
+    X86_LOCK_OR32mr	= 716,
+    X86_LOCK_OR64mi32	= 717,
+    X86_LOCK_OR64mi8	= 718,
+    X86_LOCK_OR64mr	= 719,
+    X86_LOCK_OR8mi	= 720,
+    X86_LOCK_OR8mr	= 721,
+    X86_LOCK_PREFIX	= 722,
+    X86_LOCK_SUB16mi	= 723,
+    X86_LOCK_SUB16mi8	= 724,
+    X86_LOCK_SUB16mr	= 725,
+    X86_LOCK_SUB32mi	= 726,
+    X86_LOCK_SUB32mi8	= 727,
+    X86_LOCK_SUB32mr	= 728,
+    X86_LOCK_SUB64mi32	= 729,
+    X86_LOCK_SUB64mi8	= 730,
+    X86_LOCK_SUB64mr	= 731,
+    X86_LOCK_SUB8mi	= 732,
+    X86_LOCK_SUB8mr	= 733,
+    X86_LOCK_XOR16mi	= 734,
+    X86_LOCK_XOR16mi8	= 735,
+    X86_LOCK_XOR16mr	= 736,
+    X86_LOCK_XOR32mi	= 737,
+    X86_LOCK_XOR32mi8	= 738,
+    X86_LOCK_XOR32mr	= 739,
+    X86_LOCK_XOR64mi32	= 740,
+    X86_LOCK_XOR64mi8	= 741,
+    X86_LOCK_XOR64mr	= 742,
+    X86_LOCK_XOR8mi	= 743,
+    X86_LOCK_XOR8mr	= 744,
+    X86_LODSB	= 745,
+    X86_LODSL	= 746,
+    X86_LODSQ	= 747,
+    X86_LODSW	= 748,
+    X86_LOOP	= 749,
+    X86_LOOPE	= 750,
+    X86_LOOPNE	= 751,
+    X86_LRETIL	= 752,
+    X86_LRETIQ	= 753,
+    X86_LRETIW	= 754,
+    X86_LRETL	= 755,
+    X86_LRETQ	= 756,
+    X86_LRETW	= 757,
+    X86_LSL16rm	= 758,
+    X86_LSL16rr	= 759,
+    X86_LSL32rm	= 760,
+    X86_LSL32rr	= 761,
+    X86_LSL64rm	= 762,
+    X86_LSL64rr	= 763,
+    X86_LSS16rm	= 764,
+    X86_LSS32rm	= 765,
+    X86_LSS64rm	= 766,
+    X86_LTRm	= 767,
+    X86_LTRr	= 768,
+    X86_LXADD16	= 769,
+    X86_LXADD32	= 770,
+    X86_LXADD64	= 771,
+    X86_LXADD8	= 772,
+    X86_LZCNT16rm	= 773,
+    X86_LZCNT16rr	= 774,
+    X86_LZCNT32rm	= 775,
+    X86_LZCNT32rr	= 776,
+    X86_LZCNT64rm	= 777,
+    X86_LZCNT64rr	= 778,
+    X86_MONTMUL	= 779,
+    X86_MORESTACK_RET	= 780,
+    X86_MORESTACK_RET_RESTORE_R10	= 781,
+    X86_MOV16ao16	= 782,
+    X86_MOV16ao16_16	= 783,
+    X86_MOV16mi	= 784,
+    X86_MOV16mr	= 785,
+    X86_MOV16ms	= 786,
+    X86_MOV16o16a	= 787,
+    X86_MOV16o16a_16	= 788,
+    X86_MOV16ri	= 789,
+    X86_MOV16ri_alt	= 790,
+    X86_MOV16rm	= 791,
+    X86_MOV16rr	= 792,
+    X86_MOV16rr_REV	= 793,
+    X86_MOV16rs	= 794,
+    X86_MOV16sm	= 795,
+    X86_MOV16sr	= 796,
+    X86_MOV32ao32	= 797,
+    X86_MOV32ao32_16	= 798,
+    X86_MOV32cr	= 799,
+    X86_MOV32dr	= 800,
+    X86_MOV32mi	= 801,
+    X86_MOV32mr	= 802,
+    X86_MOV32ms	= 803,
+    X86_MOV32o32a	= 804,
+    X86_MOV32o32a_16	= 805,
+    X86_MOV32r0	= 806,
+    X86_MOV32rc	= 807,
+    X86_MOV32rd	= 808,
+    X86_MOV32ri	= 809,
+    X86_MOV32ri64	= 810,
+    X86_MOV32ri_alt	= 811,
+    X86_MOV32rm	= 812,
+    X86_MOV32rr	= 813,
+    X86_MOV32rr_REV	= 814,
+    X86_MOV32rs	= 815,
+    X86_MOV32sm	= 816,
+    X86_MOV32sr	= 817,
+    X86_MOV64ao16	= 818,
+    X86_MOV64ao32	= 819,
+    X86_MOV64ao64	= 820,
+    X86_MOV64ao8	= 821,
+    X86_MOV64cr	= 822,
+    X86_MOV64dr	= 823,
+    X86_MOV64mi32	= 824,
+    X86_MOV64mr	= 825,
+    X86_MOV64ms	= 826,
+    X86_MOV64o16a	= 827,
+    X86_MOV64o32a	= 828,
+    X86_MOV64o64a	= 829,
+    X86_MOV64o8a	= 830,
+    X86_MOV64rc	= 831,
+    X86_MOV64rd	= 832,
+    X86_MOV64ri	= 833,
+    X86_MOV64ri32	= 834,
+    X86_MOV64rm	= 835,
+    X86_MOV64rr	= 836,
+    X86_MOV64rr_REV	= 837,
+    X86_MOV64rs	= 838,
+    X86_MOV64sm	= 839,
+    X86_MOV64sr	= 840,
+    X86_MOV8ao8	= 841,
+    X86_MOV8ao8_16	= 842,
+    X86_MOV8mi	= 843,
+    X86_MOV8mr	= 844,
+    X86_MOV8mr_NOREX	= 845,
+    X86_MOV8o8a	= 846,
+    X86_MOV8o8a_16	= 847,
+    X86_MOV8ri	= 848,
+    X86_MOV8ri_alt	= 849,
+    X86_MOV8rm	= 850,
+    X86_MOV8rm_NOREX	= 851,
+    X86_MOV8rr	= 852,
+    X86_MOV8rr_NOREX	= 853,
+    X86_MOV8rr_REV	= 854,
+    X86_MOVBE16mr	= 855,
+    X86_MOVBE16rm	= 856,
+    X86_MOVBE32mr	= 857,
+    X86_MOVBE32rm	= 858,
+    X86_MOVBE64mr	= 859,
+    X86_MOVBE64rm	= 860,
+    X86_MOVPC32r	= 861,
+    X86_MOVSB	= 862,
+    X86_MOVSL	= 863,
+    X86_MOVSQ	= 864,
+    X86_MOVSW	= 865,
+    X86_MOVSX16rm8	= 866,
+    X86_MOVSX16rr8	= 867,
+    X86_MOVSX32rm16	= 868,
+    X86_MOVSX32rm8	= 869,
+    X86_MOVSX32rr16	= 870,
+    X86_MOVSX32rr8	= 871,
+    X86_MOVSX64rm16	= 872,
+    X86_MOVSX64rm32	= 873,
+    X86_MOVSX64rm8	= 874,
+    X86_MOVSX64rr16	= 875,
+    X86_MOVSX64rr32	= 876,
+    X86_MOVSX64rr8	= 877,
+    X86_MOVZX16rm8	= 878,
+    X86_MOVZX16rr8	= 879,
+    X86_MOVZX32_NOREXrm8	= 880,
+    X86_MOVZX32_NOREXrr8	= 881,
+    X86_MOVZX32rm16	= 882,
+    X86_MOVZX32rm8	= 883,
+    X86_MOVZX32rr16	= 884,
+    X86_MOVZX32rr8	= 885,
+    X86_MOVZX64rm16_Q	= 886,
+    X86_MOVZX64rm8_Q	= 887,
+    X86_MOVZX64rr16_Q	= 888,
+    X86_MOVZX64rr8_Q	= 889,
+    X86_MUL16m	= 890,
+    X86_MUL16r	= 891,
+    X86_MUL32m	= 892,
+    X86_MUL32r	= 893,
+    X86_MUL64m	= 894,
+    X86_MUL64r	= 895,
+    X86_MUL8m	= 896,
+    X86_MUL8r	= 897,
+    X86_MULX32rm	= 898,
+    X86_MULX32rr	= 899,
+    X86_MULX64rm	= 900,
+    X86_MULX64rr	= 901,
+    X86_NEG16m	= 902,
+    X86_NEG16r	= 903,
+    X86_NEG32m	= 904,
+    X86_NEG32r	= 905,
+    X86_NEG64m	= 906,
+    X86_NEG64r	= 907,
+    X86_NEG8m	= 908,
+    X86_NEG8r	= 909,
+    X86_NOOP	= 910,
+    X86_NOOP18_16m4	= 911,
+    X86_NOOP18_16m5	= 912,
+    X86_NOOP18_16m6	= 913,
+    X86_NOOP18_16m7	= 914,
+    X86_NOOP18_16r4	= 915,
+    X86_NOOP18_16r5	= 916,
+    X86_NOOP18_16r6	= 917,
+    X86_NOOP18_16r7	= 918,
+    X86_NOOP18_m4	= 919,
+    X86_NOOP18_m5	= 920,
+    X86_NOOP18_m6	= 921,
+    X86_NOOP18_m7	= 922,
+    X86_NOOP18_r4	= 923,
+    X86_NOOP18_r5	= 924,
+    X86_NOOP18_r6	= 925,
+    X86_NOOP18_r7	= 926,
+    X86_NOOPL	= 927,
+    X86_NOOPL_19	= 928,
+    X86_NOOPL_1a	= 929,
+    X86_NOOPL_1b	= 930,
+    X86_NOOPL_1c	= 931,
+    X86_NOOPL_1d	= 932,
+    X86_NOOPL_1e	= 933,
+    X86_NOOPW	= 934,
+    X86_NOOPW_19	= 935,
+    X86_NOOPW_1a	= 936,
+    X86_NOOPW_1b	= 937,
+    X86_NOOPW_1c	= 938,
+    X86_NOOPW_1d	= 939,
+    X86_NOOPW_1e	= 940,
+    X86_NOT16m	= 941,
+    X86_NOT16r	= 942,
+    X86_NOT32m	= 943,
+    X86_NOT32r	= 944,
+    X86_NOT64m	= 945,
+    X86_NOT64r	= 946,
+    X86_NOT8m	= 947,
+    X86_NOT8r	= 948,
+    X86_OR16i16	= 949,
+    X86_OR16mi	= 950,
+    X86_OR16mi8	= 951,
+    X86_OR16mr	= 952,
+    X86_OR16ri	= 953,
+    X86_OR16ri8	= 954,
+    X86_OR16rm	= 955,
+    X86_OR16rr	= 956,
+    X86_OR16rr_REV	= 957,
+    X86_OR32i32	= 958,
+    X86_OR32mi	= 959,
+    X86_OR32mi8	= 960,
+    X86_OR32mr	= 961,
+    X86_OR32mrLocked	= 962,
+    X86_OR32ri	= 963,
+    X86_OR32ri8	= 964,
+    X86_OR32rm	= 965,
+    X86_OR32rr	= 966,
+    X86_OR32rr_REV	= 967,
+    X86_OR64i32	= 968,
+    X86_OR64mi32	= 969,
+    X86_OR64mi8	= 970,
+    X86_OR64mr	= 971,
+    X86_OR64ri32	= 972,
+    X86_OR64ri8	= 973,
+    X86_OR64rm	= 974,
+    X86_OR64rr	= 975,
+    X86_OR64rr_REV	= 976,
+    X86_OR8i8	= 977,
+    X86_OR8mi	= 978,
+    X86_OR8mr	= 979,
+    X86_OR8ri	= 980,
+    X86_OR8ri8	= 981,
+    X86_OR8rm	= 982,
+    X86_OR8rr	= 983,
+    X86_OR8rr_REV	= 984,
+    X86_OUT16ir	= 985,
+    X86_OUT16rr	= 986,
+    X86_OUT32ir	= 987,
+    X86_OUT32rr	= 988,
+    X86_OUT8ir	= 989,
+    X86_OUT8rr	= 990,
+    X86_OUTSB	= 991,
+    X86_OUTSL	= 992,
+    X86_OUTSW	= 993,
+    X86_PDEP32rm	= 994,
+    X86_PDEP32rr	= 995,
+    X86_PDEP64rm	= 996,
+    X86_PDEP64rr	= 997,
+    X86_PEXT32rm	= 998,
+    X86_PEXT32rr	= 999,
+    X86_PEXT64rm	= 1000,
+    X86_PEXT64rr	= 1001,
+    X86_POP16r	= 1002,
+    X86_POP16rmm	= 1003,
+    X86_POP16rmr	= 1004,
+    X86_POP32r	= 1005,
+    X86_POP32rmm	= 1006,
+    X86_POP32rmr	= 1007,
+    X86_POP64r	= 1008,
+    X86_POP64rmm	= 1009,
+    X86_POP64rmr	= 1010,
+    X86_POPA16	= 1011,
+    X86_POPA32	= 1012,
+    X86_POPDS16	= 1013,
+    X86_POPDS32	= 1014,
+    X86_POPES16	= 1015,
+    X86_POPES32	= 1016,
+    X86_POPF16	= 1017,
+    X86_POPF32	= 1018,
+    X86_POPF64	= 1019,
+    X86_POPFS16	= 1020,
+    X86_POPFS32	= 1021,
+    X86_POPFS64	= 1022,
+    X86_POPGS16	= 1023,
+    X86_POPGS32	= 1024,
+    X86_POPGS64	= 1025,
+    X86_POPSS16	= 1026,
+    X86_POPSS32	= 1027,
+    X86_PUSH16i8	= 1028,
+    X86_PUSH16r	= 1029,
+    X86_PUSH16rmm	= 1030,
+    X86_PUSH16rmr	= 1031,
+    X86_PUSH32i8	= 1032,
+    X86_PUSH32r	= 1033,
+    X86_PUSH32rmm	= 1034,
+    X86_PUSH32rmr	= 1035,
+    X86_PUSH64i16	= 1036,
+    X86_PUSH64i32	= 1037,
+    X86_PUSH64i8	= 1038,
+    X86_PUSH64r	= 1039,
+    X86_PUSH64rmm	= 1040,
+    X86_PUSH64rmr	= 1041,
+    X86_PUSHA16	= 1042,
+    X86_PUSHA32	= 1043,
+    X86_PUSHCS16	= 1044,
+    X86_PUSHCS32	= 1045,
+    X86_PUSHDS16	= 1046,
+    X86_PUSHDS32	= 1047,
+    X86_PUSHES16	= 1048,
+    X86_PUSHES32	= 1049,
+    X86_PUSHF16	= 1050,
+    X86_PUSHF32	= 1051,
+    X86_PUSHF64	= 1052,
+    X86_PUSHFS16	= 1053,
+    X86_PUSHFS32	= 1054,
+    X86_PUSHFS64	= 1055,
+    X86_PUSHGS16	= 1056,
+    X86_PUSHGS32	= 1057,
+    X86_PUSHGS64	= 1058,
+    X86_PUSHSS16	= 1059,
+    X86_PUSHSS32	= 1060,
+    X86_PUSHi16	= 1061,
+    X86_PUSHi32	= 1062,
+    X86_RCL16m1	= 1063,
+    X86_RCL16mCL	= 1064,
+    X86_RCL16mi	= 1065,
+    X86_RCL16r1	= 1066,
+    X86_RCL16rCL	= 1067,
+    X86_RCL16ri	= 1068,
+    X86_RCL32m1	= 1069,
+    X86_RCL32mCL	= 1070,
+    X86_RCL32mi	= 1071,
+    X86_RCL32r1	= 1072,
+    X86_RCL32rCL	= 1073,
+    X86_RCL32ri	= 1074,
+    X86_RCL64m1	= 1075,
+    X86_RCL64mCL	= 1076,
+    X86_RCL64mi	= 1077,
+    X86_RCL64r1	= 1078,
+    X86_RCL64rCL	= 1079,
+    X86_RCL64ri	= 1080,
+    X86_RCL8m1	= 1081,
+    X86_RCL8mCL	= 1082,
+    X86_RCL8mi	= 1083,
+    X86_RCL8r1	= 1084,
+    X86_RCL8rCL	= 1085,
+    X86_RCL8ri	= 1086,
+    X86_RCR16m1	= 1087,
+    X86_RCR16mCL	= 1088,
+    X86_RCR16mi	= 1089,
+    X86_RCR16r1	= 1090,
+    X86_RCR16rCL	= 1091,
+    X86_RCR16ri	= 1092,
+    X86_RCR32m1	= 1093,
+    X86_RCR32mCL	= 1094,
+    X86_RCR32mi	= 1095,
+    X86_RCR32r1	= 1096,
+    X86_RCR32rCL	= 1097,
+    X86_RCR32ri	= 1098,
+    X86_RCR64m1	= 1099,
+    X86_RCR64mCL	= 1100,
+    X86_RCR64mi	= 1101,
+    X86_RCR64r1	= 1102,
+    X86_RCR64rCL	= 1103,
+    X86_RCR64ri	= 1104,
+    X86_RCR8m1	= 1105,
+    X86_RCR8mCL	= 1106,
+    X86_RCR8mi	= 1107,
+    X86_RCR8r1	= 1108,
+    X86_RCR8rCL	= 1109,
+    X86_RCR8ri	= 1110,
+    X86_RDFSBASE	= 1111,
+    X86_RDFSBASE64	= 1112,
+    X86_RDGSBASE	= 1113,
+    X86_RDGSBASE64	= 1114,
+    X86_RDMSR	= 1115,
+    X86_RDPMC	= 1116,
+    X86_RDRAND16r	= 1117,
+    X86_RDRAND32r	= 1118,
+    X86_RDRAND64r	= 1119,
+    X86_RDSEED16r	= 1120,
+    X86_RDSEED32r	= 1121,
+    X86_RDSEED64r	= 1122,
+    X86_RDTSC	= 1123,
+    X86_RDTSCP	= 1124,
+    X86_RELEASE_MOV16mr	= 1125,
+    X86_RELEASE_MOV32mr	= 1126,
+    X86_RELEASE_MOV64mr	= 1127,
+    X86_RELEASE_MOV8mr	= 1128,
+    X86_REPNE_PREFIX	= 1129,
+    X86_REP_MOVSB_32	= 1130,
+    X86_REP_MOVSB_64	= 1131,
+    X86_REP_MOVSD_32	= 1132,
+    X86_REP_MOVSD_64	= 1133,
+    X86_REP_MOVSQ_64	= 1134,
+    X86_REP_MOVSW_32	= 1135,
+    X86_REP_MOVSW_64	= 1136,
+    X86_REP_PREFIX	= 1137,
+    X86_REP_STOSB_32	= 1138,
+    X86_REP_STOSB_64	= 1139,
+    X86_REP_STOSD_32	= 1140,
+    X86_REP_STOSD_64	= 1141,
+    X86_REP_STOSQ_64	= 1142,
+    X86_REP_STOSW_32	= 1143,
+    X86_REP_STOSW_64	= 1144,
+    X86_RETIL	= 1145,
+    X86_RETIQ	= 1146,
+    X86_RETIW	= 1147,
+    X86_RETL	= 1148,
+    X86_RETQ	= 1149,
+    X86_RETW	= 1150,
+    X86_REX64_PREFIX	= 1151,
+    X86_ROL16m1	= 1152,
+    X86_ROL16mCL	= 1153,
+    X86_ROL16mi	= 1154,
+    X86_ROL16r1	= 1155,
+    X86_ROL16rCL	= 1156,
+    X86_ROL16ri	= 1157,
+    X86_ROL32m1	= 1158,
+    X86_ROL32mCL	= 1159,
+    X86_ROL32mi	= 1160,
+    X86_ROL32r1	= 1161,
+    X86_ROL32rCL	= 1162,
+    X86_ROL32ri	= 1163,
+    X86_ROL64m1	= 1164,
+    X86_ROL64mCL	= 1165,
+    X86_ROL64mi	= 1166,
+    X86_ROL64r1	= 1167,
+    X86_ROL64rCL	= 1168,
+    X86_ROL64ri	= 1169,
+    X86_ROL8m1	= 1170,
+    X86_ROL8mCL	= 1171,
+    X86_ROL8mi	= 1172,
+    X86_ROL8r1	= 1173,
+    X86_ROL8rCL	= 1174,
+    X86_ROL8ri	= 1175,
+    X86_ROR16m1	= 1176,
+    X86_ROR16mCL	= 1177,
+    X86_ROR16mi	= 1178,
+    X86_ROR16r1	= 1179,
+    X86_ROR16rCL	= 1180,
+    X86_ROR16ri	= 1181,
+    X86_ROR32m1	= 1182,
+    X86_ROR32mCL	= 1183,
+    X86_ROR32mi	= 1184,
+    X86_ROR32r1	= 1185,
+    X86_ROR32rCL	= 1186,
+    X86_ROR32ri	= 1187,
+    X86_ROR64m1	= 1188,
+    X86_ROR64mCL	= 1189,
+    X86_ROR64mi	= 1190,
+    X86_ROR64r1	= 1191,
+    X86_ROR64rCL	= 1192,
+    X86_ROR64ri	= 1193,
+    X86_ROR8m1	= 1194,
+    X86_ROR8mCL	= 1195,
+    X86_ROR8mi	= 1196,
+    X86_ROR8r1	= 1197,
+    X86_ROR8rCL	= 1198,
+    X86_ROR8ri	= 1199,
+    X86_RORX32mi	= 1200,
+    X86_RORX32ri	= 1201,
+    X86_RORX64mi	= 1202,
+    X86_RORX64ri	= 1203,
+    X86_RSM	= 1204,
+    X86_SAHF	= 1205,
+    X86_SAL16m1	= 1206,
+    X86_SAL16mCL	= 1207,
+    X86_SAL16mi	= 1208,
+    X86_SAL16r1	= 1209,
+    X86_SAL16rCL	= 1210,
+    X86_SAL16ri	= 1211,
+    X86_SAL32m1	= 1212,
+    X86_SAL32mCL	= 1213,
+    X86_SAL32mi	= 1214,
+    X86_SAL32r1	= 1215,
+    X86_SAL32rCL	= 1216,
+    X86_SAL32ri	= 1217,
+    X86_SAL64m1	= 1218,
+    X86_SAL64mCL	= 1219,
+    X86_SAL64mi	= 1220,
+    X86_SAL64r1	= 1221,
+    X86_SAL64rCL	= 1222,
+    X86_SAL64ri	= 1223,
+    X86_SAL8m1	= 1224,
+    X86_SAL8mCL	= 1225,
+    X86_SAL8mi	= 1226,
+    X86_SAL8r1	= 1227,
+    X86_SAL8rCL	= 1228,
+    X86_SAL8ri	= 1229,
+    X86_SALC	= 1230,
+    X86_SAR16m1	= 1231,
+    X86_SAR16mCL	= 1232,
+    X86_SAR16mi	= 1233,
+    X86_SAR16r1	= 1234,
+    X86_SAR16rCL	= 1235,
+    X86_SAR16ri	= 1236,
+    X86_SAR32m1	= 1237,
+    X86_SAR32mCL	= 1238,
+    X86_SAR32mi	= 1239,
+    X86_SAR32r1	= 1240,
+    X86_SAR32rCL	= 1241,
+    X86_SAR32ri	= 1242,
+    X86_SAR64m1	= 1243,
+    X86_SAR64mCL	= 1244,
+    X86_SAR64mi	= 1245,
+    X86_SAR64r1	= 1246,
+    X86_SAR64rCL	= 1247,
+    X86_SAR64ri	= 1248,
+    X86_SAR8m1	= 1249,
+    X86_SAR8mCL	= 1250,
+    X86_SAR8mi	= 1251,
+    X86_SAR8r1	= 1252,
+    X86_SAR8rCL	= 1253,
+    X86_SAR8ri	= 1254,
+    X86_SARX32rm	= 1255,
+    X86_SARX32rr	= 1256,
+    X86_SARX64rm	= 1257,
+    X86_SARX64rr	= 1258,
+    X86_SBB16i16	= 1259,
+    X86_SBB16mi	= 1260,
+    X86_SBB16mi8	= 1261,
+    X86_SBB16mr	= 1262,
+    X86_SBB16ri	= 1263,
+    X86_SBB16ri8	= 1264,
+    X86_SBB16rm	= 1265,
+    X86_SBB16rr	= 1266,
+    X86_SBB16rr_REV	= 1267,
+    X86_SBB32i32	= 1268,
+    X86_SBB32mi	= 1269,
+    X86_SBB32mi8	= 1270,
+    X86_SBB32mr	= 1271,
+    X86_SBB32ri	= 1272,
+    X86_SBB32ri8	= 1273,
+    X86_SBB32rm	= 1274,
+    X86_SBB32rr	= 1275,
+    X86_SBB32rr_REV	= 1276,
+    X86_SBB64i32	= 1277,
+    X86_SBB64mi32	= 1278,
+    X86_SBB64mi8	= 1279,
+    X86_SBB64mr	= 1280,
+    X86_SBB64ri32	= 1281,
+    X86_SBB64ri8	= 1282,
+    X86_SBB64rm	= 1283,
+    X86_SBB64rr	= 1284,
+    X86_SBB64rr_REV	= 1285,
+    X86_SBB8i8	= 1286,
+    X86_SBB8mi	= 1287,
+    X86_SBB8mr	= 1288,
+    X86_SBB8ri	= 1289,
+    X86_SBB8rm	= 1290,
+    X86_SBB8rr	= 1291,
+    X86_SBB8rr_REV	= 1292,
+    X86_SCASB	= 1293,
+    X86_SCASL	= 1294,
+    X86_SCASQ	= 1295,
+    X86_SCASW	= 1296,
+    X86_SEG_ALLOCA_32	= 1297,
+    X86_SEG_ALLOCA_64	= 1298,
+    X86_SEH_EndPrologue	= 1299,
+    X86_SEH_Epilogue	= 1300,
+    X86_SEH_PushFrame	= 1301,
+    X86_SEH_PushReg	= 1302,
+    X86_SEH_SaveReg	= 1303,
+    X86_SEH_SaveXMM	= 1304,
+    X86_SEH_SetFrame	= 1305,
+    X86_SEH_StackAlloc	= 1306,
+    X86_SETAEm	= 1307,
+    X86_SETAEr	= 1308,
+    X86_SETAm	= 1309,
+    X86_SETAr	= 1310,
+    X86_SETBEm	= 1311,
+    X86_SETBEr	= 1312,
+    X86_SETB_C16r	= 1313,
+    X86_SETB_C32r	= 1314,
+    X86_SETB_C64r	= 1315,
+    X86_SETB_C8r	= 1316,
+    X86_SETBm	= 1317,
+    X86_SETBr	= 1318,
+    X86_SETEm	= 1319,
+    X86_SETEr	= 1320,
+    X86_SETGEm	= 1321,
+    X86_SETGEr	= 1322,
+    X86_SETGm	= 1323,
+    X86_SETGr	= 1324,
+    X86_SETLEm	= 1325,
+    X86_SETLEr	= 1326,
+    X86_SETLm	= 1327,
+    X86_SETLr	= 1328,
+    X86_SETNEm	= 1329,
+    X86_SETNEr	= 1330,
+    X86_SETNOm	= 1331,
+    X86_SETNOr	= 1332,
+    X86_SETNPm	= 1333,
+    X86_SETNPr	= 1334,
+    X86_SETNSm	= 1335,
+    X86_SETNSr	= 1336,
+    X86_SETOm	= 1337,
+    X86_SETOr	= 1338,
+    X86_SETPm	= 1339,
+    X86_SETPr	= 1340,
+    X86_SETSm	= 1341,
+    X86_SETSr	= 1342,
+    X86_SGDT16m	= 1343,
+    X86_SGDT32m	= 1344,
+    X86_SGDT64m	= 1345,
+    X86_SHL16m1	= 1346,
+    X86_SHL16mCL	= 1347,
+    X86_SHL16mi	= 1348,
+    X86_SHL16r1	= 1349,
+    X86_SHL16rCL	= 1350,
+    X86_SHL16ri	= 1351,
+    X86_SHL32m1	= 1352,
+    X86_SHL32mCL	= 1353,
+    X86_SHL32mi	= 1354,
+    X86_SHL32r1	= 1355,
+    X86_SHL32rCL	= 1356,
+    X86_SHL32ri	= 1357,
+    X86_SHL64m1	= 1358,
+    X86_SHL64mCL	= 1359,
+    X86_SHL64mi	= 1360,
+    X86_SHL64r1	= 1361,
+    X86_SHL64rCL	= 1362,
+    X86_SHL64ri	= 1363,
+    X86_SHL8m1	= 1364,
+    X86_SHL8mCL	= 1365,
+    X86_SHL8mi	= 1366,
+    X86_SHL8r1	= 1367,
+    X86_SHL8rCL	= 1368,
+    X86_SHL8ri	= 1369,
+    X86_SHLD16mrCL	= 1370,
+    X86_SHLD16mri8	= 1371,
+    X86_SHLD16rrCL	= 1372,
+    X86_SHLD16rri8	= 1373,
+    X86_SHLD32mrCL	= 1374,
+    X86_SHLD32mri8	= 1375,
+    X86_SHLD32rrCL	= 1376,
+    X86_SHLD32rri8	= 1377,
+    X86_SHLD64mrCL	= 1378,
+    X86_SHLD64mri8	= 1379,
+    X86_SHLD64rrCL	= 1380,
+    X86_SHLD64rri8	= 1381,
+    X86_SHLX32rm	= 1382,
+    X86_SHLX32rr	= 1383,
+    X86_SHLX64rm	= 1384,
+    X86_SHLX64rr	= 1385,
+    X86_SHR16m1	= 1386,
+    X86_SHR16mCL	= 1387,
+    X86_SHR16mi	= 1388,
+    X86_SHR16r1	= 1389,
+    X86_SHR16rCL	= 1390,
+    X86_SHR16ri	= 1391,
+    X86_SHR32m1	= 1392,
+    X86_SHR32mCL	= 1393,
+    X86_SHR32mi	= 1394,
+    X86_SHR32r1	= 1395,
+    X86_SHR32rCL	= 1396,
+    X86_SHR32ri	= 1397,
+    X86_SHR64m1	= 1398,
+    X86_SHR64mCL	= 1399,
+    X86_SHR64mi	= 1400,
+    X86_SHR64r1	= 1401,
+    X86_SHR64rCL	= 1402,
+    X86_SHR64ri	= 1403,
+    X86_SHR8m1	= 1404,
+    X86_SHR8mCL	= 1405,
+    X86_SHR8mi	= 1406,
+    X86_SHR8r1	= 1407,
+    X86_SHR8rCL	= 1408,
+    X86_SHR8ri	= 1409,
+    X86_SHRD16mrCL	= 1410,
+    X86_SHRD16mri8	= 1411,
+    X86_SHRD16rrCL	= 1412,
+    X86_SHRD16rri8	= 1413,
+    X86_SHRD32mrCL	= 1414,
+    X86_SHRD32mri8	= 1415,
+    X86_SHRD32rrCL	= 1416,
+    X86_SHRD32rri8	= 1417,
+    X86_SHRD64mrCL	= 1418,
+    X86_SHRD64mri8	= 1419,
+    X86_SHRD64rrCL	= 1420,
+    X86_SHRD64rri8	= 1421,
+    X86_SHRX32rm	= 1422,
+    X86_SHRX32rr	= 1423,
+    X86_SHRX64rm	= 1424,
+    X86_SHRX64rr	= 1425,
+    X86_SIDT16m	= 1426,
+    X86_SIDT32m	= 1427,
+    X86_SIDT64m	= 1428,
+    X86_SKINIT	= 1429,
+    X86_SLDT16m	= 1430,
+    X86_SLDT16r	= 1431,
+    X86_SLDT32r	= 1432,
+    X86_SLDT64m	= 1433,
+    X86_SLDT64r	= 1434,
+    X86_SMSW16m	= 1435,
+    X86_SMSW16r	= 1436,
+    X86_SMSW32r	= 1437,
+    X86_SMSW64r	= 1438,
+    X86_STAC	= 1439,
+    X86_STC	= 1440,
+    X86_STD	= 1441,
+    X86_STGI	= 1442,
+    X86_STI	= 1443,
+    X86_STOSB	= 1444,
+    X86_STOSL	= 1445,
+    X86_STOSQ	= 1446,
+    X86_STOSW	= 1447,
+    X86_STR16r	= 1448,
+    X86_STR32r	= 1449,
+    X86_STR64r	= 1450,
+    X86_STRm	= 1451,
+    X86_SUB16i16	= 1452,
+    X86_SUB16mi	= 1453,
+    X86_SUB16mi8	= 1454,
+    X86_SUB16mr	= 1455,
+    X86_SUB16ri	= 1456,
+    X86_SUB16ri8	= 1457,
+    X86_SUB16rm	= 1458,
+    X86_SUB16rr	= 1459,
+    X86_SUB16rr_REV	= 1460,
+    X86_SUB32i32	= 1461,
+    X86_SUB32mi	= 1462,
+    X86_SUB32mi8	= 1463,
+    X86_SUB32mr	= 1464,
+    X86_SUB32ri	= 1465,
+    X86_SUB32ri8	= 1466,
+    X86_SUB32rm	= 1467,
+    X86_SUB32rr	= 1468,
+    X86_SUB32rr_REV	= 1469,
+    X86_SUB64i32	= 1470,
+    X86_SUB64mi32	= 1471,
+    X86_SUB64mi8	= 1472,
+    X86_SUB64mr	= 1473,
+    X86_SUB64ri32	= 1474,
+    X86_SUB64ri8	= 1475,
+    X86_SUB64rm	= 1476,
+    X86_SUB64rr	= 1477,
+    X86_SUB64rr_REV	= 1478,
+    X86_SUB8i8	= 1479,
+    X86_SUB8mi	= 1480,
+    X86_SUB8mr	= 1481,
+    X86_SUB8ri	= 1482,
+    X86_SUB8ri8	= 1483,
+    X86_SUB8rm	= 1484,
+    X86_SUB8rr	= 1485,
+    X86_SUB8rr_REV	= 1486,
+    X86_SWAPGS	= 1487,
+    X86_SYSCALL	= 1488,
+    X86_SYSENTER	= 1489,
+    X86_SYSEXIT	= 1490,
+    X86_SYSEXIT64	= 1491,
+    X86_SYSRET	= 1492,
+    X86_SYSRET64	= 1493,
+    X86_T1MSKC32rm	= 1494,
+    X86_T1MSKC32rr	= 1495,
+    X86_T1MSKC64rm	= 1496,
+    X86_T1MSKC64rr	= 1497,
+    X86_TAILJMPd	= 1498,
+    X86_TAILJMPd64	= 1499,
+    X86_TAILJMPm	= 1500,
+    X86_TAILJMPm64	= 1501,
+    X86_TAILJMPr	= 1502,
+    X86_TAILJMPr64	= 1503,
+    X86_TCRETURNdi	= 1504,
+    X86_TCRETURNdi64	= 1505,
+    X86_TCRETURNmi	= 1506,
+    X86_TCRETURNmi64	= 1507,
+    X86_TCRETURNri	= 1508,
+    X86_TCRETURNri64	= 1509,
+    X86_TEST16i16	= 1510,
+    X86_TEST16mi	= 1511,
+    X86_TEST16mi_alt	= 1512,
+    X86_TEST16ri	= 1513,
+    X86_TEST16ri_alt	= 1514,
+    X86_TEST16rm	= 1515,
+    X86_TEST16rr	= 1516,
+    X86_TEST32i32	= 1517,
+    X86_TEST32mi	= 1518,
+    X86_TEST32mi_alt	= 1519,
+    X86_TEST32ri	= 1520,
+    X86_TEST32ri_alt	= 1521,
+    X86_TEST32rm	= 1522,
+    X86_TEST32rr	= 1523,
+    X86_TEST64i32	= 1524,
+    X86_TEST64mi32	= 1525,
+    X86_TEST64mi32_alt	= 1526,
+    X86_TEST64ri32	= 1527,
+    X86_TEST64ri32_alt	= 1528,
+    X86_TEST64rm	= 1529,
+    X86_TEST64rr	= 1530,
+    X86_TEST8i8	= 1531,
+    X86_TEST8mi	= 1532,
+    X86_TEST8mi_alt	= 1533,
+    X86_TEST8ri	= 1534,
+    X86_TEST8ri_NOREX	= 1535,
+    X86_TEST8ri_alt	= 1536,
+    X86_TEST8rm	= 1537,
+    X86_TEST8rr	= 1538,
+    X86_TLSCall_32	= 1539,
+    X86_TLSCall_64	= 1540,
+    X86_TLS_addr32	= 1541,
+    X86_TLS_addr64	= 1542,
+    X86_TLS_base_addr32	= 1543,
+    X86_TLS_base_addr64	= 1544,
+    X86_TRAP	= 1545,
+    X86_TZCNT16rm	= 1546,
+    X86_TZCNT16rr	= 1547,
+    X86_TZCNT32rm	= 1548,
+    X86_TZCNT32rr	= 1549,
+    X86_TZCNT64rm	= 1550,
+    X86_TZCNT64rr	= 1551,
+    X86_TZMSK32rm	= 1552,
+    X86_TZMSK32rr	= 1553,
+    X86_TZMSK64rm	= 1554,
+    X86_TZMSK64rr	= 1555,
+    X86_UD2B	= 1556,
+    X86_VAARG_64	= 1557,
+    X86_VASTART_SAVE_XMM_REGS	= 1558,
+    X86_VERRm	= 1559,
+    X86_VERRr	= 1560,
+    X86_VERWm	= 1561,
+    X86_VERWr	= 1562,
+    X86_VMCALL	= 1563,
+    X86_VMCLEARm	= 1564,
+    X86_VMFUNC	= 1565,
+    X86_VMLAUNCH	= 1566,
+    X86_VMLOAD32	= 1567,
+    X86_VMLOAD64	= 1568,
+    X86_VMMCALL	= 1569,
+    X86_VMPTRLDm	= 1570,
+    X86_VMPTRSTm	= 1571,
+    X86_VMREAD32rm	= 1572,
+    X86_VMREAD32rr	= 1573,
+    X86_VMREAD64rm	= 1574,
+    X86_VMREAD64rr	= 1575,
+    X86_VMRESUME	= 1576,
+    X86_VMRUN32	= 1577,
+    X86_VMRUN64	= 1578,
+    X86_VMSAVE32	= 1579,
+    X86_VMSAVE64	= 1580,
+    X86_VMWRITE32rm	= 1581,
+    X86_VMWRITE32rr	= 1582,
+    X86_VMWRITE64rm	= 1583,
+    X86_VMWRITE64rr	= 1584,
+    X86_VMXOFF	= 1585,
+    X86_VMXON	= 1586,
+    X86_W64ALLOCA	= 1587,
+    X86_WBINVD	= 1588,
+    X86_WIN_ALLOCA	= 1589,
+    X86_WIN_FTOL_32	= 1590,
+    X86_WIN_FTOL_64	= 1591,
+    X86_WRFSBASE	= 1592,
+    X86_WRFSBASE64	= 1593,
+    X86_WRGSBASE	= 1594,
+    X86_WRGSBASE64	= 1595,
+    X86_WRMSR	= 1596,
+    X86_XADD16rm	= 1597,
+    X86_XADD16rr	= 1598,
+    X86_XADD32rm	= 1599,
+    X86_XADD32rr	= 1600,
+    X86_XADD64rm	= 1601,
+    X86_XADD64rr	= 1602,
+    X86_XADD8rm	= 1603,
+    X86_XADD8rr	= 1604,
+    X86_XCHG16ar	= 1605,
+    X86_XCHG16rm	= 1606,
+    X86_XCHG16rr	= 1607,
+    X86_XCHG32ar	= 1608,
+    X86_XCHG32ar64	= 1609,
+    X86_XCHG32rm	= 1610,
+    X86_XCHG32rr	= 1611,
+    X86_XCHG64ar	= 1612,
+    X86_XCHG64rm	= 1613,
+    X86_XCHG64rr	= 1614,
+    X86_XCHG8rm	= 1615,
+    X86_XCHG8rr	= 1616,
+    X86_XCRYPTCBC	= 1617,
+    X86_XCRYPTCFB	= 1618,
+    X86_XCRYPTCTR	= 1619,
+    X86_XCRYPTECB	= 1620,
+    X86_XCRYPTOFB	= 1621,
+    X86_XGETBV	= 1622,
+    X86_XLAT	= 1623,
+    X86_XOR16i16	= 1624,
+    X86_XOR16mi	= 1625,
+    X86_XOR16mi8	= 1626,
+    X86_XOR16mr	= 1627,
+    X86_XOR16ri	= 1628,
+    X86_XOR16ri8	= 1629,
+    X86_XOR16rm	= 1630,
+    X86_XOR16rr	= 1631,
+    X86_XOR16rr_REV	= 1632,
+    X86_XOR32i32	= 1633,
+    X86_XOR32mi	= 1634,
+    X86_XOR32mi8	= 1635,
+    X86_XOR32mr	= 1636,
+    X86_XOR32ri	= 1637,
+    X86_XOR32ri8	= 1638,
+    X86_XOR32rm	= 1639,
+    X86_XOR32rr	= 1640,
+    X86_XOR32rr_REV	= 1641,
+    X86_XOR64i32	= 1642,
+    X86_XOR64mi32	= 1643,
+    X86_XOR64mi8	= 1644,
+    X86_XOR64mr	= 1645,
+    X86_XOR64ri32	= 1646,
+    X86_XOR64ri8	= 1647,
+    X86_XOR64rm	= 1648,
+    X86_XOR64rr	= 1649,
+    X86_XOR64rr_REV	= 1650,
+    X86_XOR8i8	= 1651,
+    X86_XOR8mi	= 1652,
+    X86_XOR8mr	= 1653,
+    X86_XOR8ri	= 1654,
+    X86_XOR8ri8	= 1655,
+    X86_XOR8rm	= 1656,
+    X86_XOR8rr	= 1657,
+    X86_XOR8rr_REV	= 1658,
+    X86_XRSTOR	= 1659,
+    X86_XRSTOR64	= 1660,
+    X86_XSAVE	= 1661,
+    X86_XSAVE64	= 1662,
+    X86_XSAVEOPT	= 1663,
+    X86_XSAVEOPT64	= 1664,
+    X86_XSETBV	= 1665,
+    X86_XSHA1	= 1666,
+    X86_XSHA256	= 1667,
+    X86_XSTORE	= 1668,
+    X86_INSTRUCTION_LIST_END = 1669
 };
 
 #endif // GET_INSTRINFO_ENUM
@@ -1732,1786 +1692,800 @@
 #ifdef GET_INSTRINFO_MC_DESC
 #undef GET_INSTRINFO_MC_DESC
 
-static const char X86InstrNameData[] = {
-  /* 0 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', '_', 'R', 'E', 'S', 'T', 'O', 'R', 'E', '_', 'R', '1', '0', 0,
-  /* 26 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '8', '0', 0,
-  /* 37 */ 'M', 'O', 'V', '3', '2', 'r', '0', 0,
-  /* 45 */ 'X', 'S', 'H', 'A', '1', 0,
-  /* 51 */ 'I', 'N', 'T', '1', 0,
-  /* 56 */ 'J', 'A', '_', '1', 0,
-  /* 61 */ 'J', 'B', '_', '1', 0,
-  /* 66 */ 'J', 'A', 'E', '_', '1', 0,
-  /* 72 */ 'J', 'B', 'E', '_', '1', 0,
-  /* 78 */ 'J', 'G', 'E', '_', '1', 0,
-  /* 84 */ 'J', 'E', '_', '1', 0,
-  /* 89 */ 'J', 'L', 'E', '_', '1', 0,
-  /* 95 */ 'J', 'N', 'E', '_', '1', 0,
-  /* 101 */ 'J', 'G', '_', '1', 0,
-  /* 106 */ 'J', 'L', '_', '1', 0,
-  /* 111 */ 'J', 'O', '_', '1', 0,
-  /* 116 */ 'J', 'N', 'O', '_', '1', 0,
-  /* 122 */ 'J', 'P', '_', '1', 0,
-  /* 127 */ 'J', 'M', 'P', '_', '1', 0,
-  /* 133 */ 'J', 'N', 'P', '_', '1', 0,
-  /* 139 */ 'J', 'S', '_', '1', 0,
-  /* 144 */ 'J', 'N', 'S', '_', '1', 0,
-  /* 150 */ 'S', 'A', 'L', '3', '2', 'm', '1', 0,
-  /* 158 */ 'R', 'C', 'L', '3', '2', 'm', '1', 0,
-  /* 166 */ 'S', 'H', 'L', '3', '2', 'm', '1', 0,
-  /* 174 */ 'R', 'O', 'L', '3', '2', 'm', '1', 0,
-  /* 182 */ 'S', 'A', 'R', '3', '2', 'm', '1', 0,
-  /* 190 */ 'R', 'C', 'R', '3', '2', 'm', '1', 0,
-  /* 198 */ 'S', 'H', 'R', '3', '2', 'm', '1', 0,
-  /* 206 */ 'R', 'O', 'R', '3', '2', 'm', '1', 0,
-  /* 214 */ 'S', 'A', 'L', '6', '4', 'm', '1', 0,
-  /* 222 */ 'R', 'C', 'L', '6', '4', 'm', '1', 0,
-  /* 230 */ 'S', 'H', 'L', '6', '4', 'm', '1', 0,
-  /* 238 */ 'R', 'O', 'L', '6', '4', 'm', '1', 0,
-  /* 246 */ 'S', 'A', 'R', '6', '4', 'm', '1', 0,
-  /* 254 */ 'R', 'C', 'R', '6', '4', 'm', '1', 0,
-  /* 262 */ 'S', 'H', 'R', '6', '4', 'm', '1', 0,
-  /* 270 */ 'R', 'O', 'R', '6', '4', 'm', '1', 0,
-  /* 278 */ 'S', 'A', 'L', '1', '6', 'm', '1', 0,
-  /* 286 */ 'R', 'C', 'L', '1', '6', 'm', '1', 0,
-  /* 294 */ 'S', 'H', 'L', '1', '6', 'm', '1', 0,
-  /* 302 */ 'R', 'O', 'L', '1', '6', 'm', '1', 0,
-  /* 310 */ 'S', 'A', 'R', '1', '6', 'm', '1', 0,
-  /* 318 */ 'R', 'C', 'R', '1', '6', 'm', '1', 0,
-  /* 326 */ 'S', 'H', 'R', '1', '6', 'm', '1', 0,
-  /* 334 */ 'R', 'O', 'R', '1', '6', 'm', '1', 0,
-  /* 342 */ 'S', 'A', 'L', '8', 'm', '1', 0,
-  /* 349 */ 'R', 'C', 'L', '8', 'm', '1', 0,
-  /* 356 */ 'S', 'H', 'L', '8', 'm', '1', 0,
-  /* 363 */ 'R', 'O', 'L', '8', 'm', '1', 0,
-  /* 370 */ 'S', 'A', 'R', '8', 'm', '1', 0,
-  /* 377 */ 'R', 'C', 'R', '8', 'm', '1', 0,
-  /* 384 */ 'S', 'H', 'R', '8', 'm', '1', 0,
-  /* 391 */ 'R', 'O', 'R', '8', 'm', '1', 0,
-  /* 398 */ 'S', 'A', 'L', '3', '2', 'r', '1', 0,
-  /* 406 */ 'R', 'C', 'L', '3', '2', 'r', '1', 0,
-  /* 414 */ 'S', 'H', 'L', '3', '2', 'r', '1', 0,
-  /* 422 */ 'R', 'O', 'L', '3', '2', 'r', '1', 0,
-  /* 430 */ 'S', 'A', 'R', '3', '2', 'r', '1', 0,
-  /* 438 */ 'R', 'C', 'R', '3', '2', 'r', '1', 0,
-  /* 446 */ 'S', 'H', 'R', '3', '2', 'r', '1', 0,
-  /* 454 */ 'R', 'O', 'R', '3', '2', 'r', '1', 0,
-  /* 462 */ 'S', 'A', 'L', '6', '4', 'r', '1', 0,
-  /* 470 */ 'R', 'C', 'L', '6', '4', 'r', '1', 0,
-  /* 478 */ 'S', 'H', 'L', '6', '4', 'r', '1', 0,
-  /* 486 */ 'R', 'O', 'L', '6', '4', 'r', '1', 0,
-  /* 494 */ 'S', 'A', 'R', '6', '4', 'r', '1', 0,
-  /* 502 */ 'R', 'C', 'R', '6', '4', 'r', '1', 0,
-  /* 510 */ 'S', 'H', 'R', '6', '4', 'r', '1', 0,
-  /* 518 */ 'R', 'O', 'R', '6', '4', 'r', '1', 0,
-  /* 526 */ 'S', 'A', 'L', '1', '6', 'r', '1', 0,
-  /* 534 */ 'R', 'C', 'L', '1', '6', 'r', '1', 0,
-  /* 542 */ 'S', 'H', 'L', '1', '6', 'r', '1', 0,
-  /* 550 */ 'R', 'O', 'L', '1', '6', 'r', '1', 0,
-  /* 558 */ 'S', 'A', 'R', '1', '6', 'r', '1', 0,
-  /* 566 */ 'R', 'C', 'R', '1', '6', 'r', '1', 0,
-  /* 574 */ 'S', 'H', 'R', '1', '6', 'r', '1', 0,
-  /* 582 */ 'R', 'O', 'R', '1', '6', 'r', '1', 0,
-  /* 590 */ 'S', 'A', 'L', '8', 'r', '1', 0,
-  /* 597 */ 'R', 'C', 'L', '8', 'r', '1', 0,
-  /* 604 */ 'S', 'H', 'L', '8', 'r', '1', 0,
-  /* 611 */ 'R', 'O', 'L', '8', 'r', '1', 0,
-  /* 618 */ 'S', 'A', 'R', '8', 'r', '1', 0,
-  /* 625 */ 'R', 'C', 'R', '8', 'r', '1', 0,
-  /* 632 */ 'S', 'H', 'R', '8', 'r', '1', 0,
-  /* 639 */ 'R', 'O', 'R', '8', 'r', '1', 0,
-  /* 646 */ 'A', 'T', 'O', 'M', 'S', 'U', 'B', '6', '4', '3', '2', 0,
-  /* 658 */ 'A', 'T', 'O', 'M', 'A', 'D', 'D', '6', '4', '3', '2', 0,
-  /* 670 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 682 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', '3', '2', 0,
-  /* 695 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 707 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', '3', '2', 0,
-  /* 720 */ 'A', 'T', 'O', 'M', 'S', 'W', 'A', 'P', '6', '4', '3', '2', 0,
-  /* 733 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 744 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', '3', '2', 0,
-  /* 756 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 768 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', '3', '2', 0,
-  /* 781 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '3', '2', 0,
-  /* 791 */ 'P', 'U', 'S', 'H', 'A', '3', '2', 0,
-  /* 799 */ 'P', 'O', 'P', 'A', '3', '2', 0,
-  /* 806 */ 'V', 'M', 'L', 'O', 'A', 'D', '3', '2', 0,
-  /* 815 */ 'L', 'X', 'A', 'D', 'D', '3', '2', 0,
-  /* 823 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '3', '2', 0,
-  /* 833 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '3', '2', 0,
-  /* 843 */ 'C', 'P', 'U', 'I', 'D', '3', '2', 0,
-  /* 851 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '3', '2', 0,
-  /* 861 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '3', '2', 0,
-  /* 872 */ 'V', 'M', 'S', 'A', 'V', 'E', '3', '2', 0,
-  /* 881 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '3', '2', 0,
-  /* 892 */ 'C', 'M', 'O', 'V', '_', 'V', '1', '6', 'F', '3', '2', 0,
-  /* 904 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '3', '2', 0,
-  /* 915 */ 'P', 'U', 'S', 'H', 'F', '3', '2', 0,
-  /* 923 */ 'P', 'O', 'P', 'F', '3', '2', 0,
-  /* 930 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 0,
-  /* 941 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '3', '2', 0,
-  /* 951 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '3', '2', 0,
-  /* 962 */ 'V', 'M', 'R', 'U', 'N', '3', '2', 0,
-  /* 970 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '3', '2', 0,
-  /* 989 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '3', '2', 0,
-  /* 1000 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '3', '2', 0,
-  /* 1017 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '3', '2', 0,
-  /* 1027 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '3', '2', 0,
-  /* 1037 */ 'A', 'T', 'O', 'M', 'O', 'R', '3', '2', 0,
-  /* 1046 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '3', '2', 0,
-  /* 1056 */ 'S', 'C', 'A', 'S', '3', '2', 0,
-  /* 1063 */ 'P', 'U', 'S', 'H', 'C', 'S', '3', '2', 0,
-  /* 1072 */ 'P', 'U', 'S', 'H', 'D', 'S', '3', '2', 0,
-  /* 1081 */ 'P', 'O', 'P', 'D', 'S', '3', '2', 0,
-  /* 1089 */ 'P', 'U', 'S', 'H', 'E', 'S', '3', '2', 0,
-  /* 1098 */ 'P', 'O', 'P', 'E', 'S', '3', '2', 0,
-  /* 1106 */ 'P', 'U', 'S', 'H', 'F', 'S', '3', '2', 0,
-  /* 1115 */ 'P', 'O', 'P', 'F', 'S', '3', '2', 0,
-  /* 1123 */ 'P', 'U', 'S', 'H', 'G', 'S', '3', '2', 0,
-  /* 1132 */ 'P', 'O', 'P', 'G', 'S', '3', '2', 0,
-  /* 1140 */ 'C', 'M', 'P', 'S', '3', '2', 0,
-  /* 1147 */ 'P', 'U', 'S', 'H', 'S', 'S', '3', '2', 0,
-  /* 1156 */ 'P', 'O', 'P', 'S', 'S', '3', '2', 0,
-  /* 1164 */ 'I', 'R', 'E', 'T', '3', '2', 0,
-  /* 1171 */ 'I', 'N', 'V', 'E', 'P', 'T', '3', '2', 0,
-  /* 1180 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '3', '2', 0,
-  /* 1190 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '3', '2', 0,
-  /* 1201 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '3', '2', 0,
-  /* 1215 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '3', '2', 0,
-  /* 1228 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '3', '2', 0,
-  /* 1241 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '3', '2', 0,
-  /* 1254 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '3', '2', 0,
-  /* 1267 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '3', '2', 0,
-  /* 1279 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '3', '2', 0,
-  /* 1292 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '3', '2', 0,
-  /* 1305 */ 'J', 'E', 'C', 'X', 'Z', '_', '3', '2', 0,
-  /* 1314 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '3', '2', 0,
-  /* 1325 */ 'S', 'B', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 1334 */ 'S', 'U', 'B', '3', '2', 'i', '3', '2', 0,
-  /* 1343 */ 'A', 'D', 'C', '3', '2', 'i', '3', '2', 0,
-  /* 1352 */ 'A', 'D', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 1361 */ 'A', 'N', 'D', '3', '2', 'i', '3', '2', 0,
-  /* 1370 */ 'C', 'M', 'P', '3', '2', 'i', '3', '2', 0,
-  /* 1379 */ 'X', 'O', 'R', '3', '2', 'i', '3', '2', 0,
-  /* 1388 */ 'T', 'E', 'S', 'T', '3', '2', 'i', '3', '2', 0,
-  /* 1398 */ 'S', 'B', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 1407 */ 'S', 'U', 'B', '6', '4', 'i', '3', '2', 0,
-  /* 1416 */ 'A', 'D', 'C', '6', '4', 'i', '3', '2', 0,
-  /* 1425 */ 'A', 'D', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 1434 */ 'A', 'N', 'D', '6', '4', 'i', '3', '2', 0,
-  /* 1443 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '3', '2', 0,
-  /* 1453 */ 'C', 'M', 'P', '6', '4', 'i', '3', '2', 0,
-  /* 1462 */ 'X', 'O', 'R', '6', '4', 'i', '3', '2', 0,
-  /* 1471 */ 'T', 'E', 'S', 'T', '6', '4', 'i', '3', '2', 0,
-  /* 1481 */ 'P', 'U', 'S', 'H', 'i', '3', '2', 0,
-  /* 1489 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1499 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1514 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1524 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1539 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1554 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1564 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1579 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1593 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1604 */ 'M', 'O', 'V', '6', '4', 'm', 'i', '3', '2', 0,
-  /* 1614 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '3', '2', 0,
-  /* 1626 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1636 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1646 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1656 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1666 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1676 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1686 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1696 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1707 */ 'M', 'O', 'V', '6', '4', 'r', 'i', '3', '2', 0,
-  /* 1717 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '3', '2', 0,
-  /* 1729 */ 'C', 'A', 'L', 'L', '6', '4', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 1743 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '3', '2', 0,
-  /* 1755 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '3', '2', 0,
-  /* 1767 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', 0,
-  /* 1777 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '3', '2', 0,
-  /* 1787 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '3', '2', 0,
-  /* 1805 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '3', '2', 0,
-  /* 1822 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 1833 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '3', '2', 0,
-  /* 1849 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '3', '2', 0,
-  /* 1861 */ 'J', 'A', '_', '2', 0,
-  /* 1866 */ 'J', 'B', '_', '2', 0,
-  /* 1871 */ 'J', 'A', 'E', '_', '2', 0,
-  /* 1877 */ 'J', 'B', 'E', '_', '2', 0,
-  /* 1883 */ 'J', 'G', 'E', '_', '2', 0,
-  /* 1889 */ 'J', 'E', '_', '2', 0,
-  /* 1894 */ 'J', 'L', 'E', '_', '2', 0,
-  /* 1900 */ 'J', 'N', 'E', '_', '2', 0,
-  /* 1906 */ 'J', 'G', '_', '2', 0,
-  /* 1911 */ 'J', 'L', '_', '2', 0,
-  /* 1916 */ 'J', 'O', '_', '2', 0,
-  /* 1921 */ 'J', 'N', 'O', '_', '2', 0,
-  /* 1927 */ 'J', 'P', '_', '2', 0,
-  /* 1932 */ 'J', 'M', 'P', '_', '2', 0,
-  /* 1938 */ 'J', 'N', 'P', '_', '2', 0,
-  /* 1944 */ 'J', 'S', '_', '2', 0,
-  /* 1949 */ 'J', 'N', 'S', '_', '2', 0,
-  /* 1955 */ 'I', 'N', 'T', '3', 0,
-  /* 1960 */ 'I', 'N', 'V', 'L', 'P', 'G', 'A', '6', '4', 0,
-  /* 1970 */ 'V', 'M', 'L', 'O', 'A', 'D', '6', '4', 0,
-  /* 1979 */ 'L', 'X', 'A', 'D', 'D', '6', '4', 0,
-  /* 1987 */ 'I', 'N', 'V', 'P', 'C', 'I', 'D', '6', '4', 0,
-  /* 1997 */ 'I', 'N', 'V', 'V', 'P', 'I', 'D', '6', '4', 0,
-  /* 2007 */ 'C', 'P', 'U', 'I', 'D', '6', '4', 0,
-  /* 2015 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '6', '4', 0,
-  /* 2025 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '6', '4', 0,
-  /* 2036 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2047 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2058 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2069 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', '6', '4', 0,
-  /* 2080 */ 'L', 'E', 'A', 'V', 'E', '6', '4', 0,
-  /* 2088 */ 'V', 'M', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 2097 */ 'X', 'S', 'A', 'V', 'E', '6', '4', 0,
-  /* 2105 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'F', '6', '4', 0,
-  /* 2116 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'F', '6', '4', 0,
-  /* 2127 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'F', '6', '4', 0,
-  /* 2138 */ 'P', 'U', 'S', 'H', 'F', '6', '4', 0,
-  /* 2146 */ 'P', 'O', 'P', 'F', '6', '4', 0,
-  /* 2153 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 0,
-  /* 2164 */ 'C', 'M', 'O', 'V', '_', 'V', '2', 'I', '6', '4', 0,
-  /* 2175 */ 'C', 'M', 'O', 'V', '_', 'V', '4', 'I', '6', '4', 0,
-  /* 2186 */ 'C', 'M', 'O', 'V', '_', 'V', '8', 'I', '6', '4', 0,
-  /* 2197 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '6', '4', 0,
-  /* 2207 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '6', '4', 0,
-  /* 2217 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '6', '4', 0,
-  /* 2228 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', '6', '4', 0,
-  /* 2240 */ 'V', 'M', 'R', 'U', 'N', '6', '4', 0,
-  /* 2248 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'D', 'O', 'W', 'N', '6', '4', 0,
-  /* 2267 */ 'C', 'M', 'O', 'V', '_', 'R', 'F', 'P', '6', '4', 0,
-  /* 2278 */ 'F', 'A', 'R', 'J', 'M', 'P', '6', '4', 0,
-  /* 2287 */ 'A', 'D', 'J', 'C', 'A', 'L', 'L', 'S', 'T', 'A', 'C', 'K', 'U', 'P', '6', '4', 0,
-  /* 2304 */ 'C', 'M', 'O', 'V', '_', 'F', 'R', '6', '4', 0,
-  /* 2314 */ 'A', 'T', 'O', 'M', 'O', 'R', '6', '4', 0,
-  /* 2323 */ 'X', 'R', 'S', 'T', 'O', 'R', '6', '4', 0,
-  /* 2332 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '6', '4', 0,
-  /* 2342 */ 'S', 'C', 'A', 'S', '6', '4', 0,
-  /* 2349 */ 'P', 'U', 'S', 'H', 'F', 'S', '6', '4', 0,
-  /* 2358 */ 'P', 'O', 'P', 'F', 'S', '6', '4', 0,
-  /* 2366 */ 'P', 'U', 'S', 'H', 'G', 'S', '6', '4', 0,
-  /* 2375 */ 'P', 'O', 'P', 'G', 'S', '6', '4', 0,
-  /* 2383 */ 'C', 'M', 'P', 'S', '6', '4', 0,
-  /* 2390 */ 'I', 'R', 'E', 'T', '6', '4', 0,
-  /* 2397 */ 'S', 'Y', 'S', 'R', 'E', 'T', '6', '4', 0,
-  /* 2406 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', '6', '4', 0,
-  /* 2416 */ 'I', 'N', 'V', 'E', 'P', 'T', '6', '4', 0,
-  /* 2425 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', '6', '4', 0,
-  /* 2436 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '6', '4', 0,
-  /* 2446 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '6', '4', 0,
-  /* 2457 */ 'S', 'E', 'G', '_', 'A', 'L', 'L', 'O', 'C', 'A', '_', '6', '4', 0,
-  /* 2471 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'B', '_', '6', '4', 0,
-  /* 2484 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'B', '_', '6', '4', 0,
-  /* 2497 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'D', '_', '6', '4', 0,
-  /* 2510 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'D', '_', '6', '4', 0,
-  /* 2523 */ 'V', 'A', 'A', 'R', 'G', '_', '6', '4', 0,
-  /* 2532 */ 'W', 'I', 'N', '_', 'F', 'T', 'O', 'L', '_', '6', '4', 0,
-  /* 2544 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'Q', '_', '6', '4', 0,
-  /* 2557 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'Q', '_', '6', '4', 0,
-  /* 2570 */ 'R', 'E', 'P', '_', 'S', 'T', 'O', 'S', 'W', '_', '6', '4', 0,
-  /* 2583 */ 'R', 'E', 'P', '_', 'M', 'O', 'V', 'S', 'W', '_', '6', '4', 0,
-  /* 2596 */ 'J', 'E', 'C', 'X', 'Z', '_', '6', '4', 0,
-  /* 2605 */ 'T', 'L', 'S', 'C', 'a', 'l', 'l', '_', '6', '4', 0,
-  /* 2616 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', '6', '4', 0,
-  /* 2627 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', '6', '4', 0,
-  /* 2640 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', '6', '4', 0,
-  /* 2653 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '6', '4', 0,
-  /* 2663 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', '6', '4', 0,
-  /* 2676 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', '6', '4', 0,
-  /* 2687 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '6', '4', 0,
-  /* 2697 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'L', 'o', 'n', 'g', 'J', 'm', 'p', '6', '4', 0,
-  /* 2715 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'J', 'm', 'p', '6', '4', 0,
-  /* 2732 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', '6', '4', 0,
-  /* 2743 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', '6', '4', 0,
-  /* 2754 */ 'T', 'L', 'S', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 2765 */ 'T', 'L', 'S', '_', 'b', 'a', 's', 'e', '_', 'a', 'd', 'd', 'r', '6', '4', 0,
-  /* 2781 */ 'J', 'A', '_', '4', 0,
-  /* 2786 */ 'J', 'B', '_', '4', 0,
-  /* 2791 */ 'J', 'A', 'E', '_', '4', 0,
-  /* 2797 */ 'J', 'B', 'E', '_', '4', 0,
-  /* 2803 */ 'J', 'G', 'E', '_', '4', 0,
-  /* 2809 */ 'J', 'E', '_', '4', 0,
-  /* 2814 */ 'J', 'L', 'E', '_', '4', 0,
-  /* 2820 */ 'J', 'N', 'E', '_', '4', 0,
-  /* 2826 */ 'J', 'G', '_', '4', 0,
-  /* 2831 */ 'J', 'L', '_', '4', 0,
-  /* 2836 */ 'J', 'O', '_', '4', 0,
-  /* 2841 */ 'J', 'N', 'O', '_', '4', 0,
-  /* 2847 */ 'J', 'P', '_', '4', 0,
-  /* 2852 */ 'J', 'M', 'P', '_', '4', 0,
-  /* 2858 */ 'J', 'N', 'P', '_', '4', 0,
-  /* 2864 */ 'J', 'S', '_', '4', 0,
-  /* 2869 */ 'J', 'N', 'S', '_', '4', 0,
-  /* 2875 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '4', 0,
-  /* 2887 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '4', 0,
-  /* 2897 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '4', 0,
-  /* 2909 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '4', 0,
-  /* 2919 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '5', 0,
-  /* 2931 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '5', 0,
-  /* 2941 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '5', 0,
-  /* 2953 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '5', 0,
-  /* 2963 */ 'P', 'U', 'S', 'H', 'A', '1', '6', 0,
-  /* 2971 */ 'P', 'O', 'P', 'A', '1', '6', 0,
-  /* 2978 */ 'L', 'X', 'A', 'D', 'D', '1', '6', 0,
-  /* 2986 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '1', '6', 0,
-  /* 2996 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '1', '6', 0,
-  /* 3007 */ 'P', 'U', 'S', 'H', 'F', '1', '6', 0,
-  /* 3015 */ 'P', 'O', 'P', 'F', '1', '6', 0,
-  /* 3022 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 0,
-  /* 3033 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '1', '6', 0,
-  /* 3043 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '1', '6', 0,
-  /* 3054 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '1', '6', 0,
-  /* 3064 */ 'A', 'T', 'O', 'M', 'O', 'R', '1', '6', 0,
-  /* 3073 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '1', '6', 0,
-  /* 3083 */ 'S', 'C', 'A', 'S', '1', '6', 0,
-  /* 3090 */ 'P', 'U', 'S', 'H', 'C', 'S', '1', '6', 0,
-  /* 3099 */ 'P', 'U', 'S', 'H', 'D', 'S', '1', '6', 0,
-  /* 3108 */ 'P', 'O', 'P', 'D', 'S', '1', '6', 0,
-  /* 3116 */ 'P', 'U', 'S', 'H', 'E', 'S', '1', '6', 0,
-  /* 3125 */ 'P', 'O', 'P', 'E', 'S', '1', '6', 0,
-  /* 3133 */ 'P', 'U', 'S', 'H', 'F', 'S', '1', '6', 0,
-  /* 3142 */ 'P', 'O', 'P', 'F', 'S', '1', '6', 0,
-  /* 3150 */ 'P', 'U', 'S', 'H', 'G', 'S', '1', '6', 0,
-  /* 3159 */ 'P', 'O', 'P', 'G', 'S', '1', '6', 0,
-  /* 3167 */ 'C', 'M', 'P', 'S', '1', '6', 0,
-  /* 3174 */ 'P', 'U', 'S', 'H', 'S', 'S', '1', '6', 0,
-  /* 3183 */ 'P', 'O', 'P', 'S', 'S', '1', '6', 0,
-  /* 3191 */ 'I', 'R', 'E', 'T', '1', '6', 0,
-  /* 3198 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '1', '6', 0,
-  /* 3208 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '1', '6', 0,
-  /* 3219 */ 'M', 'O', 'V', '3', '2', 'a', 'o', '3', '2', '_', '1', '6', 0,
-  /* 3232 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', '_', '1', '6', 0,
-  /* 3245 */ 'M', 'O', 'V', '8', 'a', 'o', '8', '_', '1', '6', 0,
-  /* 3256 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', '_', '1', '6', 0,
-  /* 3269 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', '_', '1', '6', 0,
-  /* 3282 */ 'M', 'O', 'V', '8', 'o', '8', 'a', '_', '1', '6', 0,
-  /* 3293 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '1', '6', 0,
-  /* 3303 */ 'S', 'B', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 3312 */ 'S', 'U', 'B', '1', '6', 'i', '1', '6', 0,
-  /* 3321 */ 'A', 'D', 'C', '1', '6', 'i', '1', '6', 0,
-  /* 3330 */ 'A', 'D', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 3339 */ 'A', 'N', 'D', '1', '6', 'i', '1', '6', 0,
-  /* 3348 */ 'C', 'M', 'P', '1', '6', 'i', '1', '6', 0,
-  /* 3357 */ 'X', 'O', 'R', '1', '6', 'i', '1', '6', 0,
-  /* 3366 */ 'T', 'E', 'S', 'T', '1', '6', 'i', '1', '6', 0,
-  /* 3376 */ 'P', 'U', 'S', 'H', 'i', '1', '6', 0,
-  /* 3384 */ 'C', 'A', 'L', 'L', 'p', 'c', 'r', 'e', 'l', '1', '6', 0,
-  /* 3396 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 3408 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '1', '6', 0,
-  /* 3420 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '1', '6', 0,
-  /* 3432 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '1', '6', 0,
-  /* 3442 */ 'M', 'O', 'V', '1', '6', 'a', 'o', '1', '6', 0,
-  /* 3452 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 3464 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '1', '6', 0,
-  /* 3476 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '1', '6', 0,
-  /* 3488 */ 'X', 'S', 'H', 'A', '2', '5', '6', 0,
-  /* 3496 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '6', 0,
-  /* 3508 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '6', 0,
-  /* 3518 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '6', 0,
-  /* 3530 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '6', 0,
-  /* 3540 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'm', '7', 0,
-  /* 3552 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'm', '7', 0,
-  /* 3562 */ 'N', 'O', 'O', 'P', '1', '8', '_', '1', '6', 'r', '7', 0,
-  /* 3574 */ 'N', 'O', 'O', 'P', '1', '8', '_', 'r', '7', 0,
-  /* 3584 */ 'L', 'X', 'A', 'D', 'D', '8', 0,
-  /* 3591 */ 'A', 'T', 'O', 'M', 'A', 'N', 'D', '8', 0,
-  /* 3600 */ 'A', 'T', 'O', 'M', 'N', 'A', 'N', 'D', '8', 0,
-  /* 3610 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 0,
-  /* 3620 */ 'A', 'T', 'O', 'M', 'M', 'I', 'N', '8', 0,
-  /* 3629 */ 'A', 'T', 'O', 'M', 'U', 'M', 'I', 'N', '8', 0,
-  /* 3639 */ 'C', 'M', 'O', 'V', '_', 'G', 'R', '8', 0,
-  /* 3648 */ 'A', 'T', 'O', 'M', 'O', 'R', '8', 0,
-  /* 3656 */ 'A', 'T', 'O', 'M', 'X', 'O', 'R', '8', 0,
-  /* 3665 */ 'S', 'C', 'A', 'S', '8', 0,
-  /* 3671 */ 'C', 'M', 'P', 'S', '8', 0,
-  /* 3677 */ 'A', 'T', 'O', 'M', 'M', 'A', 'X', '8', 0,
-  /* 3686 */ 'A', 'T', 'O', 'M', 'U', 'M', 'A', 'X', '8', 0,
-  /* 3696 */ 'P', 'U', 'S', 'H', '3', '2', 'i', '8', 0,
-  /* 3705 */ 'P', 'U', 'S', 'H', '6', '4', 'i', '8', 0,
-  /* 3714 */ 'P', 'U', 'S', 'H', '1', '6', 'i', '8', 0,
-  /* 3723 */ 'S', 'B', 'B', '8', 'i', '8', 0,
-  /* 3730 */ 'S', 'U', 'B', '8', 'i', '8', 0,
-  /* 3737 */ 'A', 'D', 'C', '8', 'i', '8', 0,
-  /* 3744 */ 'A', 'A', 'D', '8', 'i', '8', 0,
-  /* 3751 */ 'A', 'D', 'D', '8', 'i', '8', 0,
-  /* 3758 */ 'A', 'N', 'D', '8', 'i', '8', 0,
-  /* 3765 */ 'A', 'A', 'M', '8', 'i', '8', 0,
-  /* 3772 */ 'C', 'M', 'P', '8', 'i', '8', 0,
-  /* 3779 */ 'X', 'O', 'R', '8', 'i', '8', 0,
-  /* 3786 */ 'T', 'E', 'S', 'T', '8', 'i', '8', 0,
-  /* 3794 */ 'S', 'B', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 3803 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', '8', 0,
-  /* 3817 */ 'A', 'D', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 3826 */ 'B', 'T', 'C', '3', '2', 'm', 'i', '8', 0,
-  /* 3835 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 3849 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', '8', 0,
-  /* 3863 */ 'C', 'M', 'P', '3', '2', 'm', 'i', '8', 0,
-  /* 3872 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 3886 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 3899 */ 'B', 'T', 'R', '3', '2', 'm', 'i', '8', 0,
-  /* 3908 */ 'B', 'T', 'S', '3', '2', 'm', 'i', '8', 0,
-  /* 3917 */ 'B', 'T', '3', '2', 'm', 'i', '8', 0,
-  /* 3925 */ 'S', 'B', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 3934 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'i', '8', 0,
-  /* 3948 */ 'A', 'D', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 3957 */ 'B', 'T', 'C', '6', '4', 'm', 'i', '8', 0,
-  /* 3966 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 3980 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'i', '8', 0,
-  /* 3994 */ 'C', 'M', 'P', '6', '4', 'm', 'i', '8', 0,
-  /* 4003 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 4017 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 4030 */ 'B', 'T', 'R', '6', '4', 'm', 'i', '8', 0,
-  /* 4039 */ 'B', 'T', 'S', '6', '4', 'm', 'i', '8', 0,
-  /* 4048 */ 'B', 'T', '6', '4', 'm', 'i', '8', 0,
-  /* 4056 */ 'S', 'B', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 4065 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', '8', 0,
-  /* 4079 */ 'A', 'D', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 4088 */ 'B', 'T', 'C', '1', '6', 'm', 'i', '8', 0,
-  /* 4097 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 4111 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', '8', 0,
-  /* 4125 */ 'C', 'M', 'P', '1', '6', 'm', 'i', '8', 0,
-  /* 4134 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 4148 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 4161 */ 'B', 'T', 'R', '1', '6', 'm', 'i', '8', 0,
-  /* 4170 */ 'B', 'T', 'S', '1', '6', 'm', 'i', '8', 0,
-  /* 4179 */ 'B', 'T', '1', '6', 'm', 'i', '8', 0,
-  /* 4187 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', '8', 0,
-  /* 4198 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 'i', '8', 0,
-  /* 4209 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', '8', 0,
-  /* 4220 */ 'S', 'B', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 4229 */ 'S', 'U', 'B', '3', '2', 'r', 'i', '8', 0,
-  /* 4238 */ 'A', 'D', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 4247 */ 'B', 'T', 'C', '3', '2', 'r', 'i', '8', 0,
-  /* 4256 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 4265 */ 'A', 'N', 'D', '3', '2', 'r', 'i', '8', 0,
-  /* 4274 */ 'C', 'M', 'P', '3', '2', 'r', 'i', '8', 0,
-  /* 4283 */ 'X', 'O', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 4292 */ 'B', 'T', 'R', '3', '2', 'r', 'i', '8', 0,
-  /* 4301 */ 'B', 'T', 'S', '3', '2', 'r', 'i', '8', 0,
-  /* 4310 */ 'B', 'T', '3', '2', 'r', 'i', '8', 0,
-  /* 4318 */ 'S', 'B', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 4327 */ 'S', 'U', 'B', '6', '4', 'r', 'i', '8', 0,
-  /* 4336 */ 'A', 'D', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 4345 */ 'B', 'T', 'C', '6', '4', 'r', 'i', '8', 0,
-  /* 4354 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 4363 */ 'A', 'N', 'D', '6', '4', 'r', 'i', '8', 0,
-  /* 4372 */ 'C', 'M', 'P', '6', '4', 'r', 'i', '8', 0,
-  /* 4381 */ 'X', 'O', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 4390 */ 'B', 'T', 'R', '6', '4', 'r', 'i', '8', 0,
-  /* 4399 */ 'B', 'T', 'S', '6', '4', 'r', 'i', '8', 0,
-  /* 4408 */ 'B', 'T', '6', '4', 'r', 'i', '8', 0,
-  /* 4416 */ 'S', 'B', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 4425 */ 'S', 'U', 'B', '1', '6', 'r', 'i', '8', 0,
-  /* 4434 */ 'A', 'D', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 4443 */ 'B', 'T', 'C', '1', '6', 'r', 'i', '8', 0,
-  /* 4452 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 4461 */ 'A', 'N', 'D', '1', '6', 'r', 'i', '8', 0,
-  /* 4470 */ 'C', 'M', 'P', '1', '6', 'r', 'i', '8', 0,
-  /* 4479 */ 'X', 'O', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 4488 */ 'B', 'T', 'R', '1', '6', 'r', 'i', '8', 0,
-  /* 4497 */ 'B', 'T', 'S', '1', '6', 'r', 'i', '8', 0,
-  /* 4506 */ 'B', 'T', '1', '6', 'r', 'i', '8', 0,
-  /* 4514 */ 'S', 'U', 'B', '8', 'r', 'i', '8', 0,
-  /* 4522 */ 'A', 'D', 'D', '8', 'r', 'i', '8', 0,
-  /* 4530 */ 'A', 'N', 'D', '8', 'r', 'i', '8', 0,
-  /* 4538 */ 'X', 'O', 'R', '8', 'r', 'i', '8', 0,
-  /* 4546 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 4557 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'i', '8', 0,
-  /* 4568 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 4579 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'i', '8', 0,
-  /* 4590 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 4601 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'i', '8', 0,
-  /* 4612 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 4623 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 4634 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', '8', 0,
-  /* 4645 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 4656 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 4667 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 'i', '8', 0,
-  /* 4678 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 4689 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 4700 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', '8', 0,
-  /* 4711 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 4722 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'm', '8', 0,
-  /* 4733 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'm', '8', 0,
-  /* 4744 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 4755 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'm', '8', 0,
-  /* 4766 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'm', '8', 0,
-  /* 4783 */ 'M', 'O', 'V', '6', '4', 'a', 'o', '8', 0,
-  /* 4792 */ 'M', 'O', 'V', '8', 'a', 'o', '8', 0,
-  /* 4800 */ 'M', 'O', 'V', 'S', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 4811 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', 'r', 'r', '8', 0,
-  /* 4822 */ 'M', 'O', 'V', 'S', 'X', '6', '4', 'r', 'r', '8', 0,
-  /* 4833 */ 'M', 'O', 'V', 'S', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 4844 */ 'M', 'O', 'V', 'Z', 'X', '1', '6', 'r', 'r', '8', 0,
-  /* 4855 */ 'M', 'O', 'V', 'Z', 'X', '3', '2', '_', 'N', 'O', 'R', 'E', 'X', 'r', 'r', '8', 0,
-  /* 4872 */ 'N', 'O', 'O', 'P', 'L', '_', '1', '9', 0,
-  /* 4881 */ 'N', 'O', 'O', 'P', 'W', '_', '1', '9', 0,
-  /* 4890 */ 'A', 'A', 'A', 0,
-  /* 4894 */ 'D', 'A', 'A', 0,
-  /* 4898 */ 'W', '6', '4', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 4908 */ 'W', 'I', 'N', '_', 'A', 'L', 'L', 'O', 'C', 'A', 0,
-  /* 4919 */ 'U', 'D', '2', 'B', 0,
-  /* 4924 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'B', 0,
-  /* 4936 */ 'L', 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'B', 0,
-  /* 4947 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'E', 'C', 'B', 0,
-  /* 4957 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '3', '2', '_', 'D', 'B', 0,
-  /* 4970 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 4982 */ 'A', 'D', 'D', '6', '4', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 4994 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '8', '_', 'D', 'B', 0,
-  /* 5006 */ 'A', 'D', 'D', '3', '2', 'r', 'i', '_', 'D', 'B', 0,
-  /* 5017 */ 'A', 'D', 'D', '1', '6', 'r', 'i', '_', 'D', 'B', 0,
-  /* 5028 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'D', 'B', 0,
-  /* 5039 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'D', 'B', 0,
-  /* 5050 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'D', 'B', 0,
-  /* 5061 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'F', 'B', 0,
-  /* 5071 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'O', 'F', 'B', 0,
-  /* 5081 */ 'L', 'O', 'D', 'S', 'B', 0,
-  /* 5087 */ 'S', 'T', 'O', 'S', 'B', 0,
-  /* 5093 */ 'O', 'U', 'T', 'S', 'B', 0,
-  /* 5099 */ 'M', 'O', 'V', 'S', 'B', 0,
-  /* 5105 */ 'C', 'L', 'A', 'C', 0,
-  /* 5110 */ 'S', 'T', 'A', 'C', 0,
-  /* 5115 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'B', 'C', 0,
-  /* 5125 */ 'G', 'E', 'T', 'S', 'E', 'C', 0,
-  /* 5132 */ 'S', 'A', 'L', 'C', 0,
-  /* 5137 */ 'C', 'L', 'C', 0,
-  /* 5141 */ 'C', 'M', 'C', 0,
-  /* 5145 */ 'R', 'D', 'P', 'M', 'C', 0,
-  /* 5151 */ 'V', 'M', 'F', 'U', 'N', 'C', 0,
-  /* 5158 */ 'R', 'D', 'T', 'S', 'C', 0,
-  /* 5164 */ 'S', 'T', 'C', 0,
-  /* 5168 */ 'C', 'L', 'D', 0,
-  /* 5172 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'E', 'N', 'D', 0,
-  /* 5185 */ 'S', 'T', 'D', 0,
-  /* 5189 */ 'W', 'B', 'I', 'N', 'V', 'D', 0,
-  /* 5196 */ 'C', 'W', 'D', 0,
-  /* 5200 */ 'R', 'E', 'G', '_', 'S', 'E', 'Q', 'U', 'E', 'N', 'C', 'E', 0,
-  /* 5213 */ 'C', 'W', 'D', 'E', 0,
-  /* 5218 */ 'B', 'U', 'N', 'D', 'L', 'E', 0,
-  /* 5225 */ 'V', 'M', 'R', 'E', 'S', 'U', 'M', 'E', 0,
-  /* 5234 */ 'L', 'O', 'O', 'P', 'N', 'E', 0,
-  /* 5241 */ 'L', 'O', 'O', 'P', 'E', 0,
-  /* 5247 */ 'C', 'D', 'Q', 'E', 0,
-  /* 5252 */ 'X', 'S', 'T', 'O', 'R', 'E', 0,
-  /* 5259 */ 'R', 'D', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5268 */ 'W', 'R', 'F', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5277 */ 'R', 'D', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5286 */ 'W', 'R', 'G', 'S', 'B', 'A', 'S', 'E', 0,
-  /* 5295 */ 'D', 'B', 'G', '_', 'V', 'A', 'L', 'U', 'E', 0,
-  /* 5305 */ 'L', 'E', 'A', 'V', 'E', 0,
-  /* 5311 */ 'X', 'S', 'A', 'V', 'E', 0,
-  /* 5317 */ 'I', 'M', 'P', 'L', 'I', 'C', 'I', 'T', '_', 'D', 'E', 'F', 0,
-  /* 5330 */ 'V', 'M', 'X', 'O', 'F', 'F', 0,
-  /* 5337 */ 'L', 'A', 'H', 'F', 0,
-  /* 5342 */ 'S', 'A', 'H', 'F', 0,
-  /* 5347 */ 'E', 'X', 'T', 'R', 'A', 'C', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 5362 */ 'I', 'N', 'S', 'E', 'R', 'T', '_', 'S', 'U', 'B', 'R', 'E', 'G', 0,
-  /* 5376 */ 'S', 'U', 'B', 'R', 'E', 'G', '_', 'T', 'O', '_', 'R', 'E', 'G', 0,
-  /* 5390 */ 'I', 'N', 'V', 'L', 'P', 'G', 0,
-  /* 5397 */ 'V', 'M', 'L', 'A', 'U', 'N', 'C', 'H', 0,
-  /* 5406 */ 'C', 'L', 'G', 'I', 0,
-  /* 5411 */ 'S', 'T', 'G', 'I', 0,
-  /* 5416 */ 'P', 'H', 'I', 0,
-  /* 5420 */ 'C', 'L', 'I', 0,
-  /* 5424 */ 'S', 'T', 'I', 0,
-  /* 5428 */ 'S', 'A', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5437 */ 'R', 'C', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5446 */ 'S', 'H', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5455 */ 'R', 'O', 'L', '3', '2', 'm', 'C', 'L', 0,
-  /* 5464 */ 'S', 'A', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5473 */ 'R', 'C', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5482 */ 'S', 'H', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5491 */ 'R', 'O', 'R', '3', '2', 'm', 'C', 'L', 0,
-  /* 5500 */ 'S', 'A', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5509 */ 'R', 'C', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5518 */ 'S', 'H', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5527 */ 'R', 'O', 'L', '6', '4', 'm', 'C', 'L', 0,
-  /* 5536 */ 'S', 'A', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5545 */ 'R', 'C', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5554 */ 'S', 'H', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5563 */ 'R', 'O', 'R', '6', '4', 'm', 'C', 'L', 0,
-  /* 5572 */ 'S', 'A', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5581 */ 'R', 'C', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5590 */ 'S', 'H', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5599 */ 'R', 'O', 'L', '1', '6', 'm', 'C', 'L', 0,
-  /* 5608 */ 'S', 'A', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5617 */ 'R', 'C', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5626 */ 'S', 'H', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5635 */ 'R', 'O', 'R', '1', '6', 'm', 'C', 'L', 0,
-  /* 5644 */ 'S', 'A', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5652 */ 'R', 'C', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5660 */ 'S', 'H', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5668 */ 'R', 'O', 'L', '8', 'm', 'C', 'L', 0,
-  /* 5676 */ 'S', 'A', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5684 */ 'R', 'C', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5692 */ 'S', 'H', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5700 */ 'R', 'O', 'R', '8', 'm', 'C', 'L', 0,
-  /* 5708 */ 'S', 'A', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5717 */ 'R', 'C', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5726 */ 'S', 'H', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5735 */ 'R', 'O', 'L', '3', '2', 'r', 'C', 'L', 0,
-  /* 5744 */ 'S', 'A', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5753 */ 'R', 'C', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5762 */ 'S', 'H', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5771 */ 'R', 'O', 'R', '3', '2', 'r', 'C', 'L', 0,
-  /* 5780 */ 'S', 'A', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5789 */ 'R', 'C', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5798 */ 'S', 'H', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5807 */ 'R', 'O', 'L', '6', '4', 'r', 'C', 'L', 0,
-  /* 5816 */ 'S', 'A', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5825 */ 'R', 'C', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5834 */ 'S', 'H', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5843 */ 'R', 'O', 'R', '6', '4', 'r', 'C', 'L', 0,
-  /* 5852 */ 'S', 'A', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5861 */ 'R', 'C', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5870 */ 'S', 'H', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5879 */ 'R', 'O', 'L', '1', '6', 'r', 'C', 'L', 0,
-  /* 5888 */ 'S', 'A', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5897 */ 'R', 'C', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5906 */ 'S', 'H', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5915 */ 'R', 'O', 'R', '1', '6', 'r', 'C', 'L', 0,
-  /* 5924 */ 'S', 'A', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5932 */ 'R', 'C', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5940 */ 'S', 'H', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5948 */ 'R', 'O', 'L', '8', 'r', 'C', 'L', 0,
-  /* 5956 */ 'S', 'A', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5964 */ 'R', 'C', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5972 */ 'S', 'H', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5980 */ 'R', 'O', 'R', '8', 'r', 'C', 'L', 0,
-  /* 5988 */ 'S', 'H', 'L', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 5999 */ 'S', 'H', 'R', 'D', '3', '2', 'm', 'r', 'C', 'L', 0,
-  /* 6010 */ 'S', 'H', 'L', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 6021 */ 'S', 'H', 'R', 'D', '6', '4', 'm', 'r', 'C', 'L', 0,
-  /* 6032 */ 'S', 'H', 'L', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 6043 */ 'S', 'H', 'R', 'D', '1', '6', 'm', 'r', 'C', 'L', 0,
-  /* 6054 */ 'S', 'H', 'L', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 6065 */ 'S', 'H', 'R', 'D', '3', '2', 'r', 'r', 'C', 'L', 0,
-  /* 6076 */ 'S', 'H', 'L', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 6087 */ 'S', 'H', 'R', 'D', '6', '4', 'r', 'r', 'C', 'L', 0,
-  /* 6098 */ 'S', 'H', 'L', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 6109 */ 'S', 'H', 'R', 'D', '1', '6', 'r', 'r', 'C', 'L', 0,
-  /* 6120 */ 'G', 'C', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 6129 */ 'P', 'R', 'O', 'L', 'O', 'G', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 6142 */ 'E', 'H', '_', 'L', 'A', 'B', 'E', 'L', 0,
-  /* 6151 */ 'L', 'R', 'E', 'T', 'I', 'L', 0,
-  /* 6158 */ 'V', 'M', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 6166 */ 'V', 'M', 'C', 'A', 'L', 'L', 0,
-  /* 6173 */ 'S', 'Y', 'S', 'C', 'A', 'L', 'L', 0,
-  /* 6181 */ 'K', 'I', 'L', 'L', 0,
-  /* 6186 */ 'N', 'O', 'O', 'P', 'L', 0,
-  /* 6192 */ 'L', 'O', 'D', 'S', 'L', 0,
-  /* 6198 */ 'S', 'T', 'O', 'S', 'L', 0,
-  /* 6204 */ 'O', 'U', 'T', 'S', 'L', 0,
-  /* 6210 */ 'M', 'O', 'V', 'S', 'L', 0,
-  /* 6216 */ 'L', 'R', 'E', 'T', 'L', 0,
-  /* 6222 */ 'M', 'O', 'N', 'T', 'M', 'U', 'L', 0,
-  /* 6230 */ 'F', 'S', 'E', 'T', 'P', 'M', 0,
-  /* 6237 */ 'I', 'N', 'L', 'I', 'N', 'E', 'A', 'S', 'M', 0,
-  /* 6247 */ 'R', 'S', 'M', 0,
-  /* 6251 */ 'V', 'M', 'X', 'O', 'N', 0,
-  /* 6257 */ 'E', 'H', '_', 'R', 'E', 'T', 'U', 'R', 'N', 0,
-  /* 6267 */ 'C', 'Q', 'O', 0,
-  /* 6271 */ 'I', 'N', 'T', 'O', 0,
-  /* 6276 */ 'S', 'T', 'A', 'C', 'K', 'M', 'A', 'P', 0,
-  /* 6285 */ 'T', 'R', 'A', 'P', 0,
-  /* 6290 */ 'R', 'D', 'T', 'S', 'C', 'P', 0,
-  /* 6297 */ 'L', 'O', 'O', 'P', 0,
-  /* 6302 */ 'N', 'O', 'O', 'P', 0,
-  /* 6307 */ 'C', 'D', 'Q', 0,
-  /* 6311 */ 'L', 'R', 'E', 'T', 'I', 'Q', 0,
-  /* 6318 */ 'L', 'O', 'D', 'S', 'Q', 0,
-  /* 6324 */ 'S', 'T', 'O', 'S', 'Q', 0,
-  /* 6330 */ 'M', 'O', 'V', 'S', 'Q', 0,
-  /* 6336 */ 'L', 'R', 'E', 'T', 'Q', 0,
-  /* 6342 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '1', '6', '_', 'Q', 0,
-  /* 6356 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '1', '6', '_', 'Q', 0,
-  /* 6370 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'm', '8', '_', 'Q', 0,
-  /* 6383 */ 'M', 'O', 'V', 'Z', 'X', '6', '4', 'r', 'r', '8', '_', 'Q', 0,
-  /* 6396 */ 'S', 'Y', 'S', 'E', 'N', 'T', 'E', 'R', 0,
-  /* 6405 */ 'X', 'R', 'S', 'T', 'O', 'R', 0,
-  /* 6412 */ 'R', 'D', 'M', 'S', 'R', 0,
-  /* 6418 */ 'W', 'R', 'M', 'S', 'R', 0,
-  /* 6424 */ 'X', 'C', 'R', 'Y', 'P', 'T', 'C', 'T', 'R', 0,
-  /* 6434 */ 'A', 'A', 'S', 0,
-  /* 6438 */ 'D', 'A', 'S', 0,
-  /* 6442 */ 'V', 'A', 'S', 'T', 'A', 'R', 'T', '_', 'S', 'A', 'V', 'E', '_', 'X', 'M', 'M', '_', 'R', 'E', 'G', 'S', 0,
-  /* 6464 */ 'S', 'W', 'A', 'P', 'G', 'S', 0,
-  /* 6471 */ 'C', 'O', 'P', 'Y', '_', 'T', 'O', '_', 'R', 'E', 'G', 'C', 'L', 'A', 'S', 'S', 0,
-  /* 6488 */ 'C', 'L', 'T', 'S', 0,
-  /* 6493 */ 'X', 'L', 'A', 'T', 0,
-  /* 6498 */ 'S', 'Y', 'S', 'R', 'E', 'T', 0,
-  /* 6505 */ 'M', 'O', 'R', 'E', 'S', 'T', 'A', 'C', 'K', '_', 'R', 'E', 'T', 0,
-  /* 6519 */ 'S', 'K', 'I', 'N', 'I', 'T', 0,
-  /* 6526 */ 'S', 'Y', 'S', 'E', 'X', 'I', 'T', 0,
-  /* 6534 */ 'H', 'L', 'T', 0,
-  /* 6538 */ 'P', 'A', 'T', 'C', 'H', 'P', 'O', 'I', 'N', 'T', 0,
-  /* 6549 */ 'X', 'S', 'A', 'V', 'E', 'O', 'P', 'T', 0,
-  /* 6558 */ 'L', 'I', 'F', 'E', 'T', 'I', 'M', 'E', '_', 'S', 'T', 'A', 'R', 'T', 0,
-  /* 6573 */ 'X', 'G', 'E', 'T', 'B', 'V', 0,
-  /* 6580 */ 'X', 'S', 'E', 'T', 'B', 'V', 0,
-  /* 6587 */ 'S', 'B', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6599 */ 'S', 'U', 'B', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6611 */ 'A', 'D', 'C', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6623 */ 'A', 'D', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6635 */ 'A', 'N', 'D', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6647 */ 'C', 'M', 'P', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6659 */ 'X', 'O', 'R', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6671 */ 'M', 'O', 'V', '3', '2', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6683 */ 'S', 'B', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6695 */ 'S', 'U', 'B', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6707 */ 'A', 'D', 'C', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6719 */ 'A', 'D', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6731 */ 'A', 'N', 'D', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6743 */ 'C', 'M', 'P', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6755 */ 'X', 'O', 'R', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6767 */ 'M', 'O', 'V', '6', '4', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6779 */ 'S', 'B', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6791 */ 'S', 'U', 'B', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6803 */ 'A', 'D', 'C', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6815 */ 'A', 'D', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6827 */ 'A', 'N', 'D', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6839 */ 'C', 'M', 'P', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6851 */ 'X', 'O', 'R', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6863 */ 'M', 'O', 'V', '1', '6', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6875 */ 'S', 'B', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6886 */ 'S', 'U', 'B', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6897 */ 'A', 'D', 'C', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6908 */ 'A', 'D', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6919 */ 'A', 'N', 'D', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6930 */ 'C', 'M', 'P', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6941 */ 'X', 'O', 'R', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6952 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'R', 'E', 'V', 0,
-  /* 6963 */ 'C', 'B', 'W', 0,
-  /* 6967 */ 'L', 'R', 'E', 'T', 'I', 'W', 0,
-  /* 6974 */ 'N', 'O', 'O', 'P', 'W', 0,
-  /* 6980 */ 'L', 'O', 'D', 'S', 'W', 0,
-  /* 6986 */ 'S', 'T', 'O', 'S', 'W', 0,
-  /* 6992 */ 'O', 'U', 'T', 'S', 'W', 0,
-  /* 6998 */ 'M', 'O', 'V', 'S', 'W', 0,
-  /* 7004 */ 'L', 'R', 'E', 'T', 'W', 0,
-  /* 7010 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7024 */ 'M', 'O', 'V', '8', 'r', 'm', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7037 */ 'M', 'O', 'V', '8', 'm', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7050 */ 'M', 'O', 'V', '8', 'r', 'r', '_', 'N', 'O', 'R', 'E', 'X', 0,
-  /* 7063 */ 'R', 'E', 'X', '6', '4', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7076 */ 'D', 'A', 'T', 'A', '1', '6', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7090 */ 'R', 'E', 'P', 'N', 'E', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7103 */ 'L', 'O', 'C', 'K', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7115 */ 'R', 'E', 'P', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7126 */ 'C', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7136 */ 'D', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7146 */ 'E', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7156 */ 'F', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7166 */ 'G', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7176 */ 'S', 'S', '_', 'P', 'R', 'E', 'F', 'I', 'X', 0,
-  /* 7186 */ 'C', 'O', 'P', 'Y', 0,
-  /* 7191 */ 'J', 'C', 'X', 'Z', 0,
-  /* 7196 */ 'J', 'R', 'C', 'X', 'Z', 0,
-  /* 7202 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'a', 0,
-  /* 7211 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'a', 0,
-  /* 7220 */ 'M', 'O', 'V', '3', '2', 'o', '3', '2', 'a', 0,
-  /* 7230 */ 'M', 'O', 'V', '6', '4', 'o', '3', '2', 'a', 0,
-  /* 7240 */ 'M', 'O', 'V', '6', '4', 'o', '6', '4', 'a', 0,
-  /* 7250 */ 'M', 'O', 'V', '6', '4', 'o', '1', '6', 'a', 0,
-  /* 7260 */ 'M', 'O', 'V', '1', '6', 'o', '1', '6', 'a', 0,
-  /* 7270 */ 'M', 'O', 'V', '6', '4', 'o', '8', 'a', 0,
-  /* 7279 */ 'M', 'O', 'V', '8', 'o', '8', 'a', 0,
-  /* 7287 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'b', 0,
-  /* 7296 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'b', 0,
-  /* 7305 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'c', 0,
-  /* 7314 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'c', 0,
-  /* 7323 */ 'M', 'O', 'V', '3', '2', 'r', 'c', 0,
-  /* 7331 */ 'M', 'O', 'V', '6', '4', 'r', 'c', 0,
-  /* 7339 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'd', 0,
-  /* 7348 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'd', 0,
-  /* 7357 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'd', 0,
-  /* 7366 */ 'O', 'R', '3', '2', 'm', 'r', 'L', 'o', 'c', 'k', 'e', 'd', 0,
-  /* 7379 */ 'M', 'O', 'V', '3', '2', 'r', 'd', 0,
-  /* 7387 */ 'M', 'O', 'V', '6', '4', 'r', 'd', 0,
-  /* 7395 */ 'N', 'O', 'O', 'P', 'L', '_', '1', 'e', 0,
-  /* 7404 */ 'N', 'O', 'O', 'P', 'W', '_', '1', 'e', 0,
-  /* 7413 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'i', 0,
-  /* 7424 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'i', 0,
-  /* 7434 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'i', 0,
-  /* 7445 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'i', 0,
-  /* 7455 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'd', 'i', 0,
-  /* 7466 */ 'S', 'B', 'B', '3', '2', 'm', 'i', 0,
-  /* 7474 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'i', 0,
-  /* 7487 */ 'A', 'D', 'C', '3', '2', 'm', 'i', 0,
-  /* 7495 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'i', 0,
-  /* 7508 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'i', 0,
-  /* 7521 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'm', 'i', 0,
-  /* 7532 */ 'S', 'A', 'L', '3', '2', 'm', 'i', 0,
-  /* 7540 */ 'R', 'C', 'L', '3', '2', 'm', 'i', 0,
-  /* 7548 */ 'S', 'H', 'L', '3', '2', 'm', 'i', 0,
-  /* 7556 */ 'R', 'O', 'L', '3', '2', 'm', 'i', 0,
-  /* 7564 */ 'C', 'M', 'P', '3', '2', 'm', 'i', 0,
-  /* 7572 */ 'S', 'A', 'R', '3', '2', 'm', 'i', 0,
-  /* 7580 */ 'R', 'C', 'R', '3', '2', 'm', 'i', 0,
-  /* 7588 */ 'S', 'H', 'R', '3', '2', 'm', 'i', 0,
-  /* 7596 */ 'R', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7604 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7617 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'i', 0,
-  /* 7629 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', 0,
-  /* 7638 */ 'M', 'O', 'V', '3', '2', 'm', 'i', 0,
-  /* 7646 */ 'R', 'O', 'R', 'X', '3', '2', 'm', 'i', 0,
-  /* 7655 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'm', 'i', 0,
-  /* 7666 */ 'S', 'A', 'L', '6', '4', 'm', 'i', 0,
-  /* 7674 */ 'R', 'C', 'L', '6', '4', 'm', 'i', 0,
-  /* 7682 */ 'S', 'H', 'L', '6', '4', 'm', 'i', 0,
-  /* 7690 */ 'R', 'O', 'L', '6', '4', 'm', 'i', 0,
-  /* 7698 */ 'S', 'A', 'R', '6', '4', 'm', 'i', 0,
-  /* 7706 */ 'R', 'C', 'R', '6', '4', 'm', 'i', 0,
-  /* 7714 */ 'S', 'H', 'R', '6', '4', 'm', 'i', 0,
-  /* 7722 */ 'R', 'O', 'R', '6', '4', 'm', 'i', 0,
-  /* 7730 */ 'R', 'O', 'R', 'X', '6', '4', 'm', 'i', 0,
-  /* 7739 */ 'S', 'B', 'B', '1', '6', 'm', 'i', 0,
-  /* 7747 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'i', 0,
-  /* 7760 */ 'A', 'D', 'C', '1', '6', 'm', 'i', 0,
-  /* 7768 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'i', 0,
-  /* 7781 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'i', 0,
-  /* 7794 */ 'S', 'A', 'L', '1', '6', 'm', 'i', 0,
-  /* 7802 */ 'R', 'C', 'L', '1', '6', 'm', 'i', 0,
-  /* 7810 */ 'S', 'H', 'L', '1', '6', 'm', 'i', 0,
-  /* 7818 */ 'R', 'O', 'L', '1', '6', 'm', 'i', 0,
-  /* 7826 */ 'C', 'M', 'P', '1', '6', 'm', 'i', 0,
-  /* 7834 */ 'S', 'A', 'R', '1', '6', 'm', 'i', 0,
-  /* 7842 */ 'R', 'C', 'R', '1', '6', 'm', 'i', 0,
-  /* 7850 */ 'S', 'H', 'R', '1', '6', 'm', 'i', 0,
-  /* 7858 */ 'R', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7866 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7879 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'i', 0,
-  /* 7891 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', 0,
-  /* 7900 */ 'M', 'O', 'V', '1', '6', 'm', 'i', 0,
-  /* 7908 */ 'S', 'B', 'B', '8', 'm', 'i', 0,
-  /* 7915 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'i', 0,
-  /* 7927 */ 'A', 'D', 'C', '8', 'm', 'i', 0,
-  /* 7934 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'i', 0,
-  /* 7946 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'i', 0,
-  /* 7958 */ 'S', 'A', 'L', '8', 'm', 'i', 0,
-  /* 7965 */ 'R', 'C', 'L', '8', 'm', 'i', 0,
-  /* 7972 */ 'S', 'H', 'L', '8', 'm', 'i', 0,
-  /* 7979 */ 'R', 'O', 'L', '8', 'm', 'i', 0,
-  /* 7986 */ 'C', 'M', 'P', '8', 'm', 'i', 0,
-  /* 7993 */ 'S', 'A', 'R', '8', 'm', 'i', 0,
-  /* 8000 */ 'R', 'C', 'R', '8', 'm', 'i', 0,
-  /* 8007 */ 'S', 'H', 'R', '8', 'm', 'i', 0,
-  /* 8014 */ 'R', 'O', 'R', '8', 'm', 'i', 0,
-  /* 8021 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'i', 0,
-  /* 8033 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'i', 0,
-  /* 8044 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', 0,
-  /* 8052 */ 'M', 'O', 'V', '8', 'm', 'i', 0,
-  /* 8059 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'm', 'i', 0,
-  /* 8070 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 'i', 0,
-  /* 8080 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 'i', 0,
-  /* 8090 */ 'S', 'B', 'B', '3', '2', 'r', 'i', 0,
-  /* 8098 */ 'S', 'U', 'B', '3', '2', 'r', 'i', 0,
-  /* 8106 */ 'A', 'D', 'C', '3', '2', 'r', 'i', 0,
-  /* 8114 */ 'A', 'D', 'D', '3', '2', 'r', 'i', 0,
-  /* 8122 */ 'A', 'N', 'D', '3', '2', 'r', 'i', 0,
-  /* 8130 */ 'B', 'E', 'X', 'T', 'R', 'I', '3', '2', 'r', 'i', 0,
-  /* 8141 */ 'S', 'A', 'L', '3', '2', 'r', 'i', 0,
-  /* 8149 */ 'R', 'C', 'L', '3', '2', 'r', 'i', 0,
-  /* 8157 */ 'S', 'H', 'L', '3', '2', 'r', 'i', 0,
-  /* 8165 */ 'R', 'O', 'L', '3', '2', 'r', 'i', 0,
-  /* 8173 */ 'I', 'N', '3', '2', 'r', 'i', 0,
-  /* 8180 */ 'C', 'M', 'P', '3', '2', 'r', 'i', 0,
-  /* 8188 */ 'S', 'A', 'R', '3', '2', 'r', 'i', 0,
-  /* 8196 */ 'R', 'C', 'R', '3', '2', 'r', 'i', 0,
-  /* 8204 */ 'S', 'H', 'R', '3', '2', 'r', 'i', 0,
-  /* 8212 */ 'R', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 8220 */ 'X', 'O', 'R', '3', '2', 'r', 'i', 0,
-  /* 8228 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', 0,
-  /* 8237 */ 'M', 'O', 'V', '3', '2', 'r', 'i', 0,
-  /* 8245 */ 'R', 'O', 'R', 'X', '3', '2', 'r', 'i', 0,
-  /* 8254 */ 'B', 'E', 'X', 'T', 'R', 'I', '6', '4', 'r', 'i', 0,
-  /* 8265 */ 'S', 'A', 'L', '6', '4', 'r', 'i', 0,
-  /* 8273 */ 'R', 'C', 'L', '6', '4', 'r', 'i', 0,
-  /* 8281 */ 'S', 'H', 'L', '6', '4', 'r', 'i', 0,
-  /* 8289 */ 'R', 'O', 'L', '6', '4', 'r', 'i', 0,
-  /* 8297 */ 'S', 'A', 'R', '6', '4', 'r', 'i', 0,
-  /* 8305 */ 'R', 'C', 'R', '6', '4', 'r', 'i', 0,
-  /* 8313 */ 'S', 'H', 'R', '6', '4', 'r', 'i', 0,
-  /* 8321 */ 'R', 'O', 'R', '6', '4', 'r', 'i', 0,
-  /* 8329 */ 'M', 'O', 'V', '6', '4', 'r', 'i', 0,
-  /* 8337 */ 'R', 'O', 'R', 'X', '6', '4', 'r', 'i', 0,
-  /* 8346 */ 'S', 'B', 'B', '1', '6', 'r', 'i', 0,
-  /* 8354 */ 'S', 'U', 'B', '1', '6', 'r', 'i', 0,
-  /* 8362 */ 'A', 'D', 'C', '1', '6', 'r', 'i', 0,
-  /* 8370 */ 'A', 'D', 'D', '1', '6', 'r', 'i', 0,
-  /* 8378 */ 'A', 'N', 'D', '1', '6', 'r', 'i', 0,
-  /* 8386 */ 'S', 'A', 'L', '1', '6', 'r', 'i', 0,
-  /* 8394 */ 'R', 'C', 'L', '1', '6', 'r', 'i', 0,
-  /* 8402 */ 'S', 'H', 'L', '1', '6', 'r', 'i', 0,
-  /* 8410 */ 'R', 'O', 'L', '1', '6', 'r', 'i', 0,
-  /* 8418 */ 'I', 'N', '1', '6', 'r', 'i', 0,
-  /* 8425 */ 'C', 'M', 'P', '1', '6', 'r', 'i', 0,
-  /* 8433 */ 'S', 'A', 'R', '1', '6', 'r', 'i', 0,
-  /* 8441 */ 'R', 'C', 'R', '1', '6', 'r', 'i', 0,
-  /* 8449 */ 'S', 'H', 'R', '1', '6', 'r', 'i', 0,
-  /* 8457 */ 'R', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 8465 */ 'X', 'O', 'R', '1', '6', 'r', 'i', 0,
-  /* 8473 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', 0,
-  /* 8482 */ 'M', 'O', 'V', '1', '6', 'r', 'i', 0,
-  /* 8490 */ 'S', 'B', 'B', '8', 'r', 'i', 0,
-  /* 8497 */ 'S', 'U', 'B', '8', 'r', 'i', 0,
-  /* 8504 */ 'A', 'D', 'C', '8', 'r', 'i', 0,
-  /* 8511 */ 'A', 'D', 'D', '8', 'r', 'i', 0,
-  /* 8518 */ 'A', 'N', 'D', '8', 'r', 'i', 0,
-  /* 8525 */ 'S', 'A', 'L', '8', 'r', 'i', 0,
-  /* 8532 */ 'R', 'C', 'L', '8', 'r', 'i', 0,
-  /* 8539 */ 'S', 'H', 'L', '8', 'r', 'i', 0,
-  /* 8546 */ 'R', 'O', 'L', '8', 'r', 'i', 0,
-  /* 8553 */ 'I', 'N', '8', 'r', 'i', 0,
-  /* 8559 */ 'C', 'M', 'P', '8', 'r', 'i', 0,
-  /* 8566 */ 'S', 'A', 'R', '8', 'r', 'i', 0,
-  /* 8573 */ 'R', 'C', 'R', '8', 'r', 'i', 0,
-  /* 8580 */ 'S', 'H', 'R', '8', 'r', 'i', 0,
-  /* 8587 */ 'R', 'O', 'R', '8', 'r', 'i', 0,
-  /* 8594 */ 'X', 'O', 'R', '8', 'r', 'i', 0,
-  /* 8601 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', 0,
-  /* 8609 */ 'M', 'O', 'V', '8', 'r', 'i', 0,
-  /* 8616 */ 'T', 'C', 'R', 'E', 'T', 'U', 'R', 'N', 'r', 'i', 0,
-  /* 8627 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 'i', 0,
-  /* 8637 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 'i', 0,
-  /* 8647 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '3', '2', 'm', 0,
-  /* 8659 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '3', '2', 'm', 0,
-  /* 8671 */ 'N', 'E', 'G', '3', '2', 'm', 0,
-  /* 8678 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '3', '2', 'm', 0,
-  /* 8689 */ 'I', 'M', 'U', 'L', '3', '2', 'm', 0,
-  /* 8697 */ 'F', 'A', 'R', 'J', 'M', 'P', '3', '2', 'm', 0,
-  /* 8707 */ 'L', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 8715 */ 'S', 'G', 'D', 'T', '3', '2', 'm', 0,
-  /* 8723 */ 'L', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 8731 */ 'S', 'I', 'D', 'T', '3', '2', 'm', 0,
-  /* 8739 */ 'N', 'O', 'T', '3', '2', 'm', 0,
-  /* 8746 */ 'I', 'D', 'I', 'V', '3', '2', 'm', 0,
-  /* 8754 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 8764 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'm', 0,
-  /* 8774 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '6', '4', 'm', 0,
-  /* 8786 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '6', '4', 'm', 0,
-  /* 8798 */ 'N', 'E', 'G', '6', '4', 'm', 0,
-  /* 8805 */ 'C', 'A', 'L', 'L', '6', '4', 'm', 0,
-  /* 8813 */ 'I', 'M', 'U', 'L', '6', '4', 'm', 0,
-  /* 8821 */ 'J', 'M', 'P', '6', '4', 'm', 0,
-  /* 8828 */ 'L', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 8836 */ 'S', 'G', 'D', 'T', '6', '4', 'm', 0,
-  /* 8844 */ 'L', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 8852 */ 'S', 'I', 'D', 'T', '6', '4', 'm', 0,
-  /* 8860 */ 'S', 'L', 'D', 'T', '6', '4', 'm', 0,
-  /* 8868 */ 'N', 'O', 'T', '6', '4', 'm', 0,
-  /* 8875 */ 'I', 'D', 'I', 'V', '6', '4', 'm', 0,
-  /* 8883 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '1', '6', 'm', 0,
-  /* 8895 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '1', '6', 'm', 0,
-  /* 8907 */ 'N', 'E', 'G', '1', '6', 'm', 0,
-  /* 8914 */ 'F', 'A', 'R', 'C', 'A', 'L', 'L', '1', '6', 'm', 0,
-  /* 8925 */ 'I', 'M', 'U', 'L', '1', '6', 'm', 0,
-  /* 8933 */ 'F', 'A', 'R', 'J', 'M', 'P', '1', '6', 'm', 0,
-  /* 8943 */ 'L', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 8951 */ 'S', 'G', 'D', 'T', '1', '6', 'm', 0,
-  /* 8959 */ 'L', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 8967 */ 'S', 'I', 'D', 'T', '1', '6', 'm', 0,
-  /* 8975 */ 'L', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 8983 */ 'S', 'L', 'D', 'T', '1', '6', 'm', 0,
-  /* 8991 */ 'N', 'O', 'T', '1', '6', 'm', 0,
-  /* 8998 */ 'I', 'D', 'I', 'V', '1', '6', 'm', 0,
-  /* 9006 */ 'L', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 9014 */ 'S', 'M', 'S', 'W', '1', '6', 'm', 0,
-  /* 9022 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 9032 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'm', 0,
-  /* 9042 */ 'L', 'O', 'C', 'K', '_', 'D', 'E', 'C', '8', 'm', 0,
-  /* 9053 */ 'L', 'O', 'C', 'K', '_', 'I', 'N', 'C', '8', 'm', 0,
-  /* 9064 */ 'N', 'E', 'G', '8', 'm', 0,
-  /* 9070 */ 'I', 'M', 'U', 'L', '8', 'm', 0,
-  /* 9077 */ 'N', 'O', 'T', '8', 'm', 0,
-  /* 9083 */ 'I', 'D', 'I', 'V', '8', 'm', 0,
-  /* 9090 */ 'S', 'E', 'T', 'A', 'm', 0,
-  /* 9096 */ 'S', 'E', 'T', 'B', 'm', 0,
-  /* 9102 */ 'V', 'M', 'P', 'T', 'R', 'L', 'D', 'm', 0,
-  /* 9111 */ 'S', 'E', 'T', 'A', 'E', 'm', 0,
-  /* 9118 */ 'S', 'E', 'T', 'B', 'E', 'm', 0,
-  /* 9125 */ 'S', 'E', 'T', 'G', 'E', 'm', 0,
-  /* 9132 */ 'S', 'E', 'T', 'L', 'E', 'm', 0,
-  /* 9139 */ 'S', 'E', 'T', 'N', 'E', 'm', 0,
-  /* 9146 */ 'S', 'E', 'T', 'E', 'm', 0,
-  /* 9152 */ 'S', 'E', 'T', 'G', 'm', 0,
-  /* 9158 */ 'S', 'E', 'T', 'L', 'm', 0,
-  /* 9164 */ 'S', 'E', 'T', 'N', 'O', 'm', 0,
-  /* 9171 */ 'S', 'E', 'T', 'O', 'm', 0,
-  /* 9177 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'm', 0,
-  /* 9186 */ 'S', 'E', 'T', 'N', 'P', 'm', 0,
-  /* 9193 */ 'S', 'E', 'T', 'P', 'm', 0,
-  /* 9199 */ 'V', 'M', 'C', 'L', 'E', 'A', 'R', 'm', 0,
-  /* 9208 */ 'V', 'E', 'R', 'R', 'm', 0,
-  /* 9214 */ 'L', 'T', 'R', 'm', 0,
-  /* 9219 */ 'S', 'T', 'R', 'm', 0,
-  /* 9224 */ 'S', 'E', 'T', 'N', 'S', 'm', 0,
-  /* 9231 */ 'S', 'E', 'T', 'S', 'm', 0,
-  /* 9237 */ 'V', 'M', 'P', 'T', 'R', 'S', 'T', 'm', 0,
-  /* 9246 */ 'V', 'E', 'R', 'W', 'm', 0,
-  /* 9252 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'm', 0,
-  /* 9262 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'm', 0,
-  /* 9271 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'm', 0,
-  /* 9281 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'm', 0,
-  /* 9290 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'm', 0,
-  /* 9300 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'm', 0,
-  /* 9309 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'm', 0,
-  /* 9319 */ 'S', 'B', 'B', '3', '2', 'r', 'm', 0,
-  /* 9327 */ 'S', 'U', 'B', '3', '2', 'r', 'm', 0,
-  /* 9335 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'm', 0,
-  /* 9345 */ 'A', 'D', 'C', '3', '2', 'r', 'm', 0,
-  /* 9353 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 9363 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'm', 0,
-  /* 9373 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'm', 0,
-  /* 9384 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'm', 0,
-  /* 9395 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'm', 0,
-  /* 9404 */ 'A', 'N', 'D', '3', '2', 'r', 'm', 0,
-  /* 9412 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'm', 0,
-  /* 9423 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'm', 0,
-  /* 9434 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'm', 0,
-  /* 9445 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'm', 0,
-  /* 9456 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'm', 0,
-  /* 9467 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'm', 0,
-  /* 9479 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'm', 0,
-  /* 9489 */ 'B', 'S', 'F', '3', '2', 'r', 'm', 0,
-  /* 9497 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'm', 0,
-  /* 9509 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'm', 0,
-  /* 9519 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'm', 0,
-  /* 9528 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'm', 0,
-  /* 9537 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'm', 0,
-  /* 9546 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9557 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9568 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'm', 0,
-  /* 9578 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 9590 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'm', 0,
-  /* 9602 */ 'L', 'S', 'L', '3', '2', 'r', 'm', 0,
-  /* 9610 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'm', 0,
-  /* 9619 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'm', 0,
-  /* 9629 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'm', 0,
-  /* 9638 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'm', 0,
-  /* 9649 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'm', 0,
-  /* 9659 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'm', 0,
-  /* 9668 */ 'C', 'M', 'P', '3', '2', 'r', 'm', 0,
-  /* 9676 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'm', 0,
-  /* 9687 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'm', 0,
-  /* 9697 */ 'L', 'A', 'R', '3', '2', 'r', 'm', 0,
-  /* 9705 */ 'X', 'O', 'R', '3', '2', 'r', 'm', 0,
-  /* 9713 */ 'B', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 9721 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'm', 0,
-  /* 9730 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'm', 0,
-  /* 9740 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'm', 0,
-  /* 9749 */ 'L', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 9757 */ 'B', 'O', 'U', 'N', 'D', 'S', '3', '2', 'r', 'm', 0,
-  /* 9768 */ 'L', 'E', 'S', '3', '2', 'r', 'm', 0,
-  /* 9776 */ 'L', 'F', 'S', '3', '2', 'r', 'm', 0,
-  /* 9784 */ 'L', 'G', 'S', '3', '2', 'r', 'm', 0,
-  /* 9792 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'm', 0,
-  /* 9803 */ 'L', 'S', 'S', '3', '2', 'r', 'm', 0,
-  /* 9811 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'm', 0,
-  /* 9821 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 9831 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'm', 0,
-  /* 9841 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'm', 0,
-  /* 9850 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'm', 0,
-  /* 9859 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '3', '2', 'r', 'm', 0,
-  /* 9875 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'm', 0,
-  /* 9884 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 9893 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'm', 0,
-  /* 9902 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'm', 0,
-  /* 9911 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 9920 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'm', 0,
-  /* 9929 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'm', 0,
-  /* 9939 */ 'S', 'B', 'B', '6', '4', 'r', 'm', 0,
-  /* 9947 */ 'S', 'U', 'B', '6', '4', 'r', 'm', 0,
-  /* 9955 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'm', 0,
-  /* 9965 */ 'A', 'D', 'C', '6', '4', 'r', 'm', 0,
-  /* 9973 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 9983 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'm', 0,
-  /* 9993 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'm', 0,
-  /* 10004 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'm', 0,
-  /* 10015 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'm', 0,
-  /* 10024 */ 'A', 'N', 'D', '6', '4', 'r', 'm', 0,
-  /* 10032 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'm', 0,
-  /* 10043 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'm', 0,
-  /* 10054 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'm', 0,
-  /* 10065 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'm', 0,
-  /* 10076 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'm', 0,
-  /* 10087 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'm', 0,
-  /* 10099 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'm', 0,
-  /* 10109 */ 'B', 'S', 'F', '6', '4', 'r', 'm', 0,
-  /* 10117 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'm', 0,
-  /* 10129 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'm', 0,
-  /* 10139 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'm', 0,
-  /* 10148 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'm', 0,
-  /* 10157 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'm', 0,
-  /* 10166 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 10177 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 10188 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'm', 0,
-  /* 10198 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 10210 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'm', 0,
-  /* 10222 */ 'L', 'S', 'L', '6', '4', 'r', 'm', 0,
-  /* 10230 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'm', 0,
-  /* 10239 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'm', 0,
-  /* 10249 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'm', 0,
-  /* 10258 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'm', 0,
-  /* 10269 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'm', 0,
-  /* 10279 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'm', 0,
-  /* 10288 */ 'C', 'M', 'P', '6', '4', 'r', 'm', 0,
-  /* 10296 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'm', 0,
-  /* 10307 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'm', 0,
-  /* 10317 */ 'L', 'A', 'R', '6', '4', 'r', 'm', 0,
-  /* 10325 */ 'X', 'O', 'R', '6', '4', 'r', 'm', 0,
-  /* 10333 */ 'B', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 10341 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'm', 0,
-  /* 10350 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'm', 0,
-  /* 10360 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'm', 0,
-  /* 10369 */ 'L', 'F', 'S', '6', '4', 'r', 'm', 0,
-  /* 10377 */ 'L', 'G', 'S', '6', '4', 'r', 'm', 0,
-  /* 10385 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'm', 0,
-  /* 10396 */ 'L', 'S', 'S', '6', '4', 'r', 'm', 0,
-  /* 10404 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'm', 0,
-  /* 10414 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 10424 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'm', 0,
-  /* 10434 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'm', 0,
-  /* 10443 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'm', 0,
-  /* 10452 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '6', '4', 'r', 'm', 0,
-  /* 10468 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'm', 0,
-  /* 10477 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 10486 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'm', 0,
-  /* 10495 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'm', 0,
-  /* 10504 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 10513 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'm', 0,
-  /* 10522 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'm', 0,
-  /* 10532 */ 'S', 'B', 'B', '1', '6', 'r', 'm', 0,
-  /* 10540 */ 'S', 'U', 'B', '1', '6', 'r', 'm', 0,
-  /* 10548 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'm', 0,
-  /* 10558 */ 'A', 'D', 'C', '1', '6', 'r', 'm', 0,
-  /* 10566 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'm', 0,
-  /* 10575 */ 'A', 'N', 'D', '1', '6', 'r', 'm', 0,
-  /* 10583 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'm', 0,
-  /* 10594 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'm', 0,
-  /* 10605 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'm', 0,
-  /* 10616 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'm', 0,
-  /* 10627 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'm', 0,
-  /* 10638 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'm', 0,
-  /* 10648 */ 'B', 'S', 'F', '1', '6', 'r', 'm', 0,
-  /* 10656 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'm', 0,
-  /* 10668 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'm', 0,
-  /* 10678 */ 'L', 'S', 'L', '1', '6', 'r', 'm', 0,
-  /* 10686 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'm', 0,
-  /* 10695 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'm', 0,
-  /* 10705 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'm', 0,
-  /* 10716 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'm', 0,
-  /* 10726 */ 'C', 'M', 'P', '1', '6', 'r', 'm', 0,
-  /* 10734 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'm', 0,
-  /* 10745 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'm', 0,
-  /* 10755 */ 'L', 'A', 'R', '1', '6', 'r', 'm', 0,
-  /* 10763 */ 'X', 'O', 'R', '1', '6', 'r', 'm', 0,
-  /* 10771 */ 'B', 'S', 'R', '1', '6', 'r', 'm', 0,
-  /* 10779 */ 'L', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 10787 */ 'B', 'O', 'U', 'N', 'D', 'S', '1', '6', 'r', 'm', 0,
-  /* 10798 */ 'L', 'E', 'S', '1', '6', 'r', 'm', 0,
-  /* 10806 */ 'L', 'F', 'S', '1', '6', 'r', 'm', 0,
-  /* 10814 */ 'L', 'G', 'S', '1', '6', 'r', 'm', 0,
-  /* 10822 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'm', 0,
-  /* 10833 */ 'L', 'S', 'S', '1', '6', 'r', 'm', 0,
-  /* 10841 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'm', 0,
-  /* 10851 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 10861 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'm', 0,
-  /* 10871 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'm', 0,
-  /* 10880 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '1', '6', 'r', 'm', 0,
-  /* 10896 */ 'S', 'B', 'B', '8', 'r', 'm', 0,
-  /* 10903 */ 'S', 'U', 'B', '8', 'r', 'm', 0,
-  /* 10910 */ 'A', 'D', 'C', '8', 'r', 'm', 0,
-  /* 10917 */ 'X', 'A', 'D', 'D', '8', 'r', 'm', 0,
-  /* 10925 */ 'A', 'N', 'D', '8', 'r', 'm', 0,
-  /* 10932 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'm', 0,
-  /* 10943 */ 'C', 'M', 'P', '8', 'r', 'm', 0,
-  /* 10950 */ 'X', 'O', 'R', '8', 'r', 'm', 0,
-  /* 10957 */ 'T', 'E', 'S', 'T', '8', 'r', 'm', 0,
-  /* 10965 */ 'A', 'C', 'Q', 'U', 'I', 'R', 'E', '_', 'M', 'O', 'V', '8', 'r', 'm', 0,
-  /* 10980 */ 'M', 'O', 'V', '3', '2', 's', 'm', 0,
-  /* 10988 */ 'M', 'O', 'V', '6', '4', 's', 'm', 0,
-  /* 10996 */ 'M', 'O', 'V', '1', '6', 's', 'm', 0,
-  /* 11004 */ 'E', 'H', '_', 'S', 'j', 'L', 'j', '_', 'S', 'e', 't', 'u', 'p', 0,
-  /* 11018 */ 'L', 'E', 'A', '3', '2', 'r', 0,
-  /* 11025 */ 'D', 'E', 'C', '3', '2', 'r', 0,
-  /* 11032 */ 'I', 'N', 'C', '3', '2', 'r', 0,
-  /* 11039 */ 'M', 'O', 'V', 'P', 'C', '3', '2', 'r', 0,
-  /* 11048 */ 'S', 'E', 'T', 'B', '_', 'C', '3', '2', 'r', 0,
-  /* 11058 */ 'R', 'D', 'S', 'E', 'E', 'D', '3', '2', 'r', 0,
-  /* 11068 */ 'R', 'D', 'R', 'A', 'N', 'D', '3', '2', 'r', 0,
-  /* 11078 */ 'N', 'E', 'G', '3', '2', 'r', 0,
-  /* 11085 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 0,
-  /* 11093 */ 'C', 'A', 'L', 'L', '3', '2', 'r', 0,
-  /* 11101 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 0,
-  /* 11109 */ 'B', 'S', 'W', 'A', 'P', '3', '2', 'r', 0,
-  /* 11118 */ 'J', 'M', 'P', '3', '2', 'r', 0,
-  /* 11125 */ 'P', 'O', 'P', '3', '2', 'r', 0,
-  /* 11132 */ 'S', 'T', 'R', '3', '2', 'r', 0,
-  /* 11139 */ 'S', 'L', 'D', 'T', '3', '2', 'r', 0,
-  /* 11147 */ 'N', 'O', 'T', '3', '2', 'r', 0,
-  /* 11154 */ 'I', 'D', 'I', 'V', '3', '2', 'r', 0,
-  /* 11162 */ 'S', 'M', 'S', 'W', '3', '2', 'r', 0,
-  /* 11170 */ 'D', 'E', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 11180 */ 'I', 'N', 'C', '3', '2', '_', '3', '2', 'r', 0,
-  /* 11190 */ 'L', 'E', 'A', '6', '4', '_', '3', '2', 'r', 0,
-  /* 11200 */ 'D', 'E', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 11210 */ 'I', 'N', 'C', '6', '4', '_', '3', '2', 'r', 0,
-  /* 11220 */ 'L', 'E', 'A', '6', '4', 'r', 0,
-  /* 11227 */ 'D', 'E', 'C', '6', '4', 'r', 0,
-  /* 11234 */ 'I', 'N', 'C', '6', '4', 'r', 0,
-  /* 11241 */ 'S', 'E', 'T', 'B', '_', 'C', '6', '4', 'r', 0,
-  /* 11251 */ 'R', 'D', 'S', 'E', 'E', 'D', '6', '4', 'r', 0,
-  /* 11261 */ 'R', 'D', 'R', 'A', 'N', 'D', '6', '4', 'r', 0,
-  /* 11271 */ 'N', 'E', 'G', '6', '4', 'r', 0,
-  /* 11278 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 0,
-  /* 11286 */ 'C', 'A', 'L', 'L', '6', '4', 'r', 0,
-  /* 11294 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 0,
-  /* 11302 */ 'B', 'S', 'W', 'A', 'P', '6', '4', 'r', 0,
-  /* 11311 */ 'J', 'M', 'P', '6', '4', 'r', 0,
-  /* 11318 */ 'P', 'O', 'P', '6', '4', 'r', 0,
-  /* 11325 */ 'S', 'T', 'R', '6', '4', 'r', 0,
-  /* 11332 */ 'S', 'L', 'D', 'T', '6', '4', 'r', 0,
-  /* 11340 */ 'N', 'O', 'T', '6', '4', 'r', 0,
-  /* 11347 */ 'I', 'D', 'I', 'V', '6', '4', 'r', 0,
-  /* 11355 */ 'S', 'M', 'S', 'W', '6', '4', 'r', 0,
-  /* 11363 */ 'L', 'E', 'A', '1', '6', 'r', 0,
-  /* 11370 */ 'D', 'E', 'C', '1', '6', 'r', 0,
-  /* 11377 */ 'I', 'N', 'C', '1', '6', 'r', 0,
-  /* 11384 */ 'S', 'E', 'T', 'B', '_', 'C', '1', '6', 'r', 0,
-  /* 11394 */ 'R', 'D', 'S', 'E', 'E', 'D', '1', '6', 'r', 0,
-  /* 11404 */ 'R', 'D', 'R', 'A', 'N', 'D', '1', '6', 'r', 0,
-  /* 11414 */ 'N', 'E', 'G', '1', '6', 'r', 0,
-  /* 11421 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 0,
-  /* 11429 */ 'C', 'A', 'L', 'L', '1', '6', 'r', 0,
-  /* 11437 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 0,
-  /* 11445 */ 'J', 'M', 'P', '1', '6', 'r', 0,
-  /* 11452 */ 'P', 'O', 'P', '1', '6', 'r', 0,
-  /* 11459 */ 'S', 'T', 'R', '1', '6', 'r', 0,
-  /* 11466 */ 'L', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 11474 */ 'S', 'L', 'D', 'T', '1', '6', 'r', 0,
-  /* 11482 */ 'N', 'O', 'T', '1', '6', 'r', 0,
-  /* 11489 */ 'I', 'D', 'I', 'V', '1', '6', 'r', 0,
-  /* 11497 */ 'L', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 11505 */ 'S', 'M', 'S', 'W', '1', '6', 'r', 0,
-  /* 11513 */ 'D', 'E', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 11523 */ 'I', 'N', 'C', '3', '2', '_', '1', '6', 'r', 0,
-  /* 11533 */ 'D', 'E', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 11543 */ 'I', 'N', 'C', '6', '4', '_', '1', '6', 'r', 0,
-  /* 11553 */ 'D', 'E', 'C', '8', 'r', 0,
-  /* 11559 */ 'I', 'N', 'C', '8', 'r', 0,
-  /* 11565 */ 'S', 'E', 'T', 'B', '_', 'C', '8', 'r', 0,
-  /* 11574 */ 'N', 'E', 'G', '8', 'r', 0,
-  /* 11580 */ 'I', 'M', 'U', 'L', '8', 'r', 0,
-  /* 11587 */ 'N', 'O', 'T', '8', 'r', 0,
-  /* 11593 */ 'I', 'D', 'I', 'V', '8', 'r', 0,
-  /* 11600 */ 'S', 'E', 'T', 'A', 'r', 0,
-  /* 11606 */ 'S', 'E', 'T', 'B', 'r', 0,
-  /* 11612 */ 'S', 'E', 'T', 'A', 'E', 'r', 0,
-  /* 11619 */ 'S', 'E', 'T', 'B', 'E', 'r', 0,
-  /* 11626 */ 'S', 'E', 'T', 'G', 'E', 'r', 0,
-  /* 11633 */ 'S', 'E', 'T', 'L', 'E', 'r', 0,
-  /* 11640 */ 'S', 'E', 'T', 'N', 'E', 'r', 0,
-  /* 11647 */ 'S', 'E', 'T', 'E', 'r', 0,
-  /* 11653 */ 'S', 'E', 'T', 'G', 'r', 0,
-  /* 11659 */ 'S', 'E', 'T', 'L', 'r', 0,
-  /* 11665 */ 'S', 'E', 'T', 'N', 'O', 'r', 0,
-  /* 11672 */ 'S', 'E', 'T', 'O', 'r', 0,
-  /* 11678 */ 'T', 'A', 'I', 'L', 'J', 'M', 'P', 'r', 0,
-  /* 11687 */ 'S', 'E', 'T', 'N', 'P', 'r', 0,
-  /* 11694 */ 'S', 'E', 'T', 'P', 'r', 0,
-  /* 11700 */ 'V', 'E', 'R', 'R', 'r', 0,
-  /* 11706 */ 'L', 'T', 'R', 'r', 0,
-  /* 11711 */ 'S', 'E', 'T', 'N', 'S', 'r', 0,
-  /* 11718 */ 'S', 'E', 'T', 'S', 'r', 0,
-  /* 11724 */ 'V', 'E', 'R', 'W', 'r', 0,
-  /* 11730 */ 'X', 'C', 'H', 'G', '3', '2', 'a', 'r', 0,
-  /* 11739 */ 'X', 'C', 'H', 'G', '6', '4', 'a', 'r', 0,
-  /* 11748 */ 'X', 'C', 'H', 'G', '1', '6', 'a', 'r', 0,
-  /* 11757 */ 'M', 'O', 'V', '3', '2', 'c', 'r', 0,
-  /* 11765 */ 'M', 'O', 'V', '6', '4', 'c', 'r', 0,
-  /* 11773 */ 'M', 'O', 'V', '3', '2', 'd', 'r', 0,
-  /* 11781 */ 'M', 'O', 'V', '6', '4', 'd', 'r', 0,
-  /* 11789 */ 'I', 'n', 't', '_', 'M', 'e', 'm', 'B', 'a', 'r', 'r', 'i', 'e', 'r', 0,
-  /* 11804 */ 'O', 'U', 'T', '3', '2', 'i', 'r', 0,
-  /* 11812 */ 'O', 'U', 'T', '1', '6', 'i', 'r', 0,
-  /* 11820 */ 'O', 'U', 'T', '8', 'i', 'r', 0,
-  /* 11827 */ 'S', 'B', 'B', '3', '2', 'm', 'r', 0,
-  /* 11835 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '3', '2', 'm', 'r', 0,
-  /* 11848 */ 'A', 'D', 'C', '3', '2', 'm', 'r', 0,
-  /* 11856 */ 'B', 'T', 'C', '3', '2', 'm', 'r', 0,
-  /* 11864 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '3', '2', 'm', 'r', 0,
-  /* 11877 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '3', '2', 'm', 'r', 0,
-  /* 11890 */ 'M', 'O', 'V', 'B', 'E', '3', '2', 'm', 'r', 0,
-  /* 11900 */ 'C', 'M', 'P', '3', '2', 'm', 'r', 0,
-  /* 11908 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 11921 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '3', '2', 'm', 'r', 0,
-  /* 11933 */ 'B', 'T', 'R', '3', '2', 'm', 'r', 0,
-  /* 11941 */ 'B', 'T', 'S', '3', '2', 'm', 'r', 0,
-  /* 11949 */ 'B', 'T', '3', '2', 'm', 'r', 0,
-  /* 11956 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '3', '2', 'm', 'r', 0,
-  /* 11972 */ 'S', 'B', 'B', '6', '4', 'm', 'r', 0,
-  /* 11980 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '6', '4', 'm', 'r', 0,
-  /* 11993 */ 'A', 'D', 'C', '6', '4', 'm', 'r', 0,
-  /* 12001 */ 'B', 'T', 'C', '6', '4', 'm', 'r', 0,
-  /* 12009 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '6', '4', 'm', 'r', 0,
-  /* 12022 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '6', '4', 'm', 'r', 0,
-  /* 12035 */ 'M', 'O', 'V', 'B', 'E', '6', '4', 'm', 'r', 0,
-  /* 12045 */ 'C', 'M', 'P', '6', '4', 'm', 'r', 0,
-  /* 12053 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 12066 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '6', '4', 'm', 'r', 0,
-  /* 12078 */ 'B', 'T', 'R', '6', '4', 'm', 'r', 0,
-  /* 12086 */ 'B', 'T', 'S', '6', '4', 'm', 'r', 0,
-  /* 12094 */ 'B', 'T', '6', '4', 'm', 'r', 0,
-  /* 12101 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '6', '4', 'm', 'r', 0,
-  /* 12117 */ 'S', 'B', 'B', '1', '6', 'm', 'r', 0,
-  /* 12125 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '1', '6', 'm', 'r', 0,
-  /* 12138 */ 'A', 'D', 'C', '1', '6', 'm', 'r', 0,
-  /* 12146 */ 'B', 'T', 'C', '1', '6', 'm', 'r', 0,
-  /* 12154 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '1', '6', 'm', 'r', 0,
-  /* 12167 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '1', '6', 'm', 'r', 0,
-  /* 12180 */ 'M', 'O', 'V', 'B', 'E', '1', '6', 'm', 'r', 0,
-  /* 12190 */ 'A', 'R', 'P', 'L', '1', '6', 'm', 'r', 0,
-  /* 12199 */ 'C', 'M', 'P', '1', '6', 'm', 'r', 0,
-  /* 12207 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 12220 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '1', '6', 'm', 'r', 0,
-  /* 12232 */ 'B', 'T', 'R', '1', '6', 'm', 'r', 0,
-  /* 12240 */ 'B', 'T', 'S', '1', '6', 'm', 'r', 0,
-  /* 12248 */ 'B', 'T', '1', '6', 'm', 'r', 0,
-  /* 12255 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '1', '6', 'm', 'r', 0,
-  /* 12271 */ 'S', 'B', 'B', '8', 'm', 'r', 0,
-  /* 12278 */ 'L', 'O', 'C', 'K', '_', 'S', 'U', 'B', '8', 'm', 'r', 0,
-  /* 12290 */ 'A', 'D', 'C', '8', 'm', 'r', 0,
-  /* 12297 */ 'L', 'O', 'C', 'K', '_', 'A', 'D', 'D', '8', 'm', 'r', 0,
-  /* 12309 */ 'L', 'O', 'C', 'K', '_', 'A', 'N', 'D', '8', 'm', 'r', 0,
-  /* 12321 */ 'C', 'M', 'P', '8', 'm', 'r', 0,
-  /* 12328 */ 'L', 'O', 'C', 'K', '_', 'X', 'O', 'R', '8', 'm', 'r', 0,
-  /* 12340 */ 'L', 'O', 'C', 'K', '_', 'O', 'R', '8', 'm', 'r', 0,
-  /* 12351 */ 'R', 'E', 'L', 'E', 'A', 'S', 'E', '_', 'M', 'O', 'V', '8', 'm', 'r', 0,
-  /* 12366 */ 'P', 'U', 'S', 'H', '3', '2', 'r', 'm', 'r', 0,
-  /* 12376 */ 'P', 'O', 'P', '3', '2', 'r', 'm', 'r', 0,
-  /* 12385 */ 'P', 'U', 'S', 'H', '6', '4', 'r', 'm', 'r', 0,
-  /* 12395 */ 'P', 'O', 'P', '6', '4', 'r', 'm', 'r', 0,
-  /* 12404 */ 'P', 'U', 'S', 'H', '1', '6', 'r', 'm', 'r', 0,
-  /* 12414 */ 'P', 'O', 'P', '1', '6', 'r', 'm', 'r', 0,
-  /* 12423 */ 'C', 'M', 'O', 'V', 'A', '3', '2', 'r', 'r', 0,
-  /* 12433 */ 'S', 'B', 'B', '3', '2', 'r', 'r', 0,
-  /* 12441 */ 'S', 'U', 'B', '3', '2', 'r', 'r', 0,
-  /* 12449 */ 'C', 'M', 'O', 'V', 'B', '3', '2', 'r', 'r', 0,
-  /* 12459 */ 'A', 'D', 'C', '3', '2', 'r', 'r', 0,
-  /* 12467 */ 'B', 'L', 'C', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 12477 */ 'B', 'L', 'S', 'I', 'C', '3', '2', 'r', 'r', 0,
-  /* 12487 */ 'T', '1', 'M', 'S', 'K', 'C', '3', '2', 'r', 'r', 0,
-  /* 12498 */ 'B', 'T', 'C', '3', '2', 'r', 'r', 0,
-  /* 12506 */ 'V', 'M', 'R', 'E', 'A', 'D', '3', '2', 'r', 'r', 0,
-  /* 12517 */ 'X', 'A', 'D', 'D', '3', '2', 'r', 'r', 0,
-  /* 12526 */ 'A', 'N', 'D', '3', '2', 'r', 'r', 0,
-  /* 12534 */ 'C', 'M', 'O', 'V', 'A', 'E', '3', '2', 'r', 'r', 0,
-  /* 12545 */ 'C', 'M', 'O', 'V', 'B', 'E', '3', '2', 'r', 'r', 0,
-  /* 12556 */ 'C', 'M', 'O', 'V', 'G', 'E', '3', '2', 'r', 'r', 0,
-  /* 12567 */ 'C', 'M', 'O', 'V', 'L', 'E', '3', '2', 'r', 'r', 0,
-  /* 12578 */ 'C', 'M', 'O', 'V', 'N', 'E', '3', '2', 'r', 'r', 0,
-  /* 12589 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '3', '2', 'r', 'r', 0,
-  /* 12601 */ 'C', 'M', 'O', 'V', 'E', '3', '2', 'r', 'r', 0,
-  /* 12611 */ 'B', 'S', 'F', '3', '2', 'r', 'r', 0,
-  /* 12619 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '3', '2', 'r', 'r', 0,
-  /* 12631 */ 'C', 'M', 'O', 'V', 'G', '3', '2', 'r', 'r', 0,
-  /* 12641 */ 'B', 'L', 'C', 'I', '3', '2', 'r', 'r', 0,
-  /* 12650 */ 'B', 'Z', 'H', 'I', '3', '2', 'r', 'r', 0,
-  /* 12659 */ 'B', 'L', 'S', 'I', '3', '2', 'r', 'r', 0,
-  /* 12668 */ 'B', 'L', 'C', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12679 */ 'B', 'L', 'S', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12690 */ 'T', 'Z', 'M', 'S', 'K', '3', '2', 'r', 'r', 0,
-  /* 12700 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 12712 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '3', '2', 'r', 'r', 0,
-  /* 12724 */ 'L', 'S', 'L', '3', '2', 'r', 'r', 0,
-  /* 12732 */ 'I', 'M', 'U', 'L', '3', '2', 'r', 'r', 0,
-  /* 12741 */ 'C', 'M', 'O', 'V', 'L', '3', '2', 'r', 'r', 0,
-  /* 12751 */ 'A', 'N', 'D', 'N', '3', '2', 'r', 'r', 0,
-  /* 12760 */ 'I', 'N', '3', '2', 'r', 'r', 0,
-  /* 12767 */ 'C', 'M', 'O', 'V', 'N', 'O', '3', '2', 'r', 'r', 0,
-  /* 12778 */ 'C', 'M', 'O', 'V', 'O', '3', '2', 'r', 'r', 0,
-  /* 12788 */ 'P', 'D', 'E', 'P', '3', '2', 'r', 'r', 0,
-  /* 12797 */ 'C', 'M', 'P', '3', '2', 'r', 'r', 0,
-  /* 12805 */ 'C', 'M', 'O', 'V', 'N', 'P', '3', '2', 'r', 'r', 0,
-  /* 12816 */ 'C', 'M', 'O', 'V', 'P', '3', '2', 'r', 'r', 0,
-  /* 12826 */ 'L', 'A', 'R', '3', '2', 'r', 'r', 0,
-  /* 12834 */ 'X', 'O', 'R', '3', '2', 'r', 'r', 0,
-  /* 12842 */ 'B', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 12850 */ 'B', 'L', 'S', 'R', '3', '2', 'r', 'r', 0,
-  /* 12859 */ 'B', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 12867 */ 'B', 'E', 'X', 'T', 'R', '3', '2', 'r', 'r', 0,
-  /* 12877 */ 'B', 'L', 'C', 'S', '3', '2', 'r', 'r', 0,
-  /* 12886 */ 'C', 'M', 'O', 'V', 'N', 'S', '3', '2', 'r', 'r', 0,
-  /* 12897 */ 'B', 'T', 'S', '3', '2', 'r', 'r', 0,
-  /* 12905 */ 'C', 'M', 'O', 'V', 'S', '3', '2', 'r', 'r', 0,
-  /* 12915 */ 'B', 'T', '3', '2', 'r', 'r', 0,
-  /* 12922 */ 'L', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 12932 */ 'T', 'Z', 'C', 'N', 'T', '3', '2', 'r', 'r', 0,
-  /* 12942 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'r', 0,
-  /* 12951 */ 'O', 'U', 'T', '3', '2', 'r', 'r', 0,
-  /* 12959 */ 'P', 'E', 'X', 'T', '3', '2', 'r', 'r', 0,
-  /* 12968 */ 'M', 'O', 'V', '3', '2', 'r', 'r', 0,
-  /* 12976 */ 'A', 'D', 'C', 'X', '3', '2', 'r', 'r', 0,
-  /* 12985 */ 'S', 'H', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 12994 */ 'M', 'U', 'L', 'X', '3', '2', 'r', 'r', 0,
-  /* 13003 */ 'A', 'D', 'O', 'X', '3', '2', 'r', 'r', 0,
-  /* 13012 */ 'S', 'A', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 13021 */ 'S', 'H', 'R', 'X', '3', '2', 'r', 'r', 0,
-  /* 13030 */ 'C', 'M', 'O', 'V', 'A', '6', '4', 'r', 'r', 0,
-  /* 13040 */ 'S', 'B', 'B', '6', '4', 'r', 'r', 0,
-  /* 13048 */ 'S', 'U', 'B', '6', '4', 'r', 'r', 0,
-  /* 13056 */ 'C', 'M', 'O', 'V', 'B', '6', '4', 'r', 'r', 0,
-  /* 13066 */ 'A', 'D', 'C', '6', '4', 'r', 'r', 0,
-  /* 13074 */ 'B', 'L', 'C', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 13084 */ 'B', 'L', 'S', 'I', 'C', '6', '4', 'r', 'r', 0,
-  /* 13094 */ 'T', '1', 'M', 'S', 'K', 'C', '6', '4', 'r', 'r', 0,
-  /* 13105 */ 'B', 'T', 'C', '6', '4', 'r', 'r', 0,
-  /* 13113 */ 'V', 'M', 'R', 'E', 'A', 'D', '6', '4', 'r', 'r', 0,
-  /* 13124 */ 'X', 'A', 'D', 'D', '6', '4', 'r', 'r', 0,
-  /* 13133 */ 'A', 'N', 'D', '6', '4', 'r', 'r', 0,
-  /* 13141 */ 'C', 'M', 'O', 'V', 'A', 'E', '6', '4', 'r', 'r', 0,
-  /* 13152 */ 'C', 'M', 'O', 'V', 'B', 'E', '6', '4', 'r', 'r', 0,
-  /* 13163 */ 'C', 'M', 'O', 'V', 'G', 'E', '6', '4', 'r', 'r', 0,
-  /* 13174 */ 'C', 'M', 'O', 'V', 'L', 'E', '6', '4', 'r', 'r', 0,
-  /* 13185 */ 'C', 'M', 'O', 'V', 'N', 'E', '6', '4', 'r', 'r', 0,
-  /* 13196 */ 'V', 'M', 'W', 'R', 'I', 'T', 'E', '6', '4', 'r', 'r', 0,
-  /* 13208 */ 'C', 'M', 'O', 'V', 'E', '6', '4', 'r', 'r', 0,
-  /* 13218 */ 'B', 'S', 'F', '6', '4', 'r', 'r', 0,
-  /* 13226 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '6', '4', 'r', 'r', 0,
-  /* 13238 */ 'C', 'M', 'O', 'V', 'G', '6', '4', 'r', 'r', 0,
-  /* 13248 */ 'B', 'L', 'C', 'I', '6', '4', 'r', 'r', 0,
-  /* 13257 */ 'B', 'Z', 'H', 'I', '6', '4', 'r', 'r', 0,
-  /* 13266 */ 'B', 'L', 'S', 'I', '6', '4', 'r', 'r', 0,
-  /* 13275 */ 'B', 'L', 'C', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 13286 */ 'B', 'L', 'S', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 13297 */ 'T', 'Z', 'M', 'S', 'K', '6', '4', 'r', 'r', 0,
-  /* 13307 */ 'B', 'L', 'C', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 13319 */ 'B', 'L', 'S', 'F', 'I', 'L', 'L', '6', '4', 'r', 'r', 0,
-  /* 13331 */ 'L', 'S', 'L', '6', '4', 'r', 'r', 0,
-  /* 13339 */ 'I', 'M', 'U', 'L', '6', '4', 'r', 'r', 0,
-  /* 13348 */ 'C', 'M', 'O', 'V', 'L', '6', '4', 'r', 'r', 0,
-  /* 13358 */ 'A', 'N', 'D', 'N', '6', '4', 'r', 'r', 0,
-  /* 13367 */ 'C', 'M', 'O', 'V', 'N', 'O', '6', '4', 'r', 'r', 0,
-  /* 13378 */ 'C', 'M', 'O', 'V', 'O', '6', '4', 'r', 'r', 0,
-  /* 13388 */ 'P', 'D', 'E', 'P', '6', '4', 'r', 'r', 0,
-  /* 13397 */ 'C', 'M', 'P', '6', '4', 'r', 'r', 0,
-  /* 13405 */ 'C', 'M', 'O', 'V', 'N', 'P', '6', '4', 'r', 'r', 0,
-  /* 13416 */ 'C', 'M', 'O', 'V', 'P', '6', '4', 'r', 'r', 0,
-  /* 13426 */ 'L', 'A', 'R', '6', '4', 'r', 'r', 0,
-  /* 13434 */ 'X', 'O', 'R', '6', '4', 'r', 'r', 0,
-  /* 13442 */ 'B', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 13450 */ 'B', 'L', 'S', 'R', '6', '4', 'r', 'r', 0,
-  /* 13459 */ 'B', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 13467 */ 'B', 'E', 'X', 'T', 'R', '6', '4', 'r', 'r', 0,
-  /* 13477 */ 'B', 'L', 'C', 'S', '6', '4', 'r', 'r', 0,
-  /* 13486 */ 'C', 'M', 'O', 'V', 'N', 'S', '6', '4', 'r', 'r', 0,
-  /* 13497 */ 'B', 'T', 'S', '6', '4', 'r', 'r', 0,
-  /* 13505 */ 'C', 'M', 'O', 'V', 'S', '6', '4', 'r', 'r', 0,
-  /* 13515 */ 'B', 'T', '6', '4', 'r', 'r', 0,
-  /* 13522 */ 'L', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 13532 */ 'T', 'Z', 'C', 'N', 'T', '6', '4', 'r', 'r', 0,
-  /* 13542 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'r', 0,
-  /* 13551 */ 'P', 'E', 'X', 'T', '6', '4', 'r', 'r', 0,
-  /* 13560 */ 'M', 'O', 'V', '6', '4', 'r', 'r', 0,
-  /* 13568 */ 'A', 'D', 'C', 'X', '6', '4', 'r', 'r', 0,
-  /* 13577 */ 'S', 'H', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 13586 */ 'M', 'U', 'L', 'X', '6', '4', 'r', 'r', 0,
-  /* 13595 */ 'A', 'D', 'O', 'X', '6', '4', 'r', 'r', 0,
-  /* 13604 */ 'S', 'A', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 13613 */ 'S', 'H', 'R', 'X', '6', '4', 'r', 'r', 0,
-  /* 13622 */ 'C', 'M', 'O', 'V', 'A', '1', '6', 'r', 'r', 0,
-  /* 13632 */ 'S', 'B', 'B', '1', '6', 'r', 'r', 0,
-  /* 13640 */ 'S', 'U', 'B', '1', '6', 'r', 'r', 0,
-  /* 13648 */ 'C', 'M', 'O', 'V', 'B', '1', '6', 'r', 'r', 0,
-  /* 13658 */ 'A', 'D', 'C', '1', '6', 'r', 'r', 0,
-  /* 13666 */ 'B', 'T', 'C', '1', '6', 'r', 'r', 0,
-  /* 13674 */ 'X', 'A', 'D', 'D', '1', '6', 'r', 'r', 0,
-  /* 13683 */ 'A', 'N', 'D', '1', '6', 'r', 'r', 0,
-  /* 13691 */ 'C', 'M', 'O', 'V', 'A', 'E', '1', '6', 'r', 'r', 0,
-  /* 13702 */ 'C', 'M', 'O', 'V', 'B', 'E', '1', '6', 'r', 'r', 0,
-  /* 13713 */ 'C', 'M', 'O', 'V', 'G', 'E', '1', '6', 'r', 'r', 0,
-  /* 13724 */ 'C', 'M', 'O', 'V', 'L', 'E', '1', '6', 'r', 'r', 0,
-  /* 13735 */ 'C', 'M', 'O', 'V', 'N', 'E', '1', '6', 'r', 'r', 0,
-  /* 13746 */ 'C', 'M', 'O', 'V', 'E', '1', '6', 'r', 'r', 0,
-  /* 13756 */ 'B', 'S', 'F', '1', '6', 'r', 'r', 0,
-  /* 13764 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '1', '6', 'r', 'r', 0,
-  /* 13776 */ 'C', 'M', 'O', 'V', 'G', '1', '6', 'r', 'r', 0,
-  /* 13786 */ 'A', 'R', 'P', 'L', '1', '6', 'r', 'r', 0,
-  /* 13795 */ 'L', 'S', 'L', '1', '6', 'r', 'r', 0,
-  /* 13803 */ 'I', 'M', 'U', 'L', '1', '6', 'r', 'r', 0,
-  /* 13812 */ 'C', 'M', 'O', 'V', 'L', '1', '6', 'r', 'r', 0,
-  /* 13822 */ 'I', 'N', '1', '6', 'r', 'r', 0,
-  /* 13829 */ 'C', 'M', 'O', 'V', 'N', 'O', '1', '6', 'r', 'r', 0,
-  /* 13840 */ 'C', 'M', 'O', 'V', 'O', '1', '6', 'r', 'r', 0,
-  /* 13850 */ 'C', 'M', 'P', '1', '6', 'r', 'r', 0,
-  /* 13858 */ 'C', 'M', 'O', 'V', 'N', 'P', '1', '6', 'r', 'r', 0,
-  /* 13869 */ 'C', 'M', 'O', 'V', 'P', '1', '6', 'r', 'r', 0,
-  /* 13879 */ 'L', 'A', 'R', '1', '6', 'r', 'r', 0,
-  /* 13887 */ 'X', 'O', 'R', '1', '6', 'r', 'r', 0,
-  /* 13895 */ 'B', 'S', 'R', '1', '6', 'r', 'r', 0,
-  /* 13903 */ 'B', 'T', 'R', '1', '6', 'r', 'r', 0,
-  /* 13911 */ 'C', 'M', 'O', 'V', 'N', 'S', '1', '6', 'r', 'r', 0,
-  /* 13922 */ 'B', 'T', 'S', '1', '6', 'r', 'r', 0,
-  /* 13930 */ 'C', 'M', 'O', 'V', 'S', '1', '6', 'r', 'r', 0,
-  /* 13940 */ 'B', 'T', '1', '6', 'r', 'r', 0,
-  /* 13947 */ 'L', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 13957 */ 'T', 'Z', 'C', 'N', 'T', '1', '6', 'r', 'r', 0,
-  /* 13967 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'r', 0,
-  /* 13976 */ 'O', 'U', 'T', '1', '6', 'r', 'r', 0,
-  /* 13984 */ 'M', 'O', 'V', '1', '6', 'r', 'r', 0,
-  /* 13992 */ 'S', 'B', 'B', '8', 'r', 'r', 0,
-  /* 13999 */ 'S', 'U', 'B', '8', 'r', 'r', 0,
-  /* 14006 */ 'A', 'D', 'C', '8', 'r', 'r', 0,
-  /* 14013 */ 'X', 'A', 'D', 'D', '8', 'r', 'r', 0,
-  /* 14021 */ 'A', 'N', 'D', '8', 'r', 'r', 0,
-  /* 14028 */ 'C', 'M', 'P', 'X', 'C', 'H', 'G', '8', 'r', 'r', 0,
-  /* 14039 */ 'I', 'N', '8', 'r', 'r', 0,
-  /* 14045 */ 'C', 'M', 'P', '8', 'r', 'r', 0,
-  /* 14052 */ 'X', 'O', 'R', '8', 'r', 'r', 0,
-  /* 14059 */ 'T', 'E', 'S', 'T', '8', 'r', 'r', 0,
-  /* 14067 */ 'O', 'U', 'T', '8', 'r', 'r', 0,
-  /* 14074 */ 'M', 'O', 'V', '8', 'r', 'r', 0,
-  /* 14081 */ 'M', 'O', 'V', '3', '2', 's', 'r', 0,
-  /* 14089 */ 'M', 'O', 'V', '6', '4', 's', 'r', 0,
-  /* 14097 */ 'M', 'O', 'V', '1', '6', 's', 'r', 0,
-  /* 14105 */ 'M', 'O', 'V', '3', '2', 'm', 's', 0,
-  /* 14113 */ 'M', 'O', 'V', '6', '4', 'm', 's', 0,
-  /* 14121 */ 'M', 'O', 'V', '1', '6', 'm', 's', 0,
-  /* 14129 */ 'M', 'O', 'V', '3', '2', 'r', 's', 0,
-  /* 14137 */ 'M', 'O', 'V', '6', '4', 'r', 's', 0,
-  /* 14145 */ 'M', 'O', 'V', '1', '6', 'r', 's', 0,
-  /* 14153 */ 'T', 'E', 'S', 'T', '6', '4', 'm', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 14168 */ 'T', 'E', 'S', 'T', '6', '4', 'r', 'i', '3', '2', '_', 'a', 'l', 't', 0,
-  /* 14183 */ 'T', 'E', 'S', 'T', '3', '2', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 14196 */ 'T', 'E', 'S', 'T', '1', '6', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 14209 */ 'T', 'E', 'S', 'T', '8', 'm', 'i', '_', 'a', 'l', 't', 0,
-  /* 14221 */ 'T', 'E', 'S', 'T', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14234 */ 'M', 'O', 'V', '3', '2', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14246 */ 'T', 'E', 'S', 'T', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14259 */ 'M', 'O', 'V', '1', '6', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14271 */ 'T', 'E', 'S', 'T', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
-  /* 14283 */ 'M', 'O', 'V', '8', 'r', 'i', '_', 'a', 'l', 't', 0,
+typedef struct x86_op_id_pair {
+	uint16_t first;
+	uint16_t second;
+} x86_op_id_pair;
+
+static const x86_op_id_pair x86_16_bit_eq_tbl[] = {
+	{ 25, 24 },
+	{ 26, 24 },
+	{ 37, 28 },
+	{ 38, 29 },
+	{ 39, 30 },
+	{ 40, 31 },
+	{ 41, 32 },
+	{ 42, 33 },
+	{ 43, 34 },
+	{ 44, 35 },
+	{ 45, 36 },
+	{ 46, 28 },
+	{ 48, 30 },
+	{ 49, 31 },
+	{ 51, 33 },
+	{ 52, 34 },
+	{ 53, 35 },
+	{ 54, 36 },
+	{ 78, 66 },
+	{ 79, 67 },
+	{ 80, 68 },
+	{ 81, 69 },
+	{ 82, 70 },
+	{ 83, 71 },
+	{ 84, 72 },
+	{ 85, 73 },
+	{ 86, 74 },
+	{ 87, 75 },
+	{ 88, 76 },
+	{ 89, 77 },
+	{ 90, 66 },
+	{ 92, 68 },
+	{ 93, 69 },
+	{ 96, 71 },
+	{ 97, 72 },
+	{ 98, 74 },
+	{ 99, 75 },
+	{ 100, 76 },
+	{ 101, 77 },
+	{ 127, 118 },
+	{ 128, 119 },
+	{ 129, 120 },
+	{ 130, 121 },
+	{ 131, 122 },
+	{ 132, 123 },
+	{ 133, 124 },
+	{ 134, 125 },
+	{ 135, 126 },
+	{ 136, 118 },
+	{ 138, 120 },
+	{ 139, 121 },
+	{ 141, 123 },
+	{ 142, 124 },
+	{ 143, 125 },
+	{ 144, 126 },
+	{ 208, 207 },
+	{ 211, 209 },
+	{ 212, 210 },
+	{ 213, 209 },
+	{ 214, 210 },
+	{ 217, 215 },
+	{ 218, 216 },
+	{ 219, 215 },
+	{ 220, 216 },
+	{ 227, 223 },
+	{ 228, 224 },
+	{ 229, 225 },
+	{ 230, 226 },
+	{ 231, 223 },
+	{ 232, 224 },
+	{ 233, 225 },
+	{ 234, 226 },
+	{ 239, 235 },
+	{ 240, 236 },
+	{ 241, 237 },
+	{ 242, 238 },
+	{ 243, 235 },
+	{ 244, 236 },
+	{ 245, 237 },
+	{ 246, 238 },
+	{ 251, 247 },
+	{ 252, 248 },
+	{ 253, 249 },
+	{ 254, 250 },
+	{ 255, 247 },
+	{ 256, 248 },
+	{ 257, 249 },
+	{ 258, 250 },
+	{ 263, 259 },
+	{ 264, 260 },
+	{ 265, 261 },
+	{ 266, 262 },
+	{ 267, 259 },
+	{ 268, 260 },
+	{ 269, 261 },
+	{ 270, 262 },
+	{ 277, 275 },
+	{ 278, 276 },
+	{ 279, 275 },
+	{ 281, 276 },
+	{ 283, 282 },
+	{ 289, 458 },
+	{ 296, 294 },
+	{ 297, 295 },
+	{ 298, 294 },
+	{ 299, 295 },
+	{ 302, 300 },
+	{ 303, 301 },
+	{ 304, 300 },
+	{ 305, 301 },
+	{ 308, 306 },
+	{ 309, 307 },
+	{ 310, 306 },
+	{ 311, 307 },
+	{ 314, 312 },
+	{ 315, 313 },
+	{ 316, 312 },
+	{ 317, 313 },
+	{ 320, 318 },
+	{ 321, 319 },
+	{ 322, 318 },
+	{ 323, 319 },
+	{ 326, 324 },
+	{ 327, 325 },
+	{ 328, 324 },
+	{ 329, 325 },
+	{ 332, 330 },
+	{ 333, 331 },
+	{ 334, 330 },
+	{ 335, 331 },
+	{ 338, 336 },
+	{ 339, 337 },
+	{ 340, 336 },
+	{ 341, 337 },
+	{ 344, 342 },
+	{ 345, 343 },
+	{ 346, 342 },
+	{ 347, 343 },
+	{ 350, 348 },
+	{ 351, 349 },
+	{ 352, 348 },
+	{ 353, 349 },
+	{ 356, 354 },
+	{ 357, 355 },
+	{ 358, 354 },
+	{ 359, 355 },
+	{ 362, 360 },
+	{ 363, 361 },
+	{ 364, 360 },
+	{ 365, 361 },
+	{ 368, 366 },
+	{ 369, 367 },
+	{ 370, 366 },
+	{ 371, 367 },
+	{ 374, 372 },
+	{ 375, 373 },
+	{ 376, 372 },
+	{ 377, 373 },
+	{ 380, 378 },
+	{ 381, 379 },
+	{ 382, 378 },
+	{ 383, 379 },
+	{ 386, 384 },
+	{ 387, 385 },
+	{ 388, 384 },
+	{ 389, 385 },
+	{ 393, 392 },
+	{ 416, 407 },
+	{ 417, 408 },
+	{ 418, 409 },
+	{ 419, 410 },
+	{ 420, 411 },
+	{ 421, 412 },
+	{ 422, 413 },
+	{ 423, 414 },
+	{ 424, 415 },
+	{ 425, 407 },
+	{ 427, 409 },
+	{ 428, 410 },
+	{ 430, 412 },
+	{ 431, 413 },
+	{ 432, 414 },
+	{ 433, 415 },
+	{ 442, 444 },
+	{ 443, 444 },
+	{ 448, 446 },
+	{ 449, 447 },
+	{ 450, 446 },
+	{ 451, 447 },
+	{ 466, 465 },
+	{ 467, 463 },
+	{ 468, 464 },
+	{ 471, 469 },
+	{ 472, 470 },
+	{ 473, 463 },
+	{ 474, 464 },
+	{ 479, 477 },
+	{ 480, 478 },
+	{ 481, 477 },
+	{ 482, 478 },
+	{ 495, 493 },
+	{ 496, 494 },
+	{ 500, 498 },
+	{ 501, 499 },
+	{ 508, 506 },
+	{ 509, 507 },
+	{ 510, 506 },
+	{ 511, 507 },
+	{ 522, 514 },
+	{ 523, 515 },
+	{ 524, 516 },
+	{ 525, 517 },
+	{ 526, 518 },
+	{ 527, 519 },
+	{ 528, 520 },
+	{ 529, 521 },
+	{ 530, 514 },
+	{ 531, 515 },
+	{ 532, 516 },
+	{ 534, 518 },
+	{ 535, 519 },
+	{ 537, 521 },
+	{ 542, 540 },
+	{ 543, 541 },
+	{ 549, 548 },
+	{ 550, 546 },
+	{ 551, 547 },
+	{ 554, 552 },
+	{ 555, 553 },
+	{ 556, 546 },
+	{ 557, 547 },
+	{ 561, 562 },
+	{ 565, 564 },
+	{ 578, 577 },
+	{ 579, 577 },
+	{ 613, 611 },
+	{ 614, 612 },
+	{ 615, 611 },
+	{ 616, 612 },
+	{ 645, 643 },
+	{ 646, 644 },
+	{ 647, 643 },
+	{ 648, 644 },
+	{ 651, 649 },
+	{ 652, 649 },
+	{ 656, 655 },
+	{ 658, 657 },
+	{ 660, 657 },
+	{ 664, 663 },
+	{ 666, 665 },
+	{ 667, 665 },
+	{ 669, 668 },
+	{ 670, 668 },
+	{ 672, 671 },
+	{ 673, 671 },
+	{ 675, 674 },
+	{ 676, 674 },
+	{ 684, 681 },
+	{ 685, 682 },
+	{ 686, 683 },
+	{ 688, 682 },
+	{ 689, 683 },
+	{ 695, 692 },
+	{ 696, 693 },
+	{ 697, 694 },
+	{ 699, 693 },
+	{ 700, 694 },
+	{ 704, 703 },
+	{ 705, 703 },
+	{ 708, 707 },
+	{ 709, 707 },
+	{ 714, 711 },
+	{ 715, 712 },
+	{ 716, 713 },
+	{ 718, 712 },
+	{ 719, 713 },
+	{ 726, 723 },
+	{ 727, 724 },
+	{ 728, 725 },
+	{ 730, 724 },
+	{ 731, 725 },
+	{ 737, 734 },
+	{ 738, 735 },
+	{ 739, 736 },
+	{ 741, 735 },
+	{ 742, 736 },
+	{ 746, 748 },
+	{ 747, 748 },
+	{ 752, 754 },
+	{ 753, 754 },
+	{ 755, 757 },
+	{ 756, 757 },
+	{ 760, 758 },
+	{ 761, 759 },
+	{ 762, 758 },
+	{ 763, 759 },
+	{ 765, 764 },
+	{ 766, 764 },
+	{ 770, 769 },
+	{ 771, 769 },
+	{ 775, 773 },
+	{ 776, 774 },
+	{ 777, 773 },
+	{ 778, 774 },
+	{ 797, 782 },
+	{ 798, 783 },
+	{ 801, 784 },
+	{ 802, 785 },
+	{ 803, 786 },
+	{ 804, 787 },
+	{ 805, 788 },
+	{ 809, 789 },
+	{ 811, 790 },
+	{ 812, 791 },
+	{ 813, 792 },
+	{ 814, 793 },
+	{ 815, 794 },
+	{ 816, 795 },
+	{ 817, 796 },
+	{ 818, 782 },
+	{ 819, 782 },
+	{ 819, 818 },
+	{ 820, 782 },
+	{ 820, 818 },
+	{ 825, 785 },
+	{ 826, 786 },
+	{ 827, 787 },
+	{ 828, 787 },
+	{ 828, 827 },
+	{ 829, 787 },
+	{ 829, 827 },
+	{ 833, 789 },
+	{ 835, 791 },
+	{ 836, 792 },
+	{ 837, 793 },
+	{ 838, 794 },
+	{ 839, 795 },
+	{ 840, 796 },
+	{ 857, 855 },
+	{ 858, 856 },
+	{ 859, 855 },
+	{ 860, 856 },
+	{ 863, 865 },
+	{ 864, 865 },
+	{ 869, 866 },
+	{ 871, 867 },
+	{ 873, 872 },
+	{ 874, 866 },
+	{ 876, 875 },
+	{ 877, 867 },
+	{ 883, 878 },
+	{ 885, 879 },
+	{ 892, 890 },
+	{ 893, 891 },
+	{ 894, 890 },
+	{ 895, 891 },
+	{ 904, 902 },
+	{ 905, 903 },
+	{ 906, 902 },
+	{ 907, 903 },
+	{ 911, 913 },
+	{ 915, 917 },
+	{ 919, 921 },
+	{ 923, 925 },
+	{ 927, 934 },
+	{ 928, 935 },
+	{ 929, 936 },
+	{ 930, 937 },
+	{ 931, 938 },
+	{ 932, 939 },
+	{ 933, 940 },
+	{ 943, 941 },
+	{ 944, 942 },
+	{ 945, 941 },
+	{ 946, 942 },
+	{ 958, 949 },
+	{ 959, 950 },
+	{ 960, 951 },
+	{ 961, 952 },
+	{ 963, 953 },
+	{ 964, 954 },
+	{ 965, 955 },
+	{ 966, 956 },
+	{ 967, 957 },
+	{ 968, 949 },
+	{ 970, 951 },
+	{ 971, 952 },
+	{ 973, 954 },
+	{ 974, 955 },
+	{ 975, 956 },
+	{ 976, 957 },
+	{ 987, 985 },
+	{ 988, 986 },
+	{ 992, 993 },
+	{ 1005, 1002 },
+	{ 1006, 1003 },
+	{ 1007, 1004 },
+	{ 1008, 1002 },
+	{ 1009, 1003 },
+	{ 1010, 1004 },
+	{ 1012, 1011 },
+	{ 1014, 1013 },
+	{ 1016, 1015 },
+	{ 1018, 1017 },
+	{ 1019, 1017 },
+	{ 1021, 1020 },
+	{ 1022, 1020 },
+	{ 1024, 1023 },
+	{ 1025, 1023 },
+	{ 1027, 1026 },
+	{ 1032, 1028 },
+	{ 1033, 1029 },
+	{ 1034, 1030 },
+	{ 1035, 1031 },
+	{ 1037, 1036 },
+	{ 1038, 1028 },
+	{ 1039, 1029 },
+	{ 1040, 1030 },
+	{ 1041, 1031 },
+	{ 1043, 1042 },
+	{ 1045, 1044 },
+	{ 1047, 1046 },
+	{ 1049, 1048 },
+	{ 1051, 1050 },
+	{ 1052, 1050 },
+	{ 1054, 1053 },
+	{ 1055, 1053 },
+	{ 1057, 1056 },
+	{ 1058, 1056 },
+	{ 1060, 1059 },
+	{ 1062, 1061 },
+	{ 1069, 1063 },
+	{ 1070, 1064 },
+	{ 1071, 1065 },
+	{ 1072, 1066 },
+	{ 1073, 1067 },
+	{ 1074, 1068 },
+	{ 1075, 1063 },
+	{ 1076, 1064 },
+	{ 1077, 1065 },
+	{ 1078, 1066 },
+	{ 1079, 1067 },
+	{ 1080, 1068 },
+	{ 1093, 1087 },
+	{ 1094, 1088 },
+	{ 1095, 1089 },
+	{ 1096, 1090 },
+	{ 1097, 1091 },
+	{ 1098, 1092 },
+	{ 1099, 1087 },
+	{ 1100, 1088 },
+	{ 1101, 1089 },
+	{ 1102, 1090 },
+	{ 1103, 1091 },
+	{ 1104, 1092 },
+	{ 1118, 1117 },
+	{ 1119, 1117 },
+	{ 1121, 1120 },
+	{ 1122, 1120 },
+	{ 1126, 1125 },
+	{ 1127, 1125 },
+	{ 1134, 1136 },
+	{ 1142, 1144 },
+	{ 1145, 1147 },
+	{ 1146, 1147 },
+	{ 1148, 1150 },
+	{ 1149, 1150 },
+	{ 1158, 1152 },
+	{ 1159, 1153 },
+	{ 1160, 1154 },
+	{ 1161, 1155 },
+	{ 1162, 1156 },
+	{ 1163, 1157 },
+	{ 1164, 1152 },
+	{ 1165, 1153 },
+	{ 1166, 1154 },
+	{ 1167, 1155 },
+	{ 1168, 1156 },
+	{ 1169, 1157 },
+	{ 1182, 1176 },
+	{ 1183, 1177 },
+	{ 1184, 1178 },
+	{ 1185, 1179 },
+	{ 1186, 1180 },
+	{ 1187, 1181 },
+	{ 1188, 1176 },
+	{ 1189, 1177 },
+	{ 1190, 1178 },
+	{ 1191, 1179 },
+	{ 1192, 1180 },
+	{ 1193, 1181 },
+	{ 1212, 1206 },
+	{ 1213, 1207 },
+	{ 1214, 1208 },
+	{ 1215, 1209 },
+	{ 1216, 1210 },
+	{ 1217, 1211 },
+	{ 1218, 1206 },
+	{ 1219, 1207 },
+	{ 1220, 1208 },
+	{ 1221, 1209 },
+	{ 1222, 1210 },
+	{ 1223, 1211 },
+	{ 1237, 1231 },
+	{ 1238, 1232 },
+	{ 1239, 1233 },
+	{ 1240, 1234 },
+	{ 1241, 1235 },
+	{ 1242, 1236 },
+	{ 1243, 1231 },
+	{ 1244, 1232 },
+	{ 1245, 1233 },
+	{ 1246, 1234 },
+	{ 1247, 1235 },
+	{ 1248, 1236 },
+	{ 1268, 1259 },
+	{ 1269, 1260 },
+	{ 1270, 1261 },
+	{ 1271, 1262 },
+	{ 1272, 1263 },
+	{ 1273, 1264 },
+	{ 1274, 1265 },
+	{ 1275, 1266 },
+	{ 1276, 1267 },
+	{ 1277, 1259 },
+	{ 1279, 1261 },
+	{ 1280, 1262 },
+	{ 1282, 1264 },
+	{ 1283, 1265 },
+	{ 1284, 1266 },
+	{ 1285, 1267 },
+	{ 1294, 1296 },
+	{ 1295, 1296 },
+	{ 1314, 1313 },
+	{ 1315, 1313 },
+	{ 1344, 1343 },
+	{ 1345, 1343 },
+	{ 1352, 1346 },
+	{ 1353, 1347 },
+	{ 1354, 1348 },
+	{ 1355, 1349 },
+	{ 1356, 1350 },
+	{ 1357, 1351 },
+	{ 1358, 1346 },
+	{ 1359, 1347 },
+	{ 1360, 1348 },
+	{ 1361, 1349 },
+	{ 1362, 1350 },
+	{ 1363, 1351 },
+	{ 1374, 1370 },
+	{ 1375, 1371 },
+	{ 1376, 1372 },
+	{ 1377, 1373 },
+	{ 1378, 1370 },
+	{ 1379, 1371 },
+	{ 1380, 1372 },
+	{ 1381, 1373 },
+	{ 1392, 1386 },
+	{ 1393, 1387 },
+	{ 1394, 1388 },
+	{ 1395, 1389 },
+	{ 1396, 1390 },
+	{ 1397, 1391 },
+	{ 1398, 1386 },
+	{ 1399, 1387 },
+	{ 1400, 1388 },
+	{ 1401, 1389 },
+	{ 1402, 1390 },
+	{ 1403, 1391 },
+	{ 1414, 1410 },
+	{ 1415, 1411 },
+	{ 1416, 1412 },
+	{ 1417, 1413 },
+	{ 1418, 1410 },
+	{ 1419, 1411 },
+	{ 1420, 1412 },
+	{ 1421, 1413 },
+	{ 1427, 1426 },
+	{ 1428, 1426 },
+	{ 1432, 1431 },
+	{ 1433, 1430 },
+	{ 1434, 1431 },
+	{ 1437, 1436 },
+	{ 1438, 1436 },
+	{ 1445, 1447 },
+	{ 1446, 1447 },
+	{ 1449, 1448 },
+	{ 1450, 1448 },
+	{ 1461, 1452 },
+	{ 1462, 1453 },
+	{ 1463, 1454 },
+	{ 1464, 1455 },
+	{ 1465, 1456 },
+	{ 1466, 1457 },
+	{ 1467, 1458 },
+	{ 1468, 1459 },
+	{ 1469, 1460 },
+	{ 1470, 1452 },
+	{ 1472, 1454 },
+	{ 1473, 1455 },
+	{ 1475, 1457 },
+	{ 1476, 1458 },
+	{ 1477, 1459 },
+	{ 1478, 1460 },
+	{ 1517, 1510 },
+	{ 1518, 1511 },
+	{ 1519, 1512 },
+	{ 1520, 1513 },
+	{ 1521, 1514 },
+	{ 1522, 1515 },
+	{ 1523, 1516 },
+	{ 1524, 1510 },
+	{ 1529, 1515 },
+	{ 1530, 1516 },
+	{ 1548, 1546 },
+	{ 1549, 1547 },
+	{ 1550, 1546 },
+	{ 1551, 1547 },
+	{ 1599, 1597 },
+	{ 1600, 1598 },
+	{ 1601, 1597 },
+	{ 1602, 1598 },
+	{ 1608, 1605 },
+	{ 1610, 1606 },
+	{ 1611, 1607 },
+	{ 1612, 1605 },
+	{ 1613, 1606 },
+	{ 1614, 1607 },
+	{ 1633, 1624 },
+	{ 1634, 1625 },
+	{ 1635, 1626 },
+	{ 1636, 1627 },
+	{ 1637, 1628 },
+	{ 1638, 1629 },
+	{ 1639, 1630 },
+	{ 1640, 1631 },
+	{ 1641, 1632 },
+	{ 1642, 1624 },
+	{ 1644, 1626 },
+	{ 1645, 1627 },
+	{ 1647, 1629 },
+	{ 1648, 1630 },
+	{ 1649, 1631 },
+	{ 1650, 1632 },
 };
 
-static const unsigned X86InstrNameIndices[] = {
-    5416U, 6237U, 6129U, 6142U, 6120U, 6181U, 5347U, 5362U, 
-    5317U, 5376U, 6471U, 5295U, 5200U, 7186U, 5218U, 6558U, 
-    5172U, 6276U, 6538U, 4890U, 3744U, 3765U, 6434U, 10880U, 
-    9859U, 10452U, 10965U, 3321U, 7760U, 4079U, 12138U, 8362U, 
-    4434U, 10558U, 13658U, 6803U, 1343U, 7487U, 3817U, 11848U, 
-    8106U, 4238U, 9345U, 12459U, 6611U, 1416U, 1514U, 3948U, 
-    11993U, 1646U, 4336U, 9965U, 13066U, 6707U, 3737U, 7927U, 
-    12290U, 8504U, 10910U, 14006U, 6897U, 9875U, 12976U, 10468U, 
-    13568U, 3330U, 7773U, 4102U, 12159U, 8370U, 4452U, 4994U, 
-    5017U, 10567U, 13675U, 5050U, 6815U, 1352U, 7500U, 3840U, 
-    11869U, 8114U, 4256U, 4970U, 5006U, 9396U, 12518U, 5028U, 
-    6623U, 1425U, 1529U, 3971U, 12014U, 1656U, 4957U, 4354U, 
-    4982U, 10016U, 13125U, 5039U, 6719U, 3751U, 7939U, 12302U, 
-    8511U, 4522U, 10918U, 14014U, 6908U, 970U, 2248U, 1000U, 
-    2287U, 9902U, 13003U, 10495U, 13595U, 3339U, 7786U, 4116U, 
-    12172U, 8378U, 4461U, 10575U, 13683U, 6827U, 1361U, 7513U, 
-    3854U, 11882U, 8122U, 4265U, 9404U, 12526U, 6635U, 1434U, 
-    1544U, 3985U, 12027U, 1666U, 4363U, 10024U, 13133U, 6731U, 
-    3758U, 7951U, 12314U, 8518U, 4530U, 10925U, 14021U, 6919U, 
-    9629U, 12751U, 10249U, 13358U, 12190U, 13786U, 658U, 2986U, 
-    851U, 2015U, 670U, 3591U, 3198U, 1180U, 2436U, 756U, 
-    3677U, 3033U, 941U, 2207U, 695U, 3620U, 2996U, 861U, 
-    2025U, 682U, 3600U, 3064U, 1037U, 2314U, 733U, 3648U, 
-    646U, 720U, 3208U, 1190U, 2446U, 768U, 3686U, 3043U, 
-    951U, 2217U, 707U, 3629U, 3073U, 1046U, 2332U, 744U, 
-    3656U, 9730U, 12867U, 10350U, 13467U, 7521U, 8130U, 7655U, 
-    8254U, 9578U, 12700U, 10198U, 13307U, 9519U, 12641U, 10139U, 
-    13248U, 9353U, 12467U, 9973U, 13074U, 9546U, 12668U, 10166U, 
-    13275U, 9740U, 12877U, 10360U, 13477U, 9590U, 12712U, 10210U, 
-    13319U, 9537U, 12659U, 10157U, 13266U, 9363U, 12477U, 9983U, 
-    13084U, 9557U, 12679U, 10177U, 13286U, 9721U, 12850U, 10341U, 
-    13450U, 10787U, 9757U, 10648U, 13756U, 9489U, 12611U, 10109U, 
-    13218U, 10771U, 13895U, 9713U, 12842U, 10333U, 13442U, 11109U, 
-    11302U, 4179U, 12248U, 4506U, 13940U, 3917U, 11949U, 4310U, 
-    12915U, 4048U, 12094U, 4408U, 13515U, 4088U, 12146U, 4443U, 
-    13666U, 3826U, 11856U, 4247U, 12498U, 3957U, 12001U, 4345U, 
-    13105U, 4161U, 12232U, 4488U, 13903U, 3899U, 11933U, 4292U, 
-    12859U, 4030U, 12078U, 4390U, 13459U, 4170U, 12240U, 4497U, 
-    13922U, 3908U, 11941U, 4301U, 12897U, 4039U, 12086U, 4399U, 
-    13497U, 9528U, 12650U, 10148U, 13257U, 8917U, 11429U, 8681U, 
-    11093U, 8805U, 1729U, 11286U, 3384U, 1743U, 6963U, 6307U, 
-    5247U, 5105U, 5137U, 5168U, 5406U, 5420U, 6488U, 5141U, 
-    10522U, 13622U, 9309U, 12423U, 9929U, 13030U, 10583U, 13691U, 
-    9412U, 12534U, 10032U, 13141U, 10548U, 13648U, 9335U, 12449U, 
-    9955U, 13056U, 10594U, 13702U, 9423U, 12545U, 10043U, 13152U, 
-    10638U, 13746U, 9479U, 12601U, 10099U, 13208U, 10668U, 13776U, 
-    9509U, 12631U, 10129U, 13238U, 10605U, 13713U, 9434U, 12556U, 
-    10054U, 13163U, 10695U, 13812U, 9619U, 12741U, 10239U, 13348U, 
-    10616U, 13724U, 9445U, 12567U, 10065U, 13174U, 10627U, 13735U, 
-    9456U, 12578U, 10076U, 13185U, 10705U, 13829U, 9638U, 12767U, 
-    10258U, 13367U, 10734U, 13858U, 9676U, 12805U, 10296U, 13405U, 
-    10822U, 13911U, 9792U, 12886U, 10385U, 13486U, 10716U, 13840U, 
-    9649U, 12778U, 10269U, 13378U, 10745U, 13869U, 9687U, 12816U, 
-    10307U, 13416U, 10841U, 13930U, 9811U, 12905U, 10404U, 13505U, 
-    1017U, 2304U, 3054U, 1027U, 3639U, 989U, 2267U, 26U, 
-    892U, 2105U, 2164U, 881U, 2116U, 2175U, 904U, 2127U, 
-    2186U, 3348U, 7826U, 4125U, 12199U, 8425U, 4470U, 10726U, 
-    13850U, 6839U, 1370U, 7564U, 3863U, 11900U, 8180U, 4274U, 
-    9668U, 12797U, 6647U, 1453U, 1554U, 3994U, 12045U, 1676U, 
-    4372U, 10288U, 13397U, 6743U, 3772U, 7986U, 12321U, 8559U, 
-    10943U, 14045U, 6930U, 3167U, 1140U, 2383U, 3671U, 4925U, 
-    10656U, 13764U, 9497U, 12619U, 10117U, 13226U, 4937U, 10932U, 
-    14028U, 843U, 2007U, 6267U, 7126U, 5196U, 5213U, 4894U, 
-    6438U, 7076U, 8888U, 11370U, 11513U, 11170U, 8652U, 11025U, 
-    9022U, 11533U, 8754U, 11200U, 8779U, 11227U, 9047U, 11553U, 
-    8999U, 11490U, 8747U, 11155U, 8876U, 11348U, 9084U, 11594U, 
-    7136U, 6257U, 2228U, 1787U, 2697U, 1805U, 2715U, 11004U, 
-    6399U, 7146U, 7434U, 8914U, 7413U, 8678U, 2197U, 7445U, 
-    8933U, 7424U, 8697U, 2278U, 6230U, 7156U, 5125U, 7166U, 
-    6534U, 8998U, 11489U, 8746U, 11154U, 8875U, 11347U, 9083U, 
-    11593U, 8925U, 11437U, 10686U, 8080U, 4209U, 13803U, 8637U, 
-    4700U, 8689U, 11101U, 9610U, 8070U, 4187U, 12732U, 8627U, 
-    4634U, 8813U, 11294U, 10230U, 1614U, 4198U, 13339U, 1717U, 
-    4667U, 9070U, 11580U, 3038U, 8418U, 13822U, 946U, 8173U, 
-    12760U, 3625U, 8553U, 14039U, 8900U, 11377U, 11523U, 11180U, 
-    8664U, 11032U, 9032U, 11543U, 8764U, 11210U, 8791U, 11234U, 
-    9058U, 11559U, 6545U, 51U, 1955U, 6271U, 5191U, 1171U, 
-    2416U, 5390U, 781U, 1960U, 823U, 1987U, 833U, 1997U, 
-    3191U, 1164U, 2390U, 11789U, 66U, 1871U, 2791U, 56U, 
-    1861U, 2781U, 72U, 1877U, 2797U, 61U, 1866U, 2786U, 
-    7191U, 1305U, 2596U, 84U, 1889U, 2809U, 78U, 1883U, 
-    2803U, 101U, 1906U, 2826U, 89U, 1894U, 2814U, 106U, 
-    1911U, 2831U, 8936U, 11445U, 8700U, 11118U, 8821U, 11311U, 
-    127U, 1932U, 2852U, 95U, 1900U, 2820U, 116U, 1921U, 
-    2841U, 133U, 1938U, 2858U, 144U, 1949U, 2869U, 111U, 
-    1916U, 2836U, 122U, 1927U, 2847U, 7196U, 139U, 1944U, 
-    2864U, 5337U, 10755U, 13879U, 9697U, 12826U, 10317U, 13426U, 
-    3022U, 4924U, 930U, 2153U, 3610U, 4936U, 10779U, 9749U, 
-    11363U, 11018U, 11190U, 11220U, 5305U, 2080U, 10798U, 9768U, 
-    10806U, 9776U, 10369U, 8943U, 8707U, 8828U, 10814U, 9784U, 
-    10377U, 8959U, 8723U, 8844U, 8975U, 11466U, 9006U, 11497U, 
-    7768U, 4097U, 12154U, 7495U, 3835U, 11864U, 1524U, 3966U, 
-    12009U, 7934U, 12297U, 7781U, 4111U, 12167U, 7508U, 3849U, 
-    11877U, 1539U, 3980U, 12022U, 7946U, 12309U, 8883U, 8647U, 
-    8774U, 9042U, 8895U, 8659U, 8786U, 9053U, 7879U, 4148U, 
-    12220U, 7617U, 3886U, 11921U, 1579U, 4017U, 12066U, 8033U, 
-    12340U, 7103U, 7747U, 4065U, 12125U, 7474U, 3803U, 11835U, 
-    1499U, 3934U, 11980U, 7915U, 12278U, 7866U, 4134U, 12207U, 
-    7604U, 3872U, 11908U, 1564U, 4003U, 12053U, 8021U, 12328U, 
-    5081U, 6192U, 6318U, 6980U, 6297U, 5241U, 5234U, 6151U, 
-    6311U, 6967U, 6216U, 6336U, 7004U, 10678U, 13795U, 9602U, 
-    12724U, 10222U, 13331U, 10833U, 9803U, 10396U, 9214U, 11706U, 
-    2978U, 815U, 1979U, 3584U, 10851U, 13947U, 9821U, 12922U, 
-    10414U, 13522U, 6222U, 6505U, 0U, 3442U, 3232U, 7900U, 
-    12263U, 14121U, 7260U, 3269U, 8482U, 14259U, 10888U, 13984U, 
-    6863U, 14145U, 10996U, 14097U, 1767U, 3219U, 11757U, 11773U, 
-    7638U, 11964U, 14105U, 7220U, 3256U, 37U, 7323U, 7379U, 
-    8237U, 2653U, 14234U, 9867U, 12968U, 6671U, 14129U, 10980U, 
-    14081U, 3432U, 1777U, 2687U, 4783U, 11765U, 11781U, 1604U, 
-    12109U, 14113U, 7250U, 7230U, 7240U, 7270U, 7331U, 7387U, 
-    8329U, 1707U, 10460U, 13560U, 6767U, 14137U, 10988U, 14089U, 
-    4792U, 3245U, 8052U, 12359U, 7037U, 7279U, 3282U, 8609U, 
-    14283U, 10973U, 7024U, 14074U, 7050U, 6952U, 12180U, 10595U, 
-    11890U, 9424U, 12035U, 10044U, 11039U, 5099U, 6210U, 6330U, 
-    6998U, 4744U, 4833U, 3396U, 4711U, 3452U, 4800U, 3420U, 
-    1755U, 4733U, 3476U, 1849U, 4822U, 4755U, 4844U, 4766U, 
-    4855U, 3408U, 4722U, 3464U, 4811U, 6342U, 6370U, 6356U, 
-    6383U, 8926U, 11438U, 8690U, 11102U, 8814U, 11295U, 9071U, 
-    11581U, 9893U, 12994U, 10486U, 13586U, 8907U, 11414U, 8671U, 
-    11078U, 8798U, 11271U, 9064U, 11574U, 6302U, 2875U, 2919U, 
-    3496U, 3540U, 2897U, 2941U, 3518U, 3562U, 2887U, 2931U, 
-    3508U, 3552U, 2909U, 2953U, 3530U, 3574U, 6186U, 4872U, 
-    7202U, 7287U, 7305U, 7339U, 7395U, 6974U, 4881U, 7211U, 
-    7296U, 7314U, 7348U, 7404U, 8991U, 11482U, 8739U, 11147U, 
-    8868U, 11340U, 9077U, 11587U, 3358U, 7859U, 4140U, 12213U, 
-    8458U, 4480U, 10764U, 13888U, 6852U, 1380U, 7597U, 3878U, 
-    11914U, 7366U, 8213U, 4284U, 9706U, 12835U, 6660U, 1463U, 
-    1570U, 4009U, 12059U, 1687U, 4382U, 10326U, 13435U, 6756U, 
-    3780U, 8015U, 12334U, 8588U, 4539U, 10951U, 14053U, 6942U, 
-    11812U, 13976U, 11804U, 12951U, 11820U, 14067U, 5093U, 6204U, 
-    6992U, 9659U, 12788U, 10279U, 13388U, 9850U, 12959U, 10443U, 
-    13551U, 11452U, 9300U, 12414U, 11125U, 9262U, 12376U, 11318U, 
-    9281U, 12395U, 2971U, 799U, 3108U, 1081U, 3125U, 1098U, 
-    3015U, 923U, 2146U, 3142U, 1115U, 2358U, 3159U, 1132U, 
-    2375U, 3183U, 1156U, 3714U, 11421U, 9290U, 12404U, 3696U, 
-    11085U, 9252U, 12366U, 3293U, 1443U, 3705U, 11278U, 9271U, 
-    12385U, 2963U, 791U, 3090U, 1063U, 3099U, 1072U, 3116U, 
-    1089U, 3007U, 915U, 2138U, 3133U, 1106U, 2349U, 3150U, 
-    1123U, 2366U, 3174U, 1147U, 3376U, 1481U, 286U, 5581U, 
-    7802U, 534U, 5861U, 8394U, 158U, 5437U, 7540U, 406U, 
-    5717U, 8149U, 222U, 5509U, 7674U, 470U, 5789U, 8273U, 
-    349U, 5652U, 7965U, 597U, 5932U, 8532U, 318U, 5617U, 
-    7842U, 566U, 5897U, 8441U, 190U, 5473U, 7580U, 438U, 
-    5753U, 8196U, 254U, 5545U, 7706U, 502U, 5825U, 8305U, 
-    377U, 5684U, 8000U, 625U, 5964U, 8573U, 5259U, 2036U, 
-    5277U, 2058U, 6412U, 5145U, 11404U, 11068U, 11261U, 11394U, 
-    11058U, 11251U, 5158U, 6290U, 12255U, 11956U, 12101U, 12351U, 
-    7090U, 1228U, 2484U, 1254U, 2510U, 2557U, 1292U, 2583U, 
-    7115U, 1215U, 2471U, 1241U, 2497U, 2544U, 1279U, 2570U, 
-    6152U, 6312U, 6968U, 6217U, 6337U, 7005U, 7063U, 302U, 
-    5599U, 7818U, 550U, 5879U, 8410U, 174U, 5455U, 7556U, 
-    422U, 5735U, 8165U, 238U, 5527U, 7690U, 486U, 5807U, 
-    8289U, 363U, 5668U, 7979U, 611U, 5948U, 8546U, 334U, 
-    5635U, 7858U, 582U, 5915U, 8457U, 206U, 5491U, 7596U, 
-    454U, 5771U, 8212U, 270U, 5563U, 7722U, 518U, 5843U, 
-    8321U, 391U, 5700U, 8014U, 639U, 5980U, 8587U, 7646U, 
-    8245U, 7730U, 8337U, 6247U, 5342U, 278U, 5572U, 7794U, 
-    526U, 5852U, 8386U, 150U, 5428U, 7532U, 398U, 5708U, 
-    8141U, 214U, 5500U, 7666U, 462U, 5780U, 8265U, 342U, 
-    5644U, 7958U, 590U, 5924U, 8525U, 5132U, 310U, 5608U, 
-    7834U, 558U, 5888U, 8433U, 182U, 5464U, 7572U, 430U, 
-    5744U, 8188U, 246U, 5536U, 7698U, 494U, 5816U, 8297U, 
-    370U, 5676U, 7993U, 618U, 5956U, 8566U, 9911U, 13012U, 
-    10504U, 13604U, 3303U, 7739U, 4056U, 12117U, 8346U, 4416U, 
-    10532U, 13632U, 6779U, 1325U, 7466U, 3794U, 11827U, 8090U, 
-    4220U, 9319U, 12433U, 6587U, 1398U, 1489U, 3925U, 11972U, 
-    1626U, 4318U, 9939U, 13040U, 6683U, 3723U, 7908U, 12271U, 
-    8490U, 10896U, 13992U, 6875U, 3083U, 1056U, 2342U, 3665U, 
-    1201U, 2457U, 9111U, 11612U, 9090U, 11600U, 9118U, 11619U, 
-    11384U, 11048U, 11241U, 11565U, 9096U, 11606U, 9146U, 11647U, 
-    9125U, 11626U, 9152U, 11653U, 9132U, 11633U, 9158U, 11659U, 
-    9139U, 11640U, 9164U, 11665U, 9186U, 11687U, 9224U, 11711U, 
-    9171U, 11672U, 9193U, 11694U, 9231U, 11718U, 8951U, 8715U, 
-    8836U, 294U, 5590U, 7810U, 542U, 5870U, 8402U, 166U, 
-    5446U, 7548U, 414U, 5726U, 8157U, 230U, 5518U, 7682U, 
-    478U, 5798U, 8281U, 356U, 5660U, 7972U, 604U, 5940U, 
-    8539U, 6032U, 4590U, 6098U, 4678U, 5988U, 4546U, 6054U, 
-    4612U, 6010U, 4568U, 6076U, 4645U, 9884U, 12985U, 10477U, 
-    13577U, 326U, 5626U, 7850U, 574U, 5906U, 8449U, 198U, 
-    5482U, 7588U, 446U, 5762U, 8204U, 262U, 5554U, 7714U, 
-    510U, 5834U, 8313U, 384U, 5692U, 8007U, 632U, 5972U, 
-    8580U, 6043U, 4601U, 6109U, 4689U, 5999U, 4557U, 6065U, 
-    4623U, 6021U, 4579U, 6087U, 4656U, 9920U, 13021U, 10513U, 
-    13613U, 8967U, 8731U, 8852U, 6519U, 8983U, 11474U, 11139U, 
-    8860U, 11332U, 9014U, 11505U, 11162U, 11355U, 7176U, 5110U, 
-    5164U, 5185U, 5411U, 5424U, 5087U, 6198U, 6324U, 6986U, 
-    11459U, 11132U, 11325U, 9219U, 3312U, 7752U, 4070U, 12130U, 
-    8354U, 4425U, 10540U, 13640U, 6791U, 1334U, 7479U, 3808U, 
-    11840U, 8098U, 4229U, 9327U, 12441U, 6599U, 1407U, 1504U, 
-    3939U, 11985U, 1636U, 4327U, 9947U, 13048U, 6695U, 3730U, 
-    7920U, 12283U, 8497U, 4514U, 10903U, 13999U, 6886U, 6464U, 
-    6173U, 6396U, 6526U, 2406U, 6498U, 2397U, 9373U, 12487U, 
-    9993U, 13094U, 7357U, 2616U, 9177U, 2676U, 11678U, 2732U, 
-    7455U, 2627U, 8059U, 2640U, 8616U, 2663U, 3366U, 7891U, 
-    14196U, 8473U, 14246U, 10871U, 13967U, 1388U, 7629U, 14183U, 
-    8228U, 14221U, 9841U, 12942U, 1471U, 1593U, 14153U, 1696U, 
-    14168U, 10434U, 13542U, 3786U, 8044U, 14209U, 8601U, 7010U, 
-    14271U, 10957U, 14059U, 1314U, 2605U, 1822U, 2754U, 1833U, 
-    2765U, 6285U, 10861U, 13957U, 9831U, 12932U, 10424U, 13532U, 
-    9568U, 12690U, 10188U, 13297U, 4919U, 2523U, 6442U, 9208U, 
-    11700U, 9246U, 11724U, 6166U, 9199U, 5151U, 5397U, 806U, 
-    1970U, 6158U, 9102U, 9237U, 9384U, 12506U, 10004U, 13113U, 
-    5225U, 962U, 2240U, 872U, 2088U, 9467U, 12589U, 10087U, 
-    13196U, 5330U, 6251U, 4898U, 5189U, 4908U, 1267U, 2532U, 
-    5268U, 2047U, 5286U, 2069U, 6418U, 10566U, 13674U, 9395U, 
-    12517U, 10015U, 13124U, 10917U, 14013U, 11748U, 10659U, 13767U, 
-    11730U, 2743U, 9500U, 12622U, 11739U, 10120U, 13229U, 10935U, 
-    14031U, 5115U, 5061U, 6424U, 4947U, 5071U, 6573U, 6493U, 
-    3357U, 7871U, 4139U, 12212U, 8465U, 4479U, 10763U, 13887U, 
-    6851U, 1379U, 7609U, 3877U, 11913U, 8220U, 4283U, 9705U, 
-    12834U, 6659U, 1462U, 1569U, 4008U, 12058U, 1686U, 4381U, 
-    10325U, 13434U, 6755U, 3779U, 8026U, 12333U, 8594U, 4538U, 
-    10950U, 14052U, 6941U, 6405U, 2323U, 5311U, 2097U, 6549U, 
-    2425U, 6580U, 45U, 3488U, 5252U, 
+static const uint16_t x86_16_bit_eq_lookup[] = {
+
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 1, 2, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 0, 
+	13, 14, 0, 15, 16, 17, 18, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 19, 20, 21, 22, 23, 24, 
+	25, 26, 27, 28, 29, 30, 31, 0, 32, 33, 0, 0, 
+	34, 35, 36, 37, 38, 39, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 40, 41, 42, 43, 44, 
+	45, 46, 47, 48, 49, 0, 50, 51, 0, 52, 53, 54, 
+	55, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 56, 0, 0, 57, 58, 59, 60, 0, 
+	0, 61, 62, 63, 64, 0, 0, 0, 0, 0, 0, 65, 
+	66, 67, 68, 69, 70, 71, 72, 0, 0, 0, 0, 73, 
+	74, 75, 76, 77, 78, 79, 80, 0, 0, 0, 0, 81, 
+	82, 83, 84, 85, 86, 87, 88, 0, 0, 0, 0, 89, 
+	90, 91, 92, 93, 94, 95, 96, 0, 0, 0, 0, 0, 
+	0, 97, 98, 99, 0, 100, 0, 101, 0, 0, 0, 0, 
+	0, 102, 0, 0, 0, 0, 0, 0, 103, 104, 105, 106, 
+	0, 0, 107, 108, 109, 110, 0, 0, 111, 112, 113, 114, 
+	0, 0, 115, 116, 117, 118, 0, 0, 119, 120, 121, 122, 
+	0, 0, 123, 124, 125, 126, 0, 0, 127, 128, 129, 130, 
+	0, 0, 131, 132, 133, 134, 0, 0, 135, 136, 137, 138, 
+	0, 0, 139, 140, 141, 142, 0, 0, 143, 144, 145, 146, 
+	0, 0, 147, 148, 149, 150, 0, 0, 151, 152, 153, 154, 
+	0, 0, 155, 156, 157, 158, 0, 0, 159, 160, 161, 162, 
+	0, 0, 163, 164, 165, 166, 0, 0, 0, 167, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 168, 169, 170, 171, 
+	172, 173, 174, 175, 176, 177, 0, 178, 179, 0, 180, 181, 
+	182, 183, 0, 0, 0, 0, 0, 0, 0, 0, 184, 185, 
+	0, 0, 0, 0, 186, 187, 188, 189, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 190, 191, 
+	192, 0, 0, 193, 194, 195, 196, 0, 0, 0, 0, 197, 
+	198, 199, 200, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 201, 202, 0, 0, 0, 203, 204, 0, 0, 
+	0, 0, 0, 0, 205, 206, 207, 208, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 209, 210, 211, 212, 213, 214, 
+	215, 216, 217, 218, 219, 0, 220, 221, 0, 222, 0, 0, 
+	0, 0, 223, 224, 0, 0, 0, 0, 0, 225, 226, 227, 
+	0, 0, 228, 229, 230, 231, 0, 0, 0, 232, 0, 0, 
+	0, 233, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 234, 235, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 236, 237, 238, 239, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 240, 241, 242, 
+	243, 0, 0, 244, 245, 0, 0, 0, 246, 0, 247, 0, 
+	248, 0, 0, 0, 249, 0, 250, 251, 0, 252, 253, 0, 
+	254, 255, 0, 256, 257, 0, 0, 0, 0, 0, 0, 0, 
+	258, 259, 260, 0, 261, 262, 0, 0, 0, 0, 0, 263, 
+	264, 265, 0, 266, 267, 0, 0, 0, 268, 269, 0, 0, 
+	270, 271, 0, 0, 0, 0, 272, 273, 274, 0, 275, 276, 
+	0, 0, 0, 0, 0, 0, 277, 278, 279, 0, 280, 281, 
+	0, 0, 0, 0, 0, 282, 283, 284, 0, 285, 286, 0, 
+	0, 0, 287, 288, 0, 0, 0, 0, 289, 290, 0, 291, 
+	292, 0, 0, 0, 293, 294, 295, 296, 0, 297, 298, 0, 
+	0, 0, 299, 300, 0, 0, 0, 301, 302, 303, 304, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 305, 306, 0, 0, 307, 308, 309, 
+	310, 311, 0, 0, 0, 312, 0, 313, 314, 315, 316, 317, 
+	318, 319, 320, 321, 323, 0, 0, 0, 0, 325, 326, 327, 
+	328, 330, 0, 0, 0, 332, 0, 333, 334, 335, 336, 337, 
+	338, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 339, 340, 341, 342, 0, 0, 343, 
+	344, 0, 0, 0, 0, 345, 0, 346, 0, 347, 348, 0, 
+	349, 350, 0, 0, 0, 0, 0, 351, 0, 352, 0, 0, 
+	0, 0, 0, 0, 353, 354, 355, 356, 0, 0, 0, 0, 
+	0, 0, 0, 0, 357, 358, 359, 360, 0, 0, 0, 361, 
+	0, 0, 0, 362, 0, 0, 0, 363, 0, 0, 0, 364, 
+	0, 0, 0, 365, 366, 367, 368, 369, 370, 371, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 372, 373, 374, 375, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 376, 377, 
+	378, 379, 0, 380, 381, 382, 383, 384, 385, 0, 386, 387, 
+	0, 388, 389, 390, 391, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 392, 393, 0, 0, 0, 394, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 395, 396, 397, 
+	398, 399, 400, 0, 401, 0, 402, 0, 403, 0, 404, 405, 
+	0, 406, 407, 0, 408, 409, 0, 410, 0, 0, 0, 0, 
+	411, 412, 413, 414, 0, 415, 416, 417, 418, 419, 0, 420, 
+	0, 421, 0, 422, 0, 423, 0, 424, 425, 0, 426, 427, 
+	0, 428, 429, 0, 430, 0, 431, 0, 0, 0, 0, 0, 
+	0, 432, 433, 434, 435, 436, 437, 438, 439, 440, 441, 442, 
+	443, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 
+	455, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 456, 457, 0, 458, 459, 0, 0, 0, 460, 461, 
+	0, 0, 0, 0, 0, 0, 462, 0, 0, 0, 0, 0, 
+	0, 0, 463, 0, 0, 464, 465, 0, 466, 467, 0, 0, 
+	0, 0, 0, 0, 0, 0, 468, 469, 470, 471, 472, 473, 
+	474, 475, 476, 477, 478, 479, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 480, 481, 482, 483, 484, 485, 
+	486, 487, 488, 489, 490, 491, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	492, 493, 494, 495, 496, 497, 498, 499, 500, 501, 502, 503, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 
+	515, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 516, 517, 518, 519, 
+	520, 521, 522, 523, 524, 525, 0, 526, 527, 0, 528, 529, 
+	530, 531, 0, 0, 0, 0, 0, 0, 0, 0, 532, 533, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 534, 535, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	536, 537, 0, 0, 0, 0, 0, 0, 538, 539, 540, 541, 
+	542, 543, 544, 545, 546, 547, 548, 549, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 550, 551, 552, 553, 554, 555, 
+	556, 557, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	558, 559, 560, 561, 562, 563, 564, 565, 566, 567, 568, 569, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 570, 571, 
+	572, 573, 574, 575, 576, 577, 0, 0, 0, 0, 0, 578, 
+	579, 0, 0, 0, 580, 581, 582, 0, 0, 583, 584, 0, 
+	0, 0, 0, 0, 0, 585, 586, 0, 0, 587, 588, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 589, 590, 591, 
+	592, 593, 594, 595, 596, 597, 598, 0, 599, 600, 0, 601, 
+	602, 603, 604, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 605, 606, 607, 608, 609, 610, 611, 
+	612, 0, 0, 0, 0, 613, 614, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	615, 616, 617, 618, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 0, 0, 619, 620, 621, 622, 0, 0, 0, 0, 0, 
+	623, 0, 624, 625, 626, 627, 628, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0, 629, 630, 631, 632, 633, 634, 635, 636, 637, 638, 0, 
+	639, 640, 0, 641, 642, 643, 644, 0, 0, 0, 0, 0, 
+	0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 
+	0,
 };
 
 #endif // GET_INSTRINFO_MC_DESC
-
diff --git a/arch/X86/X86GenRegisterInfo.inc b/arch/X86/X86GenRegisterInfo.inc
index 7b20f5e..307707a 100644
--- a/arch/X86/X86GenRegisterInfo.inc
+++ b/arch/X86/X86GenRegisterInfo.inc
@@ -7,7 +7,7 @@
 \*===----------------------------------------------------------------------===*/
 
 /* Capstone Disassembly Engine, http://www.capstone-engine.org */
-/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013> */
+/* By Nguyen Anh Quynh <aquynh@gmail.com>, 2013-2014 */
 
 
 #ifdef GET_REGINFO_ENUM
@@ -95,235 +95,1415 @@
   X86_FP4 = 78,
   X86_FP5 = 79,
   X86_FP6 = 80,
-  X86_K0 = 81,
-  X86_K1 = 82,
-  X86_K2 = 83,
-  X86_K3 = 84,
-  X86_K4 = 85,
-  X86_K5 = 86,
-  X86_K6 = 87,
-  X86_K7 = 88,
-  X86_MM0 = 89,
-  X86_MM1 = 90,
-  X86_MM2 = 91,
-  X86_MM3 = 92,
-  X86_MM4 = 93,
-  X86_MM5 = 94,
-  X86_MM6 = 95,
-  X86_MM7 = 96,
-  X86_R8 = 97,
-  X86_R9 = 98,
-  X86_R10 = 99,
-  X86_R11 = 100,
-  X86_R12 = 101,
-  X86_R13 = 102,
-  X86_R14 = 103,
-  X86_R15 = 104,
-  X86_ST0 = 105,
-  X86_ST1 = 106,
-  X86_ST2 = 107,
-  X86_ST3 = 108,
-  X86_ST4 = 109,
-  X86_ST5 = 110,
-  X86_ST6 = 111,
-  X86_ST7 = 112,
-  X86_XMM0 = 113,
-  X86_XMM1 = 114,
-  X86_XMM2 = 115,
-  X86_XMM3 = 116,
-  X86_XMM4 = 117,
-  X86_XMM5 = 118,
-  X86_XMM6 = 119,
-  X86_XMM7 = 120,
-  X86_XMM8 = 121,
-  X86_XMM9 = 122,
-  X86_XMM10 = 123,
-  X86_XMM11 = 124,
-  X86_XMM12 = 125,
-  X86_XMM13 = 126,
-  X86_XMM14 = 127,
-  X86_XMM15 = 128,
-  X86_XMM16 = 129,
-  X86_XMM17 = 130,
-  X86_XMM18 = 131,
-  X86_XMM19 = 132,
-  X86_XMM20 = 133,
-  X86_XMM21 = 134,
-  X86_XMM22 = 135,
-  X86_XMM23 = 136,
-  X86_XMM24 = 137,
-  X86_XMM25 = 138,
-  X86_XMM26 = 139,
-  X86_XMM27 = 140,
-  X86_XMM28 = 141,
-  X86_XMM29 = 142,
-  X86_XMM30 = 143,
-  X86_XMM31 = 144,
-  X86_YMM0 = 145,
-  X86_YMM1 = 146,
-  X86_YMM2 = 147,
-  X86_YMM3 = 148,
-  X86_YMM4 = 149,
-  X86_YMM5 = 150,
-  X86_YMM6 = 151,
-  X86_YMM7 = 152,
-  X86_YMM8 = 153,
-  X86_YMM9 = 154,
-  X86_YMM10 = 155,
-  X86_YMM11 = 156,
-  X86_YMM12 = 157,
-  X86_YMM13 = 158,
-  X86_YMM14 = 159,
-  X86_YMM15 = 160,
-  X86_YMM16 = 161,
-  X86_YMM17 = 162,
-  X86_YMM18 = 163,
-  X86_YMM19 = 164,
-  X86_YMM20 = 165,
-  X86_YMM21 = 166,
-  X86_YMM22 = 167,
-  X86_YMM23 = 168,
-  X86_YMM24 = 169,
-  X86_YMM25 = 170,
-  X86_YMM26 = 171,
-  X86_YMM27 = 172,
-  X86_YMM28 = 173,
-  X86_YMM29 = 174,
-  X86_YMM30 = 175,
-  X86_YMM31 = 176,
-  X86_ZMM0 = 177,
-  X86_ZMM1 = 178,
-  X86_ZMM2 = 179,
-  X86_ZMM3 = 180,
-  X86_ZMM4 = 181,
-  X86_ZMM5 = 182,
-  X86_ZMM6 = 183,
-  X86_ZMM7 = 184,
-  X86_ZMM8 = 185,
-  X86_ZMM9 = 186,
-  X86_ZMM10 = 187,
-  X86_ZMM11 = 188,
-  X86_ZMM12 = 189,
-  X86_ZMM13 = 190,
-  X86_ZMM14 = 191,
-  X86_ZMM15 = 192,
-  X86_ZMM16 = 193,
-  X86_ZMM17 = 194,
-  X86_ZMM18 = 195,
-  X86_ZMM19 = 196,
-  X86_ZMM20 = 197,
-  X86_ZMM21 = 198,
-  X86_ZMM22 = 199,
-  X86_ZMM23 = 200,
-  X86_ZMM24 = 201,
-  X86_ZMM25 = 202,
-  X86_ZMM26 = 203,
-  X86_ZMM27 = 204,
-  X86_ZMM28 = 205,
-  X86_ZMM29 = 206,
-  X86_ZMM30 = 207,
-  X86_ZMM31 = 208,
-  X86_R8B = 209,
-  X86_R9B = 210,
-  X86_R10B = 211,
-  X86_R11B = 212,
-  X86_R12B = 213,
-  X86_R13B = 214,
-  X86_R14B = 215,
-  X86_R15B = 216,
-  X86_R8D = 217,
-  X86_R9D = 218,
-  X86_R10D = 219,
-  X86_R11D = 220,
-  X86_R12D = 221,
-  X86_R13D = 222,
-  X86_R14D = 223,
-  X86_R15D = 224,
-  X86_R8W = 225,
-  X86_R9W = 226,
-  X86_R10W = 227,
-  X86_R11W = 228,
-  X86_R12W = 229,
-  X86_R13W = 230,
-  X86_R14W = 231,
-  X86_R15W = 232,
-  X86_NUM_TARGET_REGS 	// 233
+  X86_FP7 = 81,
+  X86_K0 = 82,
+  X86_K1 = 83,
+  X86_K2 = 84,
+  X86_K3 = 85,
+  X86_K4 = 86,
+  X86_K5 = 87,
+  X86_K6 = 88,
+  X86_K7 = 89,
+  X86_MM0 = 90,
+  X86_MM1 = 91,
+  X86_MM2 = 92,
+  X86_MM3 = 93,
+  X86_MM4 = 94,
+  X86_MM5 = 95,
+  X86_MM6 = 96,
+  X86_MM7 = 97,
+  X86_R8 = 98,
+  X86_R9 = 99,
+  X86_R10 = 100,
+  X86_R11 = 101,
+  X86_R12 = 102,
+  X86_R13 = 103,
+  X86_R14 = 104,
+  X86_R15 = 105,
+  X86_ST0 = 106,
+  X86_ST1 = 107,
+  X86_ST2 = 108,
+  X86_ST3 = 109,
+  X86_ST4 = 110,
+  X86_ST5 = 111,
+  X86_ST6 = 112,
+  X86_ST7 = 113,
+  X86_XMM0 = 114,
+  X86_XMM1 = 115,
+  X86_XMM2 = 116,
+  X86_XMM3 = 117,
+  X86_XMM4 = 118,
+  X86_XMM5 = 119,
+  X86_XMM6 = 120,
+  X86_XMM7 = 121,
+  X86_XMM8 = 122,
+  X86_XMM9 = 123,
+  X86_XMM10 = 124,
+  X86_XMM11 = 125,
+  X86_XMM12 = 126,
+  X86_XMM13 = 127,
+  X86_XMM14 = 128,
+  X86_XMM15 = 129,
+  X86_XMM16 = 130,
+  X86_XMM17 = 131,
+  X86_XMM18 = 132,
+  X86_XMM19 = 133,
+  X86_XMM20 = 134,
+  X86_XMM21 = 135,
+  X86_XMM22 = 136,
+  X86_XMM23 = 137,
+  X86_XMM24 = 138,
+  X86_XMM25 = 139,
+  X86_XMM26 = 140,
+  X86_XMM27 = 141,
+  X86_XMM28 = 142,
+  X86_XMM29 = 143,
+  X86_XMM30 = 144,
+  X86_XMM31 = 145,
+  X86_YMM0 = 146,
+  X86_YMM1 = 147,
+  X86_YMM2 = 148,
+  X86_YMM3 = 149,
+  X86_YMM4 = 150,
+  X86_YMM5 = 151,
+  X86_YMM6 = 152,
+  X86_YMM7 = 153,
+  X86_YMM8 = 154,
+  X86_YMM9 = 155,
+  X86_YMM10 = 156,
+  X86_YMM11 = 157,
+  X86_YMM12 = 158,
+  X86_YMM13 = 159,
+  X86_YMM14 = 160,
+  X86_YMM15 = 161,
+  X86_YMM16 = 162,
+  X86_YMM17 = 163,
+  X86_YMM18 = 164,
+  X86_YMM19 = 165,
+  X86_YMM20 = 166,
+  X86_YMM21 = 167,
+  X86_YMM22 = 168,
+  X86_YMM23 = 169,
+  X86_YMM24 = 170,
+  X86_YMM25 = 171,
+  X86_YMM26 = 172,
+  X86_YMM27 = 173,
+  X86_YMM28 = 174,
+  X86_YMM29 = 175,
+  X86_YMM30 = 176,
+  X86_YMM31 = 177,
+  X86_ZMM0 = 178,
+  X86_ZMM1 = 179,
+  X86_ZMM2 = 180,
+  X86_ZMM3 = 181,
+  X86_ZMM4 = 182,
+  X86_ZMM5 = 183,
+  X86_ZMM6 = 184,
+  X86_ZMM7 = 185,
+  X86_ZMM8 = 186,
+  X86_ZMM9 = 187,
+  X86_ZMM10 = 188,
+  X86_ZMM11 = 189,
+  X86_ZMM12 = 190,
+  X86_ZMM13 = 191,
+  X86_ZMM14 = 192,
+  X86_ZMM15 = 193,
+  X86_ZMM16 = 194,
+  X86_ZMM17 = 195,
+  X86_ZMM18 = 196,
+  X86_ZMM19 = 197,
+  X86_ZMM20 = 198,
+  X86_ZMM21 = 199,
+  X86_ZMM22 = 200,
+  X86_ZMM23 = 201,
+  X86_ZMM24 = 202,
+  X86_ZMM25 = 203,
+  X86_ZMM26 = 204,
+  X86_ZMM27 = 205,
+  X86_ZMM28 = 206,
+  X86_ZMM29 = 207,
+  X86_ZMM30 = 208,
+  X86_ZMM31 = 209,
+  X86_R8B = 210,
+  X86_R9B = 211,
+  X86_R10B = 212,
+  X86_R11B = 213,
+  X86_R12B = 214,
+  X86_R13B = 215,
+  X86_R14B = 216,
+  X86_R15B = 217,
+  X86_R8D = 218,
+  X86_R9D = 219,
+  X86_R10D = 220,
+  X86_R11D = 221,
+  X86_R12D = 222,
+  X86_R13D = 223,
+  X86_R14D = 224,
+  X86_R15D = 225,
+  X86_R8W = 226,
+  X86_R9W = 227,
+  X86_R10W = 228,
+  X86_R11W = 229,
+  X86_R12W = 230,
+  X86_R13W = 231,
+  X86_R14W = 232,
+  X86_R15W = 233,
+  X86_NUM_TARGET_REGS 	// 234
 };
 
 // Register classes
 enum {
-  X86_VK1RegClassID = 0,
-  X86_VK1WMRegClassID = 1,
-  X86_GR8RegClassID = 2,
-  X86_GR8_NOREXRegClassID = 3,
-  X86_GR8_ABCD_HRegClassID = 4,
-  X86_GR8_ABCD_LRegClassID = 5,
-  X86_VK8RegClassID = 6,
-  X86_VK8WMRegClassID = 7,
-  X86_GR16RegClassID = 8,
-  X86_GR16_NOREXRegClassID = 9,
-  X86_VK16RegClassID = 10,
+  X86_GR8RegClassID = 0,
+  X86_GR8_NOREXRegClassID = 1,
+  X86_GR8_ABCD_HRegClassID = 2,
+  X86_GR8_ABCD_LRegClassID = 3,
+  X86_GR16RegClassID = 4,
+  X86_GR16_NOREXRegClassID = 5,
+  X86_VK1RegClassID = 6,
+  X86_VK16RegClassID = 7,
+  X86_VK2RegClassID = 8,
+  X86_VK4RegClassID = 9,
+  X86_VK8RegClassID = 10,
   X86_VK16WMRegClassID = 11,
-  X86_SEGMENT_REGRegClassID = 12,
-  X86_GR16_ABCDRegClassID = 13,
-  X86_FPCCRRegClassID = 14,
-  X86_FR32XRegClassID = 15,
-  X86_FR32RegClassID = 16,
-  X86_GR32RegClassID = 17,
-  X86_GR32_NOAXRegClassID = 18,
-  X86_GR32_NOSPRegClassID = 19,
-  X86_GR32_NOAX_and_GR32_NOSPRegClassID = 20,
-  X86_DEBUG_REGRegClassID = 21,
-  X86_GR32_NOREXRegClassID = 22,
-  X86_GR32_NOAX_and_GR32_NOREXRegClassID = 23,
-  X86_GR32_NOREX_NOSPRegClassID = 24,
-  X86_RFP32RegClassID = 25,
-  X86_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 26,
-  X86_GR32_ABCDRegClassID = 27,
-  X86_GR32_ABCD_and_GR32_NOAXRegClassID = 28,
-  X86_GR32_TCRegClassID = 29,
-  X86_GR32_ADRegClassID = 30,
-  X86_GR32_NOAX_and_GR32_TCRegClassID = 31,
-  X86_CCRRegClassID = 32,
-  X86_GR32_AD_and_GR32_NOAXRegClassID = 33,
-  X86_RFP64RegClassID = 34,
-  X86_FR64XRegClassID = 35,
-  X86_GR64RegClassID = 36,
-  X86_CONTROL_REGRegClassID = 37,
-  X86_FR64RegClassID = 38,
-  X86_GR64_with_sub_8bitRegClassID = 39,
-  X86_GR64_NOSPRegClassID = 40,
-  X86_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 41,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPRegClassID = 42,
-  X86_GR64_NOREXRegClassID = 43,
-  X86_GR64_TCRegClassID = 44,
-  X86_GR64_NOSP_and_GR64_TCRegClassID = 45,
-  X86_GR64_with_sub_16bit_in_GR16_NOREXRegClassID = 46,
-  X86_VR64RegClassID = 47,
-  X86_GR64_NOREX_NOSPRegClassID = 48,
-  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 49,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 50,
-  X86_GR64_NOREX_and_GR64_TCRegClassID = 51,
-  X86_GR64_TCW64RegClassID = 52,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 53,
-  X86_GR64_NOREX_NOSP_and_GR64_TCRegClassID = 54,
-  X86_GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 55,
-  X86_GR64_ABCDRegClassID = 56,
-  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 57,
-  X86_GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXRegClassID = 58,
-  X86_GR64_with_sub_32bit_in_GR32_TCRegClassID = 59,
-  X86_GR64_with_sub_32bit_in_GR32_ADRegClassID = 60,
-  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCRegClassID = 61,
-  X86_GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXRegClassID = 62,
-  X86_RSTRegClassID = 63,
-  X86_RFP80RegClassID = 64,
-  X86_VR128XRegClassID = 65,
-  X86_VR128RegClassID = 66,
-  X86_VR256XRegClassID = 67,
-  X86_VR256RegClassID = 68,
-  X86_VR512RegClassID = 69,
-  X86_VR512_with_sub_xmm_in_FR32RegClassID = 70
+  X86_VK1WMRegClassID = 12,
+  X86_VK2WMRegClassID = 13,
+  X86_VK4WMRegClassID = 14,
+  X86_VK8WMRegClassID = 15,
+  X86_SEGMENT_REGRegClassID = 16,
+  X86_GR16_ABCDRegClassID = 17,
+  X86_FPCCRRegClassID = 18,
+  X86_FR32XRegClassID = 19,
+  X86_FR32RegClassID = 20,
+  X86_GR32RegClassID = 21,
+  X86_GR32_NOAXRegClassID = 22,
+  X86_GR32_NOSPRegClassID = 23,
+  X86_GR32_NOAX_and_GR32_NOSPRegClassID = 24,
+  X86_DEBUG_REGRegClassID = 25,
+  X86_GR32_NOREXRegClassID = 26,
+  X86_VK32RegClassID = 27,
+  X86_GR32_NOAX_and_GR32_NOREXRegClassID = 28,
+  X86_GR32_NOREX_NOSPRegClassID = 29,
+  X86_RFP32RegClassID = 30,
+  X86_VK32WMRegClassID = 31,
+  X86_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 32,
+  X86_GR32_ABCDRegClassID = 33,
+  X86_GR32_ABCD_and_GR32_NOAXRegClassID = 34,
+  X86_GR32_TCRegClassID = 35,
+  X86_GR32_ADRegClassID = 36,
+  X86_GR32_NOAX_and_GR32_TCRegClassID = 37,
+  X86_CCRRegClassID = 38,
+  X86_GR32_AD_and_GR32_NOAXRegClassID = 39,
+  X86_RFP64RegClassID = 40,
+  X86_FR64XRegClassID = 41,
+  X86_GR64RegClassID = 42,
+  X86_CONTROL_REGRegClassID = 43,
+  X86_FR64RegClassID = 44,
+  X86_GR64_with_sub_8bitRegClassID = 45,
+  X86_GR64_NOSPRegClassID = 46,
+  X86_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 47,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPRegClassID = 48,
+  X86_GR64_NOREXRegClassID = 49,
+  X86_GR64_TCRegClassID = 50,
+  X86_GR64_NOSP_and_GR64_TCRegClassID = 51,
+  X86_GR64_with_sub_16bit_in_GR16_NOREXRegClassID = 52,
+  X86_VK64RegClassID = 53,
+  X86_VR64RegClassID = 54,
+  X86_GR64_NOREX_NOSPRegClassID = 55,
+  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 56,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 57,
+  X86_VK64WMRegClassID = 58,
+  X86_GR64_NOREX_and_GR64_TCRegClassID = 59,
+  X86_GR64_TCW64RegClassID = 60,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID = 61,
+  X86_GR64_NOREX_NOSP_and_GR64_TCRegClassID = 62,
+  X86_GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID = 63,
+  X86_GR64_ABCDRegClassID = 64,
+  X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID = 65,
+  X86_GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXRegClassID = 66,
+  X86_GR64_with_sub_32bit_in_GR32_TCRegClassID = 67,
+  X86_GR64_with_sub_32bit_in_GR32_ADRegClassID = 68,
+  X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCRegClassID = 69,
+  X86_GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXRegClassID = 70,
+  X86_RSTRegClassID = 71,
+  X86_RFP80RegClassID = 72,
+  X86_VR128XRegClassID = 73,
+  X86_VR128RegClassID = 74,
+  X86_VR256XRegClassID = 75,
+  X86_VR256RegClassID = 76,
+  X86_VR512RegClassID = 77,
+  X86_VR512_with_sub_xmm_in_FR32RegClassID = 78
 };
 
 #endif // GET_REGINFO_ENUM
 
+#ifdef GET_REGINFO_MC_DESC
+#undef GET_REGINFO_MC_DESC
+
+static MCPhysReg X86RegDiffLists[] = {
+  /* 0 */ 0, 1, 0,
+  /* 3 */ 2, 1, 0,
+  /* 6 */ 5, 1, 0,
+  /* 9 */ 65522, 16, 1, 0,
+  /* 13 */ 65522, 17, 1, 0,
+  /* 17 */ 65427, 1, 0,
+  /* 20 */ 65475, 1, 0,
+  /* 23 */ 65520, 65522, 1, 0,
+  /* 27 */ 65520, 65527, 1, 0,
+  /* 31 */ 8, 2, 0,
+  /* 34 */ 4, 0,
+  /* 36 */ 65521, 8, 0,
+  /* 39 */ 9, 0,
+  /* 41 */ 13, 0,
+  /* 43 */ 65535, 65519, 14, 0,
+  /* 47 */ 65535, 65520, 14, 0,
+  /* 51 */ 65528, 15, 0,
+  /* 54 */ 2, 6, 16, 0,
+  /* 58 */ 5, 6, 16, 0,
+  /* 62 */ 65535, 9, 16, 0,
+  /* 66 */ 2, 10, 16, 0,
+  /* 70 */ 3, 10, 16, 0,
+  /* 74 */ 3, 13, 16, 0,
+  /* 78 */ 4, 13, 16, 0,
+  /* 82 */ 65535, 14, 16, 0,
+  /* 86 */ 1, 16, 16, 0,
+  /* 90 */ 2, 16, 16, 0,
+  /* 94 */ 17, 0,
+  /* 96 */ 32, 32, 0,
+  /* 99 */ 65221, 0,
+  /* 101 */ 65381, 0,
+  /* 103 */ 65389, 0,
+  /* 105 */ 65397, 0,
+  /* 107 */ 16, 65528, 65416, 0,
+  /* 111 */ 65445, 0,
+  /* 113 */ 65477, 0,
+  /* 115 */ 65504, 65504, 0,
+  /* 118 */ 65509, 0,
+  /* 120 */ 120, 8, 65520, 0,
+  /* 124 */ 65523, 0,
+  /* 126 */ 65530, 0,
+  /* 128 */ 65531, 0,
+  /* 130 */ 65532, 0,
+  /* 132 */ 65520, 65530, 65534, 65533, 0,
+  /* 137 */ 65534, 0,
+  /* 139 */ 65520, 65523, 65533, 65535, 0,
+  /* 144 */ 65520, 65526, 65534, 65535, 0,
+  /* 149 */ 65520, 65520, 65535, 65535, 0,
+};
+
+static uint16_t X86SubRegIdxLists[] = {
+  /* 0 */ 4, 3, 1, 0,
+  /* 4 */ 4, 3, 1, 2, 0,
+  /* 9 */ 4, 3, 0,
+  /* 12 */ 6, 5, 0,
+};
+
+static MCRegisterDesc X86RegDesc[] = { // Descriptors
+  { 5, 0, 0, 0, 0 },
+  { 812, 2, 90, 3, 2273 },
+  { 840, 2, 86, 3, 2273 },
+  { 958, 151, 87, 6, 0 },
+  { 815, 2, 78, 3, 2193 },
+  { 843, 2, 74, 3, 2193 },
+  { 869, 1, 83, 2, 544 },
+  { 860, 2, 82, 3, 544 },
+  { 966, 141, 75, 6, 48 },
+  { 818, 2, 70, 3, 2081 },
+  { 846, 2, 66, 3, 2081 },
+  { 892, 2, 2, 3, 2081 },
+  { 974, 146, 67, 6, 96 },
+  { 821, 2, 58, 3, 2049 },
+  { 825, 1, 63, 2, 624 },
+  { 852, 2, 62, 3, 624 },
+  { 849, 2, 54, 3, 2017 },
+  { 895, 2, 2, 3, 2017 },
+  { 982, 134, 55, 6, 496 },
+  { 957, 150, 56, 5, 0 },
+  { 868, 24, 56, 1, 544 },
+  { 965, 140, 56, 5, 323 },
+  { 973, 145, 56, 5, 323 },
+  { 824, 28, 56, 1, 624 },
+  { 981, 133, 56, 5, 496 },
+  { 904, 2, 2, 3, 1985 },
+  { 876, 37, 52, 10, 1985 },
+  { 989, 2, 2, 3, 1985 },
+  { 898, 2, 2, 3, 1985 },
+  { 832, 10, 45, 1, 1985 },
+  { 884, 14, 45, 1, 1985 },
+  { 952, 2, 2, 3, 1985 },
+  { 901, 2, 2, 3, 1985 },
+  { 908, 2, 2, 3, 1985 },
+  { 877, 2, 51, 3, 656 },
+  { 961, 149, 2, 4, 0 },
+  { 872, 23, 2, 0, 544 },
+  { 969, 139, 2, 4, 275 },
+  { 977, 144, 2, 4, 275 },
+  { 828, 27, 2, 0, 624 },
+  { 985, 132, 2, 4, 496 },
+  { 880, 36, 2, 9, 1592 },
+  { 993, 2, 2, 3, 1592 },
+  { 836, 9, 2, 0, 1889 },
+  { 888, 13, 2, 0, 1889 },
+  { 833, 1, 48, 2, 896 },
+  { 856, 2, 47, 3, 896 },
+  { 885, 1, 44, 2, 1504 },
+  { 864, 2, 43, 3, 1504 },
+  { 911, 2, 2, 3, 1889 },
+  { 81, 2, 2, 3, 1889 },
+  { 174, 2, 2, 3, 1889 },
+  { 249, 2, 2, 3, 1889 },
+  { 324, 2, 2, 3, 1889 },
+  { 399, 2, 2, 3, 1889 },
+  { 474, 2, 2, 3, 1889 },
+  { 544, 2, 2, 3, 1889 },
+  { 614, 2, 2, 3, 1889 },
+  { 677, 2, 2, 3, 1889 },
+  { 732, 2, 2, 3, 1889 },
+  { 18, 2, 2, 3, 1889 },
+  { 111, 2, 2, 3, 1889 },
+  { 204, 2, 2, 3, 1889 },
+  { 279, 2, 2, 3, 1889 },
+  { 354, 2, 2, 3, 1889 },
+  { 429, 2, 2, 3, 1889 },
+  { 85, 2, 2, 3, 1889 },
+  { 178, 2, 2, 3, 1889 },
+  { 253, 2, 2, 3, 1889 },
+  { 328, 2, 2, 3, 1889 },
+  { 403, 2, 2, 3, 1889 },
+  { 478, 2, 2, 3, 1889 },
+  { 548, 2, 2, 3, 1889 },
+  { 618, 2, 2, 3, 1889 },
+  { 77, 2, 2, 3, 1889 },
+  { 170, 2, 2, 3, 1889 },
+  { 245, 2, 2, 3, 1889 },
+  { 320, 2, 2, 3, 1889 },
+  { 395, 2, 2, 3, 1889 },
+  { 470, 2, 2, 3, 1889 },
+  { 540, 2, 2, 3, 1889 },
+  { 610, 2, 2, 3, 1889 },
+  { 59, 2, 2, 3, 1889 },
+  { 152, 2, 2, 3, 1889 },
+  { 227, 2, 2, 3, 1889 },
+  { 302, 2, 2, 3, 1889 },
+  { 377, 2, 2, 3, 1889 },
+  { 452, 2, 2, 3, 1889 },
+  { 522, 2, 2, 3, 1889 },
+  { 592, 2, 2, 3, 1889 },
+  { 63, 2, 2, 3, 1889 },
+  { 156, 2, 2, 3, 1889 },
+  { 231, 2, 2, 3, 1889 },
+  { 306, 2, 2, 3, 1889 },
+  { 381, 2, 2, 3, 1889 },
+  { 456, 2, 2, 3, 1889 },
+  { 526, 2, 2, 3, 1889 },
+  { 596, 2, 2, 3, 1889 },
+  { 678, 120, 2, 0, 1889 },
+  { 733, 120, 2, 0, 1889 },
+  { 19, 120, 2, 0, 1889 },
+  { 112, 120, 2, 0, 1889 },
+  { 205, 120, 2, 0, 1889 },
+  { 280, 120, 2, 0, 1889 },
+  { 355, 120, 2, 0, 1889 },
+  { 430, 120, 2, 0, 1889 },
+  { 89, 2, 2, 3, 1889 },
+  { 182, 2, 2, 3, 1889 },
+  { 257, 2, 2, 3, 1889 },
+  { 332, 2, 2, 3, 1889 },
+  { 407, 2, 2, 3, 1889 },
+  { 482, 2, 2, 3, 1889 },
+  { 552, 2, 2, 3, 1889 },
+  { 622, 2, 2, 3, 1889 },
+  { 62, 2, 96, 3, 1889 },
+  { 155, 2, 96, 3, 1889 },
+  { 230, 2, 96, 3, 1889 },
+  { 305, 2, 96, 3, 1889 },
+  { 380, 2, 96, 3, 1889 },
+  { 455, 2, 96, 3, 1889 },
+  { 525, 2, 96, 3, 1889 },
+  { 595, 2, 96, 3, 1889 },
+  { 662, 2, 96, 3, 1889 },
+  { 717, 2, 96, 3, 1889 },
+  { 0, 2, 96, 3, 1889 },
+  { 93, 2, 96, 3, 1889 },
+  { 186, 2, 96, 3, 1889 },
+  { 261, 2, 96, 3, 1889 },
+  { 336, 2, 96, 3, 1889 },
+  { 411, 2, 96, 3, 1889 },
+  { 486, 2, 96, 3, 1889 },
+  { 556, 2, 96, 3, 1889 },
+  { 626, 2, 96, 3, 1889 },
+  { 681, 2, 96, 3, 1889 },
+  { 23, 2, 96, 3, 1889 },
+  { 116, 2, 96, 3, 1889 },
+  { 209, 2, 96, 3, 1889 },
+  { 284, 2, 96, 3, 1889 },
+  { 359, 2, 96, 3, 1889 },
+  { 434, 2, 96, 3, 1889 },
+  { 504, 2, 96, 3, 1889 },
+  { 574, 2, 96, 3, 1889 },
+  { 644, 2, 96, 3, 1889 },
+  { 699, 2, 96, 3, 1889 },
+  { 41, 2, 96, 3, 1889 },
+  { 134, 2, 96, 3, 1889 },
+  { 67, 116, 97, 13, 1809 },
+  { 160, 116, 97, 13, 1809 },
+  { 235, 116, 97, 13, 1809 },
+  { 310, 116, 97, 13, 1809 },
+  { 385, 116, 97, 13, 1809 },
+  { 460, 116, 97, 13, 1809 },
+  { 530, 116, 97, 13, 1809 },
+  { 600, 116, 97, 13, 1809 },
+  { 667, 116, 97, 13, 1809 },
+  { 722, 116, 97, 13, 1809 },
+  { 6, 116, 97, 13, 1809 },
+  { 99, 116, 97, 13, 1809 },
+  { 192, 116, 97, 13, 1809 },
+  { 267, 116, 97, 13, 1809 },
+  { 342, 116, 97, 13, 1809 },
+  { 417, 116, 97, 13, 1809 },
+  { 492, 116, 97, 13, 1809 },
+  { 562, 116, 97, 13, 1809 },
+  { 632, 116, 97, 13, 1809 },
+  { 687, 116, 97, 13, 1809 },
+  { 29, 116, 97, 13, 1809 },
+  { 122, 116, 97, 13, 1809 },
+  { 215, 116, 97, 13, 1809 },
+  { 290, 116, 97, 13, 1809 },
+  { 365, 116, 97, 13, 1809 },
+  { 440, 116, 97, 13, 1809 },
+  { 510, 116, 97, 13, 1809 },
+  { 580, 116, 97, 13, 1809 },
+  { 650, 116, 97, 13, 1809 },
+  { 705, 116, 97, 13, 1809 },
+  { 47, 116, 97, 13, 1809 },
+  { 140, 116, 97, 13, 1809 },
+  { 72, 115, 2, 12, 1777 },
+  { 165, 115, 2, 12, 1777 },
+  { 240, 115, 2, 12, 1777 },
+  { 315, 115, 2, 12, 1777 },
+  { 390, 115, 2, 12, 1777 },
+  { 465, 115, 2, 12, 1777 },
+  { 535, 115, 2, 12, 1777 },
+  { 605, 115, 2, 12, 1777 },
+  { 672, 115, 2, 12, 1777 },
+  { 727, 115, 2, 12, 1777 },
+  { 12, 115, 2, 12, 1777 },
+  { 105, 115, 2, 12, 1777 },
+  { 198, 115, 2, 12, 1777 },
+  { 273, 115, 2, 12, 1777 },
+  { 348, 115, 2, 12, 1777 },
+  { 423, 115, 2, 12, 1777 },
+  { 498, 115, 2, 12, 1777 },
+  { 568, 115, 2, 12, 1777 },
+  { 638, 115, 2, 12, 1777 },
+  { 693, 115, 2, 12, 1777 },
+  { 35, 115, 2, 12, 1777 },
+  { 128, 115, 2, 12, 1777 },
+  { 221, 115, 2, 12, 1777 },
+  { 296, 115, 2, 12, 1777 },
+  { 371, 115, 2, 12, 1777 },
+  { 446, 115, 2, 12, 1777 },
+  { 516, 115, 2, 12, 1777 },
+  { 586, 115, 2, 12, 1777 },
+  { 656, 115, 2, 12, 1777 },
+  { 711, 115, 2, 12, 1777 },
+  { 53, 115, 2, 12, 1777 },
+  { 146, 115, 2, 12, 1777 },
+  { 766, 2, 107, 3, 1681 },
+  { 770, 2, 107, 3, 1681 },
+  { 736, 2, 107, 3, 1681 },
+  { 741, 2, 107, 3, 1681 },
+  { 746, 2, 107, 3, 1681 },
+  { 751, 2, 107, 3, 1681 },
+  { 756, 2, 107, 3, 1681 },
+  { 761, 2, 107, 3, 1681 },
+  { 804, 121, 109, 1, 1649 },
+  { 808, 121, 109, 1, 1649 },
+  { 774, 121, 109, 1, 1649 },
+  { 779, 121, 109, 1, 1649 },
+  { 784, 121, 109, 1, 1649 },
+  { 789, 121, 109, 1, 1649 },
+  { 794, 121, 109, 1, 1649 },
+  { 799, 121, 109, 1, 1649 },
+  { 944, 122, 108, 2, 1617 },
+  { 948, 122, 108, 2, 1617 },
+  { 914, 122, 108, 2, 1617 },
+  { 919, 122, 108, 2, 1617 },
+  { 924, 122, 108, 2, 1617 },
+  { 929, 122, 108, 2, 1617 },
+  { 934, 122, 108, 2, 1617 },
+  { 939, 122, 108, 2, 1617 },
+};
+
+  // GR8 Register Class...
+  static MCPhysReg GR8[] = {
+    X86_AL, X86_CL, X86_DL, X86_AH, X86_CH, X86_DH, X86_BL, X86_BH, X86_SIL, X86_DIL, X86_BPL, X86_SPL, X86_R8B, X86_R9B, X86_R10B, X86_R11B, X86_R14B, X86_R15B, X86_R12B, X86_R13B, 
+  };
+
+  // GR8 Bit set.
+  static uint8_t GR8Bits[] = {
+    0xb6, 0xa6, 0x01, 0x00, 0x00, 0x40, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR8_NOREX Register Class...
+  static MCPhysReg GR8_NOREX[] = {
+    X86_AL, X86_CL, X86_DL, X86_AH, X86_CH, X86_DH, X86_BL, X86_BH, 
+  };
+
+  // GR8_NOREX Bit set.
+  static uint8_t GR8_NOREXBits[] = {
+    0x36, 0x26, 0x01, 
+  };
+
+  // GR8_ABCD_H Register Class...
+  static MCPhysReg GR8_ABCD_H[] = {
+    X86_AH, X86_CH, X86_DH, X86_BH, 
+  };
+
+  // GR8_ABCD_H Bit set.
+  static uint8_t GR8_ABCD_HBits[] = {
+    0x12, 0x22, 
+  };
+
+  // GR8_ABCD_L Register Class...
+  static MCPhysReg GR8_ABCD_L[] = {
+    X86_AL, X86_CL, X86_DL, X86_BL, 
+  };
+
+  // GR8_ABCD_L Bit set.
+  static uint8_t GR8_ABCD_LBits[] = {
+    0x24, 0x04, 0x01, 
+  };
+
+  // GR16 Register Class...
+  static MCPhysReg GR16[] = {
+    X86_AX, X86_CX, X86_DX, X86_SI, X86_DI, X86_BX, X86_BP, X86_SP, X86_R8W, X86_R9W, X86_R10W, X86_R11W, X86_R14W, X86_R15W, X86_R12W, X86_R13W, 
+  };
+
+  // GR16 Bit set.
+  static uint8_t GR16Bits[] = {
+    0x48, 0x51, 0x04, 0x00, 0x00, 0xa0, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR16_NOREX Register Class...
+  static MCPhysReg GR16_NOREX[] = {
+    X86_AX, X86_CX, X86_DX, X86_SI, X86_DI, X86_BX, X86_BP, X86_SP, 
+  };
+
+  // GR16_NOREX Bit set.
+  static uint8_t GR16_NOREXBits[] = {
+    0x48, 0x51, 0x04, 0x00, 0x00, 0xa0, 
+  };
+
+  // VK1 Register Class...
+  static MCPhysReg VK1[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK1 Bit set.
+  static uint8_t VK1Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK16 Register Class...
+  static MCPhysReg VK16[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK16 Bit set.
+  static uint8_t VK16Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK2 Register Class...
+  static MCPhysReg VK2[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK2 Bit set.
+  static uint8_t VK2Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK4 Register Class...
+  static MCPhysReg VK4[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK4 Bit set.
+  static uint8_t VK4Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK8 Register Class...
+  static MCPhysReg VK8[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK8 Bit set.
+  static uint8_t VK8Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VK16WM Register Class...
+  static MCPhysReg VK16WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK16WM Bit set.
+  static uint8_t VK16WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK1WM Register Class...
+  static MCPhysReg VK1WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK1WM Bit set.
+  static uint8_t VK1WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK2WM Register Class...
+  static MCPhysReg VK2WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK2WM Bit set.
+  static uint8_t VK2WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK4WM Register Class...
+  static MCPhysReg VK4WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK4WM Bit set.
+  static uint8_t VK4WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // VK8WM Register Class...
+  static MCPhysReg VK8WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK8WM Bit set.
+  static uint8_t VK8WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // SEGMENT_REG Register Class...
+  static MCPhysReg SEGMENT_REG[] = {
+    X86_CS, X86_DS, X86_SS, X86_ES, X86_FS, X86_GS, 
+  };
+
+  // SEGMENT_REG Bit set.
+  static uint8_t SEGMENT_REGBits[] = {
+    0x00, 0x08, 0x02, 0x10, 0x03, 0x00, 0x02, 
+  };
+
+  // GR16_ABCD Register Class...
+  static MCPhysReg GR16_ABCD[] = {
+    X86_AX, X86_CX, X86_DX, X86_BX, 
+  };
+
+  // GR16_ABCD Bit set.
+  static uint8_t GR16_ABCDBits[] = {
+    0x08, 0x11, 0x04, 
+  };
+
+  // FPCCR Register Class...
+  static MCPhysReg FPCCR[] = {
+    X86_FPSW, 
+  };
+
+  // FPCCR Bit set.
+  static uint8_t FPCCRBits[] = {
+    0x00, 0x00, 0x00, 0x80, 
+  };
+
+  // FR32X Register Class...
+  static MCPhysReg FR32X[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, X86_XMM16, X86_XMM17, X86_XMM18, X86_XMM19, X86_XMM20, X86_XMM21, X86_XMM22, X86_XMM23, X86_XMM24, X86_XMM25, X86_XMM26, X86_XMM27, X86_XMM28, X86_XMM29, X86_XMM30, X86_XMM31, 
+  };
+
+  // FR32X Bit set.
+  static uint8_t FR32XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // FR32 Register Class...
+  static MCPhysReg FR32[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, 
+  };
+
+  // FR32 Bit set.
+  static uint8_t FR32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // GR32 Register Class...
+  static MCPhysReg GR32[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32 Bit set.
+  static uint8_t GR32Bits[] = {
+    0x00, 0x00, 0xf8, 0x61, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOAX Register Class...
+  static MCPhysReg GR32_NOAX[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32_NOAX Bit set.
+  static uint8_t GR32_NOAXBits[] = {
+    0x00, 0x00, 0xf0, 0x61, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOSP Register Class...
+  static MCPhysReg GR32_NOSP[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32_NOSP Bit set.
+  static uint8_t GR32_NOSPBits[] = {
+    0x00, 0x00, 0xf8, 0x21, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOAX_and_GR32_NOSP Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_NOSP[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_R8D, X86_R9D, X86_R10D, X86_R11D, X86_R14D, X86_R15D, X86_R12D, X86_R13D, 
+  };
+
+  // GR32_NOAX_and_GR32_NOSP Bit set.
+  static uint8_t GR32_NOAX_and_GR32_NOSPBits[] = {
+    0x00, 0x00, 0xf0, 0x21, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // DEBUG_REG Register Class...
+  static MCPhysReg DEBUG_REG[] = {
+    X86_DR0, X86_DR1, X86_DR2, X86_DR3, X86_DR4, X86_DR5, X86_DR6, X86_DR7, 
+  };
+
+  // DEBUG_REG Bit set.
+  static uint8_t DEBUG_REGBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOREX Register Class...
+  static MCPhysReg GR32_NOREX[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, 
+  };
+
+  // GR32_NOREX Bit set.
+  static uint8_t GR32_NOREXBits[] = {
+    0x00, 0x00, 0xf8, 0x61, 
+  };
+
+  // VK32 Register Class...
+  static MCPhysReg VK32[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK32 Bit set.
+  static uint8_t VK32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_NOREX[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, X86_ESP, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX Bit set.
+  static uint8_t GR32_NOAX_and_GR32_NOREXBits[] = {
+    0x00, 0x00, 0xf0, 0x61, 
+  };
+
+  // GR32_NOREX_NOSP Register Class...
+  static MCPhysReg GR32_NOREX_NOSP[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, 
+  };
+
+  // GR32_NOREX_NOSP Bit set.
+  static uint8_t GR32_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0xf8, 0x21, 
+  };
+
+  // RFP32 Register Class...
+  static MCPhysReg RFP32[] = {
+    X86_FP0, X86_FP1, X86_FP2, X86_FP3, X86_FP4, X86_FP5, X86_FP6, 
+  };
+
+  // RFP32 Bit set.
+  static uint8_t RFP32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x01, 
+  };
+
+  // VK32WM Register Class...
+  static MCPhysReg VK32WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK32WM Bit set.
+  static uint8_t VK32WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX_NOSP Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_NOREX_NOSP[] = {
+    X86_ECX, X86_EDX, X86_ESI, X86_EDI, X86_EBX, X86_EBP, 
+  };
+
+  // GR32_NOAX_and_GR32_NOREX_NOSP Bit set.
+  static uint8_t GR32_NOAX_and_GR32_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0xf0, 0x21, 
+  };
+
+  // GR32_ABCD Register Class...
+  static MCPhysReg GR32_ABCD[] = {
+    X86_EAX, X86_ECX, X86_EDX, X86_EBX, 
+  };
+
+  // GR32_ABCD Bit set.
+  static uint8_t GR32_ABCDBits[] = {
+    0x00, 0x00, 0x68, 0x01, 
+  };
+
+  // GR32_ABCD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR32_ABCD_and_GR32_NOAX[] = {
+    X86_ECX, X86_EDX, X86_EBX, 
+  };
+
+  // GR32_ABCD_and_GR32_NOAX Bit set.
+  static uint8_t GR32_ABCD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x60, 0x01, 
+  };
+
+  // GR32_TC Register Class...
+  static MCPhysReg GR32_TC[] = {
+    X86_EAX, X86_ECX, X86_EDX, 
+  };
+
+  // GR32_TC Bit set.
+  static uint8_t GR32_TCBits[] = {
+    0x00, 0x00, 0x48, 0x01, 
+  };
+
+  // GR32_AD Register Class...
+  static MCPhysReg GR32_AD[] = {
+    X86_EAX, X86_EDX, 
+  };
+
+  // GR32_AD Bit set.
+  static uint8_t GR32_ADBits[] = {
+    0x00, 0x00, 0x08, 0x01, 
+  };
+
+  // GR32_NOAX_and_GR32_TC Register Class...
+  static MCPhysReg GR32_NOAX_and_GR32_TC[] = {
+    X86_ECX, X86_EDX, 
+  };
+
+  // GR32_NOAX_and_GR32_TC Bit set.
+  static uint8_t GR32_NOAX_and_GR32_TCBits[] = {
+    0x00, 0x00, 0x40, 0x01, 
+  };
+
+  // CCR Register Class...
+  static MCPhysReg CCR[] = {
+    X86_EFLAGS, 
+  };
+
+  // CCR Bit set.
+  static uint8_t CCRBits[] = {
+    0x00, 0x00, 0x00, 0x02, 
+  };
+
+  // GR32_AD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR32_AD_and_GR32_NOAX[] = {
+    X86_EDX, 
+  };
+
+  // GR32_AD_and_GR32_NOAX Bit set.
+  static uint8_t GR32_AD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x01, 
+  };
+
+  // RFP64 Register Class...
+  static MCPhysReg RFP64[] = {
+    X86_FP0, X86_FP1, X86_FP2, X86_FP3, X86_FP4, X86_FP5, X86_FP6, 
+  };
+
+  // RFP64 Bit set.
+  static uint8_t RFP64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x01, 
+  };
+
+  // FR64X Register Class...
+  static MCPhysReg FR64X[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, X86_XMM16, X86_XMM17, X86_XMM18, X86_XMM19, X86_XMM20, X86_XMM21, X86_XMM22, X86_XMM23, X86_XMM24, X86_XMM25, X86_XMM26, X86_XMM27, X86_XMM28, X86_XMM29, X86_XMM30, X86_XMM31, 
+  };
+
+  // FR64X Bit set.
+  static uint8_t FR64XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // GR64 Register Class...
+  static MCPhysReg GR64[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, X86_RSP, X86_RIP, 
+  };
+
+  // GR64 Bit set.
+  static uint8_t GR64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x1b, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // CONTROL_REG Register Class...
+  static MCPhysReg CONTROL_REG[] = {
+    X86_CR0, X86_CR1, X86_CR2, X86_CR3, X86_CR4, X86_CR5, X86_CR6, X86_CR7, X86_CR8, X86_CR9, X86_CR10, X86_CR11, X86_CR12, X86_CR13, X86_CR14, X86_CR15, 
+  };
+
+  // CONTROL_REG Bit set.
+  static uint8_t CONTROL_REGBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // FR64 Register Class...
+  static MCPhysReg FR64[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, 
+  };
+
+  // FR64 Bit set.
+  static uint8_t FR64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // GR64_with_sub_8bit Register Class...
+  static MCPhysReg GR64_with_sub_8bit[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_8bit Bit set.
+  static uint8_t GR64_with_sub_8bitBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x19, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_NOSP Register Class...
+  static MCPhysReg GR64_NOSP[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, 
+  };
+
+  // GR64_NOSP Bit set.
+  static uint8_t GR64_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x19, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R10, X86_R11, X86_RBX, X86_R14, X86_R15, X86_R12, X86_R13, X86_RBP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_NOREX Register Class...
+  static MCPhysReg GR64_NOREX[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, X86_RSP, X86_RIP, 
+  };
+
+  // GR64_NOREX Bit set.
+  static uint8_t GR64_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x1b, 
+  };
+
+  // GR64_TC Register Class...
+  static MCPhysReg GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R11, X86_RIP, 
+  };
+
+  // GR64_TC Bit set.
+  static uint8_t GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x0b, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_NOSP_and_GR64_TC Register Class...
+  static MCPhysReg GR64_NOSP_and_GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_NOSP_and_GR64_TC Bit set.
+  static uint8_t GR64_NOSP_and_GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_with_sub_16bit_in_GR16_NOREX Register Class...
+  static MCPhysReg GR64_with_sub_16bit_in_GR16_NOREX[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_16bit_in_GR16_NOREX Bit set.
+  static uint8_t GR64_with_sub_16bit_in_GR16_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x19, 
+  };
+
+  // VK64 Register Class...
+  static MCPhysReg VK64[] = {
+    X86_K0, X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK64 Bit set.
+  static uint8_t VK64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // VR64 Register Class...
+  static MCPhysReg VR64[] = {
+    X86_MM0, X86_MM1, X86_MM2, X86_MM3, X86_MM4, X86_MM5, X86_MM6, X86_MM7, 
+  };
+
+  // VR64 Bit set.
+  static uint8_t VR64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // GR64_NOREX_NOSP Register Class...
+  static MCPhysReg GR64_NOREX_NOSP[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, 
+  };
+
+  // GR64_NOREX_NOSP Bit set.
+  static uint8_t GR64_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf8, 0x09, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX Register Class...
+  static MCPhysReg GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX Bit set.
+  static uint8_t GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc0, 0x09, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, X86_RSP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x19, 
+  };
+
+  // VK64WM Register Class...
+  static MCPhysReg VK64WM[] = {
+    X86_K1, X86_K2, X86_K3, X86_K4, X86_K5, X86_K6, X86_K7, 
+  };
+
+  // VK64WM Bit set.
+  static uint8_t VK64WMBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xf8, 0x03, 
+  };
+
+  // GR64_NOREX_and_GR64_TC Register Class...
+  static MCPhysReg GR64_NOREX_and_GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RIP, 
+  };
+
+  // GR64_NOREX_and_GR64_TC Bit set.
+  static uint8_t GR64_NOREX_and_GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x0b, 
+  };
+
+  // GR64_TCW64 Register Class...
+  static MCPhysReg GR64_TCW64[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_TCW64 Bit set.
+  static uint8_t GR64_TCW64Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x48, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, X86_RBX, X86_RBP, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xf0, 0x09, 
+  };
+
+  // GR64_NOREX_NOSP_and_GR64_TC Register Class...
+  static MCPhysReg GR64_NOREX_NOSP_and_GR64_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RSI, X86_RDI, 
+  };
+
+  // GR64_NOREX_NOSP_and_GR64_TC Bit set.
+  static uint8_t GR64_NOREX_NOSP_and_GR64_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc8, 0x09, 
+  };
+
+  // GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX Register Class...
+  static MCPhysReg GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_R8, X86_R9, X86_R11, 
+  };
+
+  // GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX Bit set.
+  static uint8_t GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x40, 0x01, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x2c, 
+  };
+
+  // GR64_ABCD Register Class...
+  static MCPhysReg GR64_ABCD[] = {
+    X86_RAX, X86_RCX, X86_RDX, X86_RBX, 
+  };
+
+  // GR64_ABCD Bit set.
+  static uint8_t GR64_ABCDBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x68, 0x01, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Register Class...
+  static MCPhysReg GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX[] = {
+    X86_RCX, X86_RDX, X86_RSI, X86_RDI, 
+  };
+
+  // GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX Bit set.
+  static uint8_t GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0xc0, 0x09, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX[] = {
+    X86_RCX, X86_RDX, X86_RBX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x60, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_TC Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_TC[] = {
+    X86_RAX, X86_RCX, X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_TC Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x48, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_AD[] = {
+    X86_RAX, X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_ADBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x08, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC[] = {
+    X86_RCX, X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x40, 0x01, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX Register Class...
+  static MCPhysReg GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX[] = {
+    X86_RDX, 
+  };
+
+  // GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX Bit set.
+  static uint8_t GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x01, 
+  };
+
+  // RST Register Class...
+  static MCPhysReg RST[] = {
+    X86_ST0, X86_ST1, X86_ST2, X86_ST3, X86_ST4, X86_ST5, X86_ST6, X86_ST7, 
+  };
+
+  // RST Bit set.
+  static uint8_t RSTBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x03, 
+  };
+
+  // RFP80 Register Class...
+  static MCPhysReg RFP80[] = {
+    X86_FP0, X86_FP1, X86_FP2, X86_FP3, X86_FP4, X86_FP5, X86_FP6, 
+  };
+
+  // RFP80 Bit set.
+  static uint8_t RFP80Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0x01, 
+  };
+
+  // VR128X Register Class...
+  static MCPhysReg VR128X[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, X86_XMM16, X86_XMM17, X86_XMM18, X86_XMM19, X86_XMM20, X86_XMM21, X86_XMM22, X86_XMM23, X86_XMM24, X86_XMM25, X86_XMM26, X86_XMM27, X86_XMM28, X86_XMM29, X86_XMM30, X86_XMM31, 
+  };
+
+  // VR128X Bit set.
+  static uint8_t VR128XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // VR128 Register Class...
+  static MCPhysReg VR128[] = {
+    X86_XMM0, X86_XMM1, X86_XMM2, X86_XMM3, X86_XMM4, X86_XMM5, X86_XMM6, X86_XMM7, X86_XMM8, X86_XMM9, X86_XMM10, X86_XMM11, X86_XMM12, X86_XMM13, X86_XMM14, X86_XMM15, 
+  };
+
+  // VR128 Bit set.
+  static uint8_t VR128Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // VR256X Register Class...
+  static MCPhysReg VR256X[] = {
+    X86_YMM0, X86_YMM1, X86_YMM2, X86_YMM3, X86_YMM4, X86_YMM5, X86_YMM6, X86_YMM7, X86_YMM8, X86_YMM9, X86_YMM10, X86_YMM11, X86_YMM12, X86_YMM13, X86_YMM14, X86_YMM15, X86_YMM16, X86_YMM17, X86_YMM18, X86_YMM19, X86_YMM20, X86_YMM21, X86_YMM22, X86_YMM23, X86_YMM24, X86_YMM25, X86_YMM26, X86_YMM27, X86_YMM28, X86_YMM29, X86_YMM30, X86_YMM31, 
+  };
+
+  // VR256X Bit set.
+  static uint8_t VR256XBits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // VR256 Register Class...
+  static MCPhysReg VR256[] = {
+    X86_YMM0, X86_YMM1, X86_YMM2, X86_YMM3, X86_YMM4, X86_YMM5, X86_YMM6, X86_YMM7, X86_YMM8, X86_YMM9, X86_YMM10, X86_YMM11, X86_YMM12, X86_YMM13, X86_YMM14, X86_YMM15, 
+  };
+
+  // VR256 Bit set.
+  static uint8_t VR256Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+  // VR512 Register Class...
+  static MCPhysReg VR512[] = {
+    X86_ZMM0, X86_ZMM1, X86_ZMM2, X86_ZMM3, X86_ZMM4, X86_ZMM5, X86_ZMM6, X86_ZMM7, X86_ZMM8, X86_ZMM9, X86_ZMM10, X86_ZMM11, X86_ZMM12, X86_ZMM13, X86_ZMM14, X86_ZMM15, X86_ZMM16, X86_ZMM17, X86_ZMM18, X86_ZMM19, X86_ZMM20, X86_ZMM21, X86_ZMM22, X86_ZMM23, X86_ZMM24, X86_ZMM25, X86_ZMM26, X86_ZMM27, X86_ZMM28, X86_ZMM29, X86_ZMM30, X86_ZMM31, 
+  };
+
+  // VR512 Bit set.
+  static uint8_t VR512Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0xff, 0xff, 0x03, 
+  };
+
+  // VR512_with_sub_xmm_in_FR32 Register Class...
+  static MCPhysReg VR512_with_sub_xmm_in_FR32[] = {
+    X86_ZMM0, X86_ZMM1, X86_ZMM2, X86_ZMM3, X86_ZMM4, X86_ZMM5, X86_ZMM6, X86_ZMM7, X86_ZMM8, X86_ZMM9, X86_ZMM10, X86_ZMM11, X86_ZMM12, X86_ZMM13, X86_ZMM14, X86_ZMM15, 
+  };
+
+  // VR512_with_sub_xmm_in_FR32 Bit set.
+  static uint8_t VR512_with_sub_xmm_in_FR32Bits[] = {
+    0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0x00, 0xfc, 0xff, 0x03, 
+  };
+
+static MCRegisterClass X86MCRegisterClasses[] = {
+  { "GR8", GR8, GR8Bits, 20, sizeof(GR8Bits), X86_GR8RegClassID, 1, 1, 1, 1 },
+  { "GR8_NOREX", GR8_NOREX, GR8_NOREXBits, 8, sizeof(GR8_NOREXBits), X86_GR8_NOREXRegClassID, 1, 1, 1, 1 },
+  { "GR8_ABCD_H", GR8_ABCD_H, GR8_ABCD_HBits, 4, sizeof(GR8_ABCD_HBits), X86_GR8_ABCD_HRegClassID, 1, 1, 1, 1 },
+  { "GR8_ABCD_L", GR8_ABCD_L, GR8_ABCD_LBits, 4, sizeof(GR8_ABCD_LBits), X86_GR8_ABCD_LRegClassID, 1, 1, 1, 1 },
+  { "GR16", GR16, GR16Bits, 16, sizeof(GR16Bits), X86_GR16RegClassID, 2, 2, 1, 1 },
+  { "GR16_NOREX", GR16_NOREX, GR16_NOREXBits, 8, sizeof(GR16_NOREXBits), X86_GR16_NOREXRegClassID, 2, 2, 1, 1 },
+  { "VK1", VK1, VK1Bits, 8, sizeof(VK1Bits), X86_VK1RegClassID, 2, 2, 1, 1 },
+  { "VK16", VK16, VK16Bits, 8, sizeof(VK16Bits), X86_VK16RegClassID, 2, 2, 1, 1 },
+  { "VK2", VK2, VK2Bits, 8, sizeof(VK2Bits), X86_VK2RegClassID, 2, 2, 1, 1 },
+  { "VK4", VK4, VK4Bits, 8, sizeof(VK4Bits), X86_VK4RegClassID, 2, 2, 1, 1 },
+  { "VK8", VK8, VK8Bits, 8, sizeof(VK8Bits), X86_VK8RegClassID, 2, 2, 1, 1 },
+  { "VK16WM", VK16WM, VK16WMBits, 7, sizeof(VK16WMBits), X86_VK16WMRegClassID, 2, 2, 1, 1 },
+  { "VK1WM", VK1WM, VK1WMBits, 7, sizeof(VK1WMBits), X86_VK1WMRegClassID, 2, 2, 1, 1 },
+  { "VK2WM", VK2WM, VK2WMBits, 7, sizeof(VK2WMBits), X86_VK2WMRegClassID, 2, 2, 1, 1 },
+  { "VK4WM", VK4WM, VK4WMBits, 7, sizeof(VK4WMBits), X86_VK4WMRegClassID, 2, 2, 1, 1 },
+  { "VK8WM", VK8WM, VK8WMBits, 7, sizeof(VK8WMBits), X86_VK8WMRegClassID, 2, 2, 1, 1 },
+  { "SEGMENT_REG", SEGMENT_REG, SEGMENT_REGBits, 6, sizeof(SEGMENT_REGBits), X86_SEGMENT_REGRegClassID, 2, 2, 1, 1 },
+  { "GR16_ABCD", GR16_ABCD, GR16_ABCDBits, 4, sizeof(GR16_ABCDBits), X86_GR16_ABCDRegClassID, 2, 2, 1, 1 },
+  { "FPCCR", FPCCR, FPCCRBits, 1, sizeof(FPCCRBits), X86_FPCCRRegClassID, 2, 2, -1, 0 },
+  { "FR32X", FR32X, FR32XBits, 32, sizeof(FR32XBits), X86_FR32XRegClassID, 4, 4, 1, 1 },
+  { "FR32", FR32, FR32Bits, 16, sizeof(FR32Bits), X86_FR32RegClassID, 4, 4, 1, 1 },
+  { "GR32", GR32, GR32Bits, 16, sizeof(GR32Bits), X86_GR32RegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX", GR32_NOAX, GR32_NOAXBits, 15, sizeof(GR32_NOAXBits), X86_GR32_NOAXRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOSP", GR32_NOSP, GR32_NOSPBits, 15, sizeof(GR32_NOSPBits), X86_GR32_NOSPRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_NOSP", GR32_NOAX_and_GR32_NOSP, GR32_NOAX_and_GR32_NOSPBits, 14, sizeof(GR32_NOAX_and_GR32_NOSPBits), X86_GR32_NOAX_and_GR32_NOSPRegClassID, 4, 4, 1, 1 },
+  { "DEBUG_REG", DEBUG_REG, DEBUG_REGBits, 8, sizeof(DEBUG_REGBits), X86_DEBUG_REGRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOREX", GR32_NOREX, GR32_NOREXBits, 8, sizeof(GR32_NOREXBits), X86_GR32_NOREXRegClassID, 4, 4, 1, 1 },
+  { "VK32", VK32, VK32Bits, 8, sizeof(VK32Bits), X86_VK32RegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_NOREX", GR32_NOAX_and_GR32_NOREX, GR32_NOAX_and_GR32_NOREXBits, 7, sizeof(GR32_NOAX_and_GR32_NOREXBits), X86_GR32_NOAX_and_GR32_NOREXRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOREX_NOSP", GR32_NOREX_NOSP, GR32_NOREX_NOSPBits, 7, sizeof(GR32_NOREX_NOSPBits), X86_GR32_NOREX_NOSPRegClassID, 4, 4, 1, 1 },
+  { "RFP32", RFP32, RFP32Bits, 7, sizeof(RFP32Bits), X86_RFP32RegClassID, 4, 4, 1, 1 },
+  { "VK32WM", VK32WM, VK32WMBits, 7, sizeof(VK32WMBits), X86_VK32WMRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_NOREX_NOSP", GR32_NOAX_and_GR32_NOREX_NOSP, GR32_NOAX_and_GR32_NOREX_NOSPBits, 6, sizeof(GR32_NOAX_and_GR32_NOREX_NOSPBits), X86_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID, 4, 4, 1, 1 },
+  { "GR32_ABCD", GR32_ABCD, GR32_ABCDBits, 4, sizeof(GR32_ABCDBits), X86_GR32_ABCDRegClassID, 4, 4, 1, 1 },
+  { "GR32_ABCD_and_GR32_NOAX", GR32_ABCD_and_GR32_NOAX, GR32_ABCD_and_GR32_NOAXBits, 3, sizeof(GR32_ABCD_and_GR32_NOAXBits), X86_GR32_ABCD_and_GR32_NOAXRegClassID, 4, 4, 1, 1 },
+  { "GR32_TC", GR32_TC, GR32_TCBits, 3, sizeof(GR32_TCBits), X86_GR32_TCRegClassID, 4, 4, 1, 1 },
+  { "GR32_AD", GR32_AD, GR32_ADBits, 2, sizeof(GR32_ADBits), X86_GR32_ADRegClassID, 4, 4, 1, 1 },
+  { "GR32_NOAX_and_GR32_TC", GR32_NOAX_and_GR32_TC, GR32_NOAX_and_GR32_TCBits, 2, sizeof(GR32_NOAX_and_GR32_TCBits), X86_GR32_NOAX_and_GR32_TCRegClassID, 4, 4, 1, 1 },
+  { "CCR", CCR, CCRBits, 1, sizeof(CCRBits), X86_CCRRegClassID, 4, 4, -1, 0 },
+  { "GR32_AD_and_GR32_NOAX", GR32_AD_and_GR32_NOAX, GR32_AD_and_GR32_NOAXBits, 1, sizeof(GR32_AD_and_GR32_NOAXBits), X86_GR32_AD_and_GR32_NOAXRegClassID, 4, 4, 1, 1 },
+  { "RFP64", RFP64, RFP64Bits, 7, sizeof(RFP64Bits), X86_RFP64RegClassID, 8, 4, 1, 1 },
+  { "FR64X", FR64X, FR64XBits, 32, sizeof(FR64XBits), X86_FR64XRegClassID, 8, 8, 1, 1 },
+  { "GR64", GR64, GR64Bits, 17, sizeof(GR64Bits), X86_GR64RegClassID, 8, 8, 1, 1 },
+  { "CONTROL_REG", CONTROL_REG, CONTROL_REGBits, 16, sizeof(CONTROL_REGBits), X86_CONTROL_REGRegClassID, 8, 8, 1, 1 },
+  { "FR64", FR64, FR64Bits, 16, sizeof(FR64Bits), X86_FR64RegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_8bit", GR64_with_sub_8bit, GR64_with_sub_8bitBits, 16, sizeof(GR64_with_sub_8bitBits), X86_GR64_with_sub_8bitRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOSP", GR64_NOSP, GR64_NOSPBits, 15, sizeof(GR64_NOSPBits), X86_GR64_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX", GR64_with_sub_32bit_in_GR32_NOAX, GR64_with_sub_32bit_in_GR32_NOAXBits, 15, sizeof(GR64_with_sub_32bit_in_GR32_NOAXBits), X86_GR64_with_sub_32bit_in_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSP, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPBits, 14, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX", GR64_NOREX, GR64_NOREXBits, 9, sizeof(GR64_NOREXBits), X86_GR64_NOREXRegClassID, 8, 8, 1, 1 },
+  { "GR64_TC", GR64_TC, GR64_TCBits, 9, sizeof(GR64_TCBits), X86_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOSP_and_GR64_TC", GR64_NOSP_and_GR64_TC, GR64_NOSP_and_GR64_TCBits, 8, sizeof(GR64_NOSP_and_GR64_TCBits), X86_GR64_NOSP_and_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_16bit_in_GR16_NOREX", GR64_with_sub_16bit_in_GR16_NOREX, GR64_with_sub_16bit_in_GR16_NOREXBits, 8, sizeof(GR64_with_sub_16bit_in_GR16_NOREXBits), X86_GR64_with_sub_16bit_in_GR16_NOREXRegClassID, 8, 8, 1, 1 },
+  { "VK64", VK64, VK64Bits, 8, sizeof(VK64Bits), X86_VK64RegClassID, 8, 8, 1, 1 },
+  { "VR64", VR64, VR64Bits, 8, sizeof(VR64Bits), X86_VR64RegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX_NOSP", GR64_NOREX_NOSP, GR64_NOREX_NOSPBits, 7, sizeof(GR64_NOREX_NOSPBits), X86_GR64_NOREX_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX", GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX, GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXBits, 7, sizeof(GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXBits), X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits, 7, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID, 8, 8, 1, 1 },
+  { "VK64WM", VK64WM, VK64WMBits, 7, sizeof(VK64WMBits), X86_VK64WMRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX_and_GR64_TC", GR64_NOREX_and_GR64_TC, GR64_NOREX_and_GR64_TCBits, 6, sizeof(GR64_NOREX_and_GR64_TCBits), X86_GR64_NOREX_and_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_TCW64", GR64_TCW64, GR64_TCW64Bits, 6, sizeof(GR64_TCW64Bits), X86_GR64_TCW64RegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSP, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPBits, 6, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX_NOSPRegClassID, 8, 8, 1, 1 },
+  { "GR64_NOREX_NOSP_and_GR64_TC", GR64_NOREX_NOSP_and_GR64_TC, GR64_NOREX_NOSP_and_GR64_TCBits, 5, sizeof(GR64_NOREX_NOSP_and_GR64_TCBits), X86_GR64_NOREX_NOSP_and_GR64_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX", GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAX, GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXBits, 5, sizeof(GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXBits), X86_GR64_TCW64_and_GR64_with_sub_32bit_in_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_ABCD", GR64_ABCD, GR64_ABCDBits, 4, sizeof(GR64_ABCDBits), X86_GR64_ABCDRegClassID, 8, 8, 1, 1 },
+  { "GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX", GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREX, GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits, 4, sizeof(GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXBits), X86_GR64_TC_and_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_NOREXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX", GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAX, GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXBits, 3, sizeof(GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXBits), X86_GR64_with_sub_32bit_in_GR32_ABCD_and_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_TC", GR64_with_sub_32bit_in_GR32_TC, GR64_with_sub_32bit_in_GR32_TCBits, 3, sizeof(GR64_with_sub_32bit_in_GR32_TCBits), X86_GR64_with_sub_32bit_in_GR32_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_AD", GR64_with_sub_32bit_in_GR32_AD, GR64_with_sub_32bit_in_GR32_ADBits, 2, sizeof(GR64_with_sub_32bit_in_GR32_ADBits), X86_GR64_with_sub_32bit_in_GR32_ADRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC", GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TC, GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCBits, 2, sizeof(GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCBits), X86_GR64_with_sub_32bit_in_GR32_NOAX_and_GR32_TCRegClassID, 8, 8, 1, 1 },
+  { "GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX", GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAX, GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXBits, 1, sizeof(GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXBits), X86_GR64_with_sub_32bit_in_GR32_AD_and_GR32_NOAXRegClassID, 8, 8, 1, 1 },
+  { "RST", RST, RSTBits, 8, sizeof(RSTBits), X86_RSTRegClassID, 10, 4, 1, 0 },
+  { "RFP80", RFP80, RFP80Bits, 7, sizeof(RFP80Bits), X86_RFP80RegClassID, 10, 4, 1, 1 },
+  { "VR128X", VR128X, VR128XBits, 32, sizeof(VR128XBits), X86_VR128XRegClassID, 16, 16, 1, 1 },
+  { "VR128", VR128, VR128Bits, 16, sizeof(VR128Bits), X86_VR128RegClassID, 16, 16, 1, 1 },
+  { "VR256X", VR256X, VR256XBits, 32, sizeof(VR256XBits), X86_VR256XRegClassID, 32, 32, 1, 1 },
+  { "VR256", VR256, VR256Bits, 16, sizeof(VR256Bits), X86_VR256RegClassID, 32, 32, 1, 1 },
+  { "VR512", VR512, VR512Bits, 32, sizeof(VR512Bits), X86_VR512RegClassID, 64, 64, 1, 1 },
+  { "VR512_with_sub_xmm_in_FR32", VR512_with_sub_xmm_in_FR32, VR512_with_sub_xmm_in_FR32Bits, 16, sizeof(VR512_with_sub_xmm_in_FR32Bits), X86_VR512_with_sub_xmm_in_FR32RegClassID, 64, 64, 1, 1 },
+};
+
+#endif // GET_REGINFO_MC_DESC
diff --git a/arch/X86/X86IntelInstPrinter.c b/arch/X86/X86IntelInstPrinter.c
index 86fcbe0..7dfd666 100644
--- a/arch/X86/X86IntelInstPrinter.c
+++ b/arch/X86/X86IntelInstPrinter.c
@@ -37,9 +37,24 @@
 #include "X86GenInstrInfo.inc"
 #endif
 
+#include "X86BaseInfo.h"
+
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O);
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O);
 
+
+static void set_mem_access(MCInst *MI, bool status)
+{
+	if (MI->csh->detail != CS_OPT_ON)
+		return;
+
+	MI->csh->doing_mem = status;
+	if (!status)
+		// done, create the next operand slot
+		MI->flat_insn->detail->x86.op_count++;
+
+}
+
 static void printopaquemem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "ptr ");
@@ -49,36 +64,45 @@
 static void printi8mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "byte ptr ");
+	MI->x86opsize = 1;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi16mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
-	if (MI->Opcode == X86_BOUNDS16rm)
+	if (MI->Opcode == X86_BOUNDS16rm) {
 		SStream_concat0(O, "dword ptr ");
-	else
+		MI->x86opsize = 4;
+	} else {
 		SStream_concat0(O, "word ptr ");
+		MI->x86opsize = 2;
+	}
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi32mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
-	if (MI->Opcode == X86_BOUNDS32rm)
+	if (MI->Opcode == X86_BOUNDS32rm) {
 		SStream_concat0(O, "qword ptr ");
-	else
+		MI->x86opsize = 8;
+	} else {
 		SStream_concat0(O, "dword ptr ");
+		MI->x86opsize = 4;
+	}
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi64mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "qword ptr ");
+	MI->x86opsize = 8;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi128mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "xmmword ptr ");
+	MI->x86opsize = 16;
 	printMemReference(MI, OpNo, O);
 }
 
@@ -86,48 +110,56 @@
 static void printi256mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "ymmword ptr ");
+	MI->x86opsize = 32;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printi512mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "zmmword ptr ");
+	MI->x86opsize = 64;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf32mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "dword ptr ");
+	MI->x86opsize = 4;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf64mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "qword ptr ");
+	MI->x86opsize = 8;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf80mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "xword ptr ");
+	MI->x86opsize = 10;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf128mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "xmmword ptr ");
+	MI->x86opsize = 16;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf256mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "ymmword ptr ");
+	MI->x86opsize = 32;
 	printMemReference(MI, OpNo, O);
 }
 
 static void printf512mem(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "zmmword ptr ");
+	MI->x86opsize = 64;
 	printMemReference(MI, OpNo, O);
 }
 
@@ -136,22 +168,22 @@
 	int64_t Imm = MCOperand_getImm(MCInst_getOperand(MI, Op)) & 0xf;
 	switch (Imm) {
 		default: break;	// never reach
-		case    0: SStream_concat0(OS, "eq"); break;
-		case    1: SStream_concat0(OS, "lt"); break;
-		case    2: SStream_concat0(OS, "le"); break;
-		case    3: SStream_concat0(OS, "unord"); break;
-		case    4: SStream_concat0(OS, "neq"); break;
-		case    5: SStream_concat0(OS, "nlt"); break;
-		case    6: SStream_concat0(OS, "nle"); break;
-		case    7: SStream_concat0(OS, "ord"); break;
-		case    8: SStream_concat0(OS, "eq_uq"); break;
-		case    9: SStream_concat0(OS, "nge"); break;
-		case  0xa: SStream_concat0(OS, "ngt"); break;
-		case  0xb: SStream_concat0(OS, "false"); break;
-		case  0xc: SStream_concat0(OS, "neq_oq"); break;
-		case  0xd: SStream_concat0(OS, "ge"); break;
-		case  0xe: SStream_concat0(OS, "gt"); break;
-		case  0xf: SStream_concat0(OS, "true"); break;
+		case    0: SStream_concat0(OS, "eq"); op_addSseCC(MI, X86_SSE_CC_EQ); break;
+		case    1: SStream_concat0(OS, "lt"); op_addSseCC(MI, X86_SSE_CC_LT); break;
+		case    2: SStream_concat0(OS, "le"); op_addSseCC(MI, X86_SSE_CC_LE); break;
+		case    3: SStream_concat0(OS, "unord"); op_addSseCC(MI, X86_SSE_CC_UNORD); break;
+		case    4: SStream_concat0(OS, "neq"); op_addSseCC(MI, X86_SSE_CC_NEQ); break;
+		case    5: SStream_concat0(OS, "nlt"); op_addSseCC(MI, X86_SSE_CC_NLT); break;
+		case    6: SStream_concat0(OS, "nle"); op_addSseCC(MI, X86_SSE_CC_NLE); break;
+		case    7: SStream_concat0(OS, "ord"); op_addSseCC(MI, X86_SSE_CC_ORD); break;
+		case    8: SStream_concat0(OS, "eq_uq"); op_addSseCC(MI, X86_SSE_CC_EQ_UQ); break;
+		case    9: SStream_concat0(OS, "nge"); op_addSseCC(MI, X86_SSE_CC_NGE); break;
+		case  0xa: SStream_concat0(OS, "ngt"); op_addSseCC(MI, X86_SSE_CC_NGT); break;
+		case  0xb: SStream_concat0(OS, "false"); op_addSseCC(MI, X86_SSE_CC_FALSE); break;
+		case  0xc: SStream_concat0(OS, "neq_oq"); op_addSseCC(MI, X86_SSE_CC_NEQ_OQ); break;
+		case  0xd: SStream_concat0(OS, "ge"); op_addSseCC(MI, X86_SSE_CC_GE); break;
+		case  0xe: SStream_concat0(OS, "gt"); op_addSseCC(MI, X86_SSE_CC_GT); break;
+		case  0xf: SStream_concat0(OS, "true"); op_addSseCC(MI, X86_SSE_CC_TRUE); break;
 	}
 }
 
@@ -160,38 +192,38 @@
 	int64_t Imm = MCOperand_getImm(MCInst_getOperand(MI, Op)) & 0x1f;
 	switch (Imm) {
 		default: break;//printf("Invalid avxcc argument!\n"); break;
-		case    0: SStream_concat0(O, "eq"); break;
-		case    1: SStream_concat0(O, "lt"); break;
-		case    2: SStream_concat0(O, "le"); break;
-		case    3: SStream_concat0(O, "unord"); break;
-		case    4: SStream_concat0(O, "neq"); break;
-		case    5: SStream_concat0(O, "nlt"); break;
-		case    6: SStream_concat0(O, "nle"); break;
-		case    7: SStream_concat0(O, "ord"); break;
-		case    8: SStream_concat0(O, "eq_uq"); break;
-		case    9: SStream_concat0(O, "nge"); break;
-		case  0xa: SStream_concat0(O, "ngt"); break;
-		case  0xb: SStream_concat0(O, "false"); break;
-		case  0xc: SStream_concat0(O, "neq_oq"); break;
-		case  0xd: SStream_concat0(O, "ge"); break;
-		case  0xe: SStream_concat0(O, "gt"); break;
-		case  0xf: SStream_concat0(O, "true"); break;
-		case 0x10: SStream_concat0(O, "eq_os"); break;
-		case 0x11: SStream_concat0(O, "lt_oq"); break;
-		case 0x12: SStream_concat0(O, "le_oq"); break;
-		case 0x13: SStream_concat0(O, "unord_s"); break;
-		case 0x14: SStream_concat0(O, "neq_us"); break;
-		case 0x15: SStream_concat0(O, "nlt_uq"); break;
-		case 0x16: SStream_concat0(O, "nle_uq"); break;
-		case 0x17: SStream_concat0(O, "ord_s"); break;
-		case 0x18: SStream_concat0(O, "eq_us"); break;
-		case 0x19: SStream_concat0(O, "nge_uq"); break;
-		case 0x1a: SStream_concat0(O, "ngt_uq"); break;
-		case 0x1b: SStream_concat0(O, "false_os"); break;
-		case 0x1c: SStream_concat0(O, "neq_os"); break;
-		case 0x1d: SStream_concat0(O, "ge_oq"); break;
-		case 0x1e: SStream_concat0(O, "gt_oq"); break;
-		case 0x1f: SStream_concat0(O, "true_us"); break;
+		case    0: SStream_concat0(O, "eq"); op_addAvxCC(MI, X86_AVX_CC_EQ); break;
+		case    1: SStream_concat0(O, "lt"); op_addAvxCC(MI, X86_AVX_CC_LT); break;
+		case    2: SStream_concat0(O, "le"); op_addAvxCC(MI, X86_AVX_CC_LE); break;
+		case    3: SStream_concat0(O, "unord"); op_addAvxCC(MI, X86_AVX_CC_UNORD); break;
+		case    4: SStream_concat0(O, "neq"); op_addAvxCC(MI, X86_AVX_CC_NEQ); break;
+		case    5: SStream_concat0(O, "nlt"); op_addAvxCC(MI, X86_AVX_CC_NLT); break;
+		case    6: SStream_concat0(O, "nle"); op_addAvxCC(MI, X86_AVX_CC_NLE); break;
+		case    7: SStream_concat0(O, "ord"); op_addAvxCC(MI, X86_AVX_CC_ORD); break;
+		case    8: SStream_concat0(O, "eq_uq"); op_addAvxCC(MI, X86_AVX_CC_EQ_UQ); break;
+		case    9: SStream_concat0(O, "nge"); op_addAvxCC(MI, X86_AVX_CC_NGE); break;
+		case  0xa: SStream_concat0(O, "ngt"); op_addAvxCC(MI, X86_AVX_CC_NGT); break;
+		case  0xb: SStream_concat0(O, "false"); op_addAvxCC(MI, X86_AVX_CC_FALSE); break;
+		case  0xc: SStream_concat0(O, "neq_oq"); op_addAvxCC(MI, X86_AVX_CC_NEQ_OQ); break;
+		case  0xd: SStream_concat0(O, "ge"); op_addAvxCC(MI, X86_AVX_CC_GE); break;
+		case  0xe: SStream_concat0(O, "gt"); op_addAvxCC(MI, X86_AVX_CC_GT); break;
+		case  0xf: SStream_concat0(O, "true"); op_addAvxCC(MI, X86_AVX_CC_TRUE); break;
+		case 0x10: SStream_concat0(O, "eq_os"); op_addAvxCC(MI, X86_AVX_CC_EQ_OS); break;
+		case 0x11: SStream_concat0(O, "lt_oq"); op_addAvxCC(MI, X86_AVX_CC_LT_OQ); break;
+		case 0x12: SStream_concat0(O, "le_oq"); op_addAvxCC(MI, X86_AVX_CC_LE_OQ); break;
+		case 0x13: SStream_concat0(O, "unord_s"); op_addAvxCC(MI, X86_AVX_CC_UNORD_S); break;
+		case 0x14: SStream_concat0(O, "neq_us"); op_addAvxCC(MI, X86_AVX_CC_NEQ_US); break;
+		case 0x15: SStream_concat0(O, "nlt_uq"); op_addAvxCC(MI, X86_AVX_CC_NLT_UQ); break;
+		case 0x16: SStream_concat0(O, "nle_uq"); op_addAvxCC(MI, X86_AVX_CC_NLE_UQ); break;
+		case 0x17: SStream_concat0(O, "ord_s"); op_addAvxCC(MI, X86_AVX_CC_ORD_S); break;
+		case 0x18: SStream_concat0(O, "eq_us"); op_addAvxCC(MI, X86_AVX_CC_EQ_US); break;
+		case 0x19: SStream_concat0(O, "nge_uq"); op_addAvxCC(MI, X86_AVX_CC_NGE_UQ); break;
+		case 0x1a: SStream_concat0(O, "ngt_uq"); op_addAvxCC(MI, X86_AVX_CC_NGT_UQ); break;
+		case 0x1b: SStream_concat0(O, "false_os"); op_addAvxCC(MI, X86_AVX_CC_FALSE_OS); break;
+		case 0x1c: SStream_concat0(O, "neq_os"); op_addAvxCC(MI, X86_AVX_CC_NEQ_OS); break;
+		case 0x1d: SStream_concat0(O, "ge_oq"); op_addAvxCC(MI, X86_AVX_CC_GE_OQ); break;
+		case 0x1e: SStream_concat0(O, "gt_oq"); op_addAvxCC(MI, X86_AVX_CC_GT_OQ); break;
+		case 0x1f: SStream_concat0(O, "true_us"); op_addAvxCC(MI, X86_AVX_CC_TRUE_US); break;
 	}
 }
 
@@ -199,99 +231,172 @@
 {
 	int64_t Imm = MCOperand_getImm(MCInst_getOperand(MI, Op)) & 0x3;
 	switch (Imm) {
-		case 0: SStream_concat0(O, "{rn-sae}"); break;
-		case 1: SStream_concat0(O, "{rd-sae}"); break;
-		case 2: SStream_concat0(O, "{ru-sae}"); break;
-		case 3: SStream_concat0(O, "{rz-sae}"); break;
+		case 0: SStream_concat0(O, "{rn-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RN); break;
+		case 1: SStream_concat0(O, "{rd-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RD); break;
+		case 2: SStream_concat0(O, "{ru-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RU); break;
+		case 3: SStream_concat0(O, "{rz-sae}"); op_addAvxSae(MI); op_addAvxRoundingMode(MI, X86_AVX_RM_RZ); break;
 		default: break;	// never reach
 	}
 }
 
 #endif
 
+static char *getRegisterName(unsigned RegNo);
+static void printRegName(SStream *OS, unsigned RegNo)
+{
+	SStream_concat0(OS, getRegisterName(RegNo));
+}
+
+// local printOperand, without updating public operands
+static void _printOperand(MCInst *MI, unsigned OpNo, SStream *O)
+{
+	MCOperand *Op  = MCInst_getOperand(MI, OpNo);
+	if (MCOperand_isReg(Op)) {
+		printRegName(O, MCOperand_getReg(Op));
+	} else if (MCOperand_isImm(Op)) {
+		int64_t imm = MCOperand_getImm(Op);
+		if (imm < 0) {
+			if (imm < -HEX_THRESHOLD)
+				SStream_concat(O, "-0x%"PRIx64, -imm);
+			else
+				SStream_concat(O, "-%"PRIu64, -imm);
+
+		} else {
+			if (imm > HEX_THRESHOLD)
+				SStream_concat(O, "0x%"PRIx64, imm);
+			else
+				SStream_concat(O, "%"PRIu64, imm);
+		}
+	}
+}
+
 static void printSrcIdx(MCInst *MI, unsigned Op, SStream *O)
 {
 	MCOperand *SegReg;
+	int reg;
+
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = 0;
+	}
 
 	SegReg = MCInst_getOperand(MI, Op+1);
+	reg = MCOperand_getReg(SegReg);
 
 	// If this has a segment register, print it.
-	if (MCOperand_getReg(SegReg)) {
-		printOperand(MI, Op+1, O);
+	if (reg) {
+		_printOperand(MI, Op+1, O);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
+		}
 		SStream_concat0(O, ":");
 	}
 
 	SStream_concat0(O, "[");
+	set_mem_access(MI, true);
 	printOperand(MI, Op, O);
 	SStream_concat0(O, "]");
+	set_mem_access(MI, false);
 }
 
 static void printDstIdx(MCInst *MI, unsigned Op, SStream *O)
 {
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = X86_REG_INVALID;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = 0;
+	}
+
 	// DI accesses are always ES-based on non-64bit mode
-	if (MI->csh->mode != CS_MODE_64)
+	if (MI->csh->mode != CS_MODE_64) {
 		SStream_concat(O, "es:[");
-	else
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_ES;
+		}
+	} else
 		SStream_concat(O, "[");
+
+	set_mem_access(MI, true);
 	printOperand(MI, Op, O);
 	SStream_concat0(O, "]");
+	set_mem_access(MI, false);
 }
 
 void printSrcIdx8(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "byte ptr ");
+	MI->x86opsize = 1;
 	printSrcIdx(MI, OpNo, O);
 }
 
 void printSrcIdx16(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "word ptr ");
+	MI->x86opsize = 2;
 	printSrcIdx(MI, OpNo, O);
 }
 
 void printSrcIdx32(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "dword ptr ");
+	MI->x86opsize = 4;
 	printSrcIdx(MI, OpNo, O);
 }
 
 void printSrcIdx64(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "qword ptr ");
+	MI->x86opsize = 8;
 	printSrcIdx(MI, OpNo, O);
 }
 
 void printDstIdx8(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "byte ptr ");
+	MI->x86opsize = 1;
 	printDstIdx(MI, OpNo, O);
 }
 
 void printDstIdx16(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "word ptr ");
+	MI->x86opsize = 2;
 	printDstIdx(MI, OpNo, O);
 }
 
 void printDstIdx32(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "dword ptr ");
+	MI->x86opsize = 4;
 	printDstIdx(MI, OpNo, O);
 }
 
 void printDstIdx64(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "qword ptr ");
+	MI->x86opsize = 8;
 	printDstIdx(MI, OpNo, O);
 }
 
 static void printMemOffset(MCInst *MI, unsigned Op, SStream *O)
 {
 	MCOperand *DispSpec = MCInst_getOperand(MI, Op);
-	MCOperand *SegReg = MCInst_getOperand(MI, Op+1);
+	MCOperand *SegReg = MCInst_getOperand(MI, Op + 1);
+	int reg;
 
 	if (MI->csh->detail) {
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = 1;
@@ -299,9 +404,13 @@
 	}
 
 	// If this has a segment register, print it.
-	if (MCOperand_getReg(SegReg)) {
-		printOperand(MI, Op+1, O);
+	reg = MCOperand_getReg(SegReg);
+	if (reg) {
+		_printOperand(MI, Op + 1, O);
 		SStream_concat0(O, ":");
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
+		}
 	}
 
 	SStream_concat0(O, "[");
@@ -329,28 +438,28 @@
 static void printMemOffs8(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "byte ptr ");
-
+	MI->x86opsize = 1;
 	printMemOffset(MI, OpNo, O);
 }
 
 static void printMemOffs16(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "word ptr ");
-
+	MI->x86opsize = 2;
 	printMemOffset(MI, OpNo, O);
-
 }
 
 static void printMemOffs32(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "dword ptr ");
-
+	MI->x86opsize = 4;
 	printMemOffset(MI, OpNo, O);
 }
 
 static void printMemOffs64(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	SStream_concat0(O, "qword ptr ");
+	MI->x86opsize = 8;
 	printMemOffset(MI, OpNo, O);
 }
 
@@ -362,25 +471,25 @@
 	x86_reg reg;
 
 	// Try to print any aliases first.
-	mnem = printAliasInstr(MI, O, NULL);
+	mnem = printAliasInstr(MI, O, Info);
 	if (mnem)
 		cs_mem_free(mnem);
 	else
-		printInstruction(MI, O, NULL);
+		printInstruction(MI, O, Info);
 
 	if (MI->csh->detail) {
 		// first op can be embedded in the asm by llvm.
-		// so we have to handle that case to not miss the first op.
-		reg = X86_insn_reg(MCInst_getOpcode(MI));
+		// so we have to add the missing register as the first operand
+		reg = X86_insn_reg_intel(MCInst_getOpcode(MI));
 		if (reg) {
 			// shift all the ops right to leave 1st slot for this new register op
 			memmove(&(MI->flat_insn->detail->x86.operands[1]), &(MI->flat_insn->detail->x86.operands[0]),
 					sizeof(MI->flat_insn->detail->x86.operands[0]) * (ARR_SIZE(MI->flat_insn->detail->x86.operands) - 1));
 			MI->flat_insn->detail->x86.operands[0].type = X86_OP_REG;
 			MI->flat_insn->detail->x86.operands[0].reg = reg;
+			MI->flat_insn->detail->x86.operands[0].size = MI->csh->regsize_map[reg];
 			MI->flat_insn->detail->x86.op_count++;
 		}
-
 	}
 }
 
@@ -408,27 +517,32 @@
 		}
 		if (MI->csh->detail) {
 			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
+			// if op_count > 0, then this operand's size is taken from the destination op
+			if (MI->flat_insn->detail->x86.op_count > 0)
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->flat_insn->detail->x86.operands[0].size;
+			else
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->imm_size;
 			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = imm;
 			MI->flat_insn->detail->x86.op_count++;
 		}
 	}
 }
 
-static char *getRegisterName(unsigned RegNo);
-static void printRegName(SStream *OS, unsigned RegNo)
-{
-	SStream_concat0(OS, getRegisterName(RegNo));
-}
-
 static void printOperand(MCInst *MI, unsigned OpNo, SStream *O)
 {
 	MCOperand *Op  = MCInst_getOperand(MI, OpNo);
 	if (MCOperand_isReg(Op)) {
 		printRegName(O, MCOperand_getReg(Op));
 		if (MI->csh->detail) {
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_REG;
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].reg = MCOperand_getReg(Op);
-			MI->flat_insn->detail->x86.op_count++;
+			unsigned int reg = MCOperand_getReg(Op);
+			if (MI->csh->doing_mem) {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = reg;
+			} else {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_REG;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].reg = reg;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->csh->regsize_map[reg];
+				MI->flat_insn->detail->x86.op_count++;
+			}
 		}
 	} else if (MCOperand_isImm(Op)) {
 		int64_t imm = MCOperand_getImm(Op);
@@ -445,32 +559,17 @@
 		}
 
 		if (MI->csh->detail) {
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
-			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = imm;
-			MI->flat_insn->detail->x86.op_count++;
-		}
-	}
-}
-
-// local printOperand, without updating public operands
-static void _printOperand(MCInst *MI, unsigned OpNo, SStream *O)
-{
-	MCOperand *Op  = MCInst_getOperand(MI, OpNo);
-	if (MCOperand_isReg(Op)) {
-		printRegName(O, MCOperand_getReg(Op));
-	} else if (MCOperand_isImm(Op)) {
-		int64_t imm = MCOperand_getImm(Op);
-		if (imm < 0) {
-			if (imm < -HEX_THRESHOLD)
-				SStream_concat(O, "-0x%"PRIx64, -imm);
-			else
-				SStream_concat(O, "-%"PRIu64, -imm);
-
-		} else {
-			if (imm > HEX_THRESHOLD)
-				SStream_concat(O, "0x%"PRIx64, imm);
-			else
-				SStream_concat(O, "%"PRIu64, imm);
+			if (MI->csh->doing_mem) {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.disp = imm;
+			} else {
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
+				if (MI->flat_insn->detail->x86.op_count > 0)
+					MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->flat_insn->detail->x86.operands[0].size;
+				else
+					MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->imm_size;
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = imm;
+				MI->flat_insn->detail->x86.op_count++;
+			}
 		}
 	}
 }
@@ -478,14 +577,17 @@
 static void printMemReference(MCInst *MI, unsigned Op, SStream *O)
 {
 	bool NeedPlus = false;
-	MCOperand *BaseReg  = MCInst_getOperand(MI, Op);
-	uint64_t ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op+1));
-	MCOperand *IndexReg  = MCInst_getOperand(MI, Op+2);
-	MCOperand *DispSpec = MCInst_getOperand(MI, Op+3);
-	MCOperand *SegReg = MCInst_getOperand(MI, Op+4);
+	MCOperand *BaseReg  = MCInst_getOperand(MI, Op + X86_AddrBaseReg);
+	uint64_t ScaleVal = MCOperand_getImm(MCInst_getOperand(MI, Op + X86_AddrScaleAmt));
+	MCOperand *IndexReg  = MCInst_getOperand(MI, Op + X86_AddrIndexReg);
+	MCOperand *DispSpec = MCInst_getOperand(MI, Op + X86_AddrDisp);
+	MCOperand *SegReg = MCInst_getOperand(MI, Op + X86_AddrSegmentReg);
+	int reg;
 
 	if (MI->csh->detail) {
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_MEM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->x86opsize;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = X86_REG_INVALID;
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.base = MCOperand_getReg(BaseReg);
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.index = MCOperand_getReg(IndexReg);
 		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.scale = (int)ScaleVal;
@@ -493,21 +595,25 @@
 	}
 
 	// If this has a segment register, print it.
-	if (MCOperand_getReg(SegReg)) {
-		_printOperand(MI, Op+4, O);
+	reg = MCOperand_getReg(SegReg);
+	if (reg) {
+		_printOperand(MI, Op + X86_AddrSegmentReg, O);
+		if (MI->csh->detail) {
+			MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].mem.segment = reg;
+		}
 		SStream_concat0(O, ":");
 	}
 
 	SStream_concat0(O, "[");
 
 	if (MCOperand_getReg(BaseReg)) {
-		_printOperand(MI, Op, O);
+		_printOperand(MI, Op + X86_AddrBaseReg, O);
 		NeedPlus = true;
 	}
 
 	if (MCOperand_getReg(IndexReg)) {
 		if (NeedPlus) SStream_concat0(O, " + ");
-		_printOperand(MI, Op+2, O);
+		_printOperand(MI, Op + X86_AddrIndexReg, O);
 		if (ScaleVal != 1)
 			SStream_concat(O, "*%u", ScaleVal);
 		NeedPlus = true;
@@ -542,6 +648,9 @@
 		MI->flat_insn->detail->x86.op_count++;
 }
 
+#define GET_REGINFO_ENUM
+#include "X86GenRegisterInfo.inc"
+
 #define PRINT_ALIAS_INSTR
 #ifdef CAPSTONE_X86_REDUCE
 #include "X86GenAsmWriter1_reduce.inc"
diff --git a/arch/X86/X86Mapping.c b/arch/X86/X86Mapping.c
index 5e8cea8..632cb52 100644
--- a/arch/X86/X86Mapping.c
+++ b/arch/X86/X86Mapping.c
@@ -84,7 +84,7 @@
 	{ X86_REG_BH, "bh" },
 	{ X86_REG_BL, "bl" },
 	{ X86_REG_BP, "bp" },
-	{ X86_REG_BPL, "bpL" },
+	{ X86_REG_BPL, "bpl" },
 	{ X86_REG_BX, "bx" },
 	{ X86_REG_CH, "ch" },
 	{ X86_REG_CL, "cl" },
@@ -158,6 +158,7 @@
 	{ X86_REG_FP4, "fp4" },
 	{ X86_REG_FP5, "fp5" },
 	{ X86_REG_FP6, "fp6" },
+	{ X86_REG_FP7, "fp7" },
 	{ X86_REG_K0, "k0" },
 	{ X86_REG_K1, "k1" },
 	{ X86_REG_K2, "k2" },
@@ -313,6 +314,482 @@
 };
 #endif
 
+// register size in non-64bit mode
+uint8_t regsize_map_32 [] = {
+	0,	// 	{ X86_REG_INVALID, NULL },
+	1,	// { X86_REG_AH, "ah" },
+	1,	// { X86_REG_AL, "al" },
+	2,	// { X86_REG_AX, "ax" },
+	1,	// { X86_REG_BH, "bh" },
+	1,	// { X86_REG_BL, "bl" },
+	2,	// { X86_REG_BP, "bp" },
+	1,	// { X86_REG_BPL, "bpl" },
+	2,	// { X86_REG_BX, "bx" },
+	1,	// { X86_REG_CH, "ch" },
+	1,	// { X86_REG_CL, "cl" },
+	2,	// { X86_REG_CS, "cs" },
+	2,	// { X86_REG_CX, "cx" },
+	1,	// { X86_REG_DH, "dh" },
+	2,	// { X86_REG_DI, "di" },
+	1,	// { X86_REG_DIL, "dil" },
+	1,	// { X86_REG_DL, "dl" },
+	2,	// { X86_REG_DS, "ds" },
+	2,	// { X86_REG_DX, "dx" },
+	4,	// { X86_REG_EAX, "eax" },
+	4,	// { X86_REG_EBP, "ebp" },
+	4,	// { X86_REG_EBX, "ebx" },
+	4,	// { X86_REG_ECX, "ecx" },
+	4,	// { X86_REG_EDI, "edi" },
+	4,	// { X86_REG_EDX, "edx" },
+	4,	// { X86_REG_EFLAGS, "flags" },
+	4,	// { X86_REG_EIP, "eip" },
+	4,	// { X86_REG_EIZ, "eiz" },
+	2,	// { X86_REG_ES, "es" },
+	4,	// { X86_REG_ESI, "esi" },
+	4,	// { X86_REG_ESP, "esp" },
+	10,	// { X86_REG_FPSW, "fpsw" },
+	2,	// { X86_REG_FS, "fs" },
+	2,	// { X86_REG_GS, "gs" },
+	2,	// { X86_REG_IP, "ip" },
+	8,	// { X86_REG_RAX, "rax" },
+	8,	// { X86_REG_RBP, "rbp" },
+	8,	// { X86_REG_RBX, "rbx" },
+	8,	// { X86_REG_RCX, "rcx" },
+	8,	// { X86_REG_RDI, "rdi" },
+	8,	// { X86_REG_RDX, "rdx" },
+	8,	// { X86_REG_RIP, "rip" },
+	8,	// { X86_REG_RIZ, "riz" },
+	8,	// { X86_REG_RSI, "rsi" },
+	8,	// { X86_REG_RSP, "rsp" },
+	2,	// { X86_REG_SI, "si" },
+	1,	// { X86_REG_SIL, "sil" },
+	2,	// { X86_REG_SP, "sp" },
+	1,	// { X86_REG_SPL, "spl" },
+	2,	// { X86_REG_SS, "ss" },
+	4,	// { X86_REG_CR0, "cr0" },
+	4,	// { X86_REG_CR1, "cr1" },
+	4,	// { X86_REG_CR2, "cr2" },
+	4,	// { X86_REG_CR3, "cr3" },
+	4,	// { X86_REG_CR4, "cr4" },
+	8,	// { X86_REG_CR5, "cr5" },
+	8,	// { X86_REG_CR6, "cr6" },
+	8,	// { X86_REG_CR7, "cr7" },
+	8,	// { X86_REG_CR8, "cr8" },
+	8,	// { X86_REG_CR9, "cr9" },
+	8,	// { X86_REG_CR10, "cr10" },
+	8,	// { X86_REG_CR11, "cr11" },
+	8,	// { X86_REG_CR12, "cr12" },
+	8,	// { X86_REG_CR13, "cr13" },
+	8,	// { X86_REG_CR14, "cr14" },
+	8,	// { X86_REG_CR15, "cr15" },
+	4,	// { X86_REG_DR0, "dr0" },
+	4,	// { X86_REG_DR1, "dr1" },
+	4,	// { X86_REG_DR2, "dr2" },
+	4,	// { X86_REG_DR3, "dr3" },
+	4,	// { X86_REG_DR4, "dr4" },
+	4,	// { X86_REG_DR5, "dr5" },
+	4,	// { X86_REG_DR6, "dr6" },
+	4,	// { X86_REG_DR7, "dr7" },
+	10,	// { X86_REG_FP0, "fp0" },
+	10,	// { X86_REG_FP1, "fp1" },
+	10,	// { X86_REG_FP2, "fp2" },
+	10,	// { X86_REG_FP3, "fp3" },
+	10,	// { X86_REG_FP4, "fp4" },
+	10,	// { X86_REG_FP5, "fp5" },
+	10,	// { X86_REG_FP6, "fp6" },
+	10,	// { X86_REG_FP7, "fp7" },
+	2,	// { X86_REG_K0, "k0" },
+	2,	// { X86_REG_K1, "k1" },
+	2,	// { X86_REG_K2, "k2" },
+	2,	// { X86_REG_K3, "k3" },
+	2,	// { X86_REG_K4, "k4" },
+	2,	// { X86_REG_K5, "k5" },
+	2,	// { X86_REG_K6, "k6" },
+	2,	// { X86_REG_K7, "k7" },
+	8,	// { X86_REG_MM0, "mm0" },
+	8,	// { X86_REG_MM1, "mm1" },
+	8,	// { X86_REG_MM2, "mm2" },
+	8,	// { X86_REG_MM3, "mm3" },
+	8,	// { X86_REG_MM4, "mm4" },
+	8,	// { X86_REG_MM5, "mm5" },
+	8,	// { X86_REG_MM6, "mm6" },
+	8,	// { X86_REG_MM7, "mm7" },
+	8,	// { X86_REG_R8, "r8" },
+	8,	// { X86_REG_R9, "r9" },
+	8,	// { X86_REG_R10, "r10" },
+	8,	// { X86_REG_R11, "r11" },
+	8,	// { X86_REG_R12, "r12" },
+	8,	// { X86_REG_R13, "r13" },
+	8,	// { X86_REG_R14, "r14" },
+	8,	// { X86_REG_R15, "r15" },
+	10,	// { X86_REG_ST0, "st0" },
+	10,	// { X86_REG_ST1, "st1" },
+	10,	// { X86_REG_ST2, "st2" },
+	10,	// { X86_REG_ST3, "st3" },
+	10,	// { X86_REG_ST4, "st4" },
+	10,	// { X86_REG_ST5, "st5" },
+	10,	// { X86_REG_ST6, "st6" },
+	10,	// { X86_REG_ST7, "st7" },
+	16,	// { X86_REG_XMM0, "xmm0" },
+	16,	// { X86_REG_XMM1, "xmm1" },
+	16,	// { X86_REG_XMM2, "xmm2" },
+	16,	// { X86_REG_XMM3, "xmm3" },
+	16,	// { X86_REG_XMM4, "xmm4" },
+	16,	// { X86_REG_XMM5, "xmm5" },
+	16,	// { X86_REG_XMM6, "xmm6" },
+	16,	// { X86_REG_XMM7, "xmm7" },
+	16,	// { X86_REG_XMM8, "xmm8" },
+	16,	// { X86_REG_XMM9, "xmm9" },
+	16,	// { X86_REG_XMM10, "xmm10" },
+	16,	// { X86_REG_XMM11, "xmm11" },
+	16,	// { X86_REG_XMM12, "xmm12" },
+	16,	// { X86_REG_XMM13, "xmm13" },
+	16,	// { X86_REG_XMM14, "xmm14" },
+	16,	// { X86_REG_XMM15, "xmm15" },
+	16,	// { X86_REG_XMM16, "xmm16" },
+	16,	// { X86_REG_XMM17, "xmm17" },
+	16,	// { X86_REG_XMM18, "xmm18" },
+	16,	// { X86_REG_XMM19, "xmm19" },
+	16,	// { X86_REG_XMM20, "xmm20" },
+	16,	// { X86_REG_XMM21, "xmm21" },
+	16,	// { X86_REG_XMM22, "xmm22" },
+	16,	// { X86_REG_XMM23, "xmm23" },
+	16,	// { X86_REG_XMM24, "xmm24" },
+	16,	// { X86_REG_XMM25, "xmm25" },
+	16,	// { X86_REG_XMM26, "xmm26" },
+	16,	// { X86_REG_XMM27, "xmm27" },
+	16,	// { X86_REG_XMM28, "xmm28" },
+	16,	// { X86_REG_XMM29, "xmm29" },
+	16,	// { X86_REG_XMM30, "xmm30" },
+	16,	// { X86_REG_XMM31, "xmm31" },
+	32,	// { X86_REG_YMM0, "ymm0" },
+	32,	// { X86_REG_YMM1, "ymm1" },
+	32,	// { X86_REG_YMM2, "ymm2" },
+	32,	// { X86_REG_YMM3, "ymm3" },
+	32,	// { X86_REG_YMM4, "ymm4" },
+	32,	// { X86_REG_YMM5, "ymm5" },
+	32,	// { X86_REG_YMM6, "ymm6" },
+	32,	// { X86_REG_YMM7, "ymm7" },
+	32,	// { X86_REG_YMM8, "ymm8" },
+	32,	// { X86_REG_YMM9, "ymm9" },
+	32,	// { X86_REG_YMM10, "ymm10" },
+	32,	// { X86_REG_YMM11, "ymm11" },
+	32,	// { X86_REG_YMM12, "ymm12" },
+	32,	// { X86_REG_YMM13, "ymm13" },
+	32,	// { X86_REG_YMM14, "ymm14" },
+	32,	// { X86_REG_YMM15, "ymm15" },
+	32,	// { X86_REG_YMM16, "ymm16" },
+	32,	// { X86_REG_YMM17, "ymm17" },
+	32,	// { X86_REG_YMM18, "ymm18" },
+	32,	// { X86_REG_YMM19, "ymm19" },
+	32,	// { X86_REG_YMM20, "ymm20" },
+	32,	// { X86_REG_YMM21, "ymm21" },
+	32,	// { X86_REG_YMM22, "ymm22" },
+	32,	// { X86_REG_YMM23, "ymm23" },
+	32,	// { X86_REG_YMM24, "ymm24" },
+	32,	// { X86_REG_YMM25, "ymm25" },
+	32,	// { X86_REG_YMM26, "ymm26" },
+	32,	// { X86_REG_YMM27, "ymm27" },
+	32,	// { X86_REG_YMM28, "ymm28" },
+	32,	// { X86_REG_YMM29, "ymm29" },
+	32,	// { X86_REG_YMM30, "ymm30" },
+	32,	// { X86_REG_YMM31, "ymm31" },
+	64,	// { X86_REG_ZMM0, "zmm0" },
+	64,	// { X86_REG_ZMM1, "zmm1" },
+	64,	// { X86_REG_ZMM2, "zmm2" },
+	64,	// { X86_REG_ZMM3, "zmm3" },
+	64,	// { X86_REG_ZMM4, "zmm4" },
+	64,	// { X86_REG_ZMM5, "zmm5" },
+	64,	// { X86_REG_ZMM6, "zmm6" },
+	64,	// { X86_REG_ZMM7, "zmm7" },
+	64,	// { X86_REG_ZMM8, "zmm8" },
+	64,	// { X86_REG_ZMM9, "zmm9" },
+	64,	// { X86_REG_ZMM10, "zmm10" },
+	64,	// { X86_REG_ZMM11, "zmm11" },
+	64,	// { X86_REG_ZMM12, "zmm12" },
+	64,	// { X86_REG_ZMM13, "zmm13" },
+	64,	// { X86_REG_ZMM14, "zmm14" },
+	64,	// { X86_REG_ZMM15, "zmm15" },
+	64,	// { X86_REG_ZMM16, "zmm16" },
+	64,	// { X86_REG_ZMM17, "zmm17" },
+	64,	// { X86_REG_ZMM18, "zmm18" },
+	64,	// { X86_REG_ZMM19, "zmm19" },
+	64,	// { X86_REG_ZMM20, "zmm20" },
+	64,	// { X86_REG_ZMM21, "zmm21" },
+	64,	// { X86_REG_ZMM22, "zmm22" },
+	64,	// { X86_REG_ZMM23, "zmm23" },
+	64,	// { X86_REG_ZMM24, "zmm24" },
+	64,	// { X86_REG_ZMM25, "zmm25" },
+	64,	// { X86_REG_ZMM26, "zmm26" },
+	64,	// { X86_REG_ZMM27, "zmm27" },
+	64,	// { X86_REG_ZMM28, "zmm28" },
+	64,	// { X86_REG_ZMM29, "zmm29" },
+	64,	// { X86_REG_ZMM30, "zmm30" },
+	64,	// { X86_REG_ZMM31, "zmm31" },
+	1,	// { X86_REG_R8B, "r8b" },
+	1,	// { X86_REG_R9B, "r9b" },
+	1,	// { X86_REG_R10B, "r10b" },
+	1,	// { X86_REG_R11B, "r11b" },
+	1,	// { X86_REG_R12B, "r12b" },
+	1,	// { X86_REG_R13B, "r13b" },
+	1,	// { X86_REG_R14B, "r14b" },
+	1,	// { X86_REG_R15B, "r15b" },
+	4,	// { X86_REG_R8D, "r8d" },
+	4,	// { X86_REG_R9D, "r9d" },
+	4,	// { X86_REG_R10D, "r10d" },
+	4,	// { X86_REG_R11D, "r11d" },
+	4,	// { X86_REG_R12D, "r12d" },
+	4,	// { X86_REG_R13D, "r13d" },
+	4,	// { X86_REG_R14D, "r14d" },
+	4,	// { X86_REG_R15D, "r15d" },
+	2,	// { X86_REG_R8W, "r8w" },
+	2,	// { X86_REG_R9W, "r9w" },
+	2,	// { X86_REG_R10W, "r10w" },
+	2,	// { X86_REG_R11W, "r11w" },
+	2,	// { X86_REG_R12W, "r12w" },
+	2,	// { X86_REG_R13W, "r13w" },
+	2,	// { X86_REG_R14W, "r14w" },
+	2,	// { X86_REG_R15W, "r15w" },
+};
+
+// register size in 64bit mode
+uint8_t regsize_map_64 [] = {
+	0,	// 	{ X86_REG_INVALID, NULL },
+	1,	// { X86_REG_AH, "ah" },
+	1,	// { X86_REG_AL, "al" },
+	2,	// { X86_REG_AX, "ax" },
+	1,	// { X86_REG_BH, "bh" },
+	1,	// { X86_REG_BL, "bl" },
+	2,	// { X86_REG_BP, "bp" },
+	1,	// { X86_REG_BPL, "bpl" },
+	2,	// { X86_REG_BX, "bx" },
+	1,	// { X86_REG_CH, "ch" },
+	1,	// { X86_REG_CL, "cl" },
+	2,	// { X86_REG_CS, "cs" },
+	2,	// { X86_REG_CX, "cx" },
+	1,	// { X86_REG_DH, "dh" },
+	2,	// { X86_REG_DI, "di" },
+	1,	// { X86_REG_DIL, "dil" },
+	1,	// { X86_REG_DL, "dl" },
+	2,	// { X86_REG_DS, "ds" },
+	2,	// { X86_REG_DX, "dx" },
+	4,	// { X86_REG_EAX, "eax" },
+	4,	// { X86_REG_EBP, "ebp" },
+	4,	// { X86_REG_EBX, "ebx" },
+	4,	// { X86_REG_ECX, "ecx" },
+	4,	// { X86_REG_EDI, "edi" },
+	4,	// { X86_REG_EDX, "edx" },
+	8,	// { X86_REG_EFLAGS, "flags" },
+	4,	// { X86_REG_EIP, "eip" },
+	4,	// { X86_REG_EIZ, "eiz" },
+	2,	// { X86_REG_ES, "es" },
+	4,	// { X86_REG_ESI, "esi" },
+	4,	// { X86_REG_ESP, "esp" },
+	10,	// { X86_REG_FPSW, "fpsw" },
+	2,	// { X86_REG_FS, "fs" },
+	2,	// { X86_REG_GS, "gs" },
+	2,	// { X86_REG_IP, "ip" },
+	8,	// { X86_REG_RAX, "rax" },
+	8,	// { X86_REG_RBP, "rbp" },
+	8,	// { X86_REG_RBX, "rbx" },
+	8,	// { X86_REG_RCX, "rcx" },
+	8,	// { X86_REG_RDI, "rdi" },
+	8,	// { X86_REG_RDX, "rdx" },
+	8,	// { X86_REG_RIP, "rip" },
+	8,	// { X86_REG_RIZ, "riz" },
+	8,	// { X86_REG_RSI, "rsi" },
+	8,	// { X86_REG_RSP, "rsp" },
+	2,	// { X86_REG_SI, "si" },
+	1,	// { X86_REG_SIL, "sil" },
+	2,	// { X86_REG_SP, "sp" },
+	1,	// { X86_REG_SPL, "spl" },
+	2,	// { X86_REG_SS, "ss" },
+	8,	// { X86_REG_CR0, "cr0" },
+	8,	// { X86_REG_CR1, "cr1" },
+	8,	// { X86_REG_CR2, "cr2" },
+	8,	// { X86_REG_CR3, "cr3" },
+	8,	// { X86_REG_CR4, "cr4" },
+	8,	// { X86_REG_CR5, "cr5" },
+	8,	// { X86_REG_CR6, "cr6" },
+	8,	// { X86_REG_CR7, "cr7" },
+	8,	// { X86_REG_CR8, "cr8" },
+	8,	// { X86_REG_CR9, "cr9" },
+	8,	// { X86_REG_CR10, "cr10" },
+	8,	// { X86_REG_CR11, "cr11" },
+	8,	// { X86_REG_CR12, "cr12" },
+	8,	// { X86_REG_CR13, "cr13" },
+	8,	// { X86_REG_CR14, "cr14" },
+	8,	// { X86_REG_CR15, "cr15" },
+	8,	// { X86_REG_DR0, "dr0" },
+	8,	// { X86_REG_DR1, "dr1" },
+	8,	// { X86_REG_DR2, "dr2" },
+	8,	// { X86_REG_DR3, "dr3" },
+	8,	// { X86_REG_DR4, "dr4" },
+	8,	// { X86_REG_DR5, "dr5" },
+	8,	// { X86_REG_DR6, "dr6" },
+	8,	// { X86_REG_DR7, "dr7" },
+	10,	// { X86_REG_FP0, "fp0" },
+	10,	// { X86_REG_FP1, "fp1" },
+	10,	// { X86_REG_FP2, "fp2" },
+	10,	// { X86_REG_FP3, "fp3" },
+	10,	// { X86_REG_FP4, "fp4" },
+	10,	// { X86_REG_FP5, "fp5" },
+	10,	// { X86_REG_FP6, "fp6" },
+	10,	// { X86_REG_FP7, "fp7" },
+	2,	// { X86_REG_K0, "k0" },
+	2,	// { X86_REG_K1, "k1" },
+	2,	// { X86_REG_K2, "k2" },
+	2,	// { X86_REG_K3, "k3" },
+	2,	// { X86_REG_K4, "k4" },
+	2,	// { X86_REG_K5, "k5" },
+	2,	// { X86_REG_K6, "k6" },
+	2,	// { X86_REG_K7, "k7" },
+	8,	// { X86_REG_MM0, "mm0" },
+	8,	// { X86_REG_MM1, "mm1" },
+	8,	// { X86_REG_MM2, "mm2" },
+	8,	// { X86_REG_MM3, "mm3" },
+	8,	// { X86_REG_MM4, "mm4" },
+	8,	// { X86_REG_MM5, "mm5" },
+	8,	// { X86_REG_MM6, "mm6" },
+	8,	// { X86_REG_MM7, "mm7" },
+	8,	// { X86_REG_R8, "r8" },
+	8,	// { X86_REG_R9, "r9" },
+	8,	// { X86_REG_R10, "r10" },
+	8,	// { X86_REG_R11, "r11" },
+	8,	// { X86_REG_R12, "r12" },
+	8,	// { X86_REG_R13, "r13" },
+	8,	// { X86_REG_R14, "r14" },
+	8,	// { X86_REG_R15, "r15" },
+	10,	// { X86_REG_ST0, "st0" },
+	10,	// { X86_REG_ST1, "st1" },
+	10,	// { X86_REG_ST2, "st2" },
+	10,	// { X86_REG_ST3, "st3" },
+	10,	// { X86_REG_ST4, "st4" },
+	10,	// { X86_REG_ST5, "st5" },
+	10,	// { X86_REG_ST6, "st6" },
+	10,	// { X86_REG_ST7, "st7" },
+	16,	// { X86_REG_XMM0, "xmm0" },
+	16,	// { X86_REG_XMM1, "xmm1" },
+	16,	// { X86_REG_XMM2, "xmm2" },
+	16,	// { X86_REG_XMM3, "xmm3" },
+	16,	// { X86_REG_XMM4, "xmm4" },
+	16,	// { X86_REG_XMM5, "xmm5" },
+	16,	// { X86_REG_XMM6, "xmm6" },
+	16,	// { X86_REG_XMM7, "xmm7" },
+	16,	// { X86_REG_XMM8, "xmm8" },
+	16,	// { X86_REG_XMM9, "xmm9" },
+	16,	// { X86_REG_XMM10, "xmm10" },
+	16,	// { X86_REG_XMM11, "xmm11" },
+	16,	// { X86_REG_XMM12, "xmm12" },
+	16,	// { X86_REG_XMM13, "xmm13" },
+	16,	// { X86_REG_XMM14, "xmm14" },
+	16,	// { X86_REG_XMM15, "xmm15" },
+	16,	// { X86_REG_XMM16, "xmm16" },
+	16,	// { X86_REG_XMM17, "xmm17" },
+	16,	// { X86_REG_XMM18, "xmm18" },
+	16,	// { X86_REG_XMM19, "xmm19" },
+	16,	// { X86_REG_XMM20, "xmm20" },
+	16,	// { X86_REG_XMM21, "xmm21" },
+	16,	// { X86_REG_XMM22, "xmm22" },
+	16,	// { X86_REG_XMM23, "xmm23" },
+	16,	// { X86_REG_XMM24, "xmm24" },
+	16,	// { X86_REG_XMM25, "xmm25" },
+	16,	// { X86_REG_XMM26, "xmm26" },
+	16,	// { X86_REG_XMM27, "xmm27" },
+	16,	// { X86_REG_XMM28, "xmm28" },
+	16,	// { X86_REG_XMM29, "xmm29" },
+	16,	// { X86_REG_XMM30, "xmm30" },
+	16,	// { X86_REG_XMM31, "xmm31" },
+	32,	// { X86_REG_YMM0, "ymm0" },
+	32,	// { X86_REG_YMM1, "ymm1" },
+	32,	// { X86_REG_YMM2, "ymm2" },
+	32,	// { X86_REG_YMM3, "ymm3" },
+	32,	// { X86_REG_YMM4, "ymm4" },
+	32,	// { X86_REG_YMM5, "ymm5" },
+	32,	// { X86_REG_YMM6, "ymm6" },
+	32,	// { X86_REG_YMM7, "ymm7" },
+	32,	// { X86_REG_YMM8, "ymm8" },
+	32,	// { X86_REG_YMM9, "ymm9" },
+	32,	// { X86_REG_YMM10, "ymm10" },
+	32,	// { X86_REG_YMM11, "ymm11" },
+	32,	// { X86_REG_YMM12, "ymm12" },
+	32,	// { X86_REG_YMM13, "ymm13" },
+	32,	// { X86_REG_YMM14, "ymm14" },
+	32,	// { X86_REG_YMM15, "ymm15" },
+	32,	// { X86_REG_YMM16, "ymm16" },
+	32,	// { X86_REG_YMM17, "ymm17" },
+	32,	// { X86_REG_YMM18, "ymm18" },
+	32,	// { X86_REG_YMM19, "ymm19" },
+	32,	// { X86_REG_YMM20, "ymm20" },
+	32,	// { X86_REG_YMM21, "ymm21" },
+	32,	// { X86_REG_YMM22, "ymm22" },
+	32,	// { X86_REG_YMM23, "ymm23" },
+	32,	// { X86_REG_YMM24, "ymm24" },
+	32,	// { X86_REG_YMM25, "ymm25" },
+	32,	// { X86_REG_YMM26, "ymm26" },
+	32,	// { X86_REG_YMM27, "ymm27" },
+	32,	// { X86_REG_YMM28, "ymm28" },
+	32,	// { X86_REG_YMM29, "ymm29" },
+	32,	// { X86_REG_YMM30, "ymm30" },
+	32,	// { X86_REG_YMM31, "ymm31" },
+	64,	// { X86_REG_ZMM0, "zmm0" },
+	64,	// { X86_REG_ZMM1, "zmm1" },
+	64,	// { X86_REG_ZMM2, "zmm2" },
+	64,	// { X86_REG_ZMM3, "zmm3" },
+	64,	// { X86_REG_ZMM4, "zmm4" },
+	64,	// { X86_REG_ZMM5, "zmm5" },
+	64,	// { X86_REG_ZMM6, "zmm6" },
+	64,	// { X86_REG_ZMM7, "zmm7" },
+	64,	// { X86_REG_ZMM8, "zmm8" },
+	64,	// { X86_REG_ZMM9, "zmm9" },
+	64,	// { X86_REG_ZMM10, "zmm10" },
+	64,	// { X86_REG_ZMM11, "zmm11" },
+	64,	// { X86_REG_ZMM12, "zmm12" },
+	64,	// { X86_REG_ZMM13, "zmm13" },
+	64,	// { X86_REG_ZMM14, "zmm14" },
+	64,	// { X86_REG_ZMM15, "zmm15" },
+	64,	// { X86_REG_ZMM16, "zmm16" },
+	64,	// { X86_REG_ZMM17, "zmm17" },
+	64,	// { X86_REG_ZMM18, "zmm18" },
+	64,	// { X86_REG_ZMM19, "zmm19" },
+	64,	// { X86_REG_ZMM20, "zmm20" },
+	64,	// { X86_REG_ZMM21, "zmm21" },
+	64,	// { X86_REG_ZMM22, "zmm22" },
+	64,	// { X86_REG_ZMM23, "zmm23" },
+	64,	// { X86_REG_ZMM24, "zmm24" },
+	64,	// { X86_REG_ZMM25, "zmm25" },
+	64,	// { X86_REG_ZMM26, "zmm26" },
+	64,	// { X86_REG_ZMM27, "zmm27" },
+	64,	// { X86_REG_ZMM28, "zmm28" },
+	64,	// { X86_REG_ZMM29, "zmm29" },
+	64,	// { X86_REG_ZMM30, "zmm30" },
+	64,	// { X86_REG_ZMM31, "zmm31" },
+	1,	// { X86_REG_R8B, "r8b" },
+	1,	// { X86_REG_R9B, "r9b" },
+	1,	// { X86_REG_R10B, "r10b" },
+	1,	// { X86_REG_R11B, "r11b" },
+	1,	// { X86_REG_R12B, "r12b" },
+	1,	// { X86_REG_R13B, "r13b" },
+	1,	// { X86_REG_R14B, "r14b" },
+	1,	// { X86_REG_R15B, "r15b" },
+	4,	// { X86_REG_R8D, "r8d" },
+	4,	// { X86_REG_R9D, "r9d" },
+	4,	// { X86_REG_R10D, "r10d" },
+	4,	// { X86_REG_R11D, "r11d" },
+	4,	// { X86_REG_R12D, "r12d" },
+	4,	// { X86_REG_R13D, "r13d" },
+	4,	// { X86_REG_R14D, "r14d" },
+	4,	// { X86_REG_R15D, "r15d" },
+	2,	// { X86_REG_R8W, "r8w" },
+	2,	// { X86_REG_R9W, "r9w" },
+	2,	// { X86_REG_R10W, "r10w" },
+	2,	// { X86_REG_R11W, "r11w" },
+	2,	// { X86_REG_R12W, "r12w" },
+	2,	// { X86_REG_R13W, "r13w" },
+	2,	// { X86_REG_R14W, "r14w" },
+	2,	// { X86_REG_R15W, "r15w" },
+};
+
 const char *X86_reg_name(csh handle, unsigned int reg)
 {
 #ifndef CAPSTONE_DIET
@@ -433,11 +910,11 @@
 	{ X86_INS_CMP, "cmp" },
 	{ X86_INS_CMPPD, "cmppd" },
 	{ X86_INS_CMPPS, "cmpps" },
-	{ X86_INS_CMPSW, "cmpsw" },
+	{ X86_INS_CMPSB, "cmpsb" },
 	{ X86_INS_CMPSD, "cmpsd" },
 	{ X86_INS_CMPSQ, "cmpsq" },
-	{ X86_INS_CMPSB, "cmpsb" },
 	{ X86_INS_CMPSS, "cmpss" },
+	{ X86_INS_CMPSW, "cmpsw" },
 	{ X86_INS_CMPXCHG16B, "cmpxchg16b" },
 	{ X86_INS_CMPXCHG, "cmpxchg" },
 	{ X86_INS_CMPXCHG8B, "cmpxchg8b" },
@@ -451,7 +928,6 @@
 	{ X86_INS_CPUID, "cpuid" },
 	{ X86_INS_CQO, "cqo" },
 	{ X86_INS_CRC32, "crc32" },
-	{ X86_INS_CS, "cs" },
 	{ X86_INS_CVTDQ2PD, "cvtdq2pd" },
 	{ X86_INS_CVTDQ2PS, "cvtdq2ps" },
 	{ X86_INS_CVTPD2DQ, "cvtpd2dq" },
@@ -487,9 +963,10 @@
 	{ X86_INS_FDIVP, "fdivp" },
 	{ X86_INS_DPPD, "dppd" },
 	{ X86_INS_DPPS, "dpps" },
-	{ X86_INS_DS, "ds" },
+	{ X86_INS_RET, "ret" },
+	{ X86_INS_ENCLS, "encls" },
+	{ X86_INS_ENCLU, "enclu" },
 	{ X86_INS_ENTER, "enter" },
-	{ X86_INS_ES, "es" },
 	{ X86_INS_EXTRACTPS, "extractps" },
 	{ X86_INS_EXTRQ, "extrq" },
 	{ X86_INS_F2XM1, "f2xm1" },
@@ -527,7 +1004,6 @@
 	{ X86_INS_FSETPM, "fsetpm" },
 	{ X86_INS_FSINCOS, "fsincos" },
 	{ X86_INS_FNSTENV, "fnstenv" },
-	{ X86_INS_FS, "fs" },
 	{ X86_INS_FXAM, "fxam" },
 	{ X86_INS_FXRSTOR, "fxrstor" },
 	{ X86_INS_FXRSTOR64, "fxrstor64" },
@@ -545,7 +1021,6 @@
 	{ X86_INS_XORPD, "xorpd" },
 	{ X86_INS_XORPS, "xorps" },
 	{ X86_INS_GETSEC, "getsec" },
-	{ X86_INS_GS, "gs" },
 	{ X86_INS_HADDPD, "haddpd" },
 	{ X86_INS_HADDPS, "haddps" },
 	{ X86_INS_HLT, "hlt" },
@@ -554,13 +1029,13 @@
 	{ X86_INS_IDIV, "idiv" },
 	{ X86_INS_FILD, "fild" },
 	{ X86_INS_IMUL, "imul" },
-	{ X86_INS_INSW, "insw" },
 	{ X86_INS_IN, "in" },
-	{ X86_INS_INSD, "insd" },
-	{ X86_INS_INSB, "insb" },
 	{ X86_INS_INC, "inc" },
+	{ X86_INS_INSB, "insb" },
 	{ X86_INS_INSERTPS, "insertps" },
 	{ X86_INS_INSERTQ, "insertq" },
+	{ X86_INS_INSD, "insd" },
+	{ X86_INS_INSW, "insw" },
 	{ X86_INS_INT, "int" },
 	{ X86_INS_INT1, "int1" },
 	{ X86_INS_INT3, "int3" },
@@ -614,16 +1089,37 @@
 	{ X86_INS_JP, "jp" },
 	{ X86_INS_JRCXZ, "jrcxz" },
 	{ X86_INS_JS, "js" },
+	{ X86_INS_KANDB, "kandb" },
+	{ X86_INS_KANDD, "kandd" },
+	{ X86_INS_KANDNB, "kandnb" },
+	{ X86_INS_KANDND, "kandnd" },
+	{ X86_INS_KANDNQ, "kandnq" },
 	{ X86_INS_KANDNW, "kandnw" },
+	{ X86_INS_KANDQ, "kandq" },
 	{ X86_INS_KANDW, "kandw" },
+	{ X86_INS_KMOVB, "kmovb" },
+	{ X86_INS_KMOVD, "kmovd" },
+	{ X86_INS_KMOVQ, "kmovq" },
 	{ X86_INS_KMOVW, "kmovw" },
+	{ X86_INS_KNOTB, "knotb" },
+	{ X86_INS_KNOTD, "knotd" },
+	{ X86_INS_KNOTQ, "knotq" },
 	{ X86_INS_KNOTW, "knotw" },
+	{ X86_INS_KORB, "korb" },
+	{ X86_INS_KORD, "kord" },
+	{ X86_INS_KORQ, "korq" },
 	{ X86_INS_KORTESTW, "kortestw" },
 	{ X86_INS_KORW, "korw" },
 	{ X86_INS_KSHIFTLW, "kshiftlw" },
 	{ X86_INS_KSHIFTRW, "kshiftrw" },
 	{ X86_INS_KUNPCKBW, "kunpckbw" },
+	{ X86_INS_KXNORB, "kxnorb" },
+	{ X86_INS_KXNORD, "kxnord" },
+	{ X86_INS_KXNORQ, "kxnorq" },
 	{ X86_INS_KXNORW, "kxnorw" },
+	{ X86_INS_KXORB, "kxorb" },
+	{ X86_INS_KXORD, "kxord" },
+	{ X86_INS_KXORQ, "kxorq" },
 	{ X86_INS_KXORW, "kxorw" },
 	{ X86_INS_LAHF, "lahf" },
 	{ X86_INS_LAR, "lar" },
@@ -919,8 +1415,6 @@
 	{ X86_INS_RDTSCP, "rdtscp" },
 	{ X86_INS_REPNE, "repne" },
 	{ X86_INS_REP, "rep" },
-	{ X86_INS_RET, "ret" },
-	{ X86_INS_REX64, "rex64" },
 	{ X86_INS_ROL, "rol" },
 	{ X86_INS_ROR, "ror" },
 	{ X86_INS_RORX, "rorx" },
@@ -937,10 +1431,10 @@
 	{ X86_INS_SAR, "sar" },
 	{ X86_INS_SARX, "sarx" },
 	{ X86_INS_SBB, "sbb" },
-	{ X86_INS_SCASW, "scasw" },
+	{ X86_INS_SCASB, "scasb" },
 	{ X86_INS_SCASD, "scasd" },
 	{ X86_INS_SCASQ, "scasq" },
-	{ X86_INS_SCASB, "scasb" },
+	{ X86_INS_SCASW, "scasw" },
 	{ X86_INS_SETAE, "setae" },
 	{ X86_INS_SETA, "seta" },
 	{ X86_INS_SETBE, "setbe" },
@@ -984,7 +1478,6 @@
 	{ X86_INS_SQRTSD, "sqrtsd" },
 	{ X86_INS_SQRTSS, "sqrtss" },
 	{ X86_INS_FSQRT, "fsqrt" },
-	{ X86_INS_SS, "ss" },
 	{ X86_INS_STAC, "stac" },
 	{ X86_INS_STC, "stc" },
 	{ X86_INS_STD, "std" },
@@ -1056,6 +1549,8 @@
 	{ X86_INS_VBLENDVPS, "vblendvps" },
 	{ X86_INS_VBROADCASTF128, "vbroadcastf128" },
 	{ X86_INS_VBROADCASTI128, "vbroadcasti128" },
+	{ X86_INS_VBROADCASTI32X4, "vbroadcasti32x4" },
+	{ X86_INS_VBROADCASTI64X4, "vbroadcasti64x4" },
 	{ X86_INS_VBROADCASTSD, "vbroadcastsd" },
 	{ X86_INS_VBROADCASTSS, "vbroadcastss" },
 	{ X86_INS_VCMPPD, "vcmppd" },
@@ -1190,6 +1685,14 @@
 	{ X86_INS_VXORPS, "vxorps" },
 	{ X86_INS_VGATHERDPD, "vgatherdpd" },
 	{ X86_INS_VGATHERDPS, "vgatherdps" },
+	{ X86_INS_VGATHERPF0DPD, "vgatherpf0dpd" },
+	{ X86_INS_VGATHERPF0DPS, "vgatherpf0dps" },
+	{ X86_INS_VGATHERPF0QPD, "vgatherpf0qpd" },
+	{ X86_INS_VGATHERPF0QPS, "vgatherpf0qps" },
+	{ X86_INS_VGATHERPF1DPD, "vgatherpf1dpd" },
+	{ X86_INS_VGATHERPF1DPS, "vgatherpf1dps" },
+	{ X86_INS_VGATHERPF1QPD, "vgatherpf1qpd" },
+	{ X86_INS_VGATHERPF1QPS, "vgatherpf1qps" },
 	{ X86_INS_VGATHERQPD, "vgatherqpd" },
 	{ X86_INS_VGATHERQPS, "vgatherqps" },
 	{ X86_INS_VHADDPD, "vhaddpd" },
@@ -1228,8 +1731,10 @@
 	{ X86_INS_VMOVDQA32, "vmovdqa32" },
 	{ X86_INS_VMOVDQA64, "vmovdqa64" },
 	{ X86_INS_VMOVDQA, "vmovdqa" },
+	{ X86_INS_VMOVDQU16, "vmovdqu16" },
 	{ X86_INS_VMOVDQU32, "vmovdqu32" },
 	{ X86_INS_VMOVDQU64, "vmovdqu64" },
+	{ X86_INS_VMOVDQU8, "vmovdqu8" },
 	{ X86_INS_VMOVDQU, "vmovdqu" },
 	{ X86_INS_VMOVHLPS, "vmovhlps" },
 	{ X86_INS_VMOVHPD, "vmovhpd" },
@@ -1380,6 +1885,8 @@
 	{ X86_INS_VPINSRD, "vpinsrd" },
 	{ X86_INS_VPINSRQ, "vpinsrq" },
 	{ X86_INS_VPINSRW, "vpinsrw" },
+	{ X86_INS_VPLZCNTD, "vplzcntd" },
+	{ X86_INS_VPLZCNTQ, "vplzcntq" },
 	{ X86_INS_VPMACSDD, "vpmacsdd" },
 	{ X86_INS_VPMACSDQH, "vpmacsdqh" },
 	{ X86_INS_VPMACSDQL, "vpmacsdql" },
@@ -1546,6 +2053,14 @@
 	{ X86_INS_VRSQRTSS, "vrsqrtss" },
 	{ X86_INS_VSCATTERDPD, "vscatterdpd" },
 	{ X86_INS_VSCATTERDPS, "vscatterdps" },
+	{ X86_INS_VSCATTERPF0DPD, "vscatterpf0dpd" },
+	{ X86_INS_VSCATTERPF0DPS, "vscatterpf0dps" },
+	{ X86_INS_VSCATTERPF0QPD, "vscatterpf0qpd" },
+	{ X86_INS_VSCATTERPF0QPS, "vscatterpf0qps" },
+	{ X86_INS_VSCATTERPF1DPD, "vscatterpf1dpd" },
+	{ X86_INS_VSCATTERPF1DPS, "vscatterpf1dps" },
+	{ X86_INS_VSCATTERPF1QPD, "vscatterpf1qpd" },
+	{ X86_INS_VSCATTERPF1QPS, "vscatterpf1qps" },
 	{ X86_INS_VSCATTERQPD, "vscatterqpd" },
 	{ X86_INS_VSCATTERQPS, "vscatterqps" },
 	{ X86_INS_VSHUFPD, "vshufpd" },
@@ -1612,6 +2127,71 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ X86_GRP_INVALID, NULL },
+	{ X86_GRP_3DNOW, "3dnow" },
+	{ X86_GRP_AES, "aes" },
+	{ X86_GRP_ADX, "adx" },
+	{ X86_GRP_AVX, "avx" },
+	{ X86_GRP_AVX2, "avx2" },
+	{ X86_GRP_AVX512, "avx512" },
+	{ X86_GRP_BMI, "bmi" },
+	{ X86_GRP_BMI2, "bmi2" },
+	{ X86_GRP_CMOV, "cmov" },
+	{ X86_GRP_F16C, "fc16" },
+	{ X86_GRP_FMA, "fma" },
+	{ X86_GRP_FMA4, "fma4" },
+	{ X86_GRP_FSGSBASE, "fsgsbase" },
+	{ X86_GRP_HLE, "hle" },
+	{ X86_GRP_MMX, "mmx" },
+	{ X86_GRP_MODE32, "mode32" },
+	{ X86_GRP_MODE64, "mode64" },
+	{ X86_GRP_RTM, "rtm" },
+	{ X86_GRP_SHA, "sha" },
+	{ X86_GRP_SSE1, "sse1" },
+	{ X86_GRP_SSE2, "sse2" },
+	{ X86_GRP_SSE3, "sse3" },
+	{ X86_GRP_SSE41, "sse41" },
+	{ X86_GRP_SSE42, "sse42" },
+	{ X86_GRP_SSE4A, "sse4a" },
+	{ X86_GRP_SSSE3, "ssse3" },
+	{ X86_GRP_PCLMUL, "pclmul" },
+	{ X86_GRP_XOP, "xop" },
+	{ X86_GRP_CDI, "cdi" },
+	{ X86_GRP_ERI, "eri" },
+	{ X86_GRP_TBM, "tbm" },
+	{ X86_GRP_16BITMODE, "16bitmode" },
+	{ X86_GRP_NOT64BITMODE, "not64bitmode" },
+	{ X86_GRP_SGX,	"sgx" },
+	{ X86_GRP_DQI,	"dqi" },
+	{ X86_GRP_BWI,	"bwi" },
+	{ X86_GRP_PFI,	"pfi" },
+	{ X86_GRP_VLX,	"vlx" },
+	{ X86_GRP_SMAP,	"smap" },
+	{ X86_GRP_NOVLX, "novlx" },
+
+	{ X86_GRP_JUMP,	"jump" },
+	{ X86_GRP_VM, "vm" },
+	{ X86_GRP_INT, "int" },
+	{ X86_GRP_IRET,	"iret" },
+	{ X86_GRP_CALL,	"call" },
+	{ X86_GRP_RET, "ret" },
+};
+#endif
+
+const char *X86_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= X86_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 #define GET_INSTRINFO_ENUM
 #ifdef CAPSTONE_X86_REDUCE
 #include "X86GenInstrInfo_reduce.inc"
@@ -3450,7 +4030,7 @@
 	{
 		X86_CLAC, X86_INS_CLAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4324,7 +4904,7 @@
 #endif
 	},
 	{
-		X86_CMPPDrmi, X86_INS_CMP,
+		X86_CMPPDrmi, X86_INS_CMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
 #endif
@@ -4336,7 +4916,7 @@
 #endif
 	},
 	{
-		X86_CMPPDrri, X86_INS_CMP,
+		X86_CMPPDrri, X86_INS_CMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
 #endif
@@ -4348,7 +4928,7 @@
 #endif
 	},
 	{
-		X86_CMPPSrmi, X86_INS_CMP,
+		X86_CMPPSrmi, X86_INS_CMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
 #endif
@@ -4360,7 +4940,7 @@
 #endif
 	},
 	{
-		X86_CMPPSrri, X86_INS_CMP,
+		X86_CMPPSrri, X86_INS_CMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
 #endif
@@ -4372,31 +4952,13 @@
 #endif
 	},
 	{
-		X86_CMPS16, X86_INS_CMPSW,
+		X86_CMPSB, X86_INS_CMPSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS32, X86_INS_CMPSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		X86_CMPS64, X86_INS_CMPSQ,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		X86_CMPS8, X86_INS_CMPSB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
-		X86_CMPSDrm, X86_INS_CMP,
+		X86_CMPSDrm, X86_INS_CMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
 #endif
@@ -4408,7 +4970,7 @@
 #endif
 	},
 	{
-		X86_CMPSDrr, X86_INS_CMP,
+		X86_CMPSDrr, X86_INS_CMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
 #endif
@@ -4420,7 +4982,19 @@
 #endif
 	},
 	{
-		X86_CMPSSrm, X86_INS_CMP,
+		X86_CMPSL, X86_INS_CMPSD,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_CMPSQ, X86_INS_CMPSQ,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_CMPSSrm, X86_INS_CMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
 #endif
@@ -4432,7 +5006,7 @@
 #endif
 	},
 	{
-		X86_CMPSSrr, X86_INS_CMP,
+		X86_CMPSSrr, X86_INS_CMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
 #endif
@@ -4444,6 +5018,12 @@
 #endif
 	},
 	{
+		X86_CMPSW, X86_INS_CMPSW,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_CMPXCHG16B, X86_INS_CMPXCHG16B,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_RAX, X86_REG_RBX, X86_REG_RCX, X86_REG_RDX, 0 }, { X86_REG_RAX, X86_REG_RDX, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
@@ -4566,7 +5146,7 @@
 	{
 		X86_CPUID64, X86_INS_CPUID,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RAX, X86_REG_RCX, 0 }, { X86_REG_RAX, X86_REG_RBX, X86_REG_RCX, X86_REG_RDX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, X86_REG_ECX, 0 }, { X86_REG_EAX, X86_REG_EBX, X86_REG_ECX, X86_REG_EDX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -4636,12 +5216,6 @@
 #endif
 	},
 	{
-		X86_CS_PREFIX, X86_INS_CS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_CVTDQ2PDrm, X86_INS_CVTDQ2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
@@ -5248,24 +5822,12 @@
 #endif
 	},
 	{
-		X86_DS_PREFIX, X86_INS_DS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ENTER, X86_INS_ENTER,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_ES_PREFIX, X86_INS_ES,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_EXTRACTPSmr, X86_INS_EXTRACTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE41, 0 }, 0, 0
@@ -5590,12 +6152,6 @@
 #endif
 	},
 	{
-		X86_FS_PREFIX, X86_INS_FS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_FXAM, X86_INS_FXAM,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -5770,12 +6326,6 @@
 #endif
 	},
 	{
-		X86_GS_PREFIX, X86_INS_GS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_HADDPDrm, X86_INS_HADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE3, 0 }, 0, 0
@@ -6052,12 +6602,6 @@
 #endif
 	},
 	{
-		X86_IN16, X86_INS_INSW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN16ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
@@ -6070,12 +6614,6 @@
 #endif
 	},
 	{
-		X86_IN32, X86_INS_INSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN32ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EAX, 0 }, { 0 }, 0, 0
@@ -6088,12 +6626,6 @@
 #endif
 	},
 	{
-		X86_IN8, X86_INS_INSB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN8ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AL, 0 }, { 0 }, 0, 0
@@ -6190,6 +6722,12 @@
 #endif
 	},
 	{
+		X86_INSB, X86_INS_INSB,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_INSERTPSrm, X86_INS_INSERTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE41, 0 }, 0, 0
@@ -6214,6 +6752,18 @@
 #endif
 	},
 	{
+		X86_INSL, X86_INS_INSD,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_INSW, X86_INS_INSW,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_INT, X86_INS_INT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
@@ -6234,7 +6784,7 @@
 	{
 		X86_INTO, X86_INS_INTO,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_NOT64BITMODE, X86_GRP_INT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6258,7 +6808,7 @@
 	{
 		X86_INVLPG, X86_INS_INVLPG,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_VM, 0 }, 0, 0
 #endif
 	},
 	{
@@ -6364,25 +6914,25 @@
 #endif
 	},
 	{
-		X86_Int_CMPSDrm, X86_INS_CMP,
+		X86_Int_CMPSDrm, X86_INS_CMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_Int_CMPSDrr, X86_INS_CMP,
+		X86_Int_CMPSDrr, X86_INS_CMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE2, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_Int_CMPSSrm, X86_INS_CMP,
+		X86_Int_CMPSSrm, X86_INS_CMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_Int_CMPSSrr, X86_INS_CMP,
+		X86_Int_CMPSSrr, X86_INS_CMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSE1, 0 }, 0, 0
 #endif
@@ -6556,25 +7106,25 @@
 #endif
 	},
 	{
-		X86_Int_VCMPSDrm, X86_INS_VCMP,
+		X86_Int_VCMPSDrm, X86_INS_VCMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_Int_VCMPSDrr, X86_INS_VCMP,
+		X86_Int_VCMPSDrr, X86_INS_VCMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_Int_VCMPSSrm, X86_INS_VCMP,
+		X86_Int_VCMPSSrm, X86_INS_VCMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_Int_VCMPSSrr, X86_INS_VCMP,
+		X86_Int_VCMPSSrr, X86_INS_VCMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -7354,18 +7904,144 @@
 #endif
 	},
 	{
+		X86_KANDBrr, X86_INS_KANDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDDrr, X86_INS_KANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDNBrr, X86_INS_KANDNB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDNDrr, X86_INS_KANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KANDNQrr, X86_INS_KANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KANDNWrr, X86_INS_KANDNW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KANDQrr, X86_INS_KANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KANDWrr, X86_INS_KANDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KMOVBkk, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBkm, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBkr, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBmk, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVBrk, X86_INS_KMOVB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDkk, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDkm, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDkr, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDmk, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVDrk, X86_INS_KMOVD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQkk, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQkm, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQkr, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQmk, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KMOVQrk, X86_INS_KMOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KMOVWkk, X86_INS_KMOVW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -7396,12 +8072,48 @@
 #endif
 	},
 	{
+		X86_KNOTBrr, X86_INS_KNOTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KNOTDrr, X86_INS_KNOTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KNOTQrr, X86_INS_KNOTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KNOTWrr, X86_INS_KNOTW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KORBrr, X86_INS_KORB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KORDrr, X86_INS_KORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KORQrr, X86_INS_KORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KORTESTWrr, X86_INS_KORTESTW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -7432,12 +8144,48 @@
 #endif
 	},
 	{
+		X86_KXNORBrr, X86_INS_KXNORB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXNORDrr, X86_INS_KXNORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXNORQrr, X86_INS_KXNORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KXNORWrr, X86_INS_KXNORW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_KXORBrr, X86_INS_KXORB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_DQI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXORDrr, X86_INS_KXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_KXORQrr, X86_INS_KXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_KXORWrr, X86_INS_KXORW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -8118,25 +8866,25 @@
 	{
 		X86_LODSB, X86_INS_LODSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AL, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSL, X86_INS_LODSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSQ, X86_INS_LODSQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_RAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSW, X86_INS_LODSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -8752,6 +9500,12 @@
 #endif
 	},
 	{
+		X86_MMX_MOVQ64rr_REV, X86_INS_MOVQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_MMX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MMX_PABSBrm64, X86_INS_PABSB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_SSSE3, 0 }, 0, 0
@@ -9702,13 +10456,13 @@
 	{
 		X86_MOV16ao16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16ao16_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -9732,13 +10486,13 @@
 	{
 		X86_MOV16o16a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16o16a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -9792,13 +10546,13 @@
 	{
 		X86_MOV32ao32, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32ao32_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -9834,13 +10588,13 @@
 	{
 		X86_MOV32o32a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32o32a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -9906,25 +10660,25 @@
 	{
 		X86_MOV64ao16, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao32, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao64, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_RAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao8, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -9960,25 +10714,25 @@
 	{
 		X86_MOV64o16a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o32a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o64a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_RAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o8a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10014,7 +10768,7 @@
 	{
 		X86_MOV64rr, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -10062,13 +10816,13 @@
 	{
 		X86_MOV8ao8, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8ao8_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10084,15 +10838,21 @@
 #endif
 	},
 	{
+		X86_MOV8mr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8o8a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8o8a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -10114,12 +10874,24 @@
 #endif
 	},
 	{
+		X86_MOV8rm_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		X86_MOV8rr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr_REV, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -11550,19 +12322,19 @@
 	{
 		X86_OUTSB, X86_INS_OUTSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSL, X86_INS_OUTSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSW, X86_INS_OUTSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -14202,7 +14974,7 @@
 	{
 		X86_RDPMC, X86_INS_RDPMC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ECX, 0 }, { X86_REG_RAX, X86_REG_RDX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -14386,12 +15158,6 @@
 #endif
 	},
 	{
-		X86_REX64_PREFIX, X86_INS_REX64,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ROL16m1, X86_INS_ROL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
@@ -15346,27 +16112,27 @@
 #endif
 	},
 	{
-		X86_SCAS16, X86_INS_SCASW,
+		X86_SCASB, X86_INS_SCASB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AL, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS32, X86_INS_SCASD,
+		X86_SCASL, X86_INS_SCASD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS64, X86_INS_SCASQ,
+		X86_SCASQ, X86_INS_SCASQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_RAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS8, X86_INS_SCASB,
+		X86_SCASW, X86_INS_SCASW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -16336,15 +17102,9 @@
 #endif
 	},
 	{
-		X86_SS_PREFIX, X86_INS_SS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_STAC, X86_INS_STAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -17284,12 +18044,48 @@
 #endif
 	},
 	{
+		X86_VADDPDZrmbk, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrmbkz, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrmk, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrmkz, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPDZrr, X86_INS_VADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VADDPDZrrk, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPDZrrkz, X86_INS_VADDPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPDrm, X86_INS_VADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -17326,12 +18122,48 @@
 #endif
 	},
 	{
+		X86_VADDPSZrmbk, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrmbkz, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrmk, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrmkz, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPSZrr, X86_INS_VADDPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VADDPSZrrk, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VADDPSZrrkz, X86_INS_VADDPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VADDPSrm, X86_INS_VADDPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -17548,6 +18380,18 @@
 #endif
 	},
 	{
+		X86_VALIGNDrrik, X86_INS_VALIGND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VALIGNDrrikz, X86_INS_VALIGND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VALIGNQrmi, X86_INS_VALIGNQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -17560,6 +18404,18 @@
 #endif
 	},
 	{
+		X86_VALIGNQrrik, X86_INS_VALIGNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VALIGNQrrikz, X86_INS_VALIGNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VANDNPDYrm, X86_INS_VANDNPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -17788,6 +18644,30 @@
 #endif
 	},
 	{
+		X86_VBROADCASTI32X4krm, X86_INS_VBROADCASTI32X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VBROADCASTI32X4rm, X86_INS_VBROADCASTI32X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VBROADCASTI64X4krm, X86_INS_VBROADCASTI64X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VBROADCASTI64X4rm, X86_INS_VBROADCASTI64X4,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VBROADCASTSDYrm, X86_INS_VBROADCASTSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -17848,7 +18728,7 @@
 #endif
 	},
 	{
-		X86_VCMPPDYrmi, X86_INS_VCMP,
+		X86_VCMPPDYrmi, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17860,7 +18740,7 @@
 #endif
 	},
 	{
-		X86_VCMPPDYrri, X86_INS_VCMP,
+		X86_VCMPPDYrri, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17872,7 +18752,7 @@
 #endif
 	},
 	{
-		X86_VCMPPDZrmi, X86_INS_VCMP,
+		X86_VCMPPDZrmi, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -17884,7 +18764,7 @@
 #endif
 	},
 	{
-		X86_VCMPPDZrri, X86_INS_VCMP,
+		X86_VCMPPDZrri, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -17896,13 +18776,13 @@
 #endif
 	},
 	{
-		X86_VCMPPDZrrib, X86_INS_VCMP,
+		X86_VCMPPDZrrib, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VCMPPDrmi, X86_INS_VCMP,
+		X86_VCMPPDrmi, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17914,7 +18794,7 @@
 #endif
 	},
 	{
-		X86_VCMPPDrri, X86_INS_VCMP,
+		X86_VCMPPDrri, X86_INS_VCMPPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17926,7 +18806,7 @@
 #endif
 	},
 	{
-		X86_VCMPPSYrmi, X86_INS_VCMP,
+		X86_VCMPPSYrmi, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17938,7 +18818,7 @@
 #endif
 	},
 	{
-		X86_VCMPPSYrri, X86_INS_VCMP,
+		X86_VCMPPSYrri, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17950,7 +18830,7 @@
 #endif
 	},
 	{
-		X86_VCMPPSZrmi, X86_INS_VCMP,
+		X86_VCMPPSZrmi, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -17962,7 +18842,7 @@
 #endif
 	},
 	{
-		X86_VCMPPSZrri, X86_INS_VCMP,
+		X86_VCMPPSZrri, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -17974,13 +18854,13 @@
 #endif
 	},
 	{
-		X86_VCMPPSZrrib, X86_INS_VCMP,
+		X86_VCMPPSZrrib, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VCMPPSrmi, X86_INS_VCMP,
+		X86_VCMPPSrmi, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -17992,7 +18872,7 @@
 #endif
 	},
 	{
-		X86_VCMPPSrri, X86_INS_VCMP,
+		X86_VCMPPSrri, X86_INS_VCMPPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -18004,7 +18884,7 @@
 #endif
 	},
 	{
-		X86_VCMPSDZrm, X86_INS_VCMP,
+		X86_VCMPSDZrm, X86_INS_VCMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -18016,7 +18896,7 @@
 #endif
 	},
 	{
-		X86_VCMPSDZrr, X86_INS_VCMP,
+		X86_VCMPSDZrr, X86_INS_VCMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -18028,7 +18908,7 @@
 #endif
 	},
 	{
-		X86_VCMPSDrm, X86_INS_VCMP,
+		X86_VCMPSDrm, X86_INS_VCMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -18040,7 +18920,7 @@
 #endif
 	},
 	{
-		X86_VCMPSDrr, X86_INS_VCMP,
+		X86_VCMPSDrr, X86_INS_VCMPSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -18052,7 +18932,7 @@
 #endif
 	},
 	{
-		X86_VCMPSSZrm, X86_INS_VCMP,
+		X86_VCMPSSZrm, X86_INS_VCMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -18064,7 +18944,7 @@
 #endif
 	},
 	{
-		X86_VCMPSSZrr, X86_INS_VCMP,
+		X86_VCMPSSZrr, X86_INS_VCMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -18076,7 +18956,7 @@
 #endif
 	},
 	{
-		X86_VCMPSSrm, X86_INS_VCMP,
+		X86_VCMPSSrm, X86_INS_VCMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -18088,7 +18968,7 @@
 #endif
 	},
 	{
-		X86_VCMPSSrr, X86_INS_VCMP,
+		X86_VCMPSSrr, X86_INS_VCMPSS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
 #endif
@@ -19126,12 +20006,48 @@
 #endif
 	},
 	{
+		X86_VDIVPDZrmbk, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrmbkz, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrmk, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrmkz, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPDZrr, X86_INS_VDIVPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VDIVPDZrrk, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPDZrrkz, X86_INS_VDIVPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPDrm, X86_INS_VDIVPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -19168,12 +20084,48 @@
 #endif
 	},
 	{
+		X86_VDIVPSZrmbk, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrmbkz, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrmk, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrmkz, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPSZrr, X86_INS_VDIVPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VDIVPSZrrk, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VDIVPSZrrkz, X86_INS_VDIVPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VDIVPSrm, X86_INS_VDIVPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -19456,6 +20408,18 @@
 #endif
 	},
 	{
+		X86_VFMADD213PDZrk, X86_INS_VFMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADD213PDZrkz, X86_INS_VFMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADD213PSZm, X86_INS_VFMADD213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -19474,6 +20438,18 @@
 #endif
 	},
 	{
+		X86_VFMADD213PSZrk, X86_INS_VFMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADD213PSZrkz, X86_INS_VFMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADDPD4mr, X86_INS_VFMADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -19936,6 +20912,18 @@
 #endif
 	},
 	{
+		X86_VFMADDSUB213PDZrk, X86_INS_VFMADDSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADDSUB213PDZrkz, X86_INS_VFMADDSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADDSUB213PSZm, X86_INS_VFMADDSUB213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -19954,6 +20942,18 @@
 #endif
 	},
 	{
+		X86_VFMADDSUB213PSZrk, X86_INS_VFMADDSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMADDSUB213PSZrkz, X86_INS_VFMADDSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMADDSUBPD4mr, X86_INS_VFMADDSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -20236,6 +21236,18 @@
 #endif
 	},
 	{
+		X86_VFMSUB213PDZrk, X86_INS_VFMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUB213PDZrkz, X86_INS_VFMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUB213PSZm, X86_INS_VFMSUB213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -20254,6 +21266,18 @@
 #endif
 	},
 	{
+		X86_VFMSUB213PSZrk, X86_INS_VFMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUB213PSZrkz, X86_INS_VFMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUBADD132PDZm, X86_INS_VFMSUBADD132PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -20296,6 +21320,18 @@
 #endif
 	},
 	{
+		X86_VFMSUBADD213PDZrk, X86_INS_VFMSUBADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUBADD213PDZrkz, X86_INS_VFMSUBADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUBADD213PSZm, X86_INS_VFMSUBADD213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -20314,6 +21350,18 @@
 #endif
 	},
 	{
+		X86_VFMSUBADD213PSZrk, X86_INS_VFMSUBADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFMSUBADD213PSZrkz, X86_INS_VFMSUBADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFMSUBADDPD4mr, X86_INS_VFMSUBADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -21016,6 +22064,18 @@
 #endif
 	},
 	{
+		X86_VFNMADD213PDZrk, X86_INS_VFNMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMADD213PDZrkz, X86_INS_VFNMADD213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMADD213PSZm, X86_INS_VFNMADD213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -21034,6 +22094,18 @@
 #endif
 	},
 	{
+		X86_VFNMADD213PSZrk, X86_INS_VFNMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMADD213PSZrkz, X86_INS_VFNMADD213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMADDPD4mr, X86_INS_VFNMADDPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -21496,6 +22568,18 @@
 #endif
 	},
 	{
+		X86_VFNMSUB213PDZrk, X86_INS_VFNMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMSUB213PDZrkz, X86_INS_VFNMSUB213PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMSUB213PSZm, X86_INS_VFNMSUB213PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -21514,6 +22598,18 @@
 #endif
 	},
 	{
+		X86_VFNMSUB213PSZrk, X86_INS_VFNMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VFNMSUB213PSZrkz, X86_INS_VFNMSUB213PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VFNMSUBPD4mr, X86_INS_VFNMSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_FMA4, 0 }, 0, 0
@@ -22138,6 +23234,54 @@
 #endif
 	},
 	{
+		X86_VGATHERPF0DPDm, X86_INS_VGATHERPF0DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF0DPSm, X86_INS_VGATHERPF0DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF0QPDm, X86_INS_VGATHERPF0QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF0QPSm, X86_INS_VGATHERPF0QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1DPDm, X86_INS_VGATHERPF1DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1DPSm, X86_INS_VGATHERPF1DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1QPDm, X86_INS_VGATHERPF1QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VGATHERPF1QPSm, X86_INS_VGATHERPF1QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VGATHERQPDYrm, X86_INS_VGATHERQPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -22540,12 +23684,48 @@
 #endif
 	},
 	{
+		X86_VMAXPDZrmbk, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrmbkz, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrmk, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrmkz, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPDZrr, X86_INS_VMAXPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMAXPDZrrk, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPDZrrkz, X86_INS_VMAXPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPDrm, X86_INS_VMAXPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -22582,12 +23762,48 @@
 #endif
 	},
 	{
+		X86_VMAXPSZrmbk, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrmbkz, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrmk, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrmkz, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPSZrr, X86_INS_VMAXPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMAXPSZrrk, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMAXPSZrrkz, X86_INS_VMAXPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMAXPSrm, X86_INS_VMAXPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -22786,12 +24002,48 @@
 #endif
 	},
 	{
+		X86_VMINPDZrmbk, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrmbkz, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrmk, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrmkz, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPDZrr, X86_INS_VMINPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMINPDZrrk, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPDZrrkz, X86_INS_VMINPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPDrm, X86_INS_VMINPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -22828,12 +24080,48 @@
 #endif
 	},
 	{
+		X86_VMINPSZrmbk, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrmbkz, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrmk, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrmkz, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPSZrr, X86_INS_VMINPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMINPSZrrk, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMINPSZrrkz, X86_INS_VMINPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMINPSrm, X86_INS_VMINPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -22996,12 +24284,150 @@
 #endif
 	},
 	{
+		X86_VMOVAPDZ128mr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128mrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rm, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rmk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rmkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rr_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrk_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ128rrkz_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256mr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256mrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rm, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rmk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rmkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rr, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rr_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrk_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZ256rrkz_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZmr, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPDZmrk, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZrm, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -23014,18 +24440,48 @@
 #endif
 	},
 	{
+		X86_VMOVAPDZrmkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZrr, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPDZrr_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDZrrk, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPDZrrk_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZrrkz, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPDZrrkz_alt, X86_INS_VMOVAPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPDmr, X86_INS_VMOVAPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23074,12 +24530,150 @@
 #endif
 	},
 	{
+		X86_VMOVAPSZ128mr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128mrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rm, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rmk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rmkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rr_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrk_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ128rrkz_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256mr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256mrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rm, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rmk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rmkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rr, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rr_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrk_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZ256rrkz_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZmr, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPSZmrk, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZrm, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -23092,18 +24686,48 @@
 #endif
 	},
 	{
+		X86_VMOVAPSZrmkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZrr, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPSZrr_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSZrrk, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVAPSZrrk_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZrrkz, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVAPSZrrkz_alt, X86_INS_VMOVAPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVAPSmr, X86_INS_VMOVAPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23212,37 +24836,397 @@
 #endif
 	},
 	{
-		X86_VMOVDQA32mr, X86_INS_VMOVDQA32,
+		X86_VMOVDQA32Z128mr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128mrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rm, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rmk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rmkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rr_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrk_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z128rrkz_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256mr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256mrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rm, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rmk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rmkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rr_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrk_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Z256rrkz_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zmr, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA32rm, X86_INS_VMOVDQA32,
+		X86_VMOVDQA32Zmrk, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA32rr, X86_INS_VMOVDQA32,
+		X86_VMOVDQA32Zrm, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA64mr, X86_INS_VMOVDQA64,
+		X86_VMOVDQA32Zrmk, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA64rm, X86_INS_VMOVDQA64,
+		X86_VMOVDQA32Zrmkz, X86_INS_VMOVDQA32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQA64rr, X86_INS_VMOVDQA64,
+		X86_VMOVDQA32Zrr, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrr_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrk, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrk_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrkz, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA32Zrrkz_alt, X86_INS_VMOVDQA32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128mr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128mrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rm, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rmk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rmkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rr_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrk_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z128rrkz_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256mr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256mrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rm, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rmk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rmkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rr_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrk_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Z256rrkz_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zmr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zmrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrm, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrmk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrmkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrr, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrr_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrk, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrk_alt, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrkz, X86_INS_VMOVDQA64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQA64Zrrkz_alt, X86_INS_VMOVDQA64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -23296,78 +25280,798 @@
 #endif
 	},
 	{
-		X86_VMOVDQU32mr, X86_INS_VMOVDQU32,
+		X86_VMOVDQU16Z128mr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128mrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rm, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rmk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rmkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rr_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrk_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z128rrkz_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256mr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256mrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rm, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rmk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rmkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rr_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrk_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Z256rrkz_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zmr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zmrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrm, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrmk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrmkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrr, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrr_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrk, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrk_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrkz, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU16Zrrkz_alt, X86_INS_VMOVDQU16,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128mr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128mrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rm, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rmk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rmkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rr_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrk_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z128rrkz_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256mr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256mrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rm, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rmk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rmkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rr, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rr_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrk, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrk_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrkz, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Z256rrkz_alt, X86_INS_VMOVDQU32,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU32Zmr, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rm, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zmrk, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rmk, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrm, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rr, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrmk, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rrk, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrmkz, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU32rrkz, X86_INS_VMOVDQU32,
+		X86_VMOVDQU32Zrr, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64mr, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrr_alt, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rm, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrk, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rmk, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrk_alt, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rr, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrkz, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rrk, X86_INS_VMOVDQU64,
+		X86_VMOVDQU32Zrrkz_alt, X86_INS_VMOVDQU32,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VMOVDQU64rrkz, X86_INS_VMOVDQU64,
+		X86_VMOVDQU64Z128mr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128mrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rm, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rmk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rmkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rr_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrk_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z128rrkz_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256mr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256mrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rm, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rmk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rmkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rr_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrk_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Z256rrkz_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zmr, X86_INS_VMOVDQU64,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVDQU64Zmrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrm, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrmk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrmkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrr, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrr_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrk, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrk_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrkz, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU64Zrrkz_alt, X86_INS_VMOVDQU64,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128mr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128mrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rm, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rmk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rmkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rr_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrk_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z128rrkz_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256mr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256mrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rm, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rmk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rmkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rr_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrk_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Z256rrkz_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zmr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zmrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrm, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrmk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrmkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrr, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrr_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrk, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrk_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrkz, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVDQU8Zrrkz_alt, X86_INS_VMOVDQU8,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVDQUYmr, X86_INS_VMOVDQU,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23518,6 +26222,24 @@
 #endif
 	},
 	{
+		X86_VMOVNTDQAZ128rm, X86_INS_VMOVNTDQA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQAZ256rm, X86_INS_VMOVNTDQA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQAZrm, X86_INS_VMOVNTDQA,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVNTDQArm, X86_INS_VMOVNTDQA,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23526,37 +26248,91 @@
 	{
 		X86_VMOVNTDQYmr, X86_INS_VMOVNTDQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQZ128mr, X86_INS_VMOVNTDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQZ256mr, X86_INS_VMOVNTDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTDQZmr, X86_INS_VMOVNTDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTDQmr, X86_INS_VMOVNTDQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTPDYmr, X86_INS_VMOVNTPD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPDZ128mr, X86_INS_VMOVNTPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPDZ256mr, X86_INS_VMOVNTPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPDZmr, X86_INS_VMOVNTPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTPDmr, X86_INS_VMOVNTPD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTPSYmr, X86_INS_VMOVNTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPSZ128mr, X86_INS_VMOVNTPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPSZ256mr, X86_INS_VMOVNTPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVNTPSZmr, X86_INS_VMOVNTPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VMOVNTPSmr, X86_INS_VMOVNTPS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_AVX, X86_GRP_NOVLX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -23878,12 +26654,150 @@
 #endif
 	},
 	{
+		X86_VMOVUPDZ128mr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128mrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rm, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rmk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rmkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rr_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrk_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ128rrkz_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256mr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256mrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rm, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rmk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rmkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rr, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rr_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrk_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZ256rrkz_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZmr, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPDZmrk, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZrm, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -23896,18 +26810,48 @@
 #endif
 	},
 	{
+		X86_VMOVUPDZrmkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZrr, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPDZrr_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDZrrk, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPDZrrk_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZrrkz, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPDZrrkz_alt, X86_INS_VMOVUPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPDmr, X86_INS_VMOVUPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -23956,12 +26900,150 @@
 #endif
 	},
 	{
+		X86_VMOVUPSZ128mr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128mrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rm, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rmk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rmkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rr_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrk_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ128rrkz_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256mr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256mrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rm, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rmk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rmkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rr, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rr_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrk_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZ256rrkz_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZmr, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPSZmrk, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZrm, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -23974,18 +27056,48 @@
 #endif
 	},
 	{
+		X86_VMOVUPSZrmkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZrr, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPSZrr_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSZrrk, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMOVUPSZrrk_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZrrkz, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMOVUPSZrrkz_alt, X86_INS_VMOVUPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMOVUPSmr, X86_INS_VMOVUPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24160,12 +27272,48 @@
 #endif
 	},
 	{
+		X86_VMULPDZrmbk, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrmbkz, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrmk, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrmkz, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPDZrr, X86_INS_VMULPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMULPDZrrk, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPDZrrkz, X86_INS_VMULPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPDrm, X86_INS_VMULPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24202,12 +27350,48 @@
 #endif
 	},
 	{
+		X86_VMULPSZrmbk, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrmbkz, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrmk, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrmkz, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPSZrr, X86_INS_VMULPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VMULPSZrrk, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VMULPSZrrkz, X86_INS_VMULPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VMULPSrm, X86_INS_VMULPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24400,7 +27584,55 @@
 #endif
 	},
 	{
-		X86_VPABSDrm, X86_INS_VPABSD,
+		X86_VPABSDZrm, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmb, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmbk, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmbkz, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmk, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrmkz, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrr, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrrk, X86_INS_VPABSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSDZrrkz, X86_INS_VPABSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -24418,12 +27650,6 @@
 #endif
 	},
 	{
-		X86_VPABSDrr, X86_INS_VPABSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_VPABSDrr128, X86_INS_VPABSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24436,13 +27662,55 @@
 #endif
 	},
 	{
-		X86_VPABSQrm, X86_INS_VPABSQ,
+		X86_VPABSQZrm, X86_INS_VPABSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPABSQrr, X86_INS_VPABSQ,
+		X86_VPABSQZrmb, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmbk, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmbkz, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmk, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrmkz, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrr, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrrk, X86_INS_VPABSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPABSQZrrkz, X86_INS_VPABSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -24616,12 +27884,48 @@
 #endif
 	},
 	{
+		X86_VPADDDZrmbk, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrmbkz, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrmk, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrmkz, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDDZrr, X86_INS_VPADDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPADDDZrrk, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDDZrrkz, X86_INS_VPADDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDDrm, X86_INS_VPADDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24658,12 +27962,48 @@
 #endif
 	},
 	{
+		X86_VPADDQZrmbk, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrmbkz, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrmk, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrmkz, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDQZrr, X86_INS_VPADDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPADDQZrrk, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPADDQZrrkz, X86_INS_VPADDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPADDQrm, X86_INS_VPADDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -24832,12 +28172,48 @@
 #endif
 	},
 	{
+		X86_VPANDDZrmbk, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrmbkz, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrmk, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrmkz, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDDZrr, X86_INS_VPANDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDDZrrk, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDDZrrkz, X86_INS_VPANDD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNDZrm, X86_INS_VPANDND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -24850,12 +28226,48 @@
 #endif
 	},
 	{
+		X86_VPANDNDZrmbk, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrmbkz, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrmk, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrmkz, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNDZrr, X86_INS_VPANDND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDNDZrrk, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNDZrrkz, X86_INS_VPANDND,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNQZrm, X86_INS_VPANDNQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -24868,12 +28280,48 @@
 #endif
 	},
 	{
+		X86_VPANDNQZrmbk, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrmbkz, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrmk, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrmkz, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNQZrr, X86_INS_VPANDNQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDNQZrrk, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDNQZrrkz, X86_INS_VPANDNQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDNYrm, X86_INS_VPANDN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -24910,12 +28358,48 @@
 #endif
 	},
 	{
+		X86_VPANDQZrmbk, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrmbkz, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrmk, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrmkz, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDQZrr, X86_INS_VPANDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPANDQZrrk, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPANDQZrrkz, X86_INS_VPANDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPANDYrm, X86_INS_VPAND,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -25170,13 +28654,13 @@
 	{
 		X86_VPBROADCASTMB2Qrr, X86_INS_VPBROADCASTMB2Q,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_VPBROADCASTMW2Drr, X86_INS_VPBROADCASTMW2D,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
 #endif
 	},
 	{
@@ -25324,6 +28808,12 @@
 #endif
 	},
 	{
+		X86_VPCMPDZrmik_alt, X86_INS_VPCMPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPDZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25336,6 +28826,12 @@
 #endif
 	},
 	{
+		X86_VPCMPDZrrik_alt, X86_INS_VPCMPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQBYrm, X86_INS_VPCMPEQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -25348,6 +28844,78 @@
 #endif
 	},
 	{
+		X86_VPCMPEQBZ128rm, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ128rmk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ128rr, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ128rrk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rm, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rmk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rr, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZ256rrk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrm, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrmk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrr, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQBZrrk, X86_INS_VPCMPEQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQBrm, X86_INS_VPCMPEQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25372,18 +28940,114 @@
 #endif
 	},
 	{
+		X86_VPCMPEQDZ128rm, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rmb, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rmbk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rmk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rr, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ128rrk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rm, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rmb, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rmbk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rmk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rr, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZ256rrk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQDZrm, X86_INS_VPCMPEQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQDZrmb, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZrmbk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQDZrmk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQDZrr, X86_INS_VPCMPEQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQDZrrk, X86_INS_VPCMPEQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQDrm, X86_INS_VPCMPEQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25408,18 +29072,114 @@
 #endif
 	},
 	{
+		X86_VPCMPEQQZ128rm, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rmb, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rmbk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rmk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rr, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ128rrk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rm, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rmb, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rmbk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rmk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rr, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZ256rrk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQQZrm, X86_INS_VPCMPEQQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQQZrmb, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZrmbk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQQZrmk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQQZrr, X86_INS_VPCMPEQQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPEQQZrrk, X86_INS_VPCMPEQQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQQrm, X86_INS_VPCMPEQQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25444,6 +29204,78 @@
 #endif
 	},
 	{
+		X86_VPCMPEQWZ128rm, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ128rmk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ128rr, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ128rrk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rm, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rmk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rr, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZ256rrk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrm, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrmk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrr, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPEQWZrrk, X86_INS_VPCMPEQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPEQWrm, X86_INS_VPCMPEQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25492,6 +29324,78 @@
 #endif
 	},
 	{
+		X86_VPCMPGTBZ128rm, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ128rmk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ128rr, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ128rrk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rm, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rmk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rr, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZ256rrk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrm, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrmk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrr, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTBZrrk, X86_INS_VPCMPGTB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTBrm, X86_INS_VPCMPGTB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25516,18 +29420,114 @@
 #endif
 	},
 	{
+		X86_VPCMPGTDZ128rm, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rmb, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rmbk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rmk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rr, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ128rrk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rm, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rmb, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rmbk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rmk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rr, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZ256rrk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTDZrm, X86_INS_VPCMPGTD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTDZrmb, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZrmbk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTDZrmk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTDZrr, X86_INS_VPCMPGTD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTDZrrk, X86_INS_VPCMPGTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTDrm, X86_INS_VPCMPGTD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25552,18 +29552,114 @@
 #endif
 	},
 	{
+		X86_VPCMPGTQZ128rm, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rmb, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rmbk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rmk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rr, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ128rrk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rm, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rmb, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rmbk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rmk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rr, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZ256rrk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTQZrm, X86_INS_VPCMPGTQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTQZrmb, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZrmbk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTQZrmk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTQZrr, X86_INS_VPCMPGTQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPCMPGTQZrrk, X86_INS_VPCMPGTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTQrm, X86_INS_VPCMPGTQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25588,6 +29684,78 @@
 #endif
 	},
 	{
+		X86_VPCMPGTWZ128rm, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ128rmk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ128rr, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ128rrk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rm, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rmk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rr, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZ256rrk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, X86_GRP_VLX, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrm, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrmk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrr, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPCMPGTWZrrk, X86_INS_VPCMPGTW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_BWI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPGTWrm, X86_INS_VPCMPGTW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -25636,6 +29804,12 @@
 #endif
 	},
 	{
+		X86_VPCMPQZrmik_alt, X86_INS_VPCMPQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPQZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25648,6 +29822,12 @@
 #endif
 	},
 	{
+		X86_VPCMPQZrrik_alt, X86_INS_VPCMPQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPUDZrmi, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25660,6 +29840,12 @@
 #endif
 	},
 	{
+		X86_VPCMPUDZrmik_alt, X86_INS_VPCMPUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPUDZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25672,6 +29858,12 @@
 #endif
 	},
 	{
+		X86_VPCMPUDZrrik_alt, X86_INS_VPCMPUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPUQZrmi, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25684,6 +29876,12 @@
 #endif
 	},
 	{
+		X86_VPCMPUQZrmik_alt, X86_INS_VPCMPUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCMPUQZrri, X86_INS_VPCMP,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -25696,6 +29894,12 @@
 #endif
 	},
 	{
+		X86_VPCMPUQZrrik_alt, X86_INS_VPCMPUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPCOMBmi, X86_INS_VPCOMB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_XOP, 0 }, 0, 0
@@ -25954,48 +30158,144 @@
 #endif
 	},
 	{
+		X86_VPERMI2Drmk, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Drmkz, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2Drr, X86_INS_VPERMI2D,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2Drrk, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Drrkz, X86_INS_VPERMI2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PDrm, X86_INS_VPERMI2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PDrmk, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PDrmkz, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PDrr, X86_INS_VPERMI2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PDrrk, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PDrrkz, X86_INS_VPERMI2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PSrm, X86_INS_VPERMI2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PSrmk, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PSrmkz, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2PSrr, X86_INS_VPERMI2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2PSrrk, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2PSrrkz, X86_INS_VPERMI2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2Qrm, X86_INS_VPERMI2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2Qrmk, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Qrmkz, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMI2Qrr, X86_INS_VPERMI2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMI2Qrrk, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMI2Qrrkz, X86_INS_VPERMI2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMIL2PDmr, X86_INS_VPERMIL2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_XOP, 0 }, 0, 0
@@ -26290,48 +30590,144 @@
 #endif
 	},
 	{
+		X86_VPERMT2Drmk, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Drmkz, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2Drr, X86_INS_VPERMT2D,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2Drrk, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Drrkz, X86_INS_VPERMT2D,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PDrm, X86_INS_VPERMT2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PDrmk, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PDrmkz, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PDrr, X86_INS_VPERMT2PD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PDrrk, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PDrrkz, X86_INS_VPERMT2PD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PSrm, X86_INS_VPERMT2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PSrmk, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PSrmkz, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2PSrr, X86_INS_VPERMT2PS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2PSrrk, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2PSrrkz, X86_INS_VPERMT2PS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2Qrm, X86_INS_VPERMT2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2Qrmk, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Qrmkz, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPERMT2Qrr, X86_INS_VPERMT2Q,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPERMT2Qrrk, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPERMT2Qrrkz, X86_INS_VPERMT2Q,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPEXTRBmr, X86_INS_VPEXTRB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -26842,6 +31238,114 @@
 #endif
 	},
 	{
+		X86_VPLZCNTDrm, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmb, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmbk, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmbkz, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmk, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrmkz, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrr, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrrk, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTDrrkz, X86_INS_VPLZCNTD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrm, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmb, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmbk, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmbkz, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmk, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrmkz, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrr, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrrk, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPLZCNTQrrkz, X86_INS_VPLZCNTQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_CDI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMACSDDrm, X86_INS_VPMACSDD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_XOP, 0 }, 0, 0
@@ -27130,12 +31634,48 @@
 #endif
 	},
 	{
+		X86_VPMAXSDZrmbk, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrmbkz, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrmk, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrmkz, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSDZrr, X86_INS_VPMAXSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXSDZrrk, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSDZrrkz, X86_INS_VPMAXSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSDrm, X86_INS_VPMAXSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27160,12 +31700,48 @@
 #endif
 	},
 	{
+		X86_VPMAXSQZrmbk, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrmbkz, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrmk, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrmkz, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSQZrr, X86_INS_VPMAXSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXSQZrrk, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXSQZrrkz, X86_INS_VPMAXSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXSWYrm, X86_INS_VPMAXSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27238,12 +31814,48 @@
 #endif
 	},
 	{
+		X86_VPMAXUDZrmbk, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrmbkz, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrmk, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrmkz, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUDZrr, X86_INS_VPMAXUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXUDZrrk, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUDZrrkz, X86_INS_VPMAXUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUDrm, X86_INS_VPMAXUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27268,12 +31880,48 @@
 #endif
 	},
 	{
+		X86_VPMAXUQZrmbk, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrmbkz, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrmk, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrmkz, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUQZrr, X86_INS_VPMAXUQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMAXUQZrrk, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMAXUQZrrkz, X86_INS_VPMAXUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMAXUWYrm, X86_INS_VPMAXUW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27346,12 +31994,48 @@
 #endif
 	},
 	{
+		X86_VPMINSDZrmbk, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrmbkz, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrmk, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrmkz, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSDZrr, X86_INS_VPMINSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINSDZrrk, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSDZrrkz, X86_INS_VPMINSD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSDrm, X86_INS_VPMINSD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27376,12 +32060,48 @@
 #endif
 	},
 	{
+		X86_VPMINSQZrmbk, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrmbkz, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrmk, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrmkz, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSQZrr, X86_INS_VPMINSQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINSQZrrk, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINSQZrrkz, X86_INS_VPMINSQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINSWYrm, X86_INS_VPMINSW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27454,12 +32174,48 @@
 #endif
 	},
 	{
+		X86_VPMINUDZrmbk, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrmbkz, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrmk, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrmkz, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUDZrr, X86_INS_VPMINUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINUDZrrk, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUDZrrkz, X86_INS_VPMINUD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUDrm, X86_INS_VPMINUD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27484,12 +32240,48 @@
 #endif
 	},
 	{
+		X86_VPMINUQZrmbk, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrmbkz, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrmk, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrmkz, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUQZrr, X86_INS_VPMINUQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMINUQZrrk, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMINUQZrrkz, X86_INS_VPMINUQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMINUWYrm, X86_INS_VPMINUW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27514,13 +32306,13 @@
 #endif
 	},
 	{
-		X86_VPMOVDBkrr, X86_INS_VPMOVDB,
+		X86_VPMOVDBmr, X86_INS_VPMOVDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVDBmr, X86_INS_VPMOVDB,
+		X86_VPMOVDBmrk, X86_INS_VPMOVDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27532,7 +32324,13 @@
 #endif
 	},
 	{
-		X86_VPMOVDWkrr, X86_INS_VPMOVDW,
+		X86_VPMOVDBrrk, X86_INS_VPMOVDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVDBrrkz, X86_INS_VPMOVDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27544,12 +32342,30 @@
 #endif
 	},
 	{
+		X86_VPMOVDWmrk, X86_INS_VPMOVDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVDWrr, X86_INS_VPMOVDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVDWrrk, X86_INS_VPMOVDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVDWrrkz, X86_INS_VPMOVDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVMSKBYrr, X86_INS_VPMOVMSKB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27562,13 +32378,13 @@
 #endif
 	},
 	{
-		X86_VPMOVQBkrr, X86_INS_VPMOVQB,
+		X86_VPMOVQBmr, X86_INS_VPMOVQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVQBmr, X86_INS_VPMOVQB,
+		X86_VPMOVQBmrk, X86_INS_VPMOVQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27580,7 +32396,13 @@
 #endif
 	},
 	{
-		X86_VPMOVQDkrr, X86_INS_VPMOVQD,
+		X86_VPMOVQBrrk, X86_INS_VPMOVQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVQBrrkz, X86_INS_VPMOVQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27592,13 +32414,25 @@
 #endif
 	},
 	{
+		X86_VPMOVQDmrk, X86_INS_VPMOVQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVQDrr, X86_INS_VPMOVQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVQWkrr, X86_INS_VPMOVQW,
+		X86_VPMOVQDrrk, X86_INS_VPMOVQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVQDrrkz, X86_INS_VPMOVQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27610,13 +32444,25 @@
 #endif
 	},
 	{
+		X86_VPMOVQWmrk, X86_INS_VPMOVQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVQWrr, X86_INS_VPMOVQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSDBkrr, X86_INS_VPMOVSDB,
+		X86_VPMOVQWrrk, X86_INS_VPMOVQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVQWrrkz, X86_INS_VPMOVQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27628,13 +32474,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSDBmrk, X86_INS_VPMOVSDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSDBrr, X86_INS_VPMOVSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSDWkrr, X86_INS_VPMOVSDW,
+		X86_VPMOVSDBrrk, X86_INS_VPMOVSDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSDBrrkz, X86_INS_VPMOVSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27646,13 +32504,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSDWmrk, X86_INS_VPMOVSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSDWrr, X86_INS_VPMOVSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSQBkrr, X86_INS_VPMOVSQB,
+		X86_VPMOVSDWrrk, X86_INS_VPMOVSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSDWrrkz, X86_INS_VPMOVSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27664,13 +32534,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSQBmrk, X86_INS_VPMOVSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSQBrr, X86_INS_VPMOVSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSQDkrr, X86_INS_VPMOVSQD,
+		X86_VPMOVSQBrrk, X86_INS_VPMOVSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSQBrrkz, X86_INS_VPMOVSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27682,13 +32564,25 @@
 #endif
 	},
 	{
+		X86_VPMOVSQDmrk, X86_INS_VPMOVSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSQDrr, X86_INS_VPMOVSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVSQWkrr, X86_INS_VPMOVSQW,
+		X86_VPMOVSQDrrk, X86_INS_VPMOVSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSQDrrkz, X86_INS_VPMOVSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27700,12 +32594,30 @@
 #endif
 	},
 	{
+		X86_VPMOVSQWmrk, X86_INS_VPMOVSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSQWrr, X86_INS_VPMOVSQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSQWrrk, X86_INS_VPMOVSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSQWrrkz, X86_INS_VPMOVSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBDYrm, X86_INS_VPMOVSXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -27724,12 +32636,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXBDZrmk, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBDZrmkz, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBDZrr, X86_INS_VPMOVSXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXBDZrrk, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBDZrrkz, X86_INS_VPMOVSXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBDrm, X86_INS_VPMOVSXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27760,12 +32696,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXBQZrmk, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBQZrmkz, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBQZrr, X86_INS_VPMOVSXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXBQZrrk, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXBQZrrkz, X86_INS_VPMOVSXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXBQrm, X86_INS_VPMOVSXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27820,12 +32780,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXDQZrmk, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXDQZrmkz, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXDQZrr, X86_INS_VPMOVSXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXDQZrrk, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXDQZrrkz, X86_INS_VPMOVSXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXDQrm, X86_INS_VPMOVSXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27856,12 +32840,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXWDZrmk, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWDZrmkz, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWDZrr, X86_INS_VPMOVSXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXWDZrrk, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWDZrrkz, X86_INS_VPMOVSXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWDrm, X86_INS_VPMOVSXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27892,12 +32900,36 @@
 #endif
 	},
 	{
+		X86_VPMOVSXWQZrmk, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWQZrmkz, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWQZrr, X86_INS_VPMOVSXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVSXWQZrrk, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVSXWQZrrkz, X86_INS_VPMOVSXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVSXWQrm, X86_INS_VPMOVSXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -27910,13 +32942,13 @@
 #endif
 	},
 	{
-		X86_VPMOVUSDBkrr, X86_INS_VPMOVUSDB,
+		X86_VPMOVUSDBmr, X86_INS_VPMOVUSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSDBmr, X86_INS_VPMOVUSDB,
+		X86_VPMOVUSDBmrk, X86_INS_VPMOVUSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27928,7 +32960,13 @@
 #endif
 	},
 	{
-		X86_VPMOVUSDWkrr, X86_INS_VPMOVUSDW,
+		X86_VPMOVUSDBrrk, X86_INS_VPMOVUSDB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSDBrrkz, X86_INS_VPMOVUSDB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27940,13 +32978,25 @@
 #endif
 	},
 	{
+		X86_VPMOVUSDWmrk, X86_INS_VPMOVUSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSDWrr, X86_INS_VPMOVUSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSQBkrr, X86_INS_VPMOVUSQB,
+		X86_VPMOVUSDWrrk, X86_INS_VPMOVUSDW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSDWrrkz, X86_INS_VPMOVUSDW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27958,13 +33008,25 @@
 #endif
 	},
 	{
+		X86_VPMOVUSQBmrk, X86_INS_VPMOVUSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSQBrr, X86_INS_VPMOVUSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSQDkrr, X86_INS_VPMOVUSQD,
+		X86_VPMOVUSQBrrk, X86_INS_VPMOVUSQB,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSQBrrkz, X86_INS_VPMOVUSQB,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27976,13 +33038,25 @@
 #endif
 	},
 	{
+		X86_VPMOVUSQDmrk, X86_INS_VPMOVUSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSQDrr, X86_INS_VPMOVUSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
-		X86_VPMOVUSQWkrr, X86_INS_VPMOVUSQW,
+		X86_VPMOVUSQDrrk, X86_INS_VPMOVUSQD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSQDrrkz, X86_INS_VPMOVUSQD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
@@ -27994,12 +33068,30 @@
 #endif
 	},
 	{
+		X86_VPMOVUSQWmrk, X86_INS_VPMOVUSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVUSQWrr, X86_INS_VPMOVUSQW,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVUSQWrrk, X86_INS_VPMOVUSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVUSQWrrkz, X86_INS_VPMOVUSQW,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBDYrm, X86_INS_VPMOVZXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -28018,12 +33110,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXBDZrmk, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBDZrmkz, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBDZrr, X86_INS_VPMOVZXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXBDZrrk, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBDZrrkz, X86_INS_VPMOVZXBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBDrm, X86_INS_VPMOVZXBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28054,12 +33170,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXBQZrmk, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBQZrmkz, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBQZrr, X86_INS_VPMOVZXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXBQZrrk, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXBQZrrkz, X86_INS_VPMOVZXBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXBQrm, X86_INS_VPMOVZXBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28114,12 +33254,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXDQZrmk, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXDQZrmkz, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXDQZrr, X86_INS_VPMOVZXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXDQZrrk, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXDQZrrkz, X86_INS_VPMOVZXDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXDQrm, X86_INS_VPMOVZXDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28150,12 +33314,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXWDZrmk, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWDZrmkz, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWDZrr, X86_INS_VPMOVZXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXWDZrrk, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWDZrrkz, X86_INS_VPMOVZXWD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWDrm, X86_INS_VPMOVZXWD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28186,12 +33374,36 @@
 #endif
 	},
 	{
+		X86_VPMOVZXWQZrmk, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWQZrmkz, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWQZrr, X86_INS_VPMOVZXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMOVZXWQZrrk, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMOVZXWQZrrkz, X86_INS_VPMOVZXWQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMOVZXWQrm, X86_INS_VPMOVZXWQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28222,12 +33434,54 @@
 #endif
 	},
 	{
+		X86_VPMULDQZrmb, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmbk, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmbkz, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmk, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrmkz, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULDQZrr, X86_INS_VPMULDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMULDQZrrk, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULDQZrrkz, X86_INS_VPMULDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULDQrm, X86_INS_VPMULDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28336,12 +33590,48 @@
 #endif
 	},
 	{
+		X86_VPMULLDZrmbk, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrmbkz, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrmk, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrmkz, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULLDZrr, X86_INS_VPMULLD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMULLDZrrk, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULLDZrrkz, X86_INS_VPMULLD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULLDrm, X86_INS_VPMULLD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28396,12 +33686,54 @@
 #endif
 	},
 	{
+		X86_VPMULUDQZrmb, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmbk, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmbkz, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmk, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrmkz, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULUDQZrr, X86_INS_VPMULUDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPMULUDQZrrk, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPMULUDQZrrkz, X86_INS_VPMULUDQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPMULUDQrm, X86_INS_VPMULUDQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -28426,12 +33758,48 @@
 #endif
 	},
 	{
+		X86_VPORDZrmbk, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrmbkz, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrmk, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrmkz, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORDZrr, X86_INS_VPORD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPORDZrrk, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORDZrrkz, X86_INS_VPORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORQZrm, X86_INS_VPORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -28444,12 +33812,48 @@
 #endif
 	},
 	{
+		X86_VPORQZrmbk, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrmbkz, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrmk, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrmkz, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORQZrr, X86_INS_VPORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPORQZrrk, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPORQZrrkz, X86_INS_VPORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPORYrm, X86_INS_VPOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -29824,12 +35228,48 @@
 #endif
 	},
 	{
+		X86_VPSUBDZrmbk, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrmbkz, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrmk, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrmkz, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBDZrr, X86_INS_VPSUBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPSUBDZrrk, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBDZrrkz, X86_INS_VPSUBD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBDrm, X86_INS_VPSUBD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -29866,12 +35306,48 @@
 #endif
 	},
 	{
+		X86_VPSUBQZrmbk, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrmbkz, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrmk, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrmkz, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBQZrr, X86_INS_VPSUBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPSUBQZrrk, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPSUBQZrrkz, X86_INS_VPSUBQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPSUBQrm, X86_INS_VPSUBQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -30328,12 +35804,48 @@
 #endif
 	},
 	{
+		X86_VPXORDZrmbk, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrmbkz, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrmk, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrmkz, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORDZrr, X86_INS_VPXORD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPXORDZrrk, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORDZrrkz, X86_INS_VPXORD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORQZrm, X86_INS_VPXORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -30346,12 +35858,48 @@
 #endif
 	},
 	{
+		X86_VPXORQZrmbk, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrmbkz, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrmk, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrmkz, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORQZrr, X86_INS_VPXORQ,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VPXORQZrrk, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VPXORQZrrkz, X86_INS_VPXORQ,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VPXORYrm, X86_INS_VPXOR,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX2, 0 }, 0, 0
@@ -30892,6 +36440,54 @@
 #endif
 	},
 	{
+		X86_VSCATTERPF0DPDm, X86_INS_VSCATTERPF0DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF0DPSm, X86_INS_VSCATTERPF0DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF0QPDm, X86_INS_VSCATTERPF0QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF0QPSm, X86_INS_VSCATTERPF0QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1DPDm, X86_INS_VSCATTERPF1DPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1DPSm, X86_INS_VSCATTERPF1DPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1QPDm, X86_INS_VSCATTERPF1QPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSCATTERPF1QPSm, X86_INS_VSCATTERPF1QPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_PFI, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSCATTERQPDZmr, X86_INS_VSCATTERQPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -30988,18 +36584,6 @@
 #endif
 	},
 	{
-		X86_VSQRTPDZm_Int, X86_INS_VSQRTPD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
-		X86_VSQRTPDZr_Int, X86_INS_VSQRTPD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_VSQRTPDZrm, X86_INS_VSQRTPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -31036,18 +36620,6 @@
 #endif
 	},
 	{
-		X86_VSQRTPSZm_Int, X86_INS_VSQRTPS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
-		X86_VSQRTPSZr_Int, X86_INS_VSQRTPS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_VSQRTPSZrm, X86_INS_VSQRTPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
@@ -31186,12 +36758,48 @@
 #endif
 	},
 	{
+		X86_VSUBPDZrmbk, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrmbkz, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrmk, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrmkz, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPDZrr, X86_INS_VSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VSUBPDZrrk, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPDZrrkz, X86_INS_VSUBPD,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPDrm, X86_INS_VSUBPD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -31228,12 +36836,48 @@
 #endif
 	},
 	{
+		X86_VSUBPSZrmbk, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrmbkz, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrmk, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrmkz, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPSZrr, X86_INS_VSUBPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
 #endif
 	},
 	{
+		X86_VSUBPSZrrk, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
+		X86_VSUBPSZrrkz, X86_INS_VSUBPS,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { X86_GRP_AVX512, 0 }, 0, 0
+#endif
+	},
+	{
 		X86_VSUBPSrm, X86_INS_VSUBPS,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { X86_GRP_AVX, 0 }, 0, 0
@@ -31618,12 +37262,6 @@
 #endif
 	},
 	{
-		X86_W64ALLOCA, X86_INS_CALL,
-#ifndef CAPSTONE_DIET
-		{ X86_REG_RSP, 0 }, { X86_REG_RAX, X86_REG_R10, X86_REG_R11, X86_REG_RSP, X86_REG_EFLAGS, 0 }, { X86_GRP_CALL, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_WAIT, X86_INS_WAIT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -31848,7 +37486,7 @@
 	{
 		X86_XGETBV, X86_INS_XGETBV,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RCX, 0 }, { X86_REG_RDX, X86_REG_RAX, 0 }, { 0 }, 0, 0
+		{ X86_REG_ECX, 0 }, { X86_REG_EDX, X86_REG_EAX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -32136,7 +37774,7 @@
 	{
 		X86_XSETBV, X86_INS_XSETBV,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RDX, X86_REG_RAX, X86_REG_RCX, 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDX, X86_REG_EAX, X86_REG_ECX, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -32164,7 +37802,7 @@
 #endif
 	},
 };
-#else	// X86 reduce
+#else	// X86 reduce (defined CAPSTONE_X86_REDUCE)
 static insn_map insns[] = {	// reduce x86 instructions
 	// dummy item
 	{
@@ -32405,25 +38043,25 @@
 	{
 		X86_ADCX32rm, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADCX32rr, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADCX64rm, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADCX64rr, X86_INS_ADCX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -32639,25 +38277,25 @@
 	{
 		X86_ADOX32rm, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADOX32rr, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADOX64rm, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_ADOX64rr, X86_INS_ADOX,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_ADX, 0 }, 0, 0
 #endif
 	},
 	{
@@ -33677,7 +39315,7 @@
 	{
 		X86_CLAC, X86_INS_CLAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -33695,7 +39333,7 @@
 	{
 		X86_CLGI, X86_INS_CLGI,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_VM, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -34497,27 +40135,27 @@
 #endif
 	},
 	{
-		X86_CMPS16, X86_INS_CMPSW,
+		X86_CMPSB, X86_INS_CMPSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS32, X86_INS_CMPSD,
+		X86_CMPSL, X86_INS_CMPSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS64, X86_INS_CMPSQ,
+		X86_CMPSQ, X86_INS_CMPSQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_CMPS8, X86_INS_CMPSB,
+		X86_CMPSW, X86_INS_CMPSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -34599,12 +40237,6 @@
 #endif
 	},
 	{
-		X86_CS_PREFIX, X86_INS_CS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_CWD, X86_INS_CWD,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_AX, 0 }, { X86_REG_AX, X86_REG_DX, 0 }, { 0 }, 0, 0
@@ -34767,24 +40399,12 @@
 #endif
 	},
 	{
-		X86_DS_PREFIX, X86_INS_DS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ENTER, X86_INS_ENTER,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_ES_PREFIX, X86_INS_ES,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_FARCALL16i, X86_INS_LCALL,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_ESP, 0 }, { 0 }, { X86_GRP_CALL, 0 }, 0, 0
@@ -34851,24 +40471,12 @@
 #endif
 	},
 	{
-		X86_FS_PREFIX, X86_INS_FS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_GETSEC, X86_INS_GETSEC,
 #ifndef CAPSTONE_DIET
 		{ X86_REG_EAX, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_GS_PREFIX, X86_INS_GS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_HLT, X86_INS_HLT,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -35079,12 +40687,6 @@
 #endif
 	},
 	{
-		X86_IN16, X86_INS_INSW,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN16ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AX, 0 }, { 0 }, 0, 0
@@ -35097,12 +40699,6 @@
 #endif
 	},
 	{
-		X86_IN32, X86_INS_INSD,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN32ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EAX, 0 }, { 0 }, 0, 0
@@ -35115,12 +40711,6 @@
 #endif
 	},
 	{
-		X86_IN8, X86_INS_INSB,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_IN8ri, X86_INS_IN,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_AL, 0 }, { 0 }, 0, 0
@@ -35217,9 +40807,27 @@
 #endif
 	},
 	{
+		X86_INSB, X86_INS_INSB,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_INSL, X86_INS_INSD,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
+		X86_INSW, X86_INS_INSW,
+#ifndef CAPSTONE_DIET
+		{ X86_REG_DX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_INT, X86_INS_INT,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
+		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -35237,7 +40845,7 @@
 	{
 		X86_INTO, X86_INS_INTO,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_INT, 0 }, 0, 0
+		{ X86_REG_EFLAGS, 0 }, { 0 }, { X86_GRP_NOT64BITMODE, X86_GRP_INT, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36305,25 +41913,25 @@
 	{
 		X86_LODSB, X86_INS_LODSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AL, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSL, X86_INS_LODSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_EAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSQ, X86_INS_LODSQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_RAX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_LODSW, X86_INS_LODSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_AX, X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -36515,13 +42123,13 @@
 	{
 		X86_MOV16ao16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16ao16_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36545,13 +42153,13 @@
 	{
 		X86_MOV16o16a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV16o16a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36605,13 +42213,13 @@
 	{
 		X86_MOV32ao32, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32ao32_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36647,13 +42255,13 @@
 	{
 		X86_MOV32o32a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV32o32a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36719,25 +42327,25 @@
 	{
 		X86_MOV64ao16, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao32, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_EAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao64, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_RAX, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64ao8, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36773,25 +42381,25 @@
 	{
 		X86_MOV64o16a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o32a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_EAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o64a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_RAX, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV64o8a, X86_INS_MOVABS,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE64, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36857,13 +42465,13 @@
 	{
 		X86_MOV8ao8, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8ao8_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ X86_REG_AL, 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36879,15 +42487,21 @@
 #endif
 	},
 	{
+		X86_MOV8mr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8o8a, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE32, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_MODE32, 0 }, 0, 0
 #endif
 	},
 	{
 		X86_MOV8o8a_16, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
+		{ 0 }, { X86_REG_AL, 0 }, { X86_GRP_16BITMODE, 0 }, 0, 0
 #endif
 	},
 	{
@@ -36909,12 +42523,24 @@
 #endif
 	},
 	{
+		X86_MOV8rm_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
+		X86_MOV8rr_NOREX, X86_INS_MOV,
+#ifndef CAPSTONE_DIET
+		{ 0 }, { 0 }, { 0 }, 0, 0
+#endif
+	},
+	{
 		X86_MOV8rr_REV, X86_INS_MOV,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -37733,19 +43359,19 @@
 	{
 		X86_OUTSB, X86_INS_OUTSB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSL, X86_INS_OUTSD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
 		X86_OUTSW, X86_INS_OUTSW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_DX, X86_REG_ESI, X86_REG_EFLAGS, 0 }, { X86_REG_ESI, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -38483,7 +44109,7 @@
 	{
 		X86_RDPMC, X86_INS_RDPMC,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_ECX, 0 }, { X86_REG_RAX, X86_REG_RDX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -38667,12 +44293,6 @@
 #endif
 	},
 	{
-		X86_REX64_PREFIX, X86_INS_REX64,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { X86_GRP_MODE64, 0 }, 0, 0
-#endif
-	},
-	{
 		X86_ROL16m1, X86_INS_ROL,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
@@ -39519,27 +45139,27 @@
 #endif
 	},
 	{
-		X86_SCAS16, X86_INS_SCASW,
+		X86_SCASB, X86_INS_SCASB,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AL, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS32, X86_INS_SCASD,
+		X86_SCASL, X86_INS_SCASD,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS64, X86_INS_SCASQ,
+		X86_SCASQ, X86_INS_SCASQ,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_RAX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
-		X86_SCAS8, X86_INS_SCASB,
+		X86_SCASW, X86_INS_SCASW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_AX, X86_REG_EDI, X86_REG_EFLAGS, 0 }, { X86_REG_EDI, X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -40311,15 +45931,9 @@
 #endif
 	},
 	{
-		X86_SS_PREFIX, X86_INS_SS,
-#ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_STAC, X86_INS_STAC,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_EFLAGS, 0 }, { X86_REG_EFLAGS, 0 }, { 0 }, 0, 0
+		{ 0 }, { X86_REG_EFLAGS, 0 }, { X86_GRP_SMAP, 0 }, 0, 0
 #endif
 	},
 	{
@@ -41079,12 +46693,6 @@
 #endif
 	},
 	{
-		X86_W64ALLOCA, X86_INS_CALL,
-#ifndef CAPSTONE_DIET
-		{ X86_REG_RSP, 0 }, { X86_REG_RAX, X86_REG_R10, X86_REG_R11, X86_REG_RSP, X86_REG_EFLAGS, X86_GRP_CALL, 0 }, { 0 }, 0, 0
-#endif
-	},
-	{
 		X86_WBINVD, X86_INS_WBINVD,
 #ifndef CAPSTONE_DIET
 		{ 0 }, { 0 }, { 0 }, 0, 0
@@ -41273,7 +46881,7 @@
 	{
 		X86_XGETBV, X86_INS_XGETBV,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RCX, 0 }, { X86_REG_RDX, X86_REG_RAX, 0 }, { 0 }, 0, 0
+		{ X86_REG_ECX, 0 }, { X86_REG_EDX, X86_REG_EAX, 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -41531,7 +47139,7 @@
 	{
 		X86_XSETBV, X86_INS_XSETBV,
 #ifndef CAPSTONE_DIET
-		{ X86_REG_RDX, X86_REG_RAX, X86_REG_RCX, 0 }, { 0 }, { 0 }, 0, 0
+		{ X86_REG_EDX, X86_REG_EAX, X86_REG_ECX, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -41558,132 +47166,6 @@
 // post printer for X86. put all the hacky stuff here
 void X86_post_printer(csh handle, cs_insn *insn, char *insn_asm, MCInst *mci)
 {
-#ifndef CAPSTONE_DIET
-	cs_struct *ud = (cs_struct *)handle;
-
-	if (ud->detail) {
-		// printf(">>> post_printer: opcode = %u\n", mci->Opcode);
-		switch(mci->Opcode) {
-			default:
-				break;
-#ifndef CAPSTONE_X86_REDUCE
-			case X86_ST_FPNCE:
-				// fstpnce	st(0), st(0)
-				insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_REG;
-				insn->detail->x86.operands[insn->detail->x86.op_count].reg = X86_REG_ST0;
-				insn->detail->x86.op_count++;
-				insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_REG;
-				insn->detail->x86.operands[insn->detail->x86.op_count].reg = X86_REG_ST0;
-				insn->detail->x86.op_count++;
-				break;
-			case X86_ST_FPr0r7:
-				// fstp	st(7), st(0)
-				if (ud->syntax != CS_OPT_SYNTAX_ATT) { // default syntax is Intel
-					insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_REG;
-					insn->detail->x86.operands[insn->detail->x86.op_count].reg = X86_REG_ST7;
-					insn->detail->x86.op_count++;
-					insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_REG;
-					insn->detail->x86.operands[insn->detail->x86.op_count].reg = X86_REG_ST0;
-					insn->detail->x86.op_count++;
-				} else {
-					insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_REG;
-					insn->detail->x86.operands[insn->detail->x86.op_count].reg = X86_REG_ST0;
-					insn->detail->x86.op_count++;
-					insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_REG;
-					insn->detail->x86.operands[insn->detail->x86.op_count].reg = X86_REG_ST7;
-					insn->detail->x86.op_count++;
-				}
-				break;
-#endif
-
-			case X86_SHL16rCL:
-			case X86_SHL32rCL:
-			case X86_SHL64rCL:
-			case X86_SHL8rCL:
-			case X86_SHLD16mrCL:
-			case X86_SHLD16rrCL:
-			case X86_SHLD32mrCL:
-			case X86_SHLD32rrCL:
-			case X86_SHLD64mrCL:
-			case X86_SHLD64rrCL:
-				if (ud->syntax != CS_OPT_SYNTAX_ATT) { // default syntax is Intel
-					// shl al, cl
-					insn->detail->x86.operands[1].type = X86_OP_REG;
-					insn->detail->x86.operands[1].reg = X86_REG_CL;
-					insn->detail->x86.op_count = 2;
-				} else {
-					// shl %cl, %al
-					memcpy(&(insn->detail->x86.operands[1]), &(insn->detail->x86.operands[0]), sizeof(insn->detail->x86.operands[0]));
-					insn->detail->x86.operands[0].type = X86_OP_REG;
-					insn->detail->x86.operands[0].reg = X86_REG_CL;
-					insn->detail->x86.op_count = 2;
-				}
-
-				break;
-			case X86_SAL8r1:
-			case X86_SAL16r1:
-			case X86_SAL32r1:
-			case X86_SAL64r1:
-			case X86_SAL8m1:
-			case X86_SAL16m1:
-			case X86_SAL32m1:
-			case X86_SAL64m1:
-
-			case X86_SHL8r1:
-			case X86_SHL16r1:
-			case X86_SHL32r1:
-			case X86_SHL64r1:
-			case X86_SHL8m1:
-			case X86_SHL16m1:
-			case X86_SHL32m1:
-			case X86_SHL64m1:
-
-			case X86_SHR8r1:
-			case X86_SHR16r1:
-			case X86_SHR32r1:
-			case X86_SHR64r1:
-			case X86_SHR8m1:
-			case X86_SHR16m1:
-			case X86_SHR32m1:
-			case X86_SHR64m1:
-
-			case X86_SAR8r1:
-			case X86_SAR16r1:
-			case X86_SAR32r1:
-			case X86_SAR64r1:
-			case X86_SAR8m1:
-			case X86_SAR16m1:
-			case X86_SAR32m1:
-			case X86_SAR64m1:
-
-			case X86_ROR8r1:
-			case X86_ROR16r1:
-			case X86_ROR32r1:
-			case X86_ROR64r1:
-			case X86_ROR8m1:
-			case X86_ROR16m1:
-			case X86_ROR32m1:
-			case X86_ROR64m1:
-
-			case X86_ROL8r1:
-			case X86_ROL16r1:
-			case X86_ROL32r1:
-			case X86_ROL64r1:
-			case X86_ROL8m1:
-			case X86_ROL16m1:
-			case X86_ROL32m1:
-			case X86_ROL64m1:
-				if (ud->syntax != CS_OPT_SYNTAX_ATT) { // default syntax is Intel
-					// AT&T print this instruction without immediate 1?
-					insn->detail->x86.operands[insn->detail->x86.op_count].type = X86_OP_IMM;
-					insn->detail->x86.operands[insn->detail->x86.op_count].imm = 1;
-					insn->detail->x86.op_count++;
-				}
-
-				break;
-		}
-	}
-#endif
 }
 
 // given internal insn id, return public instruction info
@@ -41698,8 +47180,34 @@
 			memcpy(insn->detail->regs_read, insns[i].regs_use, sizeof(insns[i].regs_use));
 			insn->detail->regs_read_count = (uint8_t)count_positive(insns[i].regs_use);
 
-			memcpy(insn->detail->regs_write, insns[i].regs_mod, sizeof(insns[i].regs_mod));
-			insn->detail->regs_write_count = (uint8_t)count_positive(insns[i].regs_mod);
+			// special cases when regs_write[] depends on arch
+			switch(id) {
+				default:
+					memcpy(insn->detail->regs_write, insns[i].regs_mod, sizeof(insns[i].regs_mod));
+					insn->detail->regs_write_count = (uint8_t)count_positive(insns[i].regs_mod);
+					break;
+				case X86_RDTSC:
+					if (h->mode == CS_MODE_64) {
+						memcpy(insn->detail->regs_write, insns[i].regs_mod, sizeof(insns[i].regs_mod));
+						insn->detail->regs_write_count = (uint8_t)count_positive(insns[i].regs_mod);
+					} else {
+						insn->detail->regs_write[0] = X86_REG_EAX;
+						insn->detail->regs_write[1] = X86_REG_EDX;
+						insn->detail->regs_write_count = 2;
+					}
+					break;
+				case X86_RDTSCP:
+					if (h->mode == CS_MODE_64) {
+						memcpy(insn->detail->regs_write, insns[i].regs_mod, sizeof(insns[i].regs_mod));
+						insn->detail->regs_write_count = (uint8_t)count_positive(insns[i].regs_mod);
+					} else {
+						insn->detail->regs_write[0] = X86_REG_EAX;
+						insn->detail->regs_write[1] = X86_REG_ECX;
+						insn->detail->regs_write[2] = X86_REG_EDX;
+						insn->detail->regs_write_count = 3;
+					}
+					break;
+			}
 
 			memcpy(insn->detail->groups, insns[i].groups, sizeof(insns[i].groups));
 			insn->detail->groups_count = (uint8_t)count_positive(insns[i].groups);
@@ -41733,10 +47241,91 @@
 // map special instructions with accumulate registers.
 // this is needed because LLVM embeds these register names into AsmStrs[],
 // but not separately in operands
-static struct insn_reg {
+struct insn_reg {
 	uint16_t insn;
 	x86_reg reg;
-} insn_regs[] = {
+};
+
+static struct insn_reg insn_regs_att[] = {
+	{ X86_LODSQ, X86_REG_RAX },
+	{ X86_OR32i32, X86_REG_EAX },
+	{ X86_IN16rr, X86_REG_AX },
+	{ X86_SUB32i32, X86_REG_EAX },
+	{ X86_TEST32i32, X86_REG_EAX },
+	{ X86_XCHG64ar, X86_REG_RAX },
+	{ X86_LODSB, X86_REG_AL },
+	{ X86_AND32i32, X86_REG_EAX },
+	{ X86_MOV32o32a_16, X86_REG_EAX },
+	{ X86_IN16ri, X86_REG_AX },
+	{ X86_CMP64i32, X86_REG_RAX },
+	{ X86_XOR32i32, X86_REG_EAX },
+	{ X86_XCHG16ar, X86_REG_AX },
+	{ X86_LODSW, X86_REG_AX },
+	{ X86_AND16i16, X86_REG_AX },
+	{ X86_MOV64o64a, X86_REG_RAX },
+	{ X86_ADC16i16, X86_REG_AX },
+	{ X86_XCHG32ar64, X86_REG_EAX },
+	{ X86_ADC8i8, X86_REG_AL },
+	{ X86_MOV64o16a, X86_REG_AX },
+	{ X86_CMP32i32, X86_REG_EAX },
+	{ X86_AND8i8, X86_REG_AL },
+	{ X86_SCASW, X86_REG_AX },
+	{ X86_XOR8i8, X86_REG_AL },
+	{ X86_SUB16i16, X86_REG_AX },
+	{ X86_MOV8o8a, X86_REG_AL },
+	{ X86_MOV32ao32, X86_REG_EAX },
+	{ X86_OR16i16, X86_REG_AX },
+	{ X86_XCHG32ar, X86_REG_EAX },
+	{ X86_SBB8i8, X86_REG_AL },
+	{ X86_IN8rr, X86_REG_AL },
+	{ X86_SCASQ, X86_REG_RAX },
+	{ X86_SBB32i32, X86_REG_EAX },
+	{ X86_XOR64i32, X86_REG_RAX },
+	{ X86_SUB64i32, X86_REG_RAX },
+	{ X86_ADD64i32, X86_REG_RAX },
+	{ X86_OR8i8, X86_REG_AL },
+	{ X86_TEST64i32, X86_REG_RAX },
+	{ X86_SBB16i16, X86_REG_AX },
+	{ X86_TEST8i8, X86_REG_AL },
+	{ X86_IN8ri, X86_REG_AL },
+	{ X86_TEST16i16, X86_REG_AX },
+	{ X86_SCASL, X86_REG_EAX },
+	{ X86_MOV16o16a_16, X86_REG_AX },
+	{ X86_MOV32o32a, X86_REG_EAX },
+	{ X86_MOV8o8a_16, X86_REG_AL },
+	{ X86_SUB8i8, X86_REG_AL },
+	{ X86_IN32rr, X86_REG_EAX },
+	{ X86_ADD8i8, X86_REG_AL },
+	{ X86_OR64i32, X86_REG_RAX },
+	{ X86_SCASB, X86_REG_AL },
+	{ X86_SBB64i32, X86_REG_RAX },
+	{ X86_ADD16i16, X86_REG_AX },
+	{ X86_XOR16i16, X86_REG_AX },
+	{ X86_MOV64o32a, X86_REG_EAX },
+	{ X86_AND64i32, X86_REG_RAX },
+	{ X86_MOV64o8a, X86_REG_AL },
+	{ X86_MOV16o16a, X86_REG_AX },
+	{ X86_LODSL, X86_REG_EAX },
+	{ X86_CMP8i8, X86_REG_AL },
+	{ X86_ADC64i32, X86_REG_RAX },
+	{ X86_CMP16i16, X86_REG_AX },
+	{ X86_ADC32i32, X86_REG_EAX },
+	{ X86_IN32ri, X86_REG_EAX },
+#ifndef CAPSTONE_X86_REDUCE
+	{ X86_SKINIT, X86_REG_EAX },
+	{ X86_INVLPGA32, X86_REG_EAX },
+	{ X86_VMRUN32, X86_REG_EAX },
+	{ X86_VMRUN64, X86_REG_RAX },
+	{ X86_VMLOAD32, X86_REG_EAX },
+	{ X86_FNSTSW16r, X86_REG_AX },
+	{ X86_INVLPGA64, X86_REG_RAX },
+	{ X86_VMSAVE32, X86_REG_EAX },
+	{ X86_VMSAVE64, X86_REG_RAX },
+	{ X86_VMLOAD64, X86_REG_RAX },
+#endif
+};
+
+static struct insn_reg insn_regs_intel[] = {
 	{ X86_LODSQ, X86_REG_RAX },
 	{ X86_OR32i32, X86_REG_EAX },
 	{ X86_IN16rr, X86_REG_AX },
@@ -41760,7 +47349,7 @@
 	{ X86_MOV64o16a, X86_REG_AX },
 	{ X86_CMP32i32, X86_REG_EAX },
 	{ X86_AND8i8, X86_REG_AL },
-	{ X86_SCAS16, X86_REG_AX },
+	{ X86_SCASW, X86_REG_AX },
 	{ X86_XOR8i8, X86_REG_AL },
 	{ X86_SUB16i16, X86_REG_AX },
 	{ X86_MOV8o8a, X86_REG_AL },
@@ -41768,7 +47357,7 @@
 	{ X86_XCHG32ar, X86_REG_EAX },
 	{ X86_SBB8i8, X86_REG_AL },
 	{ X86_IN8rr, X86_REG_AL },
-	{ X86_SCAS64, X86_REG_RAX },
+	{ X86_SCASQ, X86_REG_RAX },
 	{ X86_SBB32i32, X86_REG_EAX },
 	{ X86_XOR64i32, X86_REG_RAX },
 	{ X86_SUB64i32, X86_REG_RAX },
@@ -41779,7 +47368,7 @@
 	{ X86_TEST8i8, X86_REG_AL },
 	{ X86_IN8ri, X86_REG_AL },
 	{ X86_TEST16i16, X86_REG_AX },
-	{ X86_SCAS32, X86_REG_EAX },
+	{ X86_SCASL, X86_REG_EAX },
 	{ X86_MOV16o16a_16, X86_REG_AX },
 	{ X86_MOV32o32a, X86_REG_EAX },
 	{ X86_MOV8o8a_16, X86_REG_AL },
@@ -41787,7 +47376,7 @@
 	{ X86_IN32rr, X86_REG_EAX },
 	{ X86_ADD8i8, X86_REG_AL },
 	{ X86_OR64i32, X86_REG_RAX },
-	{ X86_SCAS8, X86_REG_AL },
+	{ X86_SCASB, X86_REG_AL },
 	{ X86_SBB64i32, X86_REG_RAX },
 	{ X86_ADD16i16, X86_REG_AX },
 	{ X86_XOR16i16, X86_REG_AX },
@@ -41816,16 +47405,31 @@
 };
 
 
+
 // return register of given instruction id
 // return 0 if not found
 // this is to handle instructions embedding accumulate registers into AsmStrs[]
-x86_reg X86_insn_reg(unsigned int id)
+x86_reg X86_insn_reg_intel(unsigned int id)
 {
 	unsigned int i;
 
-	for (i = 0; i < ARR_SIZE(insn_regs); i++) {
-		if (insn_regs[i].insn == id) {
-			return insn_regs[i].reg;
+	for (i = 0; i < ARR_SIZE(insn_regs_intel); i++) {
+		if (insn_regs_intel[i].insn == id) {
+			return insn_regs_intel[i].reg;
+		}
+	}
+
+	// not found
+	return 0;
+}
+
+x86_reg X86_insn_reg_att(unsigned int id)
+{
+	unsigned int i;
+
+	for (i = 0; i < ARR_SIZE(insn_regs_att); i++) {
+		if (insn_regs_att[i].insn == id) {
+			return insn_regs_att[i].reg;
 		}
 	}
 
@@ -41880,12 +47484,12 @@
 				return false;
 
 			case X86_INS_CMPSD:
-				if (opcode == X86_CMPS32) // REP CMPSD
+				if (opcode == X86_CMPSL) // REP CMPSD
 					return true;
 				return false;
 
 			case X86_INS_SCASD:
-				if (opcode == X86_SCAS32) // REP SCASD
+				if (opcode == X86_SCASL) // REP SCASD
 					return true;
 				return false;
 
@@ -41951,8 +47555,8 @@
 			break;
 
 		case 0xf3:
-#ifndef CAPSTONE_DIET	// only care about memonic in standard (non-diet) mode
 			opcode = MCInst_getOpcode(MI);
+#ifndef CAPSTONE_DIET	// only care about memonic in standard (non-diet) mode
 			if (valid_rep(MI->csh, opcode)) {
 				SStream_concat(O, "rep|");
 			} else {
@@ -41989,4 +47593,76 @@
 	return res;
 }
 
+void op_addReg(MCInst *MI, int reg)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_REG;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].reg = reg;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->csh->regsize_map[reg];
+		MI->flat_insn->detail->x86.op_count++;
+	}
+}
+
+void op_addImm(MCInst *MI, int v)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].type = X86_OP_IMM;
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].imm = v;
+		// if op_count > 0, then this operand's size is taken from the destination op
+		if (MI->csh->syntax == CS_OPT_SYNTAX_INTEL) {
+			if (MI->flat_insn->detail->x86.op_count > 0)
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->flat_insn->detail->x86.operands[0].size;
+			else
+				MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count].size = MI->imm_size;
+		} else
+			MI->has_imm = 1;
+		MI->flat_insn->detail->x86.op_count++;
+	}
+}
+
+void op_addSseCC(MCInst *MI, int v)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.sse_cc = v;
+	}
+}
+
+void op_addAvxCC(MCInst *MI, int v)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.avx_cc = v;
+	}
+}
+
+void op_addAvxRoundingMode(MCInst *MI, int v)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.avx_rm = v;
+	}
+}
+
+// below functions supply details to X86GenAsmWriter*.inc
+void op_addAvxZeroOpmask(MCInst *MI)
+{
+	if (MI->csh->detail) {
+		// link with the previous operand
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count - 1].avx_zero_opmask = true;
+	}
+}
+
+void op_addAvxSae(MCInst *MI)
+{
+	if (MI->csh->detail) {
+		MI->flat_insn->detail->x86.avx_sae = true;
+	}
+}
+
+void op_addAvxBroadcast(MCInst *MI, x86_avx_bcast v)
+{
+	if (MI->csh->detail) {
+		// link with the previous operand
+		MI->flat_insn->detail->x86.operands[MI->flat_insn->detail->x86.op_count - 1].avx_bcast = v;
+	}
+}
+
 #endif
diff --git a/arch/X86/X86Mapping.h b/arch/X86/X86Mapping.h
index b28868e..9b3742a 100644
--- a/arch/X86/X86Mapping.h
+++ b/arch/X86/X86Mapping.h
@@ -25,13 +25,17 @@
 // return insn name, given insn id
 const char *X86_insn_name(csh handle, unsigned int id);
 
+// return group name, given group id
+const char *X86_group_name(csh handle, unsigned int id);
+
 // post printer for X86.
 void X86_post_printer(csh handle, cs_insn *pub_insn, char *insn_asm, MCInst *mci);
 
 // return register of given instruction id
 // return 0 if not found
 // this is to handle instructions embedding accumulate registers into AsmStrs[]
-x86_reg X86_insn_reg(unsigned int id);
+x86_reg X86_insn_reg_intel(unsigned int id);
+x86_reg X86_insn_reg_att(unsigned int id);
 
 extern uint64_t arch_masks[9];
 
@@ -39,4 +43,22 @@
 // return True if we patch mnemonic, like in MULPD case
 bool X86_lockrep(MCInst *MI, SStream *O);
 
+// map registers to sizes
+extern uint8_t regsize_map_32[];
+extern uint8_t regsize_map_64[];
+
+void op_addReg(MCInst *MI, int reg);
+void op_addImm(MCInst *MI, int v);
+
+void op_addAvxBroadcast(MCInst *MI, x86_avx_bcast v);
+
+void op_addSseCC(MCInst *MI, int v);
+void op_addAvxCC(MCInst *MI, int v);
+
+void op_addAvxZeroOpmask(MCInst *MI);
+
+void op_addAvxSae(MCInst *MI);
+
+void op_addAvxRoundingMode(MCInst *MI, int v);
+
 #endif
diff --git a/arch/X86/X86Module.c b/arch/X86/X86Module.c
index ce1de15..9168d26 100644
--- a/arch/X86/X86Module.c
+++ b/arch/X86/X86Module.c
@@ -11,53 +11,77 @@
 
 static cs_err init(cs_struct *ud)
 {
+	MCRegisterInfo *mri;
+
 	// verify if requested mode is valid
 	if (ud->mode & ~(CS_MODE_LITTLE_ENDIAN | CS_MODE_32 | CS_MODE_64 | CS_MODE_16))
 		return CS_ERR_MODE;
 
+	mri = cs_mem_malloc(sizeof(*mri));
+
+	X86_init(mri);
+
 	// by default, we use Intel syntax
 	ud->printer = X86_Intel_printInst;
 	ud->syntax = CS_OPT_SYNTAX_INTEL;
-	ud->printer_info = NULL;
+	ud->printer_info = mri;
 	ud->disasm = X86_getInstruction;
 	ud->reg_name = X86_reg_name;
 	ud->insn_id = X86_get_insn_id;
 	ud->insn_name = X86_insn_name;
+	ud->group_name = X86_group_name;
 	ud->post_printer = X86_post_printer;
 
+	if (ud->mode == CS_MODE_64)
+		ud->regsize_map = regsize_map_64;
+	else
+		ud->regsize_map = regsize_map_32;
+
 	return CS_ERR_OK;
 }
 
 static cs_err option(cs_struct *handle, cs_opt_type type, size_t value)
 {
-	if (type == CS_OPT_SYNTAX) {
-		switch(value) {
-			default:
-				// wrong syntax value
-				handle->errnum = CS_ERR_OPTION;
-				return CS_ERR_OPTION;
+	switch(type) {
+		default:
+			break;
+		case CS_OPT_MODE:
+			if (value == CS_MODE_64)
+				handle->regsize_map = regsize_map_64;
+			else
+				handle->regsize_map = regsize_map_32;
 
-			case CS_OPT_SYNTAX_DEFAULT:
-			case CS_OPT_SYNTAX_INTEL:
-				handle->printer = X86_Intel_printInst;
-				handle->syntax = CS_OPT_SYNTAX_INTEL;
-				break;
+			handle->mode = value;
+			break;
+		case CS_OPT_SYNTAX:
+			switch(value) {
+				default:
+					// wrong syntax value
+					handle->errnum = CS_ERR_OPTION;
+					return CS_ERR_OPTION;
 
-			case CS_OPT_SYNTAX_ATT:
+				case CS_OPT_SYNTAX_DEFAULT:
+				case CS_OPT_SYNTAX_INTEL:
+					handle->printer = X86_Intel_printInst;
+					handle->syntax = CS_OPT_SYNTAX_INTEL;
+					break;
+
+				case CS_OPT_SYNTAX_ATT:
 #if !defined(CAPSTONE_DIET) && !defined(CAPSTONE_X86_ATT_DISABLE)
-				handle->printer = X86_ATT_printInst;
-				handle->syntax = CS_OPT_SYNTAX_ATT;
-				break;
+					handle->printer = X86_ATT_printInst;
+					handle->syntax = CS_OPT_SYNTAX_ATT;
+					break;
 #elif !defined(CAPSTONE_DIET) && defined(CAPSTONE_X86_ATT_DISABLE)
-				// ATT syntax is unsupported
-				handle->errnum = CS_ERR_X86_ATT;
-				return CS_ERR_X86_ATT;
-#else
-				// this is irrelevant in CAPSTONE_DIET mode
-				handle->errnum = CS_ERR_DIET;
-				return CS_ERR_DIET;
+					// ATT syntax is unsupported
+					handle->errnum = CS_ERR_X86_ATT;
+					return CS_ERR_X86_ATT;
+#else	// CAPSTONE_DIET
+					// this is irrelevant in CAPSTONE_DIET mode
+					handle->errnum = CS_ERR_DIET;
+					return CS_ERR_DIET;
 #endif
-		}
+			}
+			break;
 	}
 
 	return CS_ERR_OK;
diff --git a/arch/XCore/XCoreDisassembler.c b/arch/XCore/XCoreDisassembler.c
index c8b4944..5c1a5b4 100644
--- a/arch/XCore/XCoreDisassembler.c
+++ b/arch/XCore/XCoreDisassembler.c
@@ -738,7 +738,7 @@
 	}
 
 	if (MI->flat_insn->detail) {
-		memset(&MI->flat_insn->detail->xcore, 0, offsetof(cs_xcore, operands));
+		memset(MI->flat_insn->detail, 0, sizeof(cs_detail));
 	}
 
 	// Calling the auto-generated decoder function.
diff --git a/arch/XCore/XCoreGenAsmWriter.inc b/arch/XCore/XCoreGenAsmWriter.inc
index f40fa94..7161138 100644
--- a/arch/XCore/XCoreGenAsmWriter.inc
+++ b/arch/XCore/XCoreGenAsmWriter.inc
@@ -37,6 +37,7 @@
     645U,	// LIFETIME_END
     0U,	// STACKMAP
     0U,	// PATCHPOINT
+    0U,	// LOAD_STACK_GUARD
     2250U,	// ADD_2rus
     2250U,	// ADD_3r
     10363U,	// ADJCALLSTACKDOWN
diff --git a/arch/XCore/XCoreGenDisassemblerTables.inc b/arch/XCore/XCoreGenDisassemblerTables.inc
index 89ec805..195940c 100644
--- a/arch/XCore/XCoreGenDisassemblerTables.inc
+++ b/arch/XCore/XCoreGenDisassemblerTables.inc
@@ -29,328 +29,328 @@
 /* 3 */       MCD_OPC_FilterValue, 0, 108, 0, // Skip to: 115
 /* 7 */       MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
 /* 10 */      MCD_OPC_FilterValue, 236, 15, 4, 0, // Skip to: 19
-/* 15 */      MCD_OPC_Decode, 240, 1, 0, // Opcode: WAITEU_0R
+/* 15 */      MCD_OPC_Decode, 241, 1, 0, // Opcode: WAITEU_0R
 /* 19 */      MCD_OPC_FilterValue, 237, 15, 3, 0, // Skip to: 27
-/* 24 */      MCD_OPC_Decode, 56, 0, // Opcode: CLRE_0R
+/* 24 */      MCD_OPC_Decode, 57, 0, // Opcode: CLRE_0R
 /* 27 */      MCD_OPC_FilterValue, 238, 15, 4, 0, // Skip to: 36
-/* 32 */      MCD_OPC_Decode, 215, 1, 0, // Opcode: SSYNC_0r
+/* 32 */      MCD_OPC_Decode, 216, 1, 0, // Opcode: SSYNC_0r
 /* 36 */      MCD_OPC_FilterValue, 239, 15, 3, 0, // Skip to: 44
-/* 41 */      MCD_OPC_Decode, 90, 0, // Opcode: FREET_0R
+/* 41 */      MCD_OPC_Decode, 91, 0, // Opcode: FREET_0R
 /* 44 */      MCD_OPC_FilterValue, 252, 15, 3, 0, // Skip to: 52
-/* 49 */      MCD_OPC_Decode, 65, 0, // Opcode: DCALL_0R
+/* 49 */      MCD_OPC_Decode, 66, 0, // Opcode: DCALL_0R
 /* 52 */      MCD_OPC_FilterValue, 253, 15, 3, 0, // Skip to: 60
-/* 57 */      MCD_OPC_Decode, 122, 0, // Opcode: KRET_0R
+/* 57 */      MCD_OPC_Decode, 123, 0, // Opcode: KRET_0R
 /* 60 */      MCD_OPC_FilterValue, 254, 15, 3, 0, // Skip to: 68
-/* 65 */      MCD_OPC_Decode, 71, 0, // Opcode: DRET_0R
+/* 65 */      MCD_OPC_Decode, 72, 0, // Opcode: DRET_0R
 /* 68 */      MCD_OPC_FilterValue, 255, 15, 4, 0, // Skip to: 77
-/* 73 */      MCD_OPC_Decode, 196, 1, 0, // Opcode: SETKEP_0R
+/* 73 */      MCD_OPC_Decode, 197, 1, 0, // Opcode: SETKEP_0R
 /* 77 */      MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 80 */      MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 87
-/* 84 */      MCD_OPC_Decode, 74, 1, // Opcode: EDU_1r
+/* 84 */      MCD_OPC_Decode, 75, 1, // Opcode: EDU_1r
 /* 87 */      MCD_OPC_FilterValue, 127, 3, 0, // Skip to: 94
-/* 91 */      MCD_OPC_Decode, 77, 1, // Opcode: EEU_1r
+/* 91 */      MCD_OPC_Decode, 78, 1, // Opcode: EEU_1r
 /* 94 */      MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 97 */      MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 104
-/* 101 */     MCD_OPC_Decode, 108, 2, // Opcode: INITPC_2r
+/* 101 */     MCD_OPC_Decode, 109, 2, // Opcode: INITPC_2r
 /* 104 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 111
-/* 108 */     MCD_OPC_Decode, 102, 2, // Opcode: GETST_2r
-/* 111 */     MCD_OPC_Decode, 227, 1, 3, // Opcode: STW_2rus
+/* 108 */     MCD_OPC_Decode, 103, 2, // Opcode: GETST_2r
+/* 111 */     MCD_OPC_Decode, 228, 1, 3, // Opcode: STW_2rus
 /* 115 */     MCD_OPC_FilterValue, 1, 114, 0, // Skip to: 233
 /* 119 */     MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
 /* 122 */     MCD_OPC_FilterValue, 236, 15, 4, 0, // Skip to: 131
-/* 127 */     MCD_OPC_Decode, 149, 1, 0, // Opcode: LDSPC_0R
+/* 127 */     MCD_OPC_Decode, 150, 1, 0, // Opcode: LDSPC_0R
 /* 131 */     MCD_OPC_FilterValue, 237, 15, 4, 0, // Skip to: 140
-/* 136 */     MCD_OPC_Decode, 220, 1, 0, // Opcode: STSPC_0R
+/* 136 */     MCD_OPC_Decode, 221, 1, 0, // Opcode: STSPC_0R
 /* 140 */     MCD_OPC_FilterValue, 238, 15, 4, 0, // Skip to: 149
-/* 145 */     MCD_OPC_Decode, 150, 1, 0, // Opcode: LDSSR_0R
+/* 145 */     MCD_OPC_Decode, 151, 1, 0, // Opcode: LDSSR_0R
 /* 149 */     MCD_OPC_FilterValue, 239, 15, 4, 0, // Skip to: 158
-/* 154 */     MCD_OPC_Decode, 221, 1, 0, // Opcode: STSSR_0R
+/* 154 */     MCD_OPC_Decode, 222, 1, 0, // Opcode: STSSR_0R
 /* 158 */     MCD_OPC_FilterValue, 252, 15, 4, 0, // Skip to: 167
-/* 163 */     MCD_OPC_Decode, 219, 1, 0, // Opcode: STSED_0R
+/* 163 */     MCD_OPC_Decode, 220, 1, 0, // Opcode: STSED_0R
 /* 167 */     MCD_OPC_FilterValue, 253, 15, 4, 0, // Skip to: 176
-/* 172 */     MCD_OPC_Decode, 218, 1, 0, // Opcode: STET_0R
+/* 172 */     MCD_OPC_Decode, 219, 1, 0, // Opcode: STET_0R
 /* 176 */     MCD_OPC_FilterValue, 254, 15, 3, 0, // Skip to: 184
-/* 181 */     MCD_OPC_Decode, 92, 0, // Opcode: GETED_0R
+/* 181 */     MCD_OPC_Decode, 93, 0, // Opcode: GETED_0R
 /* 184 */     MCD_OPC_FilterValue, 255, 15, 3, 0, // Skip to: 192
-/* 189 */     MCD_OPC_Decode, 93, 0, // Opcode: GETET_0R
+/* 189 */     MCD_OPC_Decode, 94, 0, // Opcode: GETET_0R
 /* 192 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 195 */     MCD_OPC_FilterValue, 126, 4, 0, // Skip to: 203
-/* 199 */     MCD_OPC_Decode, 239, 1, 1, // Opcode: WAITET_1R
+/* 199 */     MCD_OPC_Decode, 240, 1, 1, // Opcode: WAITET_1R
 /* 203 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 211
-/* 207 */     MCD_OPC_Decode, 238, 1, 1, // Opcode: WAITEF_1R
+/* 207 */     MCD_OPC_Decode, 239, 1, 1, // Opcode: WAITEF_1R
 /* 211 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 214 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 221
-/* 218 */     MCD_OPC_Decode, 106, 2, // Opcode: INITDP_2r
+/* 218 */     MCD_OPC_Decode, 107, 2, // Opcode: INITDP_2r
 /* 221 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 229
-/* 225 */     MCD_OPC_Decode, 180, 1, 4, // Opcode: OUTT_2r
-/* 229 */     MCD_OPC_Decode, 160, 1, 3, // Opcode: LDW_2rus
+/* 225 */     MCD_OPC_Decode, 181, 1, 4, // Opcode: OUTT_2r
+/* 229 */     MCD_OPC_Decode, 161, 1, 3, // Opcode: LDW_2rus
 /* 233 */     MCD_OPC_FilterValue, 2, 100, 0, // Skip to: 337
 /* 237 */     MCD_OPC_ExtractField, 0, 11,  // Inst{10-0} ...
 /* 240 */     MCD_OPC_FilterValue, 236, 15, 3, 0, // Skip to: 248
-/* 245 */     MCD_OPC_Decode, 66, 0, // Opcode: DENTSP_0R
+/* 245 */     MCD_OPC_Decode, 67, 0, // Opcode: DENTSP_0R
 /* 248 */     MCD_OPC_FilterValue, 237, 15, 3, 0, // Skip to: 256
-/* 253 */     MCD_OPC_Decode, 70, 0, // Opcode: DRESTSP_0R
+/* 253 */     MCD_OPC_Decode, 71, 0, // Opcode: DRESTSP_0R
 /* 256 */     MCD_OPC_FilterValue, 238, 15, 3, 0, // Skip to: 264
-/* 261 */     MCD_OPC_Decode, 94, 0, // Opcode: GETID_0R
+/* 261 */     MCD_OPC_Decode, 95, 0, // Opcode: GETID_0R
 /* 264 */     MCD_OPC_FilterValue, 239, 15, 3, 0, // Skip to: 272
-/* 269 */     MCD_OPC_Decode, 95, 0, // Opcode: GETKEP_0R
+/* 269 */     MCD_OPC_Decode, 96, 0, // Opcode: GETKEP_0R
 /* 272 */     MCD_OPC_FilterValue, 252, 15, 3, 0, // Skip to: 280
-/* 277 */     MCD_OPC_Decode, 96, 0, // Opcode: GETKSP_0R
+/* 277 */     MCD_OPC_Decode, 97, 0, // Opcode: GETKSP_0R
 /* 280 */     MCD_OPC_FilterValue, 253, 15, 4, 0, // Skip to: 289
-/* 285 */     MCD_OPC_Decode, 148, 1, 0, // Opcode: LDSED_0R
+/* 285 */     MCD_OPC_Decode, 149, 1, 0, // Opcode: LDSED_0R
 /* 289 */     MCD_OPC_FilterValue, 254, 15, 4, 0, // Skip to: 298
-/* 294 */     MCD_OPC_Decode, 146, 1, 0, // Opcode: LDET_0R
+/* 294 */     MCD_OPC_Decode, 147, 1, 0, // Opcode: LDET_0R
 /* 298 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 301 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 308
-/* 305 */     MCD_OPC_Decode, 89, 1, // Opcode: FREER_1r
+/* 305 */     MCD_OPC_Decode, 90, 1, // Opcode: FREER_1r
 /* 308 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 316
-/* 312 */     MCD_OPC_Decode, 168, 1, 1, // Opcode: MJOIN_1r
+/* 312 */     MCD_OPC_Decode, 169, 1, 1, // Opcode: MJOIN_1r
 /* 316 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 319 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 326
-/* 323 */     MCD_OPC_Decode, 109, 2, // Opcode: INITSP_2r
+/* 323 */     MCD_OPC_Decode, 110, 2, // Opcode: INITSP_2r
 /* 326 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 334
-/* 330 */     MCD_OPC_Decode, 194, 1, 4, // Opcode: SETD_2r
-/* 334 */     MCD_OPC_Decode, 20, 5, // Opcode: ADD_3r
+/* 330 */     MCD_OPC_Decode, 195, 1, 4, // Opcode: SETD_2r
+/* 334 */     MCD_OPC_Decode, 21, 5, // Opcode: ADD_3r
 /* 337 */     MCD_OPC_FilterValue, 3, 41, 0, // Skip to: 382
 /* 341 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 344 */     MCD_OPC_FilterValue, 126, 4, 0, // Skip to: 352
-/* 348 */     MCD_OPC_Decode, 237, 1, 1, // Opcode: TSTART_1R
+/* 348 */     MCD_OPC_Decode, 238, 1, 1, // Opcode: TSTART_1R
 /* 352 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 360
-/* 356 */     MCD_OPC_Decode, 171, 1, 1, // Opcode: MSYNC_1r
+/* 356 */     MCD_OPC_Decode, 172, 1, 1, // Opcode: MSYNC_1r
 /* 360 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 363 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 370
-/* 367 */     MCD_OPC_Decode, 105, 2, // Opcode: INITCP_2r
+/* 367 */     MCD_OPC_Decode, 106, 2, // Opcode: INITCP_2r
 /* 370 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 378
-/* 374 */     MCD_OPC_Decode, 235, 1, 6, // Opcode: TSETMR_2r
-/* 378 */     MCD_OPC_Decode, 230, 1, 5, // Opcode: SUB_3r
+/* 374 */     MCD_OPC_Decode, 236, 1, 6, // Opcode: TSETMR_2r
+/* 378 */     MCD_OPC_Decode, 231, 1, 5, // Opcode: SUB_3r
 /* 382 */     MCD_OPC_FilterValue, 4, 30, 0, // Skip to: 416
 /* 386 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 389 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 396
-/* 393 */     MCD_OPC_Decode, 33, 1, // Opcode: BLA_1r
+/* 393 */     MCD_OPC_Decode, 34, 1, // Opcode: BLA_1r
 /* 396 */     MCD_OPC_FilterValue, 127, 3, 0, // Skip to: 403
-/* 400 */     MCD_OPC_Decode, 27, 1, // Opcode: BAU_1r
+/* 400 */     MCD_OPC_Decode, 28, 1, // Opcode: BAU_1r
 /* 403 */     MCD_OPC_CheckField, 4, 1, 1, 3, 0, // Skip to: 412
-/* 409 */     MCD_OPC_Decode, 76, 2, // Opcode: EET_2r
-/* 412 */     MCD_OPC_Decode, 212, 1, 5, // Opcode: SHL_3r
+/* 409 */     MCD_OPC_Decode, 77, 2, // Opcode: EET_2r
+/* 412 */     MCD_OPC_Decode, 213, 1, 5, // Opcode: SHL_3r
 /* 416 */     MCD_OPC_FilterValue, 5, 39, 0, // Skip to: 459
 /* 420 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 423 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 430
-/* 427 */     MCD_OPC_Decode, 50, 1, // Opcode: BRU_1r
+/* 427 */     MCD_OPC_Decode, 51, 1, // Opcode: BRU_1r
 /* 430 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 438
-/* 434 */     MCD_OPC_Decode, 202, 1, 1, // Opcode: SETSP_1r
+/* 434 */     MCD_OPC_Decode, 203, 1, 1, // Opcode: SETSP_1r
 /* 438 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 441 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 448
-/* 445 */     MCD_OPC_Decode, 23, 7, // Opcode: ANDNOT_2r
+/* 445 */     MCD_OPC_Decode, 24, 7, // Opcode: ANDNOT_2r
 /* 448 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 455
-/* 452 */     MCD_OPC_Decode, 75, 2, // Opcode: EEF_2r
-/* 455 */     MCD_OPC_Decode, 214, 1, 5, // Opcode: SHR_3r
+/* 452 */     MCD_OPC_Decode, 76, 2, // Opcode: EEF_2r
+/* 455 */     MCD_OPC_Decode, 215, 1, 5, // Opcode: SHR_3r
 /* 459 */     MCD_OPC_FilterValue, 6, 41, 0, // Skip to: 504
 /* 463 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 466 */     MCD_OPC_FilterValue, 126, 4, 0, // Skip to: 474
-/* 470 */     MCD_OPC_Decode, 193, 1, 1, // Opcode: SETDP_1r
+/* 470 */     MCD_OPC_Decode, 194, 1, 1, // Opcode: SETDP_1r
 /* 474 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 482
-/* 478 */     MCD_OPC_Decode, 189, 1, 1, // Opcode: SETCP_1r
+/* 478 */     MCD_OPC_Decode, 190, 1, 1, // Opcode: SETCP_1r
 /* 482 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 485 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 493
-/* 489 */     MCD_OPC_Decode, 209, 1, 7, // Opcode: SEXT_2r
+/* 489 */     MCD_OPC_Decode, 210, 1, 7, // Opcode: SEXT_2r
 /* 493 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 501
-/* 497 */     MCD_OPC_Decode, 210, 1, 8, // Opcode: SEXT_rus
-/* 501 */     MCD_OPC_Decode, 83, 5, // Opcode: EQ_3r
+/* 497 */     MCD_OPC_Decode, 211, 1, 8, // Opcode: SEXT_rus
+/* 501 */     MCD_OPC_Decode, 84, 5, // Opcode: EQ_3r
 /* 504 */     MCD_OPC_FilterValue, 7, 39, 0, // Skip to: 547
 /* 508 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 511 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 518
-/* 515 */     MCD_OPC_Decode, 67, 1, // Opcode: DGETREG_1r
+/* 515 */     MCD_OPC_Decode, 68, 1, // Opcode: DGETREG_1r
 /* 518 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 526
-/* 522 */     MCD_OPC_Decode, 195, 1, 1, // Opcode: SETEV_1r
+/* 522 */     MCD_OPC_Decode, 196, 1, 1, // Opcode: SETEV_1r
 /* 526 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 529 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 536
-/* 533 */     MCD_OPC_Decode, 103, 2, // Opcode: GETTS_2r
+/* 533 */     MCD_OPC_Decode, 104, 2, // Opcode: GETTS_2r
 /* 536 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 544
-/* 540 */     MCD_OPC_Decode, 200, 1, 4, // Opcode: SETPT_2r
-/* 544 */     MCD_OPC_Decode, 24, 5, // Opcode: AND_3r
+/* 540 */     MCD_OPC_Decode, 201, 1, 4, // Opcode: SETPT_2r
+/* 544 */     MCD_OPC_Decode, 25, 5, // Opcode: AND_3r
 /* 547 */     MCD_OPC_FilterValue, 8, 41, 0, // Skip to: 592
 /* 551 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 554 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 561
-/* 558 */     MCD_OPC_Decode, 115, 1, // Opcode: KCALL_1r
+/* 558 */     MCD_OPC_Decode, 116, 1, // Opcode: KCALL_1r
 /* 561 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 569
-/* 565 */     MCD_OPC_Decode, 208, 1, 1, // Opcode: SETV_1r
+/* 565 */     MCD_OPC_Decode, 209, 1, 1, // Opcode: SETV_1r
 /* 569 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 572 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 580
-/* 576 */     MCD_OPC_Decode, 242, 1, 7, // Opcode: ZEXT_2r
+/* 576 */     MCD_OPC_Decode, 243, 1, 7, // Opcode: ZEXT_2r
 /* 580 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 588
-/* 584 */     MCD_OPC_Decode, 243, 1, 8, // Opcode: ZEXT_rus
-/* 588 */     MCD_OPC_Decode, 175, 1, 5, // Opcode: OR_3r
+/* 584 */     MCD_OPC_Decode, 244, 1, 8, // Opcode: ZEXT_rus
+/* 588 */     MCD_OPC_Decode, 176, 1, 5, // Opcode: OR_3r
 /* 592 */     MCD_OPC_FilterValue, 9, 40, 0, // Skip to: 636
 /* 596 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 599 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 606
-/* 603 */     MCD_OPC_Decode, 72, 1, // Opcode: ECALLF_1r
+/* 603 */     MCD_OPC_Decode, 73, 1, // Opcode: ECALLF_1r
 /* 606 */     MCD_OPC_FilterValue, 127, 3, 0, // Skip to: 613
-/* 610 */     MCD_OPC_Decode, 73, 1, // Opcode: ECALLT_1r
+/* 610 */     MCD_OPC_Decode, 74, 1, // Opcode: ECALLT_1r
 /* 613 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 616 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 624
-/* 620 */     MCD_OPC_Decode, 176, 1, 2, // Opcode: OUTCT_2r
+/* 620 */     MCD_OPC_Decode, 177, 1, 2, // Opcode: OUTCT_2r
 /* 624 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 632
-/* 628 */     MCD_OPC_Decode, 177, 1, 9, // Opcode: OUTCT_rus
-/* 632 */     MCD_OPC_Decode, 161, 1, 5, // Opcode: LDW_3r
+/* 628 */     MCD_OPC_Decode, 178, 1, 9, // Opcode: OUTCT_rus
+/* 632 */     MCD_OPC_Decode, 162, 1, 5, // Opcode: LDW_3r
 /* 636 */     MCD_OPC_FilterValue, 10, 19, 0, // Skip to: 659
 /* 640 */     MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 643 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 651
-/* 647 */     MCD_OPC_Decode, 223, 1, 10, // Opcode: STWDP_ru6
+/* 647 */     MCD_OPC_Decode, 224, 1, 10, // Opcode: STWDP_ru6
 /* 651 */     MCD_OPC_FilterValue, 1, 53, 2, // Skip to: 1220
-/* 655 */     MCD_OPC_Decode, 226, 1, 10, // Opcode: STWSP_ru6
+/* 655 */     MCD_OPC_Decode, 227, 1, 10, // Opcode: STWSP_ru6
 /* 659 */     MCD_OPC_FilterValue, 11, 19, 0, // Skip to: 682
 /* 663 */     MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 666 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 674
-/* 670 */     MCD_OPC_Decode, 156, 1, 10, // Opcode: LDWDP_ru6
+/* 670 */     MCD_OPC_Decode, 157, 1, 10, // Opcode: LDWDP_ru6
 /* 674 */     MCD_OPC_FilterValue, 1, 30, 2, // Skip to: 1220
-/* 678 */     MCD_OPC_Decode, 159, 1, 10, // Opcode: LDWSP_ru6
+/* 678 */     MCD_OPC_Decode, 160, 1, 10, // Opcode: LDWSP_ru6
 /* 682 */     MCD_OPC_FilterValue, 12, 19, 0, // Skip to: 705
 /* 686 */     MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 689 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 697
-/* 693 */     MCD_OPC_Decode, 138, 1, 10, // Opcode: LDAWDP_ru6
+/* 693 */     MCD_OPC_Decode, 139, 1, 10, // Opcode: LDAWDP_ru6
 /* 697 */     MCD_OPC_FilterValue, 1, 7, 2, // Skip to: 1220
-/* 701 */     MCD_OPC_Decode, 143, 1, 10, // Opcode: LDAWSP_ru6
+/* 701 */     MCD_OPC_Decode, 144, 1, 10, // Opcode: LDAWSP_ru6
 /* 705 */     MCD_OPC_FilterValue, 13, 19, 0, // Skip to: 728
 /* 709 */     MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 712 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 720
-/* 716 */     MCD_OPC_Decode, 145, 1, 10, // Opcode: LDC_ru6
+/* 716 */     MCD_OPC_Decode, 146, 1, 10, // Opcode: LDC_ru6
 /* 720 */     MCD_OPC_FilterValue, 1, 240, 1, // Skip to: 1220
-/* 724 */     MCD_OPC_Decode, 153, 1, 10, // Opcode: LDWCP_ru6
+/* 724 */     MCD_OPC_Decode, 154, 1, 10, // Opcode: LDWCP_ru6
 /* 728 */     MCD_OPC_FilterValue, 14, 80, 0, // Skip to: 812
 /* 732 */     MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 735 */     MCD_OPC_FilterValue, 0, 34, 0, // Skip to: 773
 /* 739 */     MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
 /* 742 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 749
-/* 746 */     MCD_OPC_Decode, 49, 11, // Opcode: BRFU_u6
+/* 746 */     MCD_OPC_Decode, 50, 11, // Opcode: BRFU_u6
 /* 749 */     MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 756
-/* 753 */     MCD_OPC_Decode, 32, 11, // Opcode: BLAT_u6
+/* 753 */     MCD_OPC_Decode, 33, 11, // Opcode: BLAT_u6
 /* 756 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 763
-/* 760 */     MCD_OPC_Decode, 85, 11, // Opcode: EXTDP_u6
+/* 760 */     MCD_OPC_Decode, 86, 11, // Opcode: EXTDP_u6
 /* 763 */     MCD_OPC_FilterValue, 15, 3, 0, // Skip to: 770
-/* 767 */     MCD_OPC_Decode, 117, 11, // Opcode: KCALL_u6
-/* 770 */     MCD_OPC_Decode, 47, 12, // Opcode: BRFT_ru6
+/* 767 */     MCD_OPC_Decode, 118, 11, // Opcode: KCALL_u6
+/* 770 */     MCD_OPC_Decode, 48, 12, // Opcode: BRFT_ru6
 /* 773 */     MCD_OPC_FilterValue, 1, 187, 1, // Skip to: 1220
 /* 777 */     MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
 /* 780 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 787
-/* 784 */     MCD_OPC_Decode, 43, 13, // Opcode: BRBU_u6
+/* 784 */     MCD_OPC_Decode, 44, 13, // Opcode: BRBU_u6
 /* 787 */     MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 794
-/* 791 */     MCD_OPC_Decode, 81, 11, // Opcode: ENTSP_u6
+/* 791 */     MCD_OPC_Decode, 82, 11, // Opcode: ENTSP_u6
 /* 794 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 801
-/* 798 */     MCD_OPC_Decode, 87, 11, // Opcode: EXTSP_u6
+/* 798 */     MCD_OPC_Decode, 88, 11, // Opcode: EXTSP_u6
 /* 801 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 809
-/* 805 */     MCD_OPC_Decode, 186, 1, 11, // Opcode: RETSP_u6
-/* 809 */     MCD_OPC_Decode, 41, 14, // Opcode: BRBT_ru6
+/* 805 */     MCD_OPC_Decode, 187, 1, 11, // Opcode: RETSP_u6
+/* 809 */     MCD_OPC_Decode, 42, 14, // Opcode: BRBT_ru6
 /* 812 */     MCD_OPC_FilterValue, 15, 67, 0, // Skip to: 883
 /* 816 */     MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 819 */     MCD_OPC_FilterValue, 0, 35, 0, // Skip to: 858
 /* 823 */     MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
 /* 826 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 833
-/* 830 */     MCD_OPC_Decode, 61, 11, // Opcode: CLRSR_u6
+/* 830 */     MCD_OPC_Decode, 62, 11, // Opcode: CLRSR_u6
 /* 833 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 841
-/* 837 */     MCD_OPC_Decode, 206, 1, 11, // Opcode: SETSR_u6
+/* 837 */     MCD_OPC_Decode, 207, 1, 11, // Opcode: SETSR_u6
 /* 841 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 848
-/* 845 */     MCD_OPC_Decode, 119, 11, // Opcode: KENTSP_u6
+/* 845 */     MCD_OPC_Decode, 120, 11, // Opcode: KENTSP_u6
 /* 848 */     MCD_OPC_FilterValue, 15, 3, 0, // Skip to: 855
-/* 852 */     MCD_OPC_Decode, 121, 11, // Opcode: KRESTSP_u6
-/* 855 */     MCD_OPC_Decode, 45, 12, // Opcode: BRFF_ru6
+/* 852 */     MCD_OPC_Decode, 122, 11, // Opcode: KRESTSP_u6
+/* 855 */     MCD_OPC_Decode, 46, 12, // Opcode: BRFF_ru6
 /* 858 */     MCD_OPC_FilterValue, 1, 102, 1, // Skip to: 1220
 /* 862 */     MCD_OPC_ExtractField, 6, 4,  // Inst{9-6} ...
 /* 865 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 872
-/* 869 */     MCD_OPC_Decode, 101, 11, // Opcode: GETSR_u6
+/* 869 */     MCD_OPC_Decode, 102, 11, // Opcode: GETSR_u6
 /* 872 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 880
-/* 876 */     MCD_OPC_Decode, 136, 1, 11, // Opcode: LDAWCP_u6
-/* 880 */     MCD_OPC_Decode, 39, 14, // Opcode: BRBF_ru6
+/* 876 */     MCD_OPC_Decode, 137, 1, 11, // Opcode: LDAWCP_u6
+/* 880 */     MCD_OPC_Decode, 40, 14, // Opcode: BRBF_ru6
 /* 883 */     MCD_OPC_FilterValue, 16, 38, 0, // Skip to: 925
 /* 887 */     MCD_OPC_ExtractField, 4, 7,  // Inst{10-4} ...
 /* 890 */     MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 897
-/* 894 */     MCD_OPC_Decode, 57, 1, // Opcode: CLRPT_1R
+/* 894 */     MCD_OPC_Decode, 58, 1, // Opcode: CLRPT_1R
 /* 897 */     MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 905
-/* 901 */     MCD_OPC_Decode, 231, 1, 1, // Opcode: SYNCR_1r
+/* 901 */     MCD_OPC_Decode, 232, 1, 1, // Opcode: SYNCR_1r
 /* 905 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 908 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 915
-/* 912 */     MCD_OPC_Decode, 99, 9, // Opcode: GETR_rus
+/* 912 */     MCD_OPC_Decode, 100, 9, // Opcode: GETR_rus
 /* 915 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 922
-/* 919 */     MCD_OPC_Decode, 104, 2, // Opcode: INCT_2r
-/* 922 */     MCD_OPC_Decode, 124, 5, // Opcode: LD16S_3r
+/* 919 */     MCD_OPC_Decode, 105, 2, // Opcode: INCT_2r
+/* 922 */     MCD_OPC_Decode, 125, 5, // Opcode: LD16S_3r
 /* 925 */     MCD_OPC_FilterValue, 17, 21, 0, // Skip to: 950
 /* 929 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 932 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 940
-/* 936 */     MCD_OPC_Decode, 174, 1, 2, // Opcode: NOT
+/* 936 */     MCD_OPC_Decode, 175, 1, 2, // Opcode: NOT
 /* 940 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 947
-/* 944 */     MCD_OPC_Decode, 112, 2, // Opcode: INT_2r
-/* 947 */     MCD_OPC_Decode, 125, 5, // Opcode: LD8U_3r
+/* 944 */     MCD_OPC_Decode, 113, 2, // Opcode: INT_2r
+/* 947 */     MCD_OPC_Decode, 126, 5, // Opcode: LD8U_3r
 /* 950 */     MCD_OPC_FilterValue, 18, 21, 0, // Skip to: 975
 /* 954 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 957 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 965
-/* 961 */     MCD_OPC_Decode, 173, 1, 2, // Opcode: NEG
+/* 961 */     MCD_OPC_Decode, 174, 1, 2, // Opcode: NEG
 /* 965 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 972
-/* 969 */     MCD_OPC_Decode, 79, 2, // Opcode: ENDIN_2r
-/* 972 */     MCD_OPC_Decode, 19, 3, // Opcode: ADD_2rus
+/* 969 */     MCD_OPC_Decode, 80, 2, // Opcode: ENDIN_2r
+/* 972 */     MCD_OPC_Decode, 20, 3, // Opcode: ADD_2rus
 /* 975 */     MCD_OPC_FilterValue, 19, 4, 0, // Skip to: 983
-/* 979 */     MCD_OPC_Decode, 229, 1, 3, // Opcode: SUB_2rus
+/* 979 */     MCD_OPC_Decode, 230, 1, 3, // Opcode: SUB_2rus
 /* 983 */     MCD_OPC_FilterValue, 20, 23, 0, // Skip to: 1010
 /* 987 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 990 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 998
-/* 994 */     MCD_OPC_Decode, 169, 1, 2, // Opcode: MKMSK_2r
+/* 994 */     MCD_OPC_Decode, 170, 1, 2, // Opcode: MKMSK_2r
 /* 998 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1006
-/* 1002 */    MCD_OPC_Decode, 170, 1, 15, // Opcode: MKMSK_rus
-/* 1006 */    MCD_OPC_Decode, 211, 1, 16, // Opcode: SHL_2rus
+/* 1002 */    MCD_OPC_Decode, 171, 1, 15, // Opcode: MKMSK_rus
+/* 1006 */    MCD_OPC_Decode, 212, 1, 16, // Opcode: SHL_2rus
 /* 1010 */    MCD_OPC_FilterValue, 21, 23, 0, // Skip to: 1037
 /* 1014 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 1017 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1025
-/* 1021 */    MCD_OPC_Decode, 181, 1, 4, // Opcode: OUT_2r
+/* 1021 */    MCD_OPC_Decode, 182, 1, 4, // Opcode: OUT_2r
 /* 1025 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1033
-/* 1029 */    MCD_OPC_Decode, 179, 1, 7, // Opcode: OUTSHR_2r
-/* 1033 */    MCD_OPC_Decode, 213, 1, 16, // Opcode: SHR_2rus
+/* 1029 */    MCD_OPC_Decode, 180, 1, 7, // Opcode: OUTSHR_2r
+/* 1033 */    MCD_OPC_Decode, 214, 1, 16, // Opcode: SHR_2rus
 /* 1037 */    MCD_OPC_FilterValue, 22, 20, 0, // Skip to: 1061
 /* 1041 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 1044 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1051
-/* 1048 */    MCD_OPC_Decode, 113, 2, // Opcode: IN_2r
+/* 1048 */    MCD_OPC_Decode, 114, 2, // Opcode: IN_2r
 /* 1051 */    MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 1058
-/* 1055 */    MCD_OPC_Decode, 111, 7, // Opcode: INSHR_2r
-/* 1058 */    MCD_OPC_Decode, 82, 3, // Opcode: EQ_2rus
+/* 1055 */    MCD_OPC_Decode, 112, 7, // Opcode: INSHR_2r
+/* 1058 */    MCD_OPC_Decode, 83, 3, // Opcode: EQ_2rus
 /* 1061 */    MCD_OPC_FilterValue, 23, 23, 0, // Skip to: 1088
 /* 1065 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 1068 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1076
-/* 1072 */    MCD_OPC_Decode, 182, 1, 2, // Opcode: PEEK_2r
+/* 1072 */    MCD_OPC_Decode, 183, 1, 2, // Opcode: PEEK_2r
 /* 1076 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1084
-/* 1080 */    MCD_OPC_Decode, 232, 1, 2, // Opcode: TESTCT_2r
-/* 1084 */    MCD_OPC_Decode, 236, 1, 17, // Opcode: TSETR_3r
+/* 1080 */    MCD_OPC_Decode, 233, 1, 2, // Opcode: TESTCT_2r
+/* 1084 */    MCD_OPC_Decode, 237, 1, 17, // Opcode: TSETR_3r
 /* 1088 */    MCD_OPC_FilterValue, 24, 23, 0, // Skip to: 1115
 /* 1092 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 1095 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1103
-/* 1099 */    MCD_OPC_Decode, 198, 1, 4, // Opcode: SETPSC_2r
+/* 1099 */    MCD_OPC_Decode, 199, 1, 4, // Opcode: SETPSC_2r
 /* 1103 */    MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 1111
-/* 1107 */    MCD_OPC_Decode, 234, 1, 2, // Opcode: TESTWCT_2r
-/* 1111 */    MCD_OPC_Decode, 163, 1, 5, // Opcode: LSS_3r
+/* 1107 */    MCD_OPC_Decode, 235, 1, 2, // Opcode: TESTWCT_2r
+/* 1111 */    MCD_OPC_Decode, 164, 1, 5, // Opcode: LSS_3r
 /* 1115 */    MCD_OPC_FilterValue, 25, 21, 0, // Skip to: 1140
 /* 1119 */    MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 1122 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1129
-/* 1126 */    MCD_OPC_Decode, 54, 2, // Opcode: CHKCT_2r
+/* 1126 */    MCD_OPC_Decode, 55, 2, // Opcode: CHKCT_2r
 /* 1129 */    MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 1136
-/* 1133 */    MCD_OPC_Decode, 55, 15, // Opcode: CHKCT_rus
-/* 1136 */    MCD_OPC_Decode, 165, 1, 5, // Opcode: LSU_3r
+/* 1133 */    MCD_OPC_Decode, 56, 15, // Opcode: CHKCT_rus
+/* 1136 */    MCD_OPC_Decode, 166, 1, 5, // Opcode: LSU_3r
 /* 1140 */    MCD_OPC_FilterValue, 26, 17, 0, // Skip to: 1161
 /* 1144 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 1147 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1154
-/* 1151 */    MCD_OPC_Decode, 37, 18, // Opcode: BLRF_u10
+/* 1151 */    MCD_OPC_Decode, 38, 18, // Opcode: BLRF_u10
 /* 1154 */    MCD_OPC_FilterValue, 1, 62, 0, // Skip to: 1220
-/* 1158 */    MCD_OPC_Decode, 35, 19, // Opcode: BLRB_u10
+/* 1158 */    MCD_OPC_Decode, 36, 19, // Opcode: BLRB_u10
 /* 1161 */    MCD_OPC_FilterValue, 27, 19, 0, // Skip to: 1184
 /* 1165 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 1168 */    MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 1176
-/* 1172 */    MCD_OPC_Decode, 132, 1, 18, // Opcode: LDAPF_u10
+/* 1172 */    MCD_OPC_Decode, 133, 1, 18, // Opcode: LDAPF_u10
 /* 1176 */    MCD_OPC_FilterValue, 1, 40, 0, // Skip to: 1220
-/* 1180 */    MCD_OPC_Decode, 129, 1, 19, // Opcode: LDAPB_u10
+/* 1180 */    MCD_OPC_Decode, 130, 1, 19, // Opcode: LDAPB_u10
 /* 1184 */    MCD_OPC_FilterValue, 28, 18, 0, // Skip to: 1206
 /* 1188 */    MCD_OPC_ExtractField, 10, 1,  // Inst{10} ...
 /* 1191 */    MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 1198
-/* 1195 */    MCD_OPC_Decode, 30, 18, // Opcode: BLACP_u10
+/* 1195 */    MCD_OPC_Decode, 31, 18, // Opcode: BLACP_u10
 /* 1198 */    MCD_OPC_FilterValue, 1, 18, 0, // Skip to: 1220
-/* 1202 */    MCD_OPC_Decode, 154, 1, 18, // Opcode: LDWCP_u10
+/* 1202 */    MCD_OPC_Decode, 155, 1, 18, // Opcode: LDWCP_u10
 /* 1206 */    MCD_OPC_FilterValue, 29, 10, 0, // Skip to: 1220
 /* 1210 */    MCD_OPC_CheckField, 10, 1, 0, 4, 0, // Skip to: 1220
-/* 1216 */    MCD_OPC_Decode, 192, 1, 12, // Opcode: SETC_ru6
+/* 1216 */    MCD_OPC_Decode, 193, 1, 12, // Opcode: SETC_ru6
 /* 1220 */    MCD_OPC_Fail,
   0
 };
@@ -359,243 +359,243 @@
 /* 0 */       MCD_OPC_ExtractField, 27, 5,  // Inst{31-27} ...
 /* 3 */       MCD_OPC_FilterValue, 0, 89, 0, // Skip to: 96
 /* 7 */       MCD_OPC_ExtractField, 11, 5,  // Inst{15-11} ...
-/* 10 */      MCD_OPC_FilterValue, 31, 214, 3, // Skip to: 996
+/* 10 */      MCD_OPC_FilterValue, 31, 215, 3, // Skip to: 997
 /* 14 */      MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 17 */      MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 31
 /* 21 */      MCD_OPC_CheckField, 16, 11, 236, 15, 17, 0, // Skip to: 45
-/* 28 */      MCD_OPC_Decode, 28, 20, // Opcode: BITREV_l2r
+/* 28 */      MCD_OPC_Decode, 29, 20, // Opcode: BITREV_l2r
 /* 31 */      MCD_OPC_FilterValue, 1, 10, 0, // Skip to: 45
 /* 35 */      MCD_OPC_CheckField, 16, 11, 236, 15, 3, 0, // Skip to: 45
-/* 42 */      MCD_OPC_Decode, 53, 20, // Opcode: BYTEREV_l2r
+/* 42 */      MCD_OPC_Decode, 54, 20, // Opcode: BYTEREV_l2r
 /* 45 */      MCD_OPC_CheckField, 16, 11, 236, 15, 4, 0, // Skip to: 56
-/* 52 */      MCD_OPC_Decode, 228, 1, 21, // Opcode: STW_l3r
+/* 52 */      MCD_OPC_Decode, 229, 1, 21, // Opcode: STW_l3r
 /* 56 */      MCD_OPC_ExtractField, 20, 7,  // Inst{26-20} ...
 /* 59 */      MCD_OPC_FilterValue, 126, 3, 0, // Skip to: 66
-/* 63 */      MCD_OPC_Decode, 63, 22, // Opcode: CRC8_l4r
+/* 63 */      MCD_OPC_Decode, 64, 22, // Opcode: CRC8_l4r
 /* 66 */      MCD_OPC_FilterValue, 127, 4, 0, // Skip to: 74
-/* 70 */      MCD_OPC_Decode, 167, 1, 23, // Opcode: MACCU_l4r
+/* 70 */      MCD_OPC_Decode, 168, 1, 23, // Opcode: MACCU_l4r
 /* 74 */      MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
 /* 77 */      MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 85
-/* 81 */      MCD_OPC_Decode, 147, 1, 24, // Opcode: LDIVU_l5r
+/* 81 */      MCD_OPC_Decode, 148, 1, 24, // Opcode: LDIVU_l5r
 /* 85 */      MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 92
-/* 89 */      MCD_OPC_Decode, 123, 24, // Opcode: LADD_l5r
-/* 92 */      MCD_OPC_Decode, 162, 1, 25, // Opcode: LMUL_l6r
+/* 89 */      MCD_OPC_Decode, 124, 24, // Opcode: LADD_l5r
+/* 92 */      MCD_OPC_Decode, 163, 1, 25, // Opcode: LMUL_l6r
 /* 96 */      MCD_OPC_FilterValue, 1, 86, 0, // Skip to: 186
 /* 100 */     MCD_OPC_ExtractField, 11, 5,  // Inst{15-11} ...
-/* 103 */     MCD_OPC_FilterValue, 31, 121, 3, // Skip to: 996
+/* 103 */     MCD_OPC_FilterValue, 31, 122, 3, // Skip to: 997
 /* 107 */     MCD_OPC_ExtractField, 20, 1,  // Inst{20} ...
-/* 110 */     MCD_OPC_FilterValue, 0, 114, 3, // Skip to: 996
+/* 110 */     MCD_OPC_FilterValue, 0, 115, 3, // Skip to: 997
 /* 114 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 117 */     MCD_OPC_FilterValue, 0, 15, 0, // Skip to: 136
 /* 121 */     MCD_OPC_CheckField, 21, 6, 63, 29, 0, // Skip to: 156
 /* 127 */     MCD_OPC_CheckField, 16, 4, 12, 23, 0, // Skip to: 156
-/* 133 */     MCD_OPC_Decode, 62, 20, // Opcode: CLZ_l2r
+/* 133 */     MCD_OPC_Decode, 63, 20, // Opcode: CLZ_l2r
 /* 136 */     MCD_OPC_FilterValue, 1, 16, 0, // Skip to: 156
 /* 140 */     MCD_OPC_CheckField, 21, 6, 63, 10, 0, // Skip to: 156
 /* 146 */     MCD_OPC_CheckField, 16, 4, 12, 4, 0, // Skip to: 156
-/* 152 */     MCD_OPC_Decode, 188, 1, 26, // Opcode: SETCLK_l2r
+/* 152 */     MCD_OPC_Decode, 189, 1, 26, // Opcode: SETCLK_l2r
 /* 156 */     MCD_OPC_CheckField, 21, 6, 63, 10, 0, // Skip to: 172
 /* 162 */     MCD_OPC_CheckField, 16, 4, 12, 4, 0, // Skip to: 172
-/* 168 */     MCD_OPC_Decode, 241, 1, 21, // Opcode: XOR_l3r
+/* 168 */     MCD_OPC_Decode, 242, 1, 21, // Opcode: XOR_l3r
 /* 172 */     MCD_OPC_CheckField, 21, 6, 63, 4, 0, // Skip to: 182
-/* 178 */     MCD_OPC_Decode, 166, 1, 23, // Opcode: MACCS_l4r
-/* 182 */     MCD_OPC_Decode, 164, 1, 24, // Opcode: LSUB_l5r
+/* 178 */     MCD_OPC_Decode, 167, 1, 23, // Opcode: MACCS_l4r
+/* 182 */     MCD_OPC_Decode, 165, 1, 24, // Opcode: LSUB_l5r
 /* 186 */     MCD_OPC_FilterValue, 2, 29, 0, // Skip to: 219
 /* 190 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
-/* 193 */     MCD_OPC_FilterValue, 159, 251, 3, 29, 3, // Skip to: 996
+/* 193 */     MCD_OPC_FilterValue, 159, 251, 3, 30, 3, // Skip to: 997
 /* 199 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 202 */     MCD_OPC_FilterValue, 0, 3, 0, // Skip to: 209
-/* 206 */     MCD_OPC_Decode, 107, 20, // Opcode: INITLR_l2r
+/* 206 */     MCD_OPC_Decode, 108, 20, // Opcode: INITLR_l2r
 /* 209 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 216
-/* 213 */     MCD_OPC_Decode, 98, 20, // Opcode: GETPS_l2r
-/* 216 */     MCD_OPC_Decode, 26, 21, // Opcode: ASHR_l3r
+/* 213 */     MCD_OPC_Decode, 99, 20, // Opcode: GETPS_l2r
+/* 216 */     MCD_OPC_Decode, 27, 21, // Opcode: ASHR_l3r
 /* 219 */     MCD_OPC_FilterValue, 3, 31, 0, // Skip to: 254
 /* 223 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
-/* 226 */     MCD_OPC_FilterValue, 159, 251, 3, 252, 2, // Skip to: 996
+/* 226 */     MCD_OPC_FilterValue, 159, 251, 3, 253, 2, // Skip to: 997
 /* 232 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 235 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 243
-/* 239 */     MCD_OPC_Decode, 199, 1, 26, // Opcode: SETPS_l2r
+/* 239 */     MCD_OPC_Decode, 200, 1, 26, // Opcode: SETPS_l2r
 /* 243 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 250
-/* 247 */     MCD_OPC_Decode, 91, 20, // Opcode: GETD_l2r
-/* 250 */     MCD_OPC_Decode, 141, 1, 21, // Opcode: LDAWF_l3r
+/* 247 */     MCD_OPC_Decode, 92, 20, // Opcode: GETD_l2r
+/* 250 */     MCD_OPC_Decode, 142, 1, 21, // Opcode: LDAWF_l3r
 /* 254 */     MCD_OPC_FilterValue, 4, 32, 0, // Skip to: 290
 /* 258 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
-/* 261 */     MCD_OPC_FilterValue, 159, 251, 3, 217, 2, // Skip to: 996
+/* 261 */     MCD_OPC_FilterValue, 159, 251, 3, 218, 2, // Skip to: 997
 /* 267 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 270 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 278
-/* 274 */     MCD_OPC_Decode, 233, 1, 20, // Opcode: TESTLCL_l2r
+/* 274 */     MCD_OPC_Decode, 234, 1, 20, // Opcode: TESTLCL_l2r
 /* 278 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 286
-/* 282 */     MCD_OPC_Decode, 207, 1, 26, // Opcode: SETTW_l2r
-/* 286 */     MCD_OPC_Decode, 134, 1, 21, // Opcode: LDAWB_l3r
-/* 290 */     MCD_OPC_FilterValue, 5, 31, 0, // Skip to: 325
+/* 282 */     MCD_OPC_Decode, 208, 1, 26, // Opcode: SETTW_l2r
+/* 286 */     MCD_OPC_Decode, 135, 1, 21, // Opcode: LDAWB_l3r
+/* 290 */     MCD_OPC_FilterValue, 5, 32, 0, // Skip to: 326
 /* 294 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
-/* 297 */     MCD_OPC_FilterValue, 159, 251, 3, 181, 2, // Skip to: 996
+/* 297 */     MCD_OPC_FilterValue, 159, 251, 3, 182, 2, // Skip to: 997
 /* 303 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
 /* 306 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 314
-/* 310 */     MCD_OPC_Decode, 201, 1, 26, // Opcode: SETRDY_l2r
+/* 310 */     MCD_OPC_Decode, 202, 1, 26, // Opcode: SETRDY_l2r
 /* 314 */     MCD_OPC_FilterValue, 1, 4, 0, // Skip to: 322
-/* 318 */     MCD_OPC_Decode, 190, 1, 20, // Opcode: SETC_l2r
-/* 322 */     MCD_OPC_Decode, 127, 21, // Opcode: LDA16F_l3r
-/* 325 */     MCD_OPC_FilterValue, 6, 30, 0, // Skip to: 359
-/* 329 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
-/* 332 */     MCD_OPC_FilterValue, 159, 251, 3, 146, 2, // Skip to: 996
-/* 338 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
-/* 341 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 349
-/* 345 */     MCD_OPC_Decode, 197, 1, 26, // Opcode: SETN_l2r
-/* 349 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 356
-/* 353 */     MCD_OPC_Decode, 97, 20, // Opcode: GETN_l2r
-/* 356 */     MCD_OPC_Decode, 126, 21, // Opcode: LDA16B_l3r
-/* 359 */     MCD_OPC_FilterValue, 7, 12, 0, // Skip to: 375
-/* 363 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 113, 2, // Skip to: 996
-/* 371 */     MCD_OPC_Decode, 172, 1, 21, // Opcode: MUL_l3r
-/* 375 */     MCD_OPC_FilterValue, 8, 11, 0, // Skip to: 390
-/* 379 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 97, 2, // Skip to: 996
-/* 387 */     MCD_OPC_Decode, 68, 21, // Opcode: DIVS_l3r
-/* 390 */     MCD_OPC_FilterValue, 9, 11, 0, // Skip to: 405
-/* 394 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 82, 2, // Skip to: 996
-/* 402 */     MCD_OPC_Decode, 69, 21, // Opcode: DIVU_l3r
-/* 405 */     MCD_OPC_FilterValue, 10, 31, 0, // Skip to: 440
-/* 409 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 412 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 426
-/* 416 */     MCD_OPC_CheckField, 10, 6, 60, 62, 2, // Skip to: 996
-/* 422 */     MCD_OPC_Decode, 222, 1, 27, // Opcode: STWDP_lru6
-/* 426 */     MCD_OPC_FilterValue, 1, 54, 2, // Skip to: 996
-/* 430 */     MCD_OPC_CheckField, 10, 6, 60, 48, 2, // Skip to: 996
-/* 436 */     MCD_OPC_Decode, 225, 1, 27, // Opcode: STWSP_lru6
-/* 440 */     MCD_OPC_FilterValue, 11, 31, 0, // Skip to: 475
-/* 444 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 447 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 461
-/* 451 */     MCD_OPC_CheckField, 10, 6, 60, 27, 2, // Skip to: 996
-/* 457 */     MCD_OPC_Decode, 155, 1, 27, // Opcode: LDWDP_lru6
-/* 461 */     MCD_OPC_FilterValue, 1, 19, 2, // Skip to: 996
-/* 465 */     MCD_OPC_CheckField, 10, 6, 60, 13, 2, // Skip to: 996
-/* 471 */     MCD_OPC_Decode, 158, 1, 27, // Opcode: LDWSP_lru6
-/* 475 */     MCD_OPC_FilterValue, 12, 31, 0, // Skip to: 510
-/* 479 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 482 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 496
-/* 486 */     MCD_OPC_CheckField, 10, 6, 60, 248, 1, // Skip to: 996
-/* 492 */     MCD_OPC_Decode, 137, 1, 27, // Opcode: LDAWDP_lru6
-/* 496 */     MCD_OPC_FilterValue, 1, 240, 1, // Skip to: 996
-/* 500 */     MCD_OPC_CheckField, 10, 6, 60, 234, 1, // Skip to: 996
-/* 506 */     MCD_OPC_Decode, 142, 1, 27, // Opcode: LDAWSP_lru6
-/* 510 */     MCD_OPC_FilterValue, 13, 31, 0, // Skip to: 545
-/* 514 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 517 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 531
-/* 521 */     MCD_OPC_CheckField, 10, 6, 60, 213, 1, // Skip to: 996
-/* 527 */     MCD_OPC_Decode, 144, 1, 27, // Opcode: LDC_lru6
-/* 531 */     MCD_OPC_FilterValue, 1, 205, 1, // Skip to: 996
-/* 535 */     MCD_OPC_CheckField, 10, 6, 60, 199, 1, // Skip to: 996
-/* 541 */     MCD_OPC_Decode, 151, 1, 27, // Opcode: LDWCP_lru6
-/* 545 */     MCD_OPC_FilterValue, 14, 94, 0, // Skip to: 643
-/* 549 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 552 */     MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 597
-/* 556 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 559 */     MCD_OPC_FilterValue, 60, 177, 1, // Skip to: 996
-/* 563 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 566 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 573
-/* 570 */     MCD_OPC_Decode, 48, 28, // Opcode: BRFU_lu6
-/* 573 */     MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 580
-/* 577 */     MCD_OPC_Decode, 31, 28, // Opcode: BLAT_lu6
-/* 580 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 587
-/* 584 */     MCD_OPC_Decode, 84, 28, // Opcode: EXTDP_lu6
-/* 587 */     MCD_OPC_FilterValue, 15, 3, 0, // Skip to: 594
-/* 591 */     MCD_OPC_Decode, 116, 28, // Opcode: KCALL_lu6
-/* 594 */     MCD_OPC_Decode, 46, 29, // Opcode: BRFT_lru6
-/* 597 */     MCD_OPC_FilterValue, 1, 139, 1, // Skip to: 996
-/* 601 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 604 */     MCD_OPC_FilterValue, 60, 132, 1, // Skip to: 996
-/* 608 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 611 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 618
-/* 615 */     MCD_OPC_Decode, 42, 30, // Opcode: BRBU_lu6
-/* 618 */     MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 625
-/* 622 */     MCD_OPC_Decode, 80, 28, // Opcode: ENTSP_lu6
-/* 625 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 632
-/* 629 */     MCD_OPC_Decode, 86, 28, // Opcode: EXTSP_lu6
-/* 632 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 640
-/* 636 */     MCD_OPC_Decode, 185, 1, 28, // Opcode: RETSP_lu6
-/* 640 */     MCD_OPC_Decode, 40, 31, // Opcode: BRBT_lru6
-/* 643 */     MCD_OPC_FilterValue, 15, 81, 0, // Skip to: 728
-/* 647 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 650 */     MCD_OPC_FilterValue, 0, 42, 0, // Skip to: 696
-/* 654 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 657 */     MCD_OPC_FilterValue, 60, 79, 1, // Skip to: 996
-/* 661 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 664 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 671
-/* 668 */     MCD_OPC_Decode, 60, 28, // Opcode: CLRSR_lu6
-/* 671 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 679
-/* 675 */     MCD_OPC_Decode, 205, 1, 28, // Opcode: SETSR_lu6
-/* 679 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 686
-/* 683 */     MCD_OPC_Decode, 118, 28, // Opcode: KENTSP_lu6
-/* 686 */     MCD_OPC_FilterValue, 15, 3, 0, // Skip to: 693
-/* 690 */     MCD_OPC_Decode, 120, 28, // Opcode: KRESTSP_lu6
-/* 693 */     MCD_OPC_Decode, 44, 29, // Opcode: BRFF_lru6
-/* 696 */     MCD_OPC_FilterValue, 1, 40, 1, // Skip to: 996
-/* 700 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
-/* 703 */     MCD_OPC_FilterValue, 60, 33, 1, // Skip to: 996
-/* 707 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
-/* 710 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 717
-/* 714 */     MCD_OPC_Decode, 100, 28, // Opcode: GETSR_lu6
-/* 717 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 725
-/* 721 */     MCD_OPC_Decode, 135, 1, 28, // Opcode: LDAWCP_lu6
-/* 725 */     MCD_OPC_Decode, 38, 31, // Opcode: BRBF_lru6
-/* 728 */     MCD_OPC_FilterValue, 16, 12, 0, // Skip to: 744
-/* 732 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 0, 1, // Skip to: 996
-/* 740 */     MCD_OPC_Decode, 216, 1, 21, // Opcode: ST16_l3r
-/* 744 */     MCD_OPC_FilterValue, 17, 12, 0, // Skip to: 760
-/* 748 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 240, 0, // Skip to: 996
-/* 756 */     MCD_OPC_Decode, 217, 1, 21, // Opcode: ST8_l3r
-/* 760 */     MCD_OPC_FilterValue, 18, 31, 0, // Skip to: 795
-/* 764 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
-/* 767 */     MCD_OPC_FilterValue, 159, 251, 3, 3, 0, // Skip to: 776
-/* 773 */     MCD_OPC_Decode, 25, 32, // Opcode: ASHR_l2rus
-/* 776 */     MCD_OPC_FilterValue, 191, 251, 3, 4, 0, // Skip to: 786
-/* 782 */     MCD_OPC_Decode, 178, 1, 32, // Opcode: OUTPW_l2rus
-/* 786 */     MCD_OPC_FilterValue, 223, 251, 3, 204, 0, // Skip to: 996
-/* 792 */     MCD_OPC_Decode, 110, 32, // Opcode: INPW_l2rus
-/* 795 */     MCD_OPC_FilterValue, 19, 12, 0, // Skip to: 811
-/* 799 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 189, 0, // Skip to: 996
-/* 807 */     MCD_OPC_Decode, 140, 1, 33, // Opcode: LDAWF_l2rus
-/* 811 */     MCD_OPC_FilterValue, 20, 12, 0, // Skip to: 827
-/* 815 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 173, 0, // Skip to: 996
-/* 823 */     MCD_OPC_Decode, 133, 1, 33, // Opcode: LDAWB_l2rus
-/* 827 */     MCD_OPC_FilterValue, 21, 11, 0, // Skip to: 842
-/* 831 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 157, 0, // Skip to: 996
-/* 839 */     MCD_OPC_Decode, 64, 34, // Opcode: CRC_l3r
-/* 842 */     MCD_OPC_FilterValue, 24, 12, 0, // Skip to: 858
-/* 846 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 142, 0, // Skip to: 996
-/* 854 */     MCD_OPC_Decode, 183, 1, 21, // Opcode: REMS_l3r
-/* 858 */     MCD_OPC_FilterValue, 25, 12, 0, // Skip to: 874
-/* 862 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 126, 0, // Skip to: 996
-/* 870 */     MCD_OPC_Decode, 184, 1, 21, // Opcode: REMU_l3r
-/* 874 */     MCD_OPC_FilterValue, 26, 29, 0, // Skip to: 907
-/* 878 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 881 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 894
-/* 885 */     MCD_OPC_CheckField, 10, 6, 60, 105, 0, // Skip to: 996
-/* 891 */     MCD_OPC_Decode, 36, 35, // Opcode: BLRF_lu10
-/* 894 */     MCD_OPC_FilterValue, 1, 98, 0, // Skip to: 996
-/* 898 */     MCD_OPC_CheckField, 10, 6, 60, 92, 0, // Skip to: 996
-/* 904 */     MCD_OPC_Decode, 34, 36, // Opcode: BLRB_lu10
-/* 907 */     MCD_OPC_FilterValue, 27, 31, 0, // Skip to: 942
-/* 911 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 914 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 928
-/* 918 */     MCD_OPC_CheckField, 10, 6, 60, 72, 0, // Skip to: 996
-/* 924 */     MCD_OPC_Decode, 130, 1, 35, // Opcode: LDAPF_lu10
-/* 928 */     MCD_OPC_FilterValue, 1, 64, 0, // Skip to: 996
-/* 932 */     MCD_OPC_CheckField, 10, 6, 60, 58, 0, // Skip to: 996
-/* 938 */     MCD_OPC_Decode, 128, 1, 36, // Opcode: LDAPB_lu10
-/* 942 */     MCD_OPC_FilterValue, 28, 30, 0, // Skip to: 976
-/* 946 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
-/* 949 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 962
-/* 953 */     MCD_OPC_CheckField, 10, 6, 60, 37, 0, // Skip to: 996
-/* 959 */     MCD_OPC_Decode, 29, 35, // Opcode: BLACP_lu10
-/* 962 */     MCD_OPC_FilterValue, 1, 30, 0, // Skip to: 996
-/* 966 */     MCD_OPC_CheckField, 10, 6, 60, 24, 0, // Skip to: 996
-/* 972 */     MCD_OPC_Decode, 152, 1, 35, // Opcode: LDWCP_lu10
-/* 976 */     MCD_OPC_FilterValue, 29, 16, 0, // Skip to: 996
-/* 980 */     MCD_OPC_CheckField, 26, 1, 0, 10, 0, // Skip to: 996
-/* 986 */     MCD_OPC_CheckField, 10, 6, 60, 4, 0, // Skip to: 996
-/* 992 */     MCD_OPC_Decode, 191, 1, 29, // Opcode: SETC_lru6
-/* 996 */     MCD_OPC_Fail,
+/* 318 */     MCD_OPC_Decode, 191, 1, 20, // Opcode: SETC_l2r
+/* 322 */     MCD_OPC_Decode, 128, 1, 21, // Opcode: LDA16F_l3r
+/* 326 */     MCD_OPC_FilterValue, 6, 30, 0, // Skip to: 360
+/* 330 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
+/* 333 */     MCD_OPC_FilterValue, 159, 251, 3, 146, 2, // Skip to: 997
+/* 339 */     MCD_OPC_ExtractField, 4, 1,  // Inst{4} ...
+/* 342 */     MCD_OPC_FilterValue, 0, 4, 0, // Skip to: 350
+/* 346 */     MCD_OPC_Decode, 198, 1, 26, // Opcode: SETN_l2r
+/* 350 */     MCD_OPC_FilterValue, 1, 3, 0, // Skip to: 357
+/* 354 */     MCD_OPC_Decode, 98, 20, // Opcode: GETN_l2r
+/* 357 */     MCD_OPC_Decode, 127, 21, // Opcode: LDA16B_l3r
+/* 360 */     MCD_OPC_FilterValue, 7, 12, 0, // Skip to: 376
+/* 364 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 113, 2, // Skip to: 997
+/* 372 */     MCD_OPC_Decode, 173, 1, 21, // Opcode: MUL_l3r
+/* 376 */     MCD_OPC_FilterValue, 8, 11, 0, // Skip to: 391
+/* 380 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 97, 2, // Skip to: 997
+/* 388 */     MCD_OPC_Decode, 69, 21, // Opcode: DIVS_l3r
+/* 391 */     MCD_OPC_FilterValue, 9, 11, 0, // Skip to: 406
+/* 395 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 82, 2, // Skip to: 997
+/* 403 */     MCD_OPC_Decode, 70, 21, // Opcode: DIVU_l3r
+/* 406 */     MCD_OPC_FilterValue, 10, 31, 0, // Skip to: 441
+/* 410 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 413 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 427
+/* 417 */     MCD_OPC_CheckField, 10, 6, 60, 62, 2, // Skip to: 997
+/* 423 */     MCD_OPC_Decode, 223, 1, 27, // Opcode: STWDP_lru6
+/* 427 */     MCD_OPC_FilterValue, 1, 54, 2, // Skip to: 997
+/* 431 */     MCD_OPC_CheckField, 10, 6, 60, 48, 2, // Skip to: 997
+/* 437 */     MCD_OPC_Decode, 226, 1, 27, // Opcode: STWSP_lru6
+/* 441 */     MCD_OPC_FilterValue, 11, 31, 0, // Skip to: 476
+/* 445 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 448 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 462
+/* 452 */     MCD_OPC_CheckField, 10, 6, 60, 27, 2, // Skip to: 997
+/* 458 */     MCD_OPC_Decode, 156, 1, 27, // Opcode: LDWDP_lru6
+/* 462 */     MCD_OPC_FilterValue, 1, 19, 2, // Skip to: 997
+/* 466 */     MCD_OPC_CheckField, 10, 6, 60, 13, 2, // Skip to: 997
+/* 472 */     MCD_OPC_Decode, 159, 1, 27, // Opcode: LDWSP_lru6
+/* 476 */     MCD_OPC_FilterValue, 12, 31, 0, // Skip to: 511
+/* 480 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 483 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 497
+/* 487 */     MCD_OPC_CheckField, 10, 6, 60, 248, 1, // Skip to: 997
+/* 493 */     MCD_OPC_Decode, 138, 1, 27, // Opcode: LDAWDP_lru6
+/* 497 */     MCD_OPC_FilterValue, 1, 240, 1, // Skip to: 997
+/* 501 */     MCD_OPC_CheckField, 10, 6, 60, 234, 1, // Skip to: 997
+/* 507 */     MCD_OPC_Decode, 143, 1, 27, // Opcode: LDAWSP_lru6
+/* 511 */     MCD_OPC_FilterValue, 13, 31, 0, // Skip to: 546
+/* 515 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 518 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 532
+/* 522 */     MCD_OPC_CheckField, 10, 6, 60, 213, 1, // Skip to: 997
+/* 528 */     MCD_OPC_Decode, 145, 1, 27, // Opcode: LDC_lru6
+/* 532 */     MCD_OPC_FilterValue, 1, 205, 1, // Skip to: 997
+/* 536 */     MCD_OPC_CheckField, 10, 6, 60, 199, 1, // Skip to: 997
+/* 542 */     MCD_OPC_Decode, 152, 1, 27, // Opcode: LDWCP_lru6
+/* 546 */     MCD_OPC_FilterValue, 14, 94, 0, // Skip to: 644
+/* 550 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 553 */     MCD_OPC_FilterValue, 0, 41, 0, // Skip to: 598
+/* 557 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 560 */     MCD_OPC_FilterValue, 60, 177, 1, // Skip to: 997
+/* 564 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 567 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 574
+/* 571 */     MCD_OPC_Decode, 49, 28, // Opcode: BRFU_lu6
+/* 574 */     MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 581
+/* 578 */     MCD_OPC_Decode, 32, 28, // Opcode: BLAT_lu6
+/* 581 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 588
+/* 585 */     MCD_OPC_Decode, 85, 28, // Opcode: EXTDP_lu6
+/* 588 */     MCD_OPC_FilterValue, 15, 3, 0, // Skip to: 595
+/* 592 */     MCD_OPC_Decode, 117, 28, // Opcode: KCALL_lu6
+/* 595 */     MCD_OPC_Decode, 47, 29, // Opcode: BRFT_lru6
+/* 598 */     MCD_OPC_FilterValue, 1, 139, 1, // Skip to: 997
+/* 602 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 605 */     MCD_OPC_FilterValue, 60, 132, 1, // Skip to: 997
+/* 609 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 612 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 619
+/* 616 */     MCD_OPC_Decode, 43, 30, // Opcode: BRBU_lu6
+/* 619 */     MCD_OPC_FilterValue, 13, 3, 0, // Skip to: 626
+/* 623 */     MCD_OPC_Decode, 81, 28, // Opcode: ENTSP_lu6
+/* 626 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 633
+/* 630 */     MCD_OPC_Decode, 87, 28, // Opcode: EXTSP_lu6
+/* 633 */     MCD_OPC_FilterValue, 15, 4, 0, // Skip to: 641
+/* 637 */     MCD_OPC_Decode, 186, 1, 28, // Opcode: RETSP_lu6
+/* 641 */     MCD_OPC_Decode, 41, 31, // Opcode: BRBT_lru6
+/* 644 */     MCD_OPC_FilterValue, 15, 81, 0, // Skip to: 729
+/* 648 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 651 */     MCD_OPC_FilterValue, 0, 42, 0, // Skip to: 697
+/* 655 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 658 */     MCD_OPC_FilterValue, 60, 79, 1, // Skip to: 997
+/* 662 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 665 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 672
+/* 669 */     MCD_OPC_Decode, 61, 28, // Opcode: CLRSR_lu6
+/* 672 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 680
+/* 676 */     MCD_OPC_Decode, 206, 1, 28, // Opcode: SETSR_lu6
+/* 680 */     MCD_OPC_FilterValue, 14, 3, 0, // Skip to: 687
+/* 684 */     MCD_OPC_Decode, 119, 28, // Opcode: KENTSP_lu6
+/* 687 */     MCD_OPC_FilterValue, 15, 3, 0, // Skip to: 694
+/* 691 */     MCD_OPC_Decode, 121, 28, // Opcode: KRESTSP_lu6
+/* 694 */     MCD_OPC_Decode, 45, 29, // Opcode: BRFF_lru6
+/* 697 */     MCD_OPC_FilterValue, 1, 40, 1, // Skip to: 997
+/* 701 */     MCD_OPC_ExtractField, 10, 6,  // Inst{15-10} ...
+/* 704 */     MCD_OPC_FilterValue, 60, 33, 1, // Skip to: 997
+/* 708 */     MCD_OPC_ExtractField, 22, 4,  // Inst{25-22} ...
+/* 711 */     MCD_OPC_FilterValue, 12, 3, 0, // Skip to: 718
+/* 715 */     MCD_OPC_Decode, 101, 28, // Opcode: GETSR_lu6
+/* 718 */     MCD_OPC_FilterValue, 13, 4, 0, // Skip to: 726
+/* 722 */     MCD_OPC_Decode, 136, 1, 28, // Opcode: LDAWCP_lu6
+/* 726 */     MCD_OPC_Decode, 39, 31, // Opcode: BRBF_lru6
+/* 729 */     MCD_OPC_FilterValue, 16, 12, 0, // Skip to: 745
+/* 733 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 0, 1, // Skip to: 997
+/* 741 */     MCD_OPC_Decode, 217, 1, 21, // Opcode: ST16_l3r
+/* 745 */     MCD_OPC_FilterValue, 17, 12, 0, // Skip to: 761
+/* 749 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 240, 0, // Skip to: 997
+/* 757 */     MCD_OPC_Decode, 218, 1, 21, // Opcode: ST8_l3r
+/* 761 */     MCD_OPC_FilterValue, 18, 31, 0, // Skip to: 796
+/* 765 */     MCD_OPC_ExtractField, 11, 16,  // Inst{26-11} ...
+/* 768 */     MCD_OPC_FilterValue, 159, 251, 3, 3, 0, // Skip to: 777
+/* 774 */     MCD_OPC_Decode, 26, 32, // Opcode: ASHR_l2rus
+/* 777 */     MCD_OPC_FilterValue, 191, 251, 3, 4, 0, // Skip to: 787
+/* 783 */     MCD_OPC_Decode, 179, 1, 32, // Opcode: OUTPW_l2rus
+/* 787 */     MCD_OPC_FilterValue, 223, 251, 3, 204, 0, // Skip to: 997
+/* 793 */     MCD_OPC_Decode, 111, 32, // Opcode: INPW_l2rus
+/* 796 */     MCD_OPC_FilterValue, 19, 12, 0, // Skip to: 812
+/* 800 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 189, 0, // Skip to: 997
+/* 808 */     MCD_OPC_Decode, 141, 1, 33, // Opcode: LDAWF_l2rus
+/* 812 */     MCD_OPC_FilterValue, 20, 12, 0, // Skip to: 828
+/* 816 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 173, 0, // Skip to: 997
+/* 824 */     MCD_OPC_Decode, 134, 1, 33, // Opcode: LDAWB_l2rus
+/* 828 */     MCD_OPC_FilterValue, 21, 11, 0, // Skip to: 843
+/* 832 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 157, 0, // Skip to: 997
+/* 840 */     MCD_OPC_Decode, 65, 34, // Opcode: CRC_l3r
+/* 843 */     MCD_OPC_FilterValue, 24, 12, 0, // Skip to: 859
+/* 847 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 142, 0, // Skip to: 997
+/* 855 */     MCD_OPC_Decode, 184, 1, 21, // Opcode: REMS_l3r
+/* 859 */     MCD_OPC_FilterValue, 25, 12, 0, // Skip to: 875
+/* 863 */     MCD_OPC_CheckField, 11, 16, 159, 251, 3, 126, 0, // Skip to: 997
+/* 871 */     MCD_OPC_Decode, 185, 1, 21, // Opcode: REMU_l3r
+/* 875 */     MCD_OPC_FilterValue, 26, 29, 0, // Skip to: 908
+/* 879 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 882 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 895
+/* 886 */     MCD_OPC_CheckField, 10, 6, 60, 105, 0, // Skip to: 997
+/* 892 */     MCD_OPC_Decode, 37, 35, // Opcode: BLRF_lu10
+/* 895 */     MCD_OPC_FilterValue, 1, 98, 0, // Skip to: 997
+/* 899 */     MCD_OPC_CheckField, 10, 6, 60, 92, 0, // Skip to: 997
+/* 905 */     MCD_OPC_Decode, 35, 36, // Opcode: BLRB_lu10
+/* 908 */     MCD_OPC_FilterValue, 27, 31, 0, // Skip to: 943
+/* 912 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 915 */     MCD_OPC_FilterValue, 0, 10, 0, // Skip to: 929
+/* 919 */     MCD_OPC_CheckField, 10, 6, 60, 72, 0, // Skip to: 997
+/* 925 */     MCD_OPC_Decode, 131, 1, 35, // Opcode: LDAPF_lu10
+/* 929 */     MCD_OPC_FilterValue, 1, 64, 0, // Skip to: 997
+/* 933 */     MCD_OPC_CheckField, 10, 6, 60, 58, 0, // Skip to: 997
+/* 939 */     MCD_OPC_Decode, 129, 1, 36, // Opcode: LDAPB_lu10
+/* 943 */     MCD_OPC_FilterValue, 28, 30, 0, // Skip to: 977
+/* 947 */     MCD_OPC_ExtractField, 26, 1,  // Inst{26} ...
+/* 950 */     MCD_OPC_FilterValue, 0, 9, 0, // Skip to: 963
+/* 954 */     MCD_OPC_CheckField, 10, 6, 60, 37, 0, // Skip to: 997
+/* 960 */     MCD_OPC_Decode, 30, 35, // Opcode: BLACP_lu10
+/* 963 */     MCD_OPC_FilterValue, 1, 30, 0, // Skip to: 997
+/* 967 */     MCD_OPC_CheckField, 10, 6, 60, 24, 0, // Skip to: 997
+/* 973 */     MCD_OPC_Decode, 153, 1, 35, // Opcode: LDWCP_lu10
+/* 977 */     MCD_OPC_FilterValue, 29, 16, 0, // Skip to: 997
+/* 981 */     MCD_OPC_CheckField, 26, 1, 0, 10, 0, // Skip to: 997
+/* 987 */     MCD_OPC_CheckField, 10, 6, 60, 4, 0, // Skip to: 997
+/* 993 */     MCD_OPC_Decode, 192, 1, 29, // Opcode: SETC_lru6
+/* 997 */     MCD_OPC_Fail,
   0
 };
 
diff --git a/arch/XCore/XCoreGenInstrInfo.inc b/arch/XCore/XCoreGenInstrInfo.inc
index 39159c1..e659cd5 100644
--- a/arch/XCore/XCoreGenInstrInfo.inc
+++ b/arch/XCore/XCoreGenInstrInfo.inc
@@ -33,232 +33,233 @@
     XCore_LIFETIME_END	= 16,
     XCore_STACKMAP	= 17,
     XCore_PATCHPOINT	= 18,
-    XCore_ADD_2rus	= 19,
-    XCore_ADD_3r	= 20,
-    XCore_ADJCALLSTACKDOWN	= 21,
-    XCore_ADJCALLSTACKUP	= 22,
-    XCore_ANDNOT_2r	= 23,
-    XCore_AND_3r	= 24,
-    XCore_ASHR_l2rus	= 25,
-    XCore_ASHR_l3r	= 26,
-    XCore_BAU_1r	= 27,
-    XCore_BITREV_l2r	= 28,
-    XCore_BLACP_lu10	= 29,
-    XCore_BLACP_u10	= 30,
-    XCore_BLAT_lu6	= 31,
-    XCore_BLAT_u6	= 32,
-    XCore_BLA_1r	= 33,
-    XCore_BLRB_lu10	= 34,
-    XCore_BLRB_u10	= 35,
-    XCore_BLRF_lu10	= 36,
-    XCore_BLRF_u10	= 37,
-    XCore_BRBF_lru6	= 38,
-    XCore_BRBF_ru6	= 39,
-    XCore_BRBT_lru6	= 40,
-    XCore_BRBT_ru6	= 41,
-    XCore_BRBU_lu6	= 42,
-    XCore_BRBU_u6	= 43,
-    XCore_BRFF_lru6	= 44,
-    XCore_BRFF_ru6	= 45,
-    XCore_BRFT_lru6	= 46,
-    XCore_BRFT_ru6	= 47,
-    XCore_BRFU_lu6	= 48,
-    XCore_BRFU_u6	= 49,
-    XCore_BRU_1r	= 50,
-    XCore_BR_JT	= 51,
-    XCore_BR_JT32	= 52,
-    XCore_BYTEREV_l2r	= 53,
-    XCore_CHKCT_2r	= 54,
-    XCore_CHKCT_rus	= 55,
-    XCore_CLRE_0R	= 56,
-    XCore_CLRPT_1R	= 57,
-    XCore_CLRSR_branch_lu6	= 58,
-    XCore_CLRSR_branch_u6	= 59,
-    XCore_CLRSR_lu6	= 60,
-    XCore_CLRSR_u6	= 61,
-    XCore_CLZ_l2r	= 62,
-    XCore_CRC8_l4r	= 63,
-    XCore_CRC_l3r	= 64,
-    XCore_DCALL_0R	= 65,
-    XCore_DENTSP_0R	= 66,
-    XCore_DGETREG_1r	= 67,
-    XCore_DIVS_l3r	= 68,
-    XCore_DIVU_l3r	= 69,
-    XCore_DRESTSP_0R	= 70,
-    XCore_DRET_0R	= 71,
-    XCore_ECALLF_1r	= 72,
-    XCore_ECALLT_1r	= 73,
-    XCore_EDU_1r	= 74,
-    XCore_EEF_2r	= 75,
-    XCore_EET_2r	= 76,
-    XCore_EEU_1r	= 77,
-    XCore_EH_RETURN	= 78,
-    XCore_ENDIN_2r	= 79,
-    XCore_ENTSP_lu6	= 80,
-    XCore_ENTSP_u6	= 81,
-    XCore_EQ_2rus	= 82,
-    XCore_EQ_3r	= 83,
-    XCore_EXTDP_lu6	= 84,
-    XCore_EXTDP_u6	= 85,
-    XCore_EXTSP_lu6	= 86,
-    XCore_EXTSP_u6	= 87,
-    XCore_FRAME_TO_ARGS_OFFSET	= 88,
-    XCore_FREER_1r	= 89,
-    XCore_FREET_0R	= 90,
-    XCore_GETD_l2r	= 91,
-    XCore_GETED_0R	= 92,
-    XCore_GETET_0R	= 93,
-    XCore_GETID_0R	= 94,
-    XCore_GETKEP_0R	= 95,
-    XCore_GETKSP_0R	= 96,
-    XCore_GETN_l2r	= 97,
-    XCore_GETPS_l2r	= 98,
-    XCore_GETR_rus	= 99,
-    XCore_GETSR_lu6	= 100,
-    XCore_GETSR_u6	= 101,
-    XCore_GETST_2r	= 102,
-    XCore_GETTS_2r	= 103,
-    XCore_INCT_2r	= 104,
-    XCore_INITCP_2r	= 105,
-    XCore_INITDP_2r	= 106,
-    XCore_INITLR_l2r	= 107,
-    XCore_INITPC_2r	= 108,
-    XCore_INITSP_2r	= 109,
-    XCore_INPW_l2rus	= 110,
-    XCore_INSHR_2r	= 111,
-    XCore_INT_2r	= 112,
-    XCore_IN_2r	= 113,
-    XCore_Int_MemBarrier	= 114,
-    XCore_KCALL_1r	= 115,
-    XCore_KCALL_lu6	= 116,
-    XCore_KCALL_u6	= 117,
-    XCore_KENTSP_lu6	= 118,
-    XCore_KENTSP_u6	= 119,
-    XCore_KRESTSP_lu6	= 120,
-    XCore_KRESTSP_u6	= 121,
-    XCore_KRET_0R	= 122,
-    XCore_LADD_l5r	= 123,
-    XCore_LD16S_3r	= 124,
-    XCore_LD8U_3r	= 125,
-    XCore_LDA16B_l3r	= 126,
-    XCore_LDA16F_l3r	= 127,
-    XCore_LDAPB_lu10	= 128,
-    XCore_LDAPB_u10	= 129,
-    XCore_LDAPF_lu10	= 130,
-    XCore_LDAPF_lu10_ba	= 131,
-    XCore_LDAPF_u10	= 132,
-    XCore_LDAWB_l2rus	= 133,
-    XCore_LDAWB_l3r	= 134,
-    XCore_LDAWCP_lu6	= 135,
-    XCore_LDAWCP_u6	= 136,
-    XCore_LDAWDP_lru6	= 137,
-    XCore_LDAWDP_ru6	= 138,
-    XCore_LDAWFI	= 139,
-    XCore_LDAWF_l2rus	= 140,
-    XCore_LDAWF_l3r	= 141,
-    XCore_LDAWSP_lru6	= 142,
-    XCore_LDAWSP_ru6	= 143,
-    XCore_LDC_lru6	= 144,
-    XCore_LDC_ru6	= 145,
-    XCore_LDET_0R	= 146,
-    XCore_LDIVU_l5r	= 147,
-    XCore_LDSED_0R	= 148,
-    XCore_LDSPC_0R	= 149,
-    XCore_LDSSR_0R	= 150,
-    XCore_LDWCP_lru6	= 151,
-    XCore_LDWCP_lu10	= 152,
-    XCore_LDWCP_ru6	= 153,
-    XCore_LDWCP_u10	= 154,
-    XCore_LDWDP_lru6	= 155,
-    XCore_LDWDP_ru6	= 156,
-    XCore_LDWFI	= 157,
-    XCore_LDWSP_lru6	= 158,
-    XCore_LDWSP_ru6	= 159,
-    XCore_LDW_2rus	= 160,
-    XCore_LDW_3r	= 161,
-    XCore_LMUL_l6r	= 162,
-    XCore_LSS_3r	= 163,
-    XCore_LSUB_l5r	= 164,
-    XCore_LSU_3r	= 165,
-    XCore_MACCS_l4r	= 166,
-    XCore_MACCU_l4r	= 167,
-    XCore_MJOIN_1r	= 168,
-    XCore_MKMSK_2r	= 169,
-    XCore_MKMSK_rus	= 170,
-    XCore_MSYNC_1r	= 171,
-    XCore_MUL_l3r	= 172,
-    XCore_NEG	= 173,
-    XCore_NOT	= 174,
-    XCore_OR_3r	= 175,
-    XCore_OUTCT_2r	= 176,
-    XCore_OUTCT_rus	= 177,
-    XCore_OUTPW_l2rus	= 178,
-    XCore_OUTSHR_2r	= 179,
-    XCore_OUTT_2r	= 180,
-    XCore_OUT_2r	= 181,
-    XCore_PEEK_2r	= 182,
-    XCore_REMS_l3r	= 183,
-    XCore_REMU_l3r	= 184,
-    XCore_RETSP_lu6	= 185,
-    XCore_RETSP_u6	= 186,
-    XCore_SELECT_CC	= 187,
-    XCore_SETCLK_l2r	= 188,
-    XCore_SETCP_1r	= 189,
-    XCore_SETC_l2r	= 190,
-    XCore_SETC_lru6	= 191,
-    XCore_SETC_ru6	= 192,
-    XCore_SETDP_1r	= 193,
-    XCore_SETD_2r	= 194,
-    XCore_SETEV_1r	= 195,
-    XCore_SETKEP_0R	= 196,
-    XCore_SETN_l2r	= 197,
-    XCore_SETPSC_2r	= 198,
-    XCore_SETPS_l2r	= 199,
-    XCore_SETPT_2r	= 200,
-    XCore_SETRDY_l2r	= 201,
-    XCore_SETSP_1r	= 202,
-    XCore_SETSR_branch_lu6	= 203,
-    XCore_SETSR_branch_u6	= 204,
-    XCore_SETSR_lu6	= 205,
-    XCore_SETSR_u6	= 206,
-    XCore_SETTW_l2r	= 207,
-    XCore_SETV_1r	= 208,
-    XCore_SEXT_2r	= 209,
-    XCore_SEXT_rus	= 210,
-    XCore_SHL_2rus	= 211,
-    XCore_SHL_3r	= 212,
-    XCore_SHR_2rus	= 213,
-    XCore_SHR_3r	= 214,
-    XCore_SSYNC_0r	= 215,
-    XCore_ST16_l3r	= 216,
-    XCore_ST8_l3r	= 217,
-    XCore_STET_0R	= 218,
-    XCore_STSED_0R	= 219,
-    XCore_STSPC_0R	= 220,
-    XCore_STSSR_0R	= 221,
-    XCore_STWDP_lru6	= 222,
-    XCore_STWDP_ru6	= 223,
-    XCore_STWFI	= 224,
-    XCore_STWSP_lru6	= 225,
-    XCore_STWSP_ru6	= 226,
-    XCore_STW_2rus	= 227,
-    XCore_STW_l3r	= 228,
-    XCore_SUB_2rus	= 229,
-    XCore_SUB_3r	= 230,
-    XCore_SYNCR_1r	= 231,
-    XCore_TESTCT_2r	= 232,
-    XCore_TESTLCL_l2r	= 233,
-    XCore_TESTWCT_2r	= 234,
-    XCore_TSETMR_2r	= 235,
-    XCore_TSETR_3r	= 236,
-    XCore_TSTART_1R	= 237,
-    XCore_WAITEF_1R	= 238,
-    XCore_WAITET_1R	= 239,
-    XCore_WAITEU_0R	= 240,
-    XCore_XOR_l3r	= 241,
-    XCore_ZEXT_2r	= 242,
-    XCore_ZEXT_rus	= 243,
-    XCore_INSTRUCTION_LIST_END = 244
+    XCore_LOAD_STACK_GUARD	= 19,
+    XCore_ADD_2rus	= 20,
+    XCore_ADD_3r	= 21,
+    XCore_ADJCALLSTACKDOWN	= 22,
+    XCore_ADJCALLSTACKUP	= 23,
+    XCore_ANDNOT_2r	= 24,
+    XCore_AND_3r	= 25,
+    XCore_ASHR_l2rus	= 26,
+    XCore_ASHR_l3r	= 27,
+    XCore_BAU_1r	= 28,
+    XCore_BITREV_l2r	= 29,
+    XCore_BLACP_lu10	= 30,
+    XCore_BLACP_u10	= 31,
+    XCore_BLAT_lu6	= 32,
+    XCore_BLAT_u6	= 33,
+    XCore_BLA_1r	= 34,
+    XCore_BLRB_lu10	= 35,
+    XCore_BLRB_u10	= 36,
+    XCore_BLRF_lu10	= 37,
+    XCore_BLRF_u10	= 38,
+    XCore_BRBF_lru6	= 39,
+    XCore_BRBF_ru6	= 40,
+    XCore_BRBT_lru6	= 41,
+    XCore_BRBT_ru6	= 42,
+    XCore_BRBU_lu6	= 43,
+    XCore_BRBU_u6	= 44,
+    XCore_BRFF_lru6	= 45,
+    XCore_BRFF_ru6	= 46,
+    XCore_BRFT_lru6	= 47,
+    XCore_BRFT_ru6	= 48,
+    XCore_BRFU_lu6	= 49,
+    XCore_BRFU_u6	= 50,
+    XCore_BRU_1r	= 51,
+    XCore_BR_JT	= 52,
+    XCore_BR_JT32	= 53,
+    XCore_BYTEREV_l2r	= 54,
+    XCore_CHKCT_2r	= 55,
+    XCore_CHKCT_rus	= 56,
+    XCore_CLRE_0R	= 57,
+    XCore_CLRPT_1R	= 58,
+    XCore_CLRSR_branch_lu6	= 59,
+    XCore_CLRSR_branch_u6	= 60,
+    XCore_CLRSR_lu6	= 61,
+    XCore_CLRSR_u6	= 62,
+    XCore_CLZ_l2r	= 63,
+    XCore_CRC8_l4r	= 64,
+    XCore_CRC_l3r	= 65,
+    XCore_DCALL_0R	= 66,
+    XCore_DENTSP_0R	= 67,
+    XCore_DGETREG_1r	= 68,
+    XCore_DIVS_l3r	= 69,
+    XCore_DIVU_l3r	= 70,
+    XCore_DRESTSP_0R	= 71,
+    XCore_DRET_0R	= 72,
+    XCore_ECALLF_1r	= 73,
+    XCore_ECALLT_1r	= 74,
+    XCore_EDU_1r	= 75,
+    XCore_EEF_2r	= 76,
+    XCore_EET_2r	= 77,
+    XCore_EEU_1r	= 78,
+    XCore_EH_RETURN	= 79,
+    XCore_ENDIN_2r	= 80,
+    XCore_ENTSP_lu6	= 81,
+    XCore_ENTSP_u6	= 82,
+    XCore_EQ_2rus	= 83,
+    XCore_EQ_3r	= 84,
+    XCore_EXTDP_lu6	= 85,
+    XCore_EXTDP_u6	= 86,
+    XCore_EXTSP_lu6	= 87,
+    XCore_EXTSP_u6	= 88,
+    XCore_FRAME_TO_ARGS_OFFSET	= 89,
+    XCore_FREER_1r	= 90,
+    XCore_FREET_0R	= 91,
+    XCore_GETD_l2r	= 92,
+    XCore_GETED_0R	= 93,
+    XCore_GETET_0R	= 94,
+    XCore_GETID_0R	= 95,
+    XCore_GETKEP_0R	= 96,
+    XCore_GETKSP_0R	= 97,
+    XCore_GETN_l2r	= 98,
+    XCore_GETPS_l2r	= 99,
+    XCore_GETR_rus	= 100,
+    XCore_GETSR_lu6	= 101,
+    XCore_GETSR_u6	= 102,
+    XCore_GETST_2r	= 103,
+    XCore_GETTS_2r	= 104,
+    XCore_INCT_2r	= 105,
+    XCore_INITCP_2r	= 106,
+    XCore_INITDP_2r	= 107,
+    XCore_INITLR_l2r	= 108,
+    XCore_INITPC_2r	= 109,
+    XCore_INITSP_2r	= 110,
+    XCore_INPW_l2rus	= 111,
+    XCore_INSHR_2r	= 112,
+    XCore_INT_2r	= 113,
+    XCore_IN_2r	= 114,
+    XCore_Int_MemBarrier	= 115,
+    XCore_KCALL_1r	= 116,
+    XCore_KCALL_lu6	= 117,
+    XCore_KCALL_u6	= 118,
+    XCore_KENTSP_lu6	= 119,
+    XCore_KENTSP_u6	= 120,
+    XCore_KRESTSP_lu6	= 121,
+    XCore_KRESTSP_u6	= 122,
+    XCore_KRET_0R	= 123,
+    XCore_LADD_l5r	= 124,
+    XCore_LD16S_3r	= 125,
+    XCore_LD8U_3r	= 126,
+    XCore_LDA16B_l3r	= 127,
+    XCore_LDA16F_l3r	= 128,
+    XCore_LDAPB_lu10	= 129,
+    XCore_LDAPB_u10	= 130,
+    XCore_LDAPF_lu10	= 131,
+    XCore_LDAPF_lu10_ba	= 132,
+    XCore_LDAPF_u10	= 133,
+    XCore_LDAWB_l2rus	= 134,
+    XCore_LDAWB_l3r	= 135,
+    XCore_LDAWCP_lu6	= 136,
+    XCore_LDAWCP_u6	= 137,
+    XCore_LDAWDP_lru6	= 138,
+    XCore_LDAWDP_ru6	= 139,
+    XCore_LDAWFI	= 140,
+    XCore_LDAWF_l2rus	= 141,
+    XCore_LDAWF_l3r	= 142,
+    XCore_LDAWSP_lru6	= 143,
+    XCore_LDAWSP_ru6	= 144,
+    XCore_LDC_lru6	= 145,
+    XCore_LDC_ru6	= 146,
+    XCore_LDET_0R	= 147,
+    XCore_LDIVU_l5r	= 148,
+    XCore_LDSED_0R	= 149,
+    XCore_LDSPC_0R	= 150,
+    XCore_LDSSR_0R	= 151,
+    XCore_LDWCP_lru6	= 152,
+    XCore_LDWCP_lu10	= 153,
+    XCore_LDWCP_ru6	= 154,
+    XCore_LDWCP_u10	= 155,
+    XCore_LDWDP_lru6	= 156,
+    XCore_LDWDP_ru6	= 157,
+    XCore_LDWFI	= 158,
+    XCore_LDWSP_lru6	= 159,
+    XCore_LDWSP_ru6	= 160,
+    XCore_LDW_2rus	= 161,
+    XCore_LDW_3r	= 162,
+    XCore_LMUL_l6r	= 163,
+    XCore_LSS_3r	= 164,
+    XCore_LSUB_l5r	= 165,
+    XCore_LSU_3r	= 166,
+    XCore_MACCS_l4r	= 167,
+    XCore_MACCU_l4r	= 168,
+    XCore_MJOIN_1r	= 169,
+    XCore_MKMSK_2r	= 170,
+    XCore_MKMSK_rus	= 171,
+    XCore_MSYNC_1r	= 172,
+    XCore_MUL_l3r	= 173,
+    XCore_NEG	= 174,
+    XCore_NOT	= 175,
+    XCore_OR_3r	= 176,
+    XCore_OUTCT_2r	= 177,
+    XCore_OUTCT_rus	= 178,
+    XCore_OUTPW_l2rus	= 179,
+    XCore_OUTSHR_2r	= 180,
+    XCore_OUTT_2r	= 181,
+    XCore_OUT_2r	= 182,
+    XCore_PEEK_2r	= 183,
+    XCore_REMS_l3r	= 184,
+    XCore_REMU_l3r	= 185,
+    XCore_RETSP_lu6	= 186,
+    XCore_RETSP_u6	= 187,
+    XCore_SELECT_CC	= 188,
+    XCore_SETCLK_l2r	= 189,
+    XCore_SETCP_1r	= 190,
+    XCore_SETC_l2r	= 191,
+    XCore_SETC_lru6	= 192,
+    XCore_SETC_ru6	= 193,
+    XCore_SETDP_1r	= 194,
+    XCore_SETD_2r	= 195,
+    XCore_SETEV_1r	= 196,
+    XCore_SETKEP_0R	= 197,
+    XCore_SETN_l2r	= 198,
+    XCore_SETPSC_2r	= 199,
+    XCore_SETPS_l2r	= 200,
+    XCore_SETPT_2r	= 201,
+    XCore_SETRDY_l2r	= 202,
+    XCore_SETSP_1r	= 203,
+    XCore_SETSR_branch_lu6	= 204,
+    XCore_SETSR_branch_u6	= 205,
+    XCore_SETSR_lu6	= 206,
+    XCore_SETSR_u6	= 207,
+    XCore_SETTW_l2r	= 208,
+    XCore_SETV_1r	= 209,
+    XCore_SEXT_2r	= 210,
+    XCore_SEXT_rus	= 211,
+    XCore_SHL_2rus	= 212,
+    XCore_SHL_3r	= 213,
+    XCore_SHR_2rus	= 214,
+    XCore_SHR_3r	= 215,
+    XCore_SSYNC_0r	= 216,
+    XCore_ST16_l3r	= 217,
+    XCore_ST8_l3r	= 218,
+    XCore_STET_0R	= 219,
+    XCore_STSED_0R	= 220,
+    XCore_STSPC_0R	= 221,
+    XCore_STSSR_0R	= 222,
+    XCore_STWDP_lru6	= 223,
+    XCore_STWDP_ru6	= 224,
+    XCore_STWFI	= 225,
+    XCore_STWSP_lru6	= 226,
+    XCore_STWSP_ru6	= 227,
+    XCore_STW_2rus	= 228,
+    XCore_STW_l3r	= 229,
+    XCore_SUB_2rus	= 230,
+    XCore_SUB_3r	= 231,
+    XCore_SYNCR_1r	= 232,
+    XCore_TESTCT_2r	= 233,
+    XCore_TESTLCL_l2r	= 234,
+    XCore_TESTWCT_2r	= 235,
+    XCore_TSETMR_2r	= 236,
+    XCore_TSETR_3r	= 237,
+    XCore_TSTART_1R	= 238,
+    XCore_WAITEF_1R	= 239,
+    XCore_WAITET_1R	= 240,
+    XCore_WAITEU_0R	= 241,
+    XCore_XOR_l3r	= 242,
+    XCore_ZEXT_2r	= 243,
+    XCore_ZEXT_rus	= 244,
+    XCore_INSTRUCTION_LIST_END = 245
 };
 
 #endif // GET_INSTRINFO_ENUM
diff --git a/arch/XCore/XCoreMapping.c b/arch/XCore/XCoreMapping.c
index 3aa5c72..2a6a492 100644
--- a/arch/XCore/XCoreMapping.c
+++ b/arch/XCore/XCoreMapping.c
@@ -797,7 +797,7 @@
 	{
 		XCore_LDET_0R, XCORE_INS_LDW,
 #ifndef CAPSTONE_DIET
-		{ 0 }, { 0 }, { 0 }, 0, 0
+		{ XCORE_REG_SP, 0 }, { 0 }, { 0 }, 0, 0
 #endif
 	},
 	{
@@ -1548,6 +1548,25 @@
 #endif
 }
 
+#ifndef CAPSTONE_DIET
+static name_map group_name_maps[] = {
+	{ XCORE_GRP_INVALID, NULL },
+	{ XCORE_GRP_JUMP, "jump" },
+};
+#endif
+
+const char *XCore_group_name(csh handle, unsigned int id)
+{
+#ifndef CAPSTONE_DIET
+	if (id >= XCORE_GRP_MAX)
+		return NULL;
+
+	return group_name_maps[id].name;
+#else
+	return NULL;
+#endif
+}
+
 // map internal raw register to 'public' register
 xcore_reg XCore_map_register(unsigned int r)
 {
diff --git a/arch/XCore/XCoreMapping.h b/arch/XCore/XCoreMapping.h
index 8d8a9b2..7ad50d9 100644
--- a/arch/XCore/XCoreMapping.h
+++ b/arch/XCore/XCoreMapping.h
@@ -14,6 +14,8 @@
 
 const char *XCore_insn_name(csh handle, unsigned int id);
 
+const char *XCore_group_name(csh handle, unsigned int id);
+
 // map internal raw register to 'public' register
 xcore_reg XCore_map_register(unsigned int r);
 
diff --git a/arch/XCore/XCoreModule.c b/arch/XCore/XCoreModule.c
index 9ab4e26..9b871bb 100644
--- a/arch/XCore/XCoreModule.c
+++ b/arch/XCore/XCoreModule.c
@@ -25,6 +25,7 @@
 	ud->reg_name = XCore_reg_name;
 	ud->insn_id = XCore_get_insn_id;
 	ud->insn_name = XCore_insn_name;
+	ud->group_name = XCore_group_name;
 
 	return CS_ERR_OK;
 }
diff --git a/bindings/java/TestX86.java b/bindings/java/TestX86.java
index b4ce069..1c17038 100644
--- a/bindings/java/TestX86.java
+++ b/bindings/java/TestX86.java
@@ -47,15 +47,13 @@
 
     System.out.printf("\tPrefix: %s\n", array2hex(operands.prefix));
 
-    if (operands.segment != X86_REG_INVALID)
-      System.out.println("\tSegment override: " + ins.regName(operands.segment));
-
-
     System.out.printf("\tOpcode: %s\n", array2hex(operands.opcode));
 
-    // print operand's size, address size, displacement size & immediate size
-    System.out.printf("\top_size: %d, addr_size: %d, disp_size: %d, imm_size: %d\n"
-        , operands.opSize, operands.addrSize, operands.dispSize, operands.immSize);
+    // print REX prefix (non-zero value is relevant for x86_64)
+    System.out.printf("\trex: 0x%x\n", operands.rex);
+
+    // print address size
+    System.out.printf("\taddr_size: %d\n", operands.addrSize);
 
     // print modRM byte
     System.out.printf("\tmodrm: 0x%x\n", operands.modrm);
@@ -72,6 +70,18 @@
           ins.regName(operands.sibIndex), operands.sibScale, ins.regName(operands.sibBase));
     }
 
+    if (operands.sseCC != 0)
+        System.out.printf("\tsse_cc: %u\n", operands.sseCC);
+
+    if (operands.avxCC != 0)
+        System.out.printf("\tavx_cc: %u\n", operands.avxCC);
+
+    if (operands.avxSae)
+        System.out.printf("\tavx_sae: TRUE\n");
+
+    if (operands.avxRm != 0)
+        System.out.printf("\tavx_rm: %u\n", operands.avxRm);
+
     int count = ins.opCount(X86_OP_IMM);
     if (count > 0) {
       System.out.printf("\timm_count: %d\n", count);
@@ -94,8 +104,11 @@
           System.out.printf("\t\toperands[%d].type: FP = %f\n", c, i.value.fp);
         if (i.type == X86_OP_MEM) {
           System.out.printf("\t\toperands[%d].type: MEM\n",c);
+          String segment = ins.regName(i.value.mem.segment);
           String base = ins.regName(i.value.mem.base);
           String index = ins.regName(i.value.mem.index);
+          if (segment != null)
+            System.out.printf("\t\t\toperands[%d].mem.segment: REG = %s\n", c, segment);
           if (base != null)
             System.out.printf("\t\t\toperands[%d].mem.base: REG = %s\n", c, base);
           if (index != null)
@@ -105,6 +118,18 @@
           if (i.value.mem.disp != 0)
             System.out.printf("\t\t\toperands[%d].mem.disp: 0x%x\n", c, i.value.mem.disp);
         }
+
+        // AVX broadcast type
+        if (i.avx_bcast != X86_AVX_BCAST_INVALID) {
+          System.out.printf("\t\toperands[%d].avx_bcast: %d\n", c, i.avx_bcast);
+        }
+
+        // AVX zero opmask {z}
+        if (i.avx_zero_opmask) {
+          System.out.printf("\t\toperands[%d].avx_zero_opmask: TRUE\n", c);
+        }
+
+        System.out.printf("\t\toperands[%d].size: %d\n", c, i.size);
       }
     }
   }
diff --git a/bindings/java/capstone/Arm64.java b/bindings/java/capstone/Arm64.java
index 7802375..0fa5e60 100644
--- a/bindings/java/capstone/Arm64.java
+++ b/bindings/java/capstone/Arm64.java
@@ -29,10 +29,14 @@
     public int imm;
     public double fp;
     public MemType mem;
+    public int pstate;
+    public int sys;
+    public int prefetch;
+    public int barrier;
 
     @Override
     public List getFieldOrder() {
-      return Arrays.asList("reg", "imm", "fp", "mem");
+      return Arrays.asList("reg", "imm", "fp", "mem", "pstate", "sys", "prefetch", "barrier");
     }
   }
 
@@ -47,6 +51,9 @@
   }
 
   public static class Operand extends Structure {
+    public int vector_index;
+    public int vas;
+    public int vess;
     public OpShift shift;
     public int ext;
     public int type;
@@ -58,18 +65,21 @@
         value.setType(MemType.class);
       if (type == ARM64_OP_FP)
         value.setType(Double.TYPE);
-      if (type == ARM64_OP_IMM || type == ARM64_OP_CIMM || type == ARM64_OP_REG)
+      if (type == ARM64_OP_IMM || type == ARM64_OP_CIMM || type == ARM64_OP_REG || type == ARM64_OP_REG_MRS || type == ARM64_OP_REG_MSR || type == ARM64_OP_PSTATE || type == ARM64_OP_SYS || type == ARM64_OP_PREFETCH || type == ARM64_OP_BARRIER)
         value.setType(Integer.TYPE);
       if (type == ARM64_OP_INVALID)
         return;
       readField("value");
       readField("ext");
       readField("shift");
+      readField("vess");
+      readField("vas");
+      readField("vector_index");
     }
 
     @Override
     public List getFieldOrder() {
-      return Arrays.asList("shift", "ext", "type", "value");
+      return Arrays.asList("vector_index", "vas", "vess", "shift", "ext", "type", "value");
     }
   }
 
diff --git a/bindings/java/capstone/Arm64_const.java b/bindings/java/capstone/Arm64_const.java
index ac715ee..347dc0d 100644
--- a/bindings/java/capstone/Arm64_const.java
+++ b/bindings/java/capstone/Arm64_const.java
@@ -44,6 +44,161 @@
 	public static final int ARM64_CC_AL = 15;
 	public static final int ARM64_CC_NV = 16;
 
+	// System registers
+
+	// System registers for MRS
+
+	public static final int ARM64_SYSREG_INVALID = 0;
+	public static final int ARM64_SYSREG_MDCCSR_EL0 = 0x9808;
+	public static final int ARM64_SYSREG_DBGDTRRX_EL0 = 0x9828;
+	public static final int ARM64_SYSREG_MDRAR_EL1 = 0x8080;
+	public static final int ARM64_SYSREG_OSLSR_EL1 = 0x808c;
+	public static final int ARM64_SYSREG_DBGAUTHSTATUS_EL1 = 0x83f6;
+	public static final int ARM64_SYSREG_PMCEID0_EL0 = 0xdce6;
+	public static final int ARM64_SYSREG_PMCEID1_EL0 = 0xdce7;
+	public static final int ARM64_SYSREG_MIDR_EL1 = 0xc000;
+	public static final int ARM64_SYSREG_CCSIDR_EL1 = 0xc800;
+	public static final int ARM64_SYSREG_CLIDR_EL1 = 0xc801;
+	public static final int ARM64_SYSREG_CTR_EL0 = 0xd801;
+	public static final int ARM64_SYSREG_MPIDR_EL1 = 0xc005;
+	public static final int ARM64_SYSREG_REVIDR_EL1 = 0xc006;
+	public static final int ARM64_SYSREG_AIDR_EL1 = 0xc807;
+	public static final int ARM64_SYSREG_DCZID_EL0 = 0xd807;
+	public static final int ARM64_SYSREG_ID_PFR0_EL1 = 0xc008;
+	public static final int ARM64_SYSREG_ID_PFR1_EL1 = 0xc009;
+	public static final int ARM64_SYSREG_ID_DFR0_EL1 = 0xc00a;
+	public static final int ARM64_SYSREG_ID_AFR0_EL1 = 0xc00b;
+	public static final int ARM64_SYSREG_ID_MMFR0_EL1 = 0xc00c;
+	public static final int ARM64_SYSREG_ID_MMFR1_EL1 = 0xc00d;
+	public static final int ARM64_SYSREG_ID_MMFR2_EL1 = 0xc00e;
+	public static final int ARM64_SYSREG_ID_MMFR3_EL1 = 0xc00f;
+	public static final int ARM64_SYSREG_ID_ISAR0_EL1 = 0xc010;
+	public static final int ARM64_SYSREG_ID_ISAR1_EL1 = 0xc011;
+	public static final int ARM64_SYSREG_ID_ISAR2_EL1 = 0xc012;
+	public static final int ARM64_SYSREG_ID_ISAR3_EL1 = 0xc013;
+	public static final int ARM64_SYSREG_ID_ISAR4_EL1 = 0xc014;
+	public static final int ARM64_SYSREG_ID_ISAR5_EL1 = 0xc015;
+	public static final int ARM64_SYSREG_ID_A64PFR0_EL1 = 0xc020;
+	public static final int ARM64_SYSREG_ID_A64PFR1_EL1 = 0xc021;
+	public static final int ARM64_SYSREG_ID_A64DFR0_EL1 = 0xc028;
+	public static final int ARM64_SYSREG_ID_A64DFR1_EL1 = 0xc029;
+	public static final int ARM64_SYSREG_ID_A64AFR0_EL1 = 0xc02c;
+	public static final int ARM64_SYSREG_ID_A64AFR1_EL1 = 0xc02d;
+	public static final int ARM64_SYSREG_ID_A64ISAR0_EL1 = 0xc030;
+	public static final int ARM64_SYSREG_ID_A64ISAR1_EL1 = 0xc031;
+	public static final int ARM64_SYSREG_ID_A64MMFR0_EL1 = 0xc038;
+	public static final int ARM64_SYSREG_ID_A64MMFR1_EL1 = 0xc039;
+	public static final int ARM64_SYSREG_MVFR0_EL1 = 0xc018;
+	public static final int ARM64_SYSREG_MVFR1_EL1 = 0xc019;
+	public static final int ARM64_SYSREG_MVFR2_EL1 = 0xc01a;
+	public static final int ARM64_SYSREG_RVBAR_EL1 = 0xc601;
+	public static final int ARM64_SYSREG_RVBAR_EL2 = 0xe601;
+	public static final int ARM64_SYSREG_RVBAR_EL3 = 0xf601;
+	public static final int ARM64_SYSREG_ISR_EL1 = 0xc608;
+	public static final int ARM64_SYSREG_CNTPCT_EL0 = 0xdf01;
+	public static final int ARM64_SYSREG_CNTVCT_EL0 = 0xdf02;
+	public static final int ARM64_SYSREG_TRCSTATR = 0x8818;
+	public static final int ARM64_SYSREG_TRCIDR8 = 0x8806;
+	public static final int ARM64_SYSREG_TRCIDR9 = 0x880e;
+	public static final int ARM64_SYSREG_TRCIDR10 = 0x8816;
+	public static final int ARM64_SYSREG_TRCIDR11 = 0x881e;
+	public static final int ARM64_SYSREG_TRCIDR12 = 0x8826;
+	public static final int ARM64_SYSREG_TRCIDR13 = 0x882e;
+	public static final int ARM64_SYSREG_TRCIDR0 = 0x8847;
+	public static final int ARM64_SYSREG_TRCIDR1 = 0x884f;
+	public static final int ARM64_SYSREG_TRCIDR2 = 0x8857;
+	public static final int ARM64_SYSREG_TRCIDR3 = 0x885f;
+	public static final int ARM64_SYSREG_TRCIDR4 = 0x8867;
+	public static final int ARM64_SYSREG_TRCIDR5 = 0x886f;
+	public static final int ARM64_SYSREG_TRCIDR6 = 0x8877;
+	public static final int ARM64_SYSREG_TRCIDR7 = 0x887f;
+	public static final int ARM64_SYSREG_TRCOSLSR = 0x888c;
+	public static final int ARM64_SYSREG_TRCPDSR = 0x88ac;
+	public static final int ARM64_SYSREG_TRCDEVAFF0 = 0x8bd6;
+	public static final int ARM64_SYSREG_TRCDEVAFF1 = 0x8bde;
+	public static final int ARM64_SYSREG_TRCLSR = 0x8bee;
+	public static final int ARM64_SYSREG_TRCAUTHSTATUS = 0x8bf6;
+	public static final int ARM64_SYSREG_TRCDEVARCH = 0x8bfe;
+	public static final int ARM64_SYSREG_TRCDEVID = 0x8b97;
+	public static final int ARM64_SYSREG_TRCDEVTYPE = 0x8b9f;
+	public static final int ARM64_SYSREG_TRCPIDR4 = 0x8ba7;
+	public static final int ARM64_SYSREG_TRCPIDR5 = 0x8baf;
+	public static final int ARM64_SYSREG_TRCPIDR6 = 0x8bb7;
+	public static final int ARM64_SYSREG_TRCPIDR7 = 0x8bbf;
+	public static final int ARM64_SYSREG_TRCPIDR0 = 0x8bc7;
+	public static final int ARM64_SYSREG_TRCPIDR1 = 0x8bcf;
+	public static final int ARM64_SYSREG_TRCPIDR2 = 0x8bd7;
+	public static final int ARM64_SYSREG_TRCPIDR3 = 0x8bdf;
+	public static final int ARM64_SYSREG_TRCCIDR0 = 0x8be7;
+	public static final int ARM64_SYSREG_TRCCIDR1 = 0x8bef;
+	public static final int ARM64_SYSREG_TRCCIDR2 = 0x8bf7;
+	public static final int ARM64_SYSREG_TRCCIDR3 = 0x8bff;
+	public static final int ARM64_SYSREG_ICC_IAR1_EL1 = 0xc660;
+	public static final int ARM64_SYSREG_ICC_IAR0_EL1 = 0xc640;
+	public static final int ARM64_SYSREG_ICC_HPPIR1_EL1 = 0xc662;
+	public static final int ARM64_SYSREG_ICC_HPPIR0_EL1 = 0xc642;
+	public static final int ARM64_SYSREG_ICC_RPR_EL1 = 0xc65b;
+	public static final int ARM64_SYSREG_ICH_VTR_EL2 = 0xe659;
+	public static final int ARM64_SYSREG_ICH_EISR_EL2 = 0xe65b;
+	public static final int ARM64_SYSREG_ICH_ELSR_EL2 = 0xe65d//1110011001011101;
+
+	// System registers for MSR
+	public static final int ARM64_SYSREG_DBGDTRTX_EL0 = 0x9828;
+	public static final int ARM64_SYSREG_OSLAR_EL1 = 0x8084;
+	public static final int ARM64_SYSREG_PMSWINC_EL0 = 0xdce4;
+	public static final int ARM64_SYSREG_TRCOSLAR = 0x8884;
+	public static final int ARM64_SYSREG_TRCLAR = 0x8be6;
+	public static final int ARM64_SYSREG_ICC_EOIR1_EL1 = 0xc661;
+	public static final int ARM64_SYSREG_ICC_EOIR0_EL1 = 0xc641;
+	public static final int ARM64_SYSREG_ICC_DIR_EL1 = 0xc659;
+	public static final int ARM64_SYSREG_ICC_SGI1R_EL1 = 0xc65d;
+	public static final int ARM64_SYSREG_ICC_ASGI1R_EL1 = 0xc65e;
+	public static final int ARM64_SYSREG_ICC_SGI0R_EL1 = 0xc65f//1100011001011111;
+
+	// System PState Field (MSR instruction)
+
+	public static final int ARM64_PSTATE_INVALID = 0;
+	public static final int ARM64_PSTATE_SPSEL = 0x05;
+	public static final int ARM64_PSTATE_DAIFSET = 0x1e;
+	public static final int ARM64_PSTATE_DAIFCLR = 0x1f;
+
+	// Vector arrangement specifier (for FloatingPoint/Advanced SIMD insn)
+
+	public static final int ARM64_VAS_INVALID = 0;
+	public static final int ARM64_VAS_8B = 1;
+	public static final int ARM64_VAS_16B = 2;
+	public static final int ARM64_VAS_4H = 3;
+	public static final int ARM64_VAS_8H = 4;
+	public static final int ARM64_VAS_2S = 5;
+	public static final int ARM64_VAS_4S = 6;
+	public static final int ARM64_VAS_1D = 7;
+	public static final int ARM64_VAS_2D = 8;
+	public static final int ARM64_VAS_1Q = 9;
+
+	// Vector element size specifier
+
+	public static final int ARM64_VESS_INVALID = 0;
+	public static final int ARM64_VESS_B = 1;
+	public static final int ARM64_VESS_H = 2;
+	public static final int ARM64_VESS_S = 3;
+	public static final int ARM64_VESS_D = 4;
+
+	// Memory barrier operands
+
+	public static final int ARM64_BARRIER_INVALID = 0;
+	public static final int ARM64_BARRIER_OSHLD = 0x1;
+	public static final int ARM64_BARRIER_OSHST = 0x2;
+	public static final int ARM64_BARRIER_OSH = 0x3;
+	public static final int ARM64_BARRIER_NSHLD = 0x5;
+	public static final int ARM64_BARRIER_NSHST = 0x6;
+	public static final int ARM64_BARRIER_NSH = 0x7;
+	public static final int ARM64_BARRIER_ISHLD = 0x9;
+	public static final int ARM64_BARRIER_ISHST = 0xa;
+	public static final int ARM64_BARRIER_ISH = 0xb;
+	public static final int ARM64_BARRIER_LD = 0xd;
+	public static final int ARM64_BARRIER_ST = 0xe;
+	public static final int ARM64_BARRIER_SY = 0xf;
+
 	// Operand type for instruction's operands
 
 	public static final int ARM64_OP_INVALID = 0;
@@ -52,238 +207,367 @@
 	public static final int ARM64_OP_IMM = 3;
 	public static final int ARM64_OP_FP = 4;
 	public static final int ARM64_OP_MEM = 5;
+	public static final int ARM64_OP_REG_MRS = 6;
+	public static final int ARM64_OP_REG_MSR = 7;
+	public static final int ARM64_OP_PSTATE = 8;
+	public static final int ARM64_OP_SYS = 9;
+	public static final int ARM64_OP_PREFETCH = 10;
+	public static final int ARM64_OP_BARRIER = 11;
+
+	// TLBI operations
+
+	public static final int ARM64_TLBI_INVALID = 0;
+	public static final int ARM64_TLBI_VMALLE1IS = 1;
+	public static final int ARM64_TLBI_VAE1IS = 2;
+	public static final int ARM64_TLBI_ASIDE1IS = 3;
+	public static final int ARM64_TLBI_VAAE1IS = 4;
+	public static final int ARM64_TLBI_VALE1IS = 5;
+	public static final int ARM64_TLBI_VAALE1IS = 6;
+	public static final int ARM64_TLBI_ALLE2IS = 7;
+	public static final int ARM64_TLBI_VAE2IS = 8;
+	public static final int ARM64_TLBI_ALLE1IS = 9;
+	public static final int ARM64_TLBI_VALE2IS = 10;
+	public static final int ARM64_TLBI_VMALLS12E1IS = 11;
+	public static final int ARM64_TLBI_ALLE3IS = 12;
+	public static final int ARM64_TLBI_VAE3IS = 13;
+	public static final int ARM64_TLBI_VALE3IS = 14;
+	public static final int ARM64_TLBI_IPAS2E1IS = 15;
+	public static final int ARM64_TLBI_IPAS2LE1IS = 16;
+	public static final int ARM64_TLBI_IPAS2E1 = 17;
+	public static final int ARM64_TLBI_IPAS2LE1 = 18;
+	public static final int ARM64_TLBI_VMALLE1 = 19;
+	public static final int ARM64_TLBI_VAE1 = 20;
+	public static final int ARM64_TLBI_ASIDE1 = 21;
+	public static final int ARM64_TLBI_VAAE1 = 22;
+	public static final int ARM64_TLBI_VALE1 = 23;
+	public static final int ARM64_TLBI_VAALE1 = 24;
+	public static final int ARM64_TLBI_ALLE2 = 25;
+	public static final int ARM64_TLBI_VAE2 = 26;
+	public static final int ARM64_TLBI_ALLE1 = 27;
+	public static final int ARM64_TLBI_VALE2 = 28;
+	public static final int ARM64_TLBI_VMALLS12E1 = 29;
+	public static final int ARM64_TLBI_ALLE3 = 30;
+	public static final int ARM64_TLBI_VAE3 = 31;
+	public static final int ARM64_TLBI_VALE3 = 32;
+
+	// AT operations
+	public static final int ARM64_AT_S1E1R = 33;
+	public static final int ARM64_AT_S1E1W = 34;
+	public static final int ARM64_AT_S1E0R = 35;
+	public static final int ARM64_AT_S1E0W = 36;
+	public static final int ARM64_AT_S1E2R = 37;
+	public static final int ARM64_AT_S1E2W = 38;
+	public static final int ARM64_AT_S12E1R = 39;
+	public static final int ARM64_AT_S12E1W = 40;
+	public static final int ARM64_AT_S12E0R = 41;
+	public static final int ARM64_AT_S12E0W = 42;
+	public static final int ARM64_AT_S1E3R = 43;
+	public static final int ARM64_AT_S1E3W = 44;
+
+	// DC operations
+
+	public static final int ARM64_DC_INVALID = 0;
+	public static final int ARM64_DC_ZVA = 1;
+	public static final int ARM64_DC_IVAC = 2;
+	public static final int ARM64_DC_ISW = 3;
+	public static final int ARM64_DC_CVAC = 4;
+	public static final int ARM64_DC_CSW = 5;
+	public static final int ARM64_DC_CVAU = 6;
+	public static final int ARM64_DC_CIVAC = 7;
+	public static final int ARM64_DC_CISW = 8;
+
+	// IC operations
+
+	public static final int ARM64_IC_INVALID = 0;
+	public static final int ARM64_IC_IALLUIS = 1;
+	public static final int ARM64_IC_IALLU = 2;
+	public static final int ARM64_IC_IVAU = 3;
+
+	// Prefetch operations (PRFM)
+
+	public static final int ARM64_PRFM_INVALID = 0;
+	public static final int ARM64_PRFM_PLDL1KEEP = 0x00+1;
+	public static final int ARM64_PRFM_PLDL1STRM = 0x01+1;
+	public static final int ARM64_PRFM_PLDL2KEEP = 0x02+1;
+	public static final int ARM64_PRFM_PLDL2STRM = 0x03+1;
+	public static final int ARM64_PRFM_PLDL3KEEP = 0x04+1;
+	public static final int ARM64_PRFM_PLDL3STRM = 0x05+1;
+	public static final int ARM64_PRFM_PLIL1KEEP = 0x08+1;
+	public static final int ARM64_PRFM_PLIL1STRM = 0x09+1;
+	public static final int ARM64_PRFM_PLIL2KEEP = 0x0a+1;
+	public static final int ARM64_PRFM_PLIL2STRM = 0x0b+1;
+	public static final int ARM64_PRFM_PLIL3KEEP = 0x0c+1;
+	public static final int ARM64_PRFM_PLIL3STRM = 0x0d+1;
+	public static final int ARM64_PRFM_PSTL1KEEP = 0x10+1;
+	public static final int ARM64_PRFM_PSTL1STRM = 0x11+1;
+	public static final int ARM64_PRFM_PSTL2KEEP = 0x12+1;
+	public static final int ARM64_PRFM_PSTL2STRM = 0x13+1;
+	public static final int ARM64_PRFM_PSTL3KEEP = 0x14+1;
+	public static final int ARM64_PRFM_PSTL3STRM = 0x15+1;
 
 	// ARM64 registers
 
 	public static final int ARM64_REG_INVALID = 0;
-	public static final int ARM64_REG_NZCV = 1;
-	public static final int ARM64_REG_WSP = 2;
-	public static final int ARM64_REG_WZR = 3;
+	public static final int ARM64_REG_X29 = 1;
+	public static final int ARM64_REG_X30 = 2;
+	public static final int ARM64_REG_NZCV = 3;
 	public static final int ARM64_REG_SP = 4;
-	public static final int ARM64_REG_XZR = 5;
-	public static final int ARM64_REG_B0 = 6;
-	public static final int ARM64_REG_B1 = 7;
-	public static final int ARM64_REG_B2 = 8;
-	public static final int ARM64_REG_B3 = 9;
-	public static final int ARM64_REG_B4 = 10;
-	public static final int ARM64_REG_B5 = 11;
-	public static final int ARM64_REG_B6 = 12;
-	public static final int ARM64_REG_B7 = 13;
-	public static final int ARM64_REG_B8 = 14;
-	public static final int ARM64_REG_B9 = 15;
-	public static final int ARM64_REG_B10 = 16;
-	public static final int ARM64_REG_B11 = 17;
-	public static final int ARM64_REG_B12 = 18;
-	public static final int ARM64_REG_B13 = 19;
-	public static final int ARM64_REG_B14 = 20;
-	public static final int ARM64_REG_B15 = 21;
-	public static final int ARM64_REG_B16 = 22;
-	public static final int ARM64_REG_B17 = 23;
-	public static final int ARM64_REG_B18 = 24;
-	public static final int ARM64_REG_B19 = 25;
-	public static final int ARM64_REG_B20 = 26;
-	public static final int ARM64_REG_B21 = 27;
-	public static final int ARM64_REG_B22 = 28;
-	public static final int ARM64_REG_B23 = 29;
-	public static final int ARM64_REG_B24 = 30;
-	public static final int ARM64_REG_B25 = 31;
-	public static final int ARM64_REG_B26 = 32;
-	public static final int ARM64_REG_B27 = 33;
-	public static final int ARM64_REG_B28 = 34;
-	public static final int ARM64_REG_B29 = 35;
-	public static final int ARM64_REG_B30 = 36;
-	public static final int ARM64_REG_B31 = 37;
-	public static final int ARM64_REG_D0 = 38;
-	public static final int ARM64_REG_D1 = 39;
-	public static final int ARM64_REG_D2 = 40;
-	public static final int ARM64_REG_D3 = 41;
-	public static final int ARM64_REG_D4 = 42;
-	public static final int ARM64_REG_D5 = 43;
-	public static final int ARM64_REG_D6 = 44;
-	public static final int ARM64_REG_D7 = 45;
-	public static final int ARM64_REG_D8 = 46;
-	public static final int ARM64_REG_D9 = 47;
-	public static final int ARM64_REG_D10 = 48;
-	public static final int ARM64_REG_D11 = 49;
-	public static final int ARM64_REG_D12 = 50;
-	public static final int ARM64_REG_D13 = 51;
-	public static final int ARM64_REG_D14 = 52;
-	public static final int ARM64_REG_D15 = 53;
-	public static final int ARM64_REG_D16 = 54;
-	public static final int ARM64_REG_D17 = 55;
-	public static final int ARM64_REG_D18 = 56;
-	public static final int ARM64_REG_D19 = 57;
-	public static final int ARM64_REG_D20 = 58;
-	public static final int ARM64_REG_D21 = 59;
-	public static final int ARM64_REG_D22 = 60;
-	public static final int ARM64_REG_D23 = 61;
-	public static final int ARM64_REG_D24 = 62;
-	public static final int ARM64_REG_D25 = 63;
-	public static final int ARM64_REG_D26 = 64;
-	public static final int ARM64_REG_D27 = 65;
-	public static final int ARM64_REG_D28 = 66;
-	public static final int ARM64_REG_D29 = 67;
-	public static final int ARM64_REG_D30 = 68;
-	public static final int ARM64_REG_D31 = 69;
-	public static final int ARM64_REG_H0 = 70;
-	public static final int ARM64_REG_H1 = 71;
-	public static final int ARM64_REG_H2 = 72;
-	public static final int ARM64_REG_H3 = 73;
-	public static final int ARM64_REG_H4 = 74;
-	public static final int ARM64_REG_H5 = 75;
-	public static final int ARM64_REG_H6 = 76;
-	public static final int ARM64_REG_H7 = 77;
-	public static final int ARM64_REG_H8 = 78;
-	public static final int ARM64_REG_H9 = 79;
-	public static final int ARM64_REG_H10 = 80;
-	public static final int ARM64_REG_H11 = 81;
-	public static final int ARM64_REG_H12 = 82;
-	public static final int ARM64_REG_H13 = 83;
-	public static final int ARM64_REG_H14 = 84;
-	public static final int ARM64_REG_H15 = 85;
-	public static final int ARM64_REG_H16 = 86;
-	public static final int ARM64_REG_H17 = 87;
-	public static final int ARM64_REG_H18 = 88;
-	public static final int ARM64_REG_H19 = 89;
-	public static final int ARM64_REG_H20 = 90;
-	public static final int ARM64_REG_H21 = 91;
-	public static final int ARM64_REG_H22 = 92;
-	public static final int ARM64_REG_H23 = 93;
-	public static final int ARM64_REG_H24 = 94;
-	public static final int ARM64_REG_H25 = 95;
-	public static final int ARM64_REG_H26 = 96;
-	public static final int ARM64_REG_H27 = 97;
-	public static final int ARM64_REG_H28 = 98;
-	public static final int ARM64_REG_H29 = 99;
-	public static final int ARM64_REG_H30 = 100;
-	public static final int ARM64_REG_H31 = 101;
-	public static final int ARM64_REG_Q0 = 102;
-	public static final int ARM64_REG_Q1 = 103;
-	public static final int ARM64_REG_Q2 = 104;
-	public static final int ARM64_REG_Q3 = 105;
-	public static final int ARM64_REG_Q4 = 106;
-	public static final int ARM64_REG_Q5 = 107;
-	public static final int ARM64_REG_Q6 = 108;
-	public static final int ARM64_REG_Q7 = 109;
-	public static final int ARM64_REG_Q8 = 110;
-	public static final int ARM64_REG_Q9 = 111;
-	public static final int ARM64_REG_Q10 = 112;
-	public static final int ARM64_REG_Q11 = 113;
-	public static final int ARM64_REG_Q12 = 114;
-	public static final int ARM64_REG_Q13 = 115;
-	public static final int ARM64_REG_Q14 = 116;
-	public static final int ARM64_REG_Q15 = 117;
-	public static final int ARM64_REG_Q16 = 118;
-	public static final int ARM64_REG_Q17 = 119;
-	public static final int ARM64_REG_Q18 = 120;
-	public static final int ARM64_REG_Q19 = 121;
-	public static final int ARM64_REG_Q20 = 122;
-	public static final int ARM64_REG_Q21 = 123;
-	public static final int ARM64_REG_Q22 = 124;
-	public static final int ARM64_REG_Q23 = 125;
-	public static final int ARM64_REG_Q24 = 126;
-	public static final int ARM64_REG_Q25 = 127;
-	public static final int ARM64_REG_Q26 = 128;
-	public static final int ARM64_REG_Q27 = 129;
-	public static final int ARM64_REG_Q28 = 130;
-	public static final int ARM64_REG_Q29 = 131;
-	public static final int ARM64_REG_Q30 = 132;
-	public static final int ARM64_REG_Q31 = 133;
-	public static final int ARM64_REG_S0 = 134;
-	public static final int ARM64_REG_S1 = 135;
-	public static final int ARM64_REG_S2 = 136;
-	public static final int ARM64_REG_S3 = 137;
-	public static final int ARM64_REG_S4 = 138;
-	public static final int ARM64_REG_S5 = 139;
-	public static final int ARM64_REG_S6 = 140;
-	public static final int ARM64_REG_S7 = 141;
-	public static final int ARM64_REG_S8 = 142;
-	public static final int ARM64_REG_S9 = 143;
-	public static final int ARM64_REG_S10 = 144;
-	public static final int ARM64_REG_S11 = 145;
-	public static final int ARM64_REG_S12 = 146;
-	public static final int ARM64_REG_S13 = 147;
-	public static final int ARM64_REG_S14 = 148;
-	public static final int ARM64_REG_S15 = 149;
-	public static final int ARM64_REG_S16 = 150;
-	public static final int ARM64_REG_S17 = 151;
-	public static final int ARM64_REG_S18 = 152;
-	public static final int ARM64_REG_S19 = 153;
-	public static final int ARM64_REG_S20 = 154;
-	public static final int ARM64_REG_S21 = 155;
-	public static final int ARM64_REG_S22 = 156;
-	public static final int ARM64_REG_S23 = 157;
-	public static final int ARM64_REG_S24 = 158;
-	public static final int ARM64_REG_S25 = 159;
-	public static final int ARM64_REG_S26 = 160;
-	public static final int ARM64_REG_S27 = 161;
-	public static final int ARM64_REG_S28 = 162;
-	public static final int ARM64_REG_S29 = 163;
-	public static final int ARM64_REG_S30 = 164;
-	public static final int ARM64_REG_S31 = 165;
-	public static final int ARM64_REG_W0 = 166;
-	public static final int ARM64_REG_W1 = 167;
-	public static final int ARM64_REG_W2 = 168;
-	public static final int ARM64_REG_W3 = 169;
-	public static final int ARM64_REG_W4 = 170;
-	public static final int ARM64_REG_W5 = 171;
-	public static final int ARM64_REG_W6 = 172;
-	public static final int ARM64_REG_W7 = 173;
-	public static final int ARM64_REG_W8 = 174;
-	public static final int ARM64_REG_W9 = 175;
-	public static final int ARM64_REG_W10 = 176;
-	public static final int ARM64_REG_W11 = 177;
-	public static final int ARM64_REG_W12 = 178;
-	public static final int ARM64_REG_W13 = 179;
-	public static final int ARM64_REG_W14 = 180;
-	public static final int ARM64_REG_W15 = 181;
-	public static final int ARM64_REG_W16 = 182;
-	public static final int ARM64_REG_W17 = 183;
-	public static final int ARM64_REG_W18 = 184;
-	public static final int ARM64_REG_W19 = 185;
-	public static final int ARM64_REG_W20 = 186;
-	public static final int ARM64_REG_W21 = 187;
-	public static final int ARM64_REG_W22 = 188;
-	public static final int ARM64_REG_W23 = 189;
-	public static final int ARM64_REG_W24 = 190;
-	public static final int ARM64_REG_W25 = 191;
-	public static final int ARM64_REG_W26 = 192;
-	public static final int ARM64_REG_W27 = 193;
-	public static final int ARM64_REG_W28 = 194;
-	public static final int ARM64_REG_W29 = 195;
-	public static final int ARM64_REG_W30 = 196;
-	public static final int ARM64_REG_X0 = 197;
-	public static final int ARM64_REG_X1 = 198;
-	public static final int ARM64_REG_X2 = 199;
-	public static final int ARM64_REG_X3 = 200;
-	public static final int ARM64_REG_X4 = 201;
-	public static final int ARM64_REG_X5 = 202;
-	public static final int ARM64_REG_X6 = 203;
-	public static final int ARM64_REG_X7 = 204;
-	public static final int ARM64_REG_X8 = 205;
-	public static final int ARM64_REG_X9 = 206;
-	public static final int ARM64_REG_X10 = 207;
-	public static final int ARM64_REG_X11 = 208;
-	public static final int ARM64_REG_X12 = 209;
-	public static final int ARM64_REG_X13 = 210;
-	public static final int ARM64_REG_X14 = 211;
-	public static final int ARM64_REG_X15 = 212;
-	public static final int ARM64_REG_X16 = 213;
-	public static final int ARM64_REG_X17 = 214;
-	public static final int ARM64_REG_X18 = 215;
-	public static final int ARM64_REG_X19 = 216;
-	public static final int ARM64_REG_X20 = 217;
-	public static final int ARM64_REG_X21 = 218;
-	public static final int ARM64_REG_X22 = 219;
-	public static final int ARM64_REG_X23 = 220;
-	public static final int ARM64_REG_X24 = 221;
-	public static final int ARM64_REG_X25 = 222;
-	public static final int ARM64_REG_X26 = 223;
-	public static final int ARM64_REG_X27 = 224;
-	public static final int ARM64_REG_X28 = 225;
-	public static final int ARM64_REG_X29 = 226;
-	public static final int ARM64_REG_X30 = 227;
-	public static final int ARM64_REG_MAX = 228;
+	public static final int ARM64_REG_WSP = 5;
+	public static final int ARM64_REG_WZR = 6;
+	public static final int ARM64_REG_XZR = 7;
+	public static final int ARM64_REG_B0 = 8;
+	public static final int ARM64_REG_B1 = 9;
+	public static final int ARM64_REG_B2 = 10;
+	public static final int ARM64_REG_B3 = 11;
+	public static final int ARM64_REG_B4 = 12;
+	public static final int ARM64_REG_B5 = 13;
+	public static final int ARM64_REG_B6 = 14;
+	public static final int ARM64_REG_B7 = 15;
+	public static final int ARM64_REG_B8 = 16;
+	public static final int ARM64_REG_B9 = 17;
+	public static final int ARM64_REG_B10 = 18;
+	public static final int ARM64_REG_B11 = 19;
+	public static final int ARM64_REG_B12 = 20;
+	public static final int ARM64_REG_B13 = 21;
+	public static final int ARM64_REG_B14 = 22;
+	public static final int ARM64_REG_B15 = 23;
+	public static final int ARM64_REG_B16 = 24;
+	public static final int ARM64_REG_B17 = 25;
+	public static final int ARM64_REG_B18 = 26;
+	public static final int ARM64_REG_B19 = 27;
+	public static final int ARM64_REG_B20 = 28;
+	public static final int ARM64_REG_B21 = 29;
+	public static final int ARM64_REG_B22 = 30;
+	public static final int ARM64_REG_B23 = 31;
+	public static final int ARM64_REG_B24 = 32;
+	public static final int ARM64_REG_B25 = 33;
+	public static final int ARM64_REG_B26 = 34;
+	public static final int ARM64_REG_B27 = 35;
+	public static final int ARM64_REG_B28 = 36;
+	public static final int ARM64_REG_B29 = 37;
+	public static final int ARM64_REG_B30 = 38;
+	public static final int ARM64_REG_B31 = 39;
+	public static final int ARM64_REG_D0 = 40;
+	public static final int ARM64_REG_D1 = 41;
+	public static final int ARM64_REG_D2 = 42;
+	public static final int ARM64_REG_D3 = 43;
+	public static final int ARM64_REG_D4 = 44;
+	public static final int ARM64_REG_D5 = 45;
+	public static final int ARM64_REG_D6 = 46;
+	public static final int ARM64_REG_D7 = 47;
+	public static final int ARM64_REG_D8 = 48;
+	public static final int ARM64_REG_D9 = 49;
+	public static final int ARM64_REG_D10 = 50;
+	public static final int ARM64_REG_D11 = 51;
+	public static final int ARM64_REG_D12 = 52;
+	public static final int ARM64_REG_D13 = 53;
+	public static final int ARM64_REG_D14 = 54;
+	public static final int ARM64_REG_D15 = 55;
+	public static final int ARM64_REG_D16 = 56;
+	public static final int ARM64_REG_D17 = 57;
+	public static final int ARM64_REG_D18 = 58;
+	public static final int ARM64_REG_D19 = 59;
+	public static final int ARM64_REG_D20 = 60;
+	public static final int ARM64_REG_D21 = 61;
+	public static final int ARM64_REG_D22 = 62;
+	public static final int ARM64_REG_D23 = 63;
+	public static final int ARM64_REG_D24 = 64;
+	public static final int ARM64_REG_D25 = 65;
+	public static final int ARM64_REG_D26 = 66;
+	public static final int ARM64_REG_D27 = 67;
+	public static final int ARM64_REG_D28 = 68;
+	public static final int ARM64_REG_D29 = 69;
+	public static final int ARM64_REG_D30 = 70;
+	public static final int ARM64_REG_D31 = 71;
+	public static final int ARM64_REG_H0 = 72;
+	public static final int ARM64_REG_H1 = 73;
+	public static final int ARM64_REG_H2 = 74;
+	public static final int ARM64_REG_H3 = 75;
+	public static final int ARM64_REG_H4 = 76;
+	public static final int ARM64_REG_H5 = 77;
+	public static final int ARM64_REG_H6 = 78;
+	public static final int ARM64_REG_H7 = 79;
+	public static final int ARM64_REG_H8 = 80;
+	public static final int ARM64_REG_H9 = 81;
+	public static final int ARM64_REG_H10 = 82;
+	public static final int ARM64_REG_H11 = 83;
+	public static final int ARM64_REG_H12 = 84;
+	public static final int ARM64_REG_H13 = 85;
+	public static final int ARM64_REG_H14 = 86;
+	public static final int ARM64_REG_H15 = 87;
+	public static final int ARM64_REG_H16 = 88;
+	public static final int ARM64_REG_H17 = 89;
+	public static final int ARM64_REG_H18 = 90;
+	public static final int ARM64_REG_H19 = 91;
+	public static final int ARM64_REG_H20 = 92;
+	public static final int ARM64_REG_H21 = 93;
+	public static final int ARM64_REG_H22 = 94;
+	public static final int ARM64_REG_H23 = 95;
+	public static final int ARM64_REG_H24 = 96;
+	public static final int ARM64_REG_H25 = 97;
+	public static final int ARM64_REG_H26 = 98;
+	public static final int ARM64_REG_H27 = 99;
+	public static final int ARM64_REG_H28 = 100;
+	public static final int ARM64_REG_H29 = 101;
+	public static final int ARM64_REG_H30 = 102;
+	public static final int ARM64_REG_H31 = 103;
+	public static final int ARM64_REG_Q0 = 104;
+	public static final int ARM64_REG_Q1 = 105;
+	public static final int ARM64_REG_Q2 = 106;
+	public static final int ARM64_REG_Q3 = 107;
+	public static final int ARM64_REG_Q4 = 108;
+	public static final int ARM64_REG_Q5 = 109;
+	public static final int ARM64_REG_Q6 = 110;
+	public static final int ARM64_REG_Q7 = 111;
+	public static final int ARM64_REG_Q8 = 112;
+	public static final int ARM64_REG_Q9 = 113;
+	public static final int ARM64_REG_Q10 = 114;
+	public static final int ARM64_REG_Q11 = 115;
+	public static final int ARM64_REG_Q12 = 116;
+	public static final int ARM64_REG_Q13 = 117;
+	public static final int ARM64_REG_Q14 = 118;
+	public static final int ARM64_REG_Q15 = 119;
+	public static final int ARM64_REG_Q16 = 120;
+	public static final int ARM64_REG_Q17 = 121;
+	public static final int ARM64_REG_Q18 = 122;
+	public static final int ARM64_REG_Q19 = 123;
+	public static final int ARM64_REG_Q20 = 124;
+	public static final int ARM64_REG_Q21 = 125;
+	public static final int ARM64_REG_Q22 = 126;
+	public static final int ARM64_REG_Q23 = 127;
+	public static final int ARM64_REG_Q24 = 128;
+	public static final int ARM64_REG_Q25 = 129;
+	public static final int ARM64_REG_Q26 = 130;
+	public static final int ARM64_REG_Q27 = 131;
+	public static final int ARM64_REG_Q28 = 132;
+	public static final int ARM64_REG_Q29 = 133;
+	public static final int ARM64_REG_Q30 = 134;
+	public static final int ARM64_REG_Q31 = 135;
+	public static final int ARM64_REG_S0 = 136;
+	public static final int ARM64_REG_S1 = 137;
+	public static final int ARM64_REG_S2 = 138;
+	public static final int ARM64_REG_S3 = 139;
+	public static final int ARM64_REG_S4 = 140;
+	public static final int ARM64_REG_S5 = 141;
+	public static final int ARM64_REG_S6 = 142;
+	public static final int ARM64_REG_S7 = 143;
+	public static final int ARM64_REG_S8 = 144;
+	public static final int ARM64_REG_S9 = 145;
+	public static final int ARM64_REG_S10 = 146;
+	public static final int ARM64_REG_S11 = 147;
+	public static final int ARM64_REG_S12 = 148;
+	public static final int ARM64_REG_S13 = 149;
+	public static final int ARM64_REG_S14 = 150;
+	public static final int ARM64_REG_S15 = 151;
+	public static final int ARM64_REG_S16 = 152;
+	public static final int ARM64_REG_S17 = 153;
+	public static final int ARM64_REG_S18 = 154;
+	public static final int ARM64_REG_S19 = 155;
+	public static final int ARM64_REG_S20 = 156;
+	public static final int ARM64_REG_S21 = 157;
+	public static final int ARM64_REG_S22 = 158;
+	public static final int ARM64_REG_S23 = 159;
+	public static final int ARM64_REG_S24 = 160;
+	public static final int ARM64_REG_S25 = 161;
+	public static final int ARM64_REG_S26 = 162;
+	public static final int ARM64_REG_S27 = 163;
+	public static final int ARM64_REG_S28 = 164;
+	public static final int ARM64_REG_S29 = 165;
+	public static final int ARM64_REG_S30 = 166;
+	public static final int ARM64_REG_S31 = 167;
+	public static final int ARM64_REG_W0 = 168;
+	public static final int ARM64_REG_W1 = 169;
+	public static final int ARM64_REG_W2 = 170;
+	public static final int ARM64_REG_W3 = 171;
+	public static final int ARM64_REG_W4 = 172;
+	public static final int ARM64_REG_W5 = 173;
+	public static final int ARM64_REG_W6 = 174;
+	public static final int ARM64_REG_W7 = 175;
+	public static final int ARM64_REG_W8 = 176;
+	public static final int ARM64_REG_W9 = 177;
+	public static final int ARM64_REG_W10 = 178;
+	public static final int ARM64_REG_W11 = 179;
+	public static final int ARM64_REG_W12 = 180;
+	public static final int ARM64_REG_W13 = 181;
+	public static final int ARM64_REG_W14 = 182;
+	public static final int ARM64_REG_W15 = 183;
+	public static final int ARM64_REG_W16 = 184;
+	public static final int ARM64_REG_W17 = 185;
+	public static final int ARM64_REG_W18 = 186;
+	public static final int ARM64_REG_W19 = 187;
+	public static final int ARM64_REG_W20 = 188;
+	public static final int ARM64_REG_W21 = 189;
+	public static final int ARM64_REG_W22 = 190;
+	public static final int ARM64_REG_W23 = 191;
+	public static final int ARM64_REG_W24 = 192;
+	public static final int ARM64_REG_W25 = 193;
+	public static final int ARM64_REG_W26 = 194;
+	public static final int ARM64_REG_W27 = 195;
+	public static final int ARM64_REG_W28 = 196;
+	public static final int ARM64_REG_W29 = 197;
+	public static final int ARM64_REG_W30 = 198;
+	public static final int ARM64_REG_X0 = 199;
+	public static final int ARM64_REG_X1 = 200;
+	public static final int ARM64_REG_X2 = 201;
+	public static final int ARM64_REG_X3 = 202;
+	public static final int ARM64_REG_X4 = 203;
+	public static final int ARM64_REG_X5 = 204;
+	public static final int ARM64_REG_X6 = 205;
+	public static final int ARM64_REG_X7 = 206;
+	public static final int ARM64_REG_X8 = 207;
+	public static final int ARM64_REG_X9 = 208;
+	public static final int ARM64_REG_X10 = 209;
+	public static final int ARM64_REG_X11 = 210;
+	public static final int ARM64_REG_X12 = 211;
+	public static final int ARM64_REG_X13 = 212;
+	public static final int ARM64_REG_X14 = 213;
+	public static final int ARM64_REG_X15 = 214;
+	public static final int ARM64_REG_X16 = 215;
+	public static final int ARM64_REG_X17 = 216;
+	public static final int ARM64_REG_X18 = 217;
+	public static final int ARM64_REG_X19 = 218;
+	public static final int ARM64_REG_X20 = 219;
+	public static final int ARM64_REG_X21 = 220;
+	public static final int ARM64_REG_X22 = 221;
+	public static final int ARM64_REG_X23 = 222;
+	public static final int ARM64_REG_X24 = 223;
+	public static final int ARM64_REG_X25 = 224;
+	public static final int ARM64_REG_X26 = 225;
+	public static final int ARM64_REG_X27 = 226;
+	public static final int ARM64_REG_X28 = 227;
+	public static final int ARM64_REG_V0 = 228;
+	public static final int ARM64_REG_V1 = 229;
+	public static final int ARM64_REG_V2 = 230;
+	public static final int ARM64_REG_V3 = 231;
+	public static final int ARM64_REG_V4 = 232;
+	public static final int ARM64_REG_V5 = 233;
+	public static final int ARM64_REG_V6 = 234;
+	public static final int ARM64_REG_V7 = 235;
+	public static final int ARM64_REG_V8 = 236;
+	public static final int ARM64_REG_V9 = 237;
+	public static final int ARM64_REG_V10 = 238;
+	public static final int ARM64_REG_V11 = 239;
+	public static final int ARM64_REG_V12 = 240;
+	public static final int ARM64_REG_V13 = 241;
+	public static final int ARM64_REG_V14 = 242;
+	public static final int ARM64_REG_V15 = 243;
+	public static final int ARM64_REG_V16 = 244;
+	public static final int ARM64_REG_V17 = 245;
+	public static final int ARM64_REG_V18 = 246;
+	public static final int ARM64_REG_V19 = 247;
+	public static final int ARM64_REG_V20 = 248;
+	public static final int ARM64_REG_V21 = 249;
+	public static final int ARM64_REG_V22 = 250;
+	public static final int ARM64_REG_V23 = 251;
+	public static final int ARM64_REG_V24 = 252;
+	public static final int ARM64_REG_V25 = 253;
+	public static final int ARM64_REG_V26 = 254;
+	public static final int ARM64_REG_V27 = 255;
+	public static final int ARM64_REG_V28 = 256;
+	public static final int ARM64_REG_V29 = 257;
+	public static final int ARM64_REG_V30 = 258;
+	public static final int ARM64_REG_V31 = 259;
+	public static final int ARM64_REG_MAX = 260;
 
 	// alias registers
 	public static final int ARM64_REG_IP1 = ARM64_REG_X16;
@@ -296,450 +580,456 @@
 	public static final int ARM64_INS_INVALID = 0;
 	public static final int ARM64_INS_ABS = 1;
 	public static final int ARM64_INS_ADC = 2;
-	public static final int ARM64_INS_ADDHN2 = 3;
-	public static final int ARM64_INS_ADDHN = 4;
+	public static final int ARM64_INS_ADDHN = 3;
+	public static final int ARM64_INS_ADDHN2 = 4;
 	public static final int ARM64_INS_ADDP = 5;
-	public static final int ARM64_INS_ADDV = 6;
-	public static final int ARM64_INS_ADD = 7;
-	public static final int ARM64_INS_CMN = 8;
+	public static final int ARM64_INS_ADD = 6;
+	public static final int ARM64_INS_ADDV = 7;
+	public static final int ARM64_INS_ADR = 8;
 	public static final int ARM64_INS_ADRP = 9;
-	public static final int ARM64_INS_ADR = 10;
-	public static final int ARM64_INS_AESD = 11;
-	public static final int ARM64_INS_AESE = 12;
-	public static final int ARM64_INS_AESIMC = 13;
-	public static final int ARM64_INS_AESMC = 14;
-	public static final int ARM64_INS_AND = 15;
-	public static final int ARM64_INS_ASR = 16;
-	public static final int ARM64_INS_AT = 17;
-	public static final int ARM64_INS_BFI = 18;
-	public static final int ARM64_INS_BFM = 19;
-	public static final int ARM64_INS_BFXIL = 20;
-	public static final int ARM64_INS_BIC = 21;
-	public static final int ARM64_INS_BIF = 22;
-	public static final int ARM64_INS_BIT = 23;
-	public static final int ARM64_INS_BLR = 24;
-	public static final int ARM64_INS_BL = 25;
-	public static final int ARM64_INS_BRK = 26;
-	public static final int ARM64_INS_BR = 27;
-	public static final int ARM64_INS_BSL = 28;
-	public static final int ARM64_INS_B = 29;
-	public static final int ARM64_INS_CBNZ = 30;
-	public static final int ARM64_INS_CBZ = 31;
-	public static final int ARM64_INS_CCMN = 32;
-	public static final int ARM64_INS_CCMP = 33;
-	public static final int ARM64_INS_CLREX = 34;
-	public static final int ARM64_INS_CLS = 35;
-	public static final int ARM64_INS_CLZ = 36;
-	public static final int ARM64_INS_CMEQ = 37;
-	public static final int ARM64_INS_CMGE = 38;
-	public static final int ARM64_INS_CMGT = 39;
-	public static final int ARM64_INS_CMHI = 40;
-	public static final int ARM64_INS_CMHS = 41;
-	public static final int ARM64_INS_CMLE = 42;
-	public static final int ARM64_INS_CMLT = 43;
-	public static final int ARM64_INS_CMP = 44;
-	public static final int ARM64_INS_CMTST = 45;
-	public static final int ARM64_INS_CNT = 46;
-	public static final int ARM64_INS_CRC32B = 47;
-	public static final int ARM64_INS_CRC32CB = 48;
-	public static final int ARM64_INS_CRC32CH = 49;
-	public static final int ARM64_INS_CRC32CW = 50;
-	public static final int ARM64_INS_CRC32CX = 51;
-	public static final int ARM64_INS_CRC32H = 52;
-	public static final int ARM64_INS_CRC32W = 53;
-	public static final int ARM64_INS_CRC32X = 54;
-	public static final int ARM64_INS_CSEL = 55;
-	public static final int ARM64_INS_CSINC = 56;
-	public static final int ARM64_INS_CSINV = 57;
-	public static final int ARM64_INS_CSNEG = 58;
-	public static final int ARM64_INS_DCPS1 = 59;
-	public static final int ARM64_INS_DCPS2 = 60;
-	public static final int ARM64_INS_DCPS3 = 61;
-	public static final int ARM64_INS_DC = 62;
-	public static final int ARM64_INS_DMB = 63;
-	public static final int ARM64_INS_DRPS = 64;
-	public static final int ARM64_INS_DSB = 65;
-	public static final int ARM64_INS_DUP = 66;
-	public static final int ARM64_INS_EON = 67;
-	public static final int ARM64_INS_EOR = 68;
-	public static final int ARM64_INS_ERET = 69;
-	public static final int ARM64_INS_EXTR = 70;
-	public static final int ARM64_INS_EXT = 71;
-	public static final int ARM64_INS_FABD = 72;
-	public static final int ARM64_INS_FABS = 73;
-	public static final int ARM64_INS_FACGE = 74;
-	public static final int ARM64_INS_FACGT = 75;
-	public static final int ARM64_INS_FADDP = 76;
-	public static final int ARM64_INS_FADD = 77;
-	public static final int ARM64_INS_FCCMPE = 78;
-	public static final int ARM64_INS_FCCMP = 79;
-	public static final int ARM64_INS_FCMEQ = 80;
-	public static final int ARM64_INS_FCMGE = 81;
-	public static final int ARM64_INS_FCMGT = 82;
-	public static final int ARM64_INS_FCMLE = 83;
-	public static final int ARM64_INS_FCMLT = 84;
-	public static final int ARM64_INS_FCMP = 85;
-	public static final int ARM64_INS_FCMPE = 86;
-	public static final int ARM64_INS_FCSEL = 87;
-	public static final int ARM64_INS_FCVTAS = 88;
-	public static final int ARM64_INS_FCVTAU = 89;
-	public static final int ARM64_INS_FCVTL = 90;
-	public static final int ARM64_INS_FCVTL2 = 91;
-	public static final int ARM64_INS_FCVTMS = 92;
-	public static final int ARM64_INS_FCVTMU = 93;
-	public static final int ARM64_INS_FCVTN = 94;
-	public static final int ARM64_INS_FCVTN2 = 95;
-	public static final int ARM64_INS_FCVTNS = 96;
-	public static final int ARM64_INS_FCVTNU = 97;
-	public static final int ARM64_INS_FCVTPS = 98;
-	public static final int ARM64_INS_FCVTPU = 99;
-	public static final int ARM64_INS_FCVTXN = 100;
-	public static final int ARM64_INS_FCVTXN2 = 101;
-	public static final int ARM64_INS_FCVTZS = 102;
-	public static final int ARM64_INS_FCVTZU = 103;
-	public static final int ARM64_INS_FCVT = 104;
-	public static final int ARM64_INS_FDIV = 105;
-	public static final int ARM64_INS_FMADD = 106;
-	public static final int ARM64_INS_FMAXNMP = 107;
-	public static final int ARM64_INS_FMAXNMV = 108;
-	public static final int ARM64_INS_FMAXNM = 109;
-	public static final int ARM64_INS_FMAXP = 110;
-	public static final int ARM64_INS_FMAXV = 111;
-	public static final int ARM64_INS_FMAX = 112;
-	public static final int ARM64_INS_FMINNMP = 113;
-	public static final int ARM64_INS_FMINNMV = 114;
-	public static final int ARM64_INS_FMINNM = 115;
-	public static final int ARM64_INS_FMINP = 116;
-	public static final int ARM64_INS_FMINV = 117;
-	public static final int ARM64_INS_FMIN = 118;
-	public static final int ARM64_INS_FMLA = 119;
-	public static final int ARM64_INS_FMLS = 120;
-	public static final int ARM64_INS_FMOV = 121;
-	public static final int ARM64_INS_FMSUB = 122;
-	public static final int ARM64_INS_FMULX = 123;
-	public static final int ARM64_INS_FMUL = 124;
-	public static final int ARM64_INS_FNEG = 125;
-	public static final int ARM64_INS_FNMADD = 126;
-	public static final int ARM64_INS_FNMSUB = 127;
-	public static final int ARM64_INS_FNMUL = 128;
-	public static final int ARM64_INS_FRECPE = 129;
-	public static final int ARM64_INS_FRECPS = 130;
-	public static final int ARM64_INS_FRECPX = 131;
-	public static final int ARM64_INS_FRINTA = 132;
-	public static final int ARM64_INS_FRINTI = 133;
-	public static final int ARM64_INS_FRINTM = 134;
-	public static final int ARM64_INS_FRINTN = 135;
-	public static final int ARM64_INS_FRINTP = 136;
-	public static final int ARM64_INS_FRINTX = 137;
-	public static final int ARM64_INS_FRINTZ = 138;
-	public static final int ARM64_INS_FRSQRTE = 139;
-	public static final int ARM64_INS_FRSQRTS = 140;
-	public static final int ARM64_INS_FSQRT = 141;
-	public static final int ARM64_INS_FSUB = 142;
-	public static final int ARM64_INS_HINT = 143;
-	public static final int ARM64_INS_HLT = 144;
-	public static final int ARM64_INS_HVC = 145;
-	public static final int ARM64_INS_IC = 146;
-	public static final int ARM64_INS_INS = 147;
-	public static final int ARM64_INS_ISB = 148;
-	public static final int ARM64_INS_LD1 = 149;
-	public static final int ARM64_INS_LD1R = 150;
-	public static final int ARM64_INS_LD2 = 151;
-	public static final int ARM64_INS_LD2R = 152;
-	public static final int ARM64_INS_LD3 = 153;
-	public static final int ARM64_INS_LD3R = 154;
-	public static final int ARM64_INS_LD4 = 155;
-	public static final int ARM64_INS_LD4R = 156;
-	public static final int ARM64_INS_LDARB = 157;
-	public static final int ARM64_INS_LDAR = 158;
-	public static final int ARM64_INS_LDARH = 159;
-	public static final int ARM64_INS_LDAXP = 160;
-	public static final int ARM64_INS_LDAXRB = 161;
-	public static final int ARM64_INS_LDAXR = 162;
-	public static final int ARM64_INS_LDAXRH = 163;
-	public static final int ARM64_INS_LDPSW = 164;
-	public static final int ARM64_INS_LDRSB = 165;
-	public static final int ARM64_INS_LDURSB = 166;
-	public static final int ARM64_INS_LDRSH = 167;
-	public static final int ARM64_INS_LDURSH = 168;
-	public static final int ARM64_INS_LDRSW = 169;
-	public static final int ARM64_INS_LDR = 170;
-	public static final int ARM64_INS_LDTRSB = 171;
-	public static final int ARM64_INS_LDTRSH = 172;
-	public static final int ARM64_INS_LDTRSW = 173;
-	public static final int ARM64_INS_LDURSW = 174;
-	public static final int ARM64_INS_LDXP = 175;
-	public static final int ARM64_INS_LDXRB = 176;
-	public static final int ARM64_INS_LDXR = 177;
-	public static final int ARM64_INS_LDXRH = 178;
-	public static final int ARM64_INS_LDRH = 179;
-	public static final int ARM64_INS_LDURH = 180;
-	public static final int ARM64_INS_STRH = 181;
-	public static final int ARM64_INS_STURH = 182;
-	public static final int ARM64_INS_LDTRH = 183;
-	public static final int ARM64_INS_STTRH = 184;
-	public static final int ARM64_INS_LDUR = 185;
-	public static final int ARM64_INS_STR = 186;
-	public static final int ARM64_INS_STUR = 187;
-	public static final int ARM64_INS_LDTR = 188;
-	public static final int ARM64_INS_STTR = 189;
-	public static final int ARM64_INS_LDRB = 190;
-	public static final int ARM64_INS_LDURB = 191;
-	public static final int ARM64_INS_STRB = 192;
-	public static final int ARM64_INS_STURB = 193;
-	public static final int ARM64_INS_LDTRB = 194;
-	public static final int ARM64_INS_STTRB = 195;
-	public static final int ARM64_INS_LDP = 196;
-	public static final int ARM64_INS_LDNP = 197;
-	public static final int ARM64_INS_STNP = 198;
-	public static final int ARM64_INS_STP = 199;
-	public static final int ARM64_INS_LSL = 200;
-	public static final int ARM64_INS_LSR = 201;
-	public static final int ARM64_INS_MADD = 202;
-	public static final int ARM64_INS_MLA = 203;
-	public static final int ARM64_INS_MLS = 204;
-	public static final int ARM64_INS_MOVI = 205;
-	public static final int ARM64_INS_MOVK = 206;
-	public static final int ARM64_INS_MOVN = 207;
-	public static final int ARM64_INS_MOVZ = 208;
-	public static final int ARM64_INS_MRS = 209;
-	public static final int ARM64_INS_MSR = 210;
-	public static final int ARM64_INS_MSUB = 211;
-	public static final int ARM64_INS_MUL = 212;
-	public static final int ARM64_INS_MVNI = 213;
-	public static final int ARM64_INS_MVN = 214;
-	public static final int ARM64_INS_NEG = 215;
-	public static final int ARM64_INS_NOT = 216;
-	public static final int ARM64_INS_ORN = 217;
-	public static final int ARM64_INS_ORR = 218;
-	public static final int ARM64_INS_PMULL2 = 219;
-	public static final int ARM64_INS_PMULL = 220;
-	public static final int ARM64_INS_PMUL = 221;
-	public static final int ARM64_INS_PRFM = 222;
-	public static final int ARM64_INS_PRFUM = 223;
-	public static final int ARM64_INS_SQRSHRUN2 = 224;
-	public static final int ARM64_INS_SQRSHRUN = 225;
-	public static final int ARM64_INS_SQSHRUN2 = 226;
-	public static final int ARM64_INS_SQSHRUN = 227;
-	public static final int ARM64_INS_RADDHN2 = 228;
-	public static final int ARM64_INS_RADDHN = 229;
-	public static final int ARM64_INS_RBIT = 230;
-	public static final int ARM64_INS_RET = 231;
-	public static final int ARM64_INS_REV16 = 232;
-	public static final int ARM64_INS_REV32 = 233;
-	public static final int ARM64_INS_REV64 = 234;
-	public static final int ARM64_INS_REV = 235;
-	public static final int ARM64_INS_ROR = 236;
-	public static final int ARM64_INS_RSHRN2 = 237;
-	public static final int ARM64_INS_RSHRN = 238;
-	public static final int ARM64_INS_RSUBHN2 = 239;
-	public static final int ARM64_INS_RSUBHN = 240;
-	public static final int ARM64_INS_SABAL2 = 241;
-	public static final int ARM64_INS_SABAL = 242;
-	public static final int ARM64_INS_SABA = 243;
-	public static final int ARM64_INS_SABDL2 = 244;
-	public static final int ARM64_INS_SABDL = 245;
-	public static final int ARM64_INS_SABD = 246;
-	public static final int ARM64_INS_SADALP = 247;
-	public static final int ARM64_INS_SADDL2 = 248;
-	public static final int ARM64_INS_SADDLP = 249;
-	public static final int ARM64_INS_SADDLV = 250;
-	public static final int ARM64_INS_SADDL = 251;
-	public static final int ARM64_INS_SADDW2 = 252;
-	public static final int ARM64_INS_SADDW = 253;
-	public static final int ARM64_INS_SBC = 254;
-	public static final int ARM64_INS_SBFIZ = 255;
-	public static final int ARM64_INS_SBFM = 256;
-	public static final int ARM64_INS_SBFX = 257;
-	public static final int ARM64_INS_SCVTF = 258;
-	public static final int ARM64_INS_SDIV = 259;
-	public static final int ARM64_INS_SHA1C = 260;
-	public static final int ARM64_INS_SHA1H = 261;
-	public static final int ARM64_INS_SHA1M = 262;
-	public static final int ARM64_INS_SHA1P = 263;
-	public static final int ARM64_INS_SHA1SU0 = 264;
-	public static final int ARM64_INS_SHA1SU1 = 265;
-	public static final int ARM64_INS_SHA256H = 266;
-	public static final int ARM64_INS_SHA256H2 = 267;
-	public static final int ARM64_INS_SHA256SU0 = 268;
-	public static final int ARM64_INS_SHA256SU1 = 269;
-	public static final int ARM64_INS_SHADD = 270;
-	public static final int ARM64_INS_SHLL2 = 271;
-	public static final int ARM64_INS_SHLL = 272;
-	public static final int ARM64_INS_SHL = 273;
-	public static final int ARM64_INS_SHRN2 = 274;
-	public static final int ARM64_INS_SHRN = 275;
-	public static final int ARM64_INS_SHSUB = 276;
-	public static final int ARM64_INS_SLI = 277;
-	public static final int ARM64_INS_SMADDL = 278;
-	public static final int ARM64_INS_SMAXP = 279;
-	public static final int ARM64_INS_SMAXV = 280;
-	public static final int ARM64_INS_SMAX = 281;
-	public static final int ARM64_INS_SMC = 282;
-	public static final int ARM64_INS_SMINP = 283;
-	public static final int ARM64_INS_SMINV = 284;
-	public static final int ARM64_INS_SMIN = 285;
-	public static final int ARM64_INS_SMLAL2 = 286;
-	public static final int ARM64_INS_SMLAL = 287;
-	public static final int ARM64_INS_SMLSL2 = 288;
-	public static final int ARM64_INS_SMLSL = 289;
-	public static final int ARM64_INS_SMOV = 290;
-	public static final int ARM64_INS_SMSUBL = 291;
-	public static final int ARM64_INS_SMULH = 292;
-	public static final int ARM64_INS_SMULL2 = 293;
-	public static final int ARM64_INS_SMULL = 294;
-	public static final int ARM64_INS_SQABS = 295;
-	public static final int ARM64_INS_SQADD = 296;
-	public static final int ARM64_INS_SQDMLAL2 = 297;
-	public static final int ARM64_INS_SQDMLAL = 298;
-	public static final int ARM64_INS_SQDMLSL2 = 299;
-	public static final int ARM64_INS_SQDMLSL = 300;
-	public static final int ARM64_INS_SQDMULH = 301;
-	public static final int ARM64_INS_SQDMULL2 = 302;
-	public static final int ARM64_INS_SQDMULL = 303;
-	public static final int ARM64_INS_SQNEG = 304;
-	public static final int ARM64_INS_SQRDMULH = 305;
-	public static final int ARM64_INS_SQRSHL = 306;
-	public static final int ARM64_INS_SQRSHRN = 307;
-	public static final int ARM64_INS_SQRSHRN2 = 308;
-	public static final int ARM64_INS_SQSHLU = 309;
-	public static final int ARM64_INS_SQSHL = 310;
-	public static final int ARM64_INS_SQSHRN = 311;
-	public static final int ARM64_INS_SQSHRN2 = 312;
-	public static final int ARM64_INS_SQSUB = 313;
-	public static final int ARM64_INS_SQXTN = 314;
-	public static final int ARM64_INS_SQXTN2 = 315;
-	public static final int ARM64_INS_SQXTUN = 316;
-	public static final int ARM64_INS_SQXTUN2 = 317;
-	public static final int ARM64_INS_SRHADD = 318;
-	public static final int ARM64_INS_SRI = 319;
-	public static final int ARM64_INS_SRSHL = 320;
-	public static final int ARM64_INS_SRSHR = 321;
-	public static final int ARM64_INS_SRSRA = 322;
-	public static final int ARM64_INS_SSHLL2 = 323;
-	public static final int ARM64_INS_SSHLL = 324;
-	public static final int ARM64_INS_SSHL = 325;
-	public static final int ARM64_INS_SSHR = 326;
-	public static final int ARM64_INS_SSRA = 327;
-	public static final int ARM64_INS_SSUBL2 = 328;
-	public static final int ARM64_INS_SSUBL = 329;
-	public static final int ARM64_INS_SSUBW2 = 330;
-	public static final int ARM64_INS_SSUBW = 331;
-	public static final int ARM64_INS_ST1 = 332;
-	public static final int ARM64_INS_ST2 = 333;
-	public static final int ARM64_INS_ST3 = 334;
-	public static final int ARM64_INS_ST4 = 335;
-	public static final int ARM64_INS_STLRB = 336;
-	public static final int ARM64_INS_STLR = 337;
-	public static final int ARM64_INS_STLRH = 338;
-	public static final int ARM64_INS_STLXP = 339;
-	public static final int ARM64_INS_STLXRB = 340;
-	public static final int ARM64_INS_STLXR = 341;
-	public static final int ARM64_INS_STLXRH = 342;
-	public static final int ARM64_INS_STXP = 343;
-	public static final int ARM64_INS_STXRB = 344;
-	public static final int ARM64_INS_STXR = 345;
-	public static final int ARM64_INS_STXRH = 346;
-	public static final int ARM64_INS_SUBHN2 = 347;
-	public static final int ARM64_INS_SUBHN = 348;
-	public static final int ARM64_INS_SUB = 349;
-	public static final int ARM64_INS_SUQADD = 350;
-	public static final int ARM64_INS_SVC = 351;
-	public static final int ARM64_INS_SXTB = 352;
-	public static final int ARM64_INS_SXTH = 353;
-	public static final int ARM64_INS_SXTW = 354;
-	public static final int ARM64_INS_SYSL = 355;
-	public static final int ARM64_INS_SYS = 356;
-	public static final int ARM64_INS_TBL = 357;
-	public static final int ARM64_INS_TBNZ = 358;
-	public static final int ARM64_INS_TBX = 359;
-	public static final int ARM64_INS_TBZ = 360;
-	public static final int ARM64_INS_TLBI = 361;
-	public static final int ARM64_INS_TRN1 = 362;
-	public static final int ARM64_INS_TRN2 = 363;
-	public static final int ARM64_INS_TST = 364;
-	public static final int ARM64_INS_UABAL2 = 365;
-	public static final int ARM64_INS_UABAL = 366;
-	public static final int ARM64_INS_UABA = 367;
-	public static final int ARM64_INS_UABDL2 = 368;
-	public static final int ARM64_INS_UABDL = 369;
-	public static final int ARM64_INS_UABD = 370;
-	public static final int ARM64_INS_UADALP = 371;
-	public static final int ARM64_INS_UADDL2 = 372;
-	public static final int ARM64_INS_UADDLP = 373;
-	public static final int ARM64_INS_UADDLV = 374;
-	public static final int ARM64_INS_UADDL = 375;
-	public static final int ARM64_INS_UADDW2 = 376;
-	public static final int ARM64_INS_UADDW = 377;
-	public static final int ARM64_INS_UBFIZ = 378;
-	public static final int ARM64_INS_UBFM = 379;
-	public static final int ARM64_INS_UBFX = 380;
-	public static final int ARM64_INS_UCVTF = 381;
-	public static final int ARM64_INS_UDIV = 382;
-	public static final int ARM64_INS_UHADD = 383;
-	public static final int ARM64_INS_UHSUB = 384;
-	public static final int ARM64_INS_UMADDL = 385;
-	public static final int ARM64_INS_UMAXP = 386;
-	public static final int ARM64_INS_UMAXV = 387;
-	public static final int ARM64_INS_UMAX = 388;
-	public static final int ARM64_INS_UMINP = 389;
-	public static final int ARM64_INS_UMINV = 390;
-	public static final int ARM64_INS_UMIN = 391;
-	public static final int ARM64_INS_UMLAL2 = 392;
-	public static final int ARM64_INS_UMLAL = 393;
-	public static final int ARM64_INS_UMLSL2 = 394;
-	public static final int ARM64_INS_UMLSL = 395;
-	public static final int ARM64_INS_UMOV = 396;
-	public static final int ARM64_INS_UMSUBL = 397;
-	public static final int ARM64_INS_UMULH = 398;
-	public static final int ARM64_INS_UMULL2 = 399;
-	public static final int ARM64_INS_UMULL = 400;
-	public static final int ARM64_INS_UQADD = 401;
-	public static final int ARM64_INS_UQRSHL = 402;
-	public static final int ARM64_INS_UQRSHRN = 403;
-	public static final int ARM64_INS_UQRSHRN2 = 404;
-	public static final int ARM64_INS_UQSHL = 405;
-	public static final int ARM64_INS_UQSHRN = 406;
-	public static final int ARM64_INS_UQSHRN2 = 407;
-	public static final int ARM64_INS_UQSUB = 408;
-	public static final int ARM64_INS_UQXTN = 409;
-	public static final int ARM64_INS_UQXTN2 = 410;
-	public static final int ARM64_INS_URECPE = 411;
-	public static final int ARM64_INS_URHADD = 412;
-	public static final int ARM64_INS_URSHL = 413;
-	public static final int ARM64_INS_URSHR = 414;
-	public static final int ARM64_INS_URSQRTE = 415;
-	public static final int ARM64_INS_URSRA = 416;
-	public static final int ARM64_INS_USHLL2 = 417;
-	public static final int ARM64_INS_USHLL = 418;
-	public static final int ARM64_INS_USHL = 419;
-	public static final int ARM64_INS_USHR = 420;
-	public static final int ARM64_INS_USQADD = 421;
-	public static final int ARM64_INS_USRA = 422;
-	public static final int ARM64_INS_USUBL2 = 423;
-	public static final int ARM64_INS_USUBL = 424;
-	public static final int ARM64_INS_USUBW2 = 425;
-	public static final int ARM64_INS_USUBW = 426;
-	public static final int ARM64_INS_UXTB = 427;
-	public static final int ARM64_INS_UXTH = 428;
-	public static final int ARM64_INS_UZP1 = 429;
-	public static final int ARM64_INS_UZP2 = 430;
-	public static final int ARM64_INS_XTN = 431;
-	public static final int ARM64_INS_XTN2 = 432;
-	public static final int ARM64_INS_ZIP1 = 433;
-	public static final int ARM64_INS_ZIP2 = 434;
-	public static final int ARM64_INS_MNEG = 435;
-	public static final int ARM64_INS_UMNEGL = 436;
-	public static final int ARM64_INS_SMNEGL = 437;
-	public static final int ARM64_INS_MOV = 438;
-	public static final int ARM64_INS_NOP = 439;
-	public static final int ARM64_INS_YIELD = 440;
-	public static final int ARM64_INS_WFE = 441;
-	public static final int ARM64_INS_WFI = 442;
-	public static final int ARM64_INS_SEV = 443;
-	public static final int ARM64_INS_SEVL = 444;
-	public static final int ARM64_INS_NGC = 445;
-	public static final int ARM64_INS_MAX = 446;
+	public static final int ARM64_INS_AESD = 10;
+	public static final int ARM64_INS_AESE = 11;
+	public static final int ARM64_INS_AESIMC = 12;
+	public static final int ARM64_INS_AESMC = 13;
+	public static final int ARM64_INS_AND = 14;
+	public static final int ARM64_INS_ASR = 15;
+	public static final int ARM64_INS_B = 16;
+	public static final int ARM64_INS_BFM = 17;
+	public static final int ARM64_INS_BIC = 18;
+	public static final int ARM64_INS_BIF = 19;
+	public static final int ARM64_INS_BIT = 20;
+	public static final int ARM64_INS_BL = 21;
+	public static final int ARM64_INS_BLR = 22;
+	public static final int ARM64_INS_BR = 23;
+	public static final int ARM64_INS_BRK = 24;
+	public static final int ARM64_INS_BSL = 25;
+	public static final int ARM64_INS_CBNZ = 26;
+	public static final int ARM64_INS_CBZ = 27;
+	public static final int ARM64_INS_CCMN = 28;
+	public static final int ARM64_INS_CCMP = 29;
+	public static final int ARM64_INS_CLREX = 30;
+	public static final int ARM64_INS_CLS = 31;
+	public static final int ARM64_INS_CLZ = 32;
+	public static final int ARM64_INS_CMEQ = 33;
+	public static final int ARM64_INS_CMGE = 34;
+	public static final int ARM64_INS_CMGT = 35;
+	public static final int ARM64_INS_CMHI = 36;
+	public static final int ARM64_INS_CMHS = 37;
+	public static final int ARM64_INS_CMLE = 38;
+	public static final int ARM64_INS_CMLT = 39;
+	public static final int ARM64_INS_CMTST = 40;
+	public static final int ARM64_INS_CNT = 41;
+	public static final int ARM64_INS_MOV = 42;
+	public static final int ARM64_INS_CRC32B = 43;
+	public static final int ARM64_INS_CRC32CB = 44;
+	public static final int ARM64_INS_CRC32CH = 45;
+	public static final int ARM64_INS_CRC32CW = 46;
+	public static final int ARM64_INS_CRC32CX = 47;
+	public static final int ARM64_INS_CRC32H = 48;
+	public static final int ARM64_INS_CRC32W = 49;
+	public static final int ARM64_INS_CRC32X = 50;
+	public static final int ARM64_INS_CSEL = 51;
+	public static final int ARM64_INS_CSINC = 52;
+	public static final int ARM64_INS_CSINV = 53;
+	public static final int ARM64_INS_CSNEG = 54;
+	public static final int ARM64_INS_DCPS1 = 55;
+	public static final int ARM64_INS_DCPS2 = 56;
+	public static final int ARM64_INS_DCPS3 = 57;
+	public static final int ARM64_INS_DMB = 58;
+	public static final int ARM64_INS_DRPS = 59;
+	public static final int ARM64_INS_DSB = 60;
+	public static final int ARM64_INS_DUP = 61;
+	public static final int ARM64_INS_EON = 62;
+	public static final int ARM64_INS_EOR = 63;
+	public static final int ARM64_INS_ERET = 64;
+	public static final int ARM64_INS_EXTR = 65;
+	public static final int ARM64_INS_EXT = 66;
+	public static final int ARM64_INS_FABD = 67;
+	public static final int ARM64_INS_FABS = 68;
+	public static final int ARM64_INS_FACGE = 69;
+	public static final int ARM64_INS_FACGT = 70;
+	public static final int ARM64_INS_FADD = 71;
+	public static final int ARM64_INS_FADDP = 72;
+	public static final int ARM64_INS_FCCMP = 73;
+	public static final int ARM64_INS_FCCMPE = 74;
+	public static final int ARM64_INS_FCMEQ = 75;
+	public static final int ARM64_INS_FCMGE = 76;
+	public static final int ARM64_INS_FCMGT = 77;
+	public static final int ARM64_INS_FCMLE = 78;
+	public static final int ARM64_INS_FCMLT = 79;
+	public static final int ARM64_INS_FCMP = 80;
+	public static final int ARM64_INS_FCMPE = 81;
+	public static final int ARM64_INS_FCSEL = 82;
+	public static final int ARM64_INS_FCVTAS = 83;
+	public static final int ARM64_INS_FCVTAU = 84;
+	public static final int ARM64_INS_FCVT = 85;
+	public static final int ARM64_INS_FCVTL = 86;
+	public static final int ARM64_INS_FCVTL2 = 87;
+	public static final int ARM64_INS_FCVTMS = 88;
+	public static final int ARM64_INS_FCVTMU = 89;
+	public static final int ARM64_INS_FCVTNS = 90;
+	public static final int ARM64_INS_FCVTNU = 91;
+	public static final int ARM64_INS_FCVTN = 92;
+	public static final int ARM64_INS_FCVTN2 = 93;
+	public static final int ARM64_INS_FCVTPS = 94;
+	public static final int ARM64_INS_FCVTPU = 95;
+	public static final int ARM64_INS_FCVTXN = 96;
+	public static final int ARM64_INS_FCVTXN2 = 97;
+	public static final int ARM64_INS_FCVTZS = 98;
+	public static final int ARM64_INS_FCVTZU = 99;
+	public static final int ARM64_INS_FDIV = 100;
+	public static final int ARM64_INS_FMADD = 101;
+	public static final int ARM64_INS_FMAX = 102;
+	public static final int ARM64_INS_FMAXNM = 103;
+	public static final int ARM64_INS_FMAXNMP = 104;
+	public static final int ARM64_INS_FMAXNMV = 105;
+	public static final int ARM64_INS_FMAXP = 106;
+	public static final int ARM64_INS_FMAXV = 107;
+	public static final int ARM64_INS_FMIN = 108;
+	public static final int ARM64_INS_FMINNM = 109;
+	public static final int ARM64_INS_FMINNMP = 110;
+	public static final int ARM64_INS_FMINNMV = 111;
+	public static final int ARM64_INS_FMINP = 112;
+	public static final int ARM64_INS_FMINV = 113;
+	public static final int ARM64_INS_FMLA = 114;
+	public static final int ARM64_INS_FMLS = 115;
+	public static final int ARM64_INS_FMOV = 116;
+	public static final int ARM64_INS_FMSUB = 117;
+	public static final int ARM64_INS_FMUL = 118;
+	public static final int ARM64_INS_FMULX = 119;
+	public static final int ARM64_INS_FNEG = 120;
+	public static final int ARM64_INS_FNMADD = 121;
+	public static final int ARM64_INS_FNMSUB = 122;
+	public static final int ARM64_INS_FNMUL = 123;
+	public static final int ARM64_INS_FRECPE = 124;
+	public static final int ARM64_INS_FRECPS = 125;
+	public static final int ARM64_INS_FRECPX = 126;
+	public static final int ARM64_INS_FRINTA = 127;
+	public static final int ARM64_INS_FRINTI = 128;
+	public static final int ARM64_INS_FRINTM = 129;
+	public static final int ARM64_INS_FRINTN = 130;
+	public static final int ARM64_INS_FRINTP = 131;
+	public static final int ARM64_INS_FRINTX = 132;
+	public static final int ARM64_INS_FRINTZ = 133;
+	public static final int ARM64_INS_FRSQRTE = 134;
+	public static final int ARM64_INS_FRSQRTS = 135;
+	public static final int ARM64_INS_FSQRT = 136;
+	public static final int ARM64_INS_FSUB = 137;
+	public static final int ARM64_INS_HINT = 138;
+	public static final int ARM64_INS_HLT = 139;
+	public static final int ARM64_INS_HVC = 140;
+	public static final int ARM64_INS_INS = 141;
+	public static final int ARM64_INS_ISB = 142;
+	public static final int ARM64_INS_LD1 = 143;
+	public static final int ARM64_INS_LD1R = 144;
+	public static final int ARM64_INS_LD2R = 145;
+	public static final int ARM64_INS_LD2 = 146;
+	public static final int ARM64_INS_LD3R = 147;
+	public static final int ARM64_INS_LD3 = 148;
+	public static final int ARM64_INS_LD4 = 149;
+	public static final int ARM64_INS_LD4R = 150;
+	public static final int ARM64_INS_LDARB = 151;
+	public static final int ARM64_INS_LDARH = 152;
+	public static final int ARM64_INS_LDAR = 153;
+	public static final int ARM64_INS_LDAXP = 154;
+	public static final int ARM64_INS_LDAXRB = 155;
+	public static final int ARM64_INS_LDAXRH = 156;
+	public static final int ARM64_INS_LDAXR = 157;
+	public static final int ARM64_INS_LDNP = 158;
+	public static final int ARM64_INS_LDP = 159;
+	public static final int ARM64_INS_LDPSW = 160;
+	public static final int ARM64_INS_LDRB = 161;
+	public static final int ARM64_INS_LDR = 162;
+	public static final int ARM64_INS_LDRH = 163;
+	public static final int ARM64_INS_LDRSB = 164;
+	public static final int ARM64_INS_LDRSH = 165;
+	public static final int ARM64_INS_LDRSW = 166;
+	public static final int ARM64_INS_LDTRB = 167;
+	public static final int ARM64_INS_LDTRH = 168;
+	public static final int ARM64_INS_LDTRSB = 169;
+	public static final int ARM64_INS_LDTRSH = 170;
+	public static final int ARM64_INS_LDTRSW = 171;
+	public static final int ARM64_INS_LDTR = 172;
+	public static final int ARM64_INS_LDURB = 173;
+	public static final int ARM64_INS_LDUR = 174;
+	public static final int ARM64_INS_LDURH = 175;
+	public static final int ARM64_INS_LDURSB = 176;
+	public static final int ARM64_INS_LDURSH = 177;
+	public static final int ARM64_INS_LDURSW = 178;
+	public static final int ARM64_INS_LDXP = 179;
+	public static final int ARM64_INS_LDXRB = 180;
+	public static final int ARM64_INS_LDXRH = 181;
+	public static final int ARM64_INS_LDXR = 182;
+	public static final int ARM64_INS_LSL = 183;
+	public static final int ARM64_INS_LSR = 184;
+	public static final int ARM64_INS_MADD = 185;
+	public static final int ARM64_INS_MLA = 186;
+	public static final int ARM64_INS_MLS = 187;
+	public static final int ARM64_INS_MOVI = 188;
+	public static final int ARM64_INS_MOVK = 189;
+	public static final int ARM64_INS_MOVN = 190;
+	public static final int ARM64_INS_MOVZ = 191;
+	public static final int ARM64_INS_MRS = 192;
+	public static final int ARM64_INS_MSR = 193;
+	public static final int ARM64_INS_MSUB = 194;
+	public static final int ARM64_INS_MUL = 195;
+	public static final int ARM64_INS_MVNI = 196;
+	public static final int ARM64_INS_NEG = 197;
+	public static final int ARM64_INS_NOT = 198;
+	public static final int ARM64_INS_ORN = 199;
+	public static final int ARM64_INS_ORR = 200;
+	public static final int ARM64_INS_PMULL2 = 201;
+	public static final int ARM64_INS_PMULL = 202;
+	public static final int ARM64_INS_PMUL = 203;
+	public static final int ARM64_INS_PRFM = 204;
+	public static final int ARM64_INS_PRFUM = 205;
+	public static final int ARM64_INS_RADDHN = 206;
+	public static final int ARM64_INS_RADDHN2 = 207;
+	public static final int ARM64_INS_RBIT = 208;
+	public static final int ARM64_INS_RET = 209;
+	public static final int ARM64_INS_REV16 = 210;
+	public static final int ARM64_INS_REV32 = 211;
+	public static final int ARM64_INS_REV64 = 212;
+	public static final int ARM64_INS_REV = 213;
+	public static final int ARM64_INS_ROR = 214;
+	public static final int ARM64_INS_RSHRN2 = 215;
+	public static final int ARM64_INS_RSHRN = 216;
+	public static final int ARM64_INS_RSUBHN = 217;
+	public static final int ARM64_INS_RSUBHN2 = 218;
+	public static final int ARM64_INS_SABAL2 = 219;
+	public static final int ARM64_INS_SABAL = 220;
+	public static final int ARM64_INS_SABA = 221;
+	public static final int ARM64_INS_SABDL2 = 222;
+	public static final int ARM64_INS_SABDL = 223;
+	public static final int ARM64_INS_SABD = 224;
+	public static final int ARM64_INS_SADALP = 225;
+	public static final int ARM64_INS_SADDLP = 226;
+	public static final int ARM64_INS_SADDLV = 227;
+	public static final int ARM64_INS_SADDL2 = 228;
+	public static final int ARM64_INS_SADDL = 229;
+	public static final int ARM64_INS_SADDW2 = 230;
+	public static final int ARM64_INS_SADDW = 231;
+	public static final int ARM64_INS_SBC = 232;
+	public static final int ARM64_INS_SBFM = 233;
+	public static final int ARM64_INS_SCVTF = 234;
+	public static final int ARM64_INS_SDIV = 235;
+	public static final int ARM64_INS_SHA1C = 236;
+	public static final int ARM64_INS_SHA1H = 237;
+	public static final int ARM64_INS_SHA1M = 238;
+	public static final int ARM64_INS_SHA1P = 239;
+	public static final int ARM64_INS_SHA1SU0 = 240;
+	public static final int ARM64_INS_SHA1SU1 = 241;
+	public static final int ARM64_INS_SHA256H2 = 242;
+	public static final int ARM64_INS_SHA256H = 243;
+	public static final int ARM64_INS_SHA256SU0 = 244;
+	public static final int ARM64_INS_SHA256SU1 = 245;
+	public static final int ARM64_INS_SHADD = 246;
+	public static final int ARM64_INS_SHLL2 = 247;
+	public static final int ARM64_INS_SHLL = 248;
+	public static final int ARM64_INS_SHL = 249;
+	public static final int ARM64_INS_SHRN2 = 250;
+	public static final int ARM64_INS_SHRN = 251;
+	public static final int ARM64_INS_SHSUB = 252;
+	public static final int ARM64_INS_SLI = 253;
+	public static final int ARM64_INS_SMADDL = 254;
+	public static final int ARM64_INS_SMAXP = 255;
+	public static final int ARM64_INS_SMAXV = 256;
+	public static final int ARM64_INS_SMAX = 257;
+	public static final int ARM64_INS_SMC = 258;
+	public static final int ARM64_INS_SMINP = 259;
+	public static final int ARM64_INS_SMINV = 260;
+	public static final int ARM64_INS_SMIN = 261;
+	public static final int ARM64_INS_SMLAL2 = 262;
+	public static final int ARM64_INS_SMLAL = 263;
+	public static final int ARM64_INS_SMLSL2 = 264;
+	public static final int ARM64_INS_SMLSL = 265;
+	public static final int ARM64_INS_SMOV = 266;
+	public static final int ARM64_INS_SMSUBL = 267;
+	public static final int ARM64_INS_SMULH = 268;
+	public static final int ARM64_INS_SMULL2 = 269;
+	public static final int ARM64_INS_SMULL = 270;
+	public static final int ARM64_INS_SQABS = 271;
+	public static final int ARM64_INS_SQADD = 272;
+	public static final int ARM64_INS_SQDMLAL = 273;
+	public static final int ARM64_INS_SQDMLAL2 = 274;
+	public static final int ARM64_INS_SQDMLSL = 275;
+	public static final int ARM64_INS_SQDMLSL2 = 276;
+	public static final int ARM64_INS_SQDMULH = 277;
+	public static final int ARM64_INS_SQDMULL = 278;
+	public static final int ARM64_INS_SQDMULL2 = 279;
+	public static final int ARM64_INS_SQNEG = 280;
+	public static final int ARM64_INS_SQRDMULH = 281;
+	public static final int ARM64_INS_SQRSHL = 282;
+	public static final int ARM64_INS_SQRSHRN = 283;
+	public static final int ARM64_INS_SQRSHRN2 = 284;
+	public static final int ARM64_INS_SQRSHRUN = 285;
+	public static final int ARM64_INS_SQRSHRUN2 = 286;
+	public static final int ARM64_INS_SQSHLU = 287;
+	public static final int ARM64_INS_SQSHL = 288;
+	public static final int ARM64_INS_SQSHRN = 289;
+	public static final int ARM64_INS_SQSHRN2 = 290;
+	public static final int ARM64_INS_SQSHRUN = 291;
+	public static final int ARM64_INS_SQSHRUN2 = 292;
+	public static final int ARM64_INS_SQSUB = 293;
+	public static final int ARM64_INS_SQXTN2 = 294;
+	public static final int ARM64_INS_SQXTN = 295;
+	public static final int ARM64_INS_SQXTUN2 = 296;
+	public static final int ARM64_INS_SQXTUN = 297;
+	public static final int ARM64_INS_SRHADD = 298;
+	public static final int ARM64_INS_SRI = 299;
+	public static final int ARM64_INS_SRSHL = 300;
+	public static final int ARM64_INS_SRSHR = 301;
+	public static final int ARM64_INS_SRSRA = 302;
+	public static final int ARM64_INS_SSHLL2 = 303;
+	public static final int ARM64_INS_SSHLL = 304;
+	public static final int ARM64_INS_SSHL = 305;
+	public static final int ARM64_INS_SSHR = 306;
+	public static final int ARM64_INS_SSRA = 307;
+	public static final int ARM64_INS_SSUBL2 = 308;
+	public static final int ARM64_INS_SSUBL = 309;
+	public static final int ARM64_INS_SSUBW2 = 310;
+	public static final int ARM64_INS_SSUBW = 311;
+	public static final int ARM64_INS_ST1 = 312;
+	public static final int ARM64_INS_ST2 = 313;
+	public static final int ARM64_INS_ST3 = 314;
+	public static final int ARM64_INS_ST4 = 315;
+	public static final int ARM64_INS_STLRB = 316;
+	public static final int ARM64_INS_STLRH = 317;
+	public static final int ARM64_INS_STLR = 318;
+	public static final int ARM64_INS_STLXP = 319;
+	public static final int ARM64_INS_STLXRB = 320;
+	public static final int ARM64_INS_STLXRH = 321;
+	public static final int ARM64_INS_STLXR = 322;
+	public static final int ARM64_INS_STNP = 323;
+	public static final int ARM64_INS_STP = 324;
+	public static final int ARM64_INS_STRB = 325;
+	public static final int ARM64_INS_STR = 326;
+	public static final int ARM64_INS_STRH = 327;
+	public static final int ARM64_INS_STTRB = 328;
+	public static final int ARM64_INS_STTRH = 329;
+	public static final int ARM64_INS_STTR = 330;
+	public static final int ARM64_INS_STURB = 331;
+	public static final int ARM64_INS_STUR = 332;
+	public static final int ARM64_INS_STURH = 333;
+	public static final int ARM64_INS_STXP = 334;
+	public static final int ARM64_INS_STXRB = 335;
+	public static final int ARM64_INS_STXRH = 336;
+	public static final int ARM64_INS_STXR = 337;
+	public static final int ARM64_INS_SUBHN = 338;
+	public static final int ARM64_INS_SUBHN2 = 339;
+	public static final int ARM64_INS_SUB = 340;
+	public static final int ARM64_INS_SUQADD = 341;
+	public static final int ARM64_INS_SVC = 342;
+	public static final int ARM64_INS_SYSL = 343;
+	public static final int ARM64_INS_SYS = 344;
+	public static final int ARM64_INS_TBL = 345;
+	public static final int ARM64_INS_TBNZ = 346;
+	public static final int ARM64_INS_TBX = 347;
+	public static final int ARM64_INS_TBZ = 348;
+	public static final int ARM64_INS_TRN1 = 349;
+	public static final int ARM64_INS_TRN2 = 350;
+	public static final int ARM64_INS_UABAL2 = 351;
+	public static final int ARM64_INS_UABAL = 352;
+	public static final int ARM64_INS_UABA = 353;
+	public static final int ARM64_INS_UABDL2 = 354;
+	public static final int ARM64_INS_UABDL = 355;
+	public static final int ARM64_INS_UABD = 356;
+	public static final int ARM64_INS_UADALP = 357;
+	public static final int ARM64_INS_UADDLP = 358;
+	public static final int ARM64_INS_UADDLV = 359;
+	public static final int ARM64_INS_UADDL2 = 360;
+	public static final int ARM64_INS_UADDL = 361;
+	public static final int ARM64_INS_UADDW2 = 362;
+	public static final int ARM64_INS_UADDW = 363;
+	public static final int ARM64_INS_UBFM = 364;
+	public static final int ARM64_INS_UCVTF = 365;
+	public static final int ARM64_INS_UDIV = 366;
+	public static final int ARM64_INS_UHADD = 367;
+	public static final int ARM64_INS_UHSUB = 368;
+	public static final int ARM64_INS_UMADDL = 369;
+	public static final int ARM64_INS_UMAXP = 370;
+	public static final int ARM64_INS_UMAXV = 371;
+	public static final int ARM64_INS_UMAX = 372;
+	public static final int ARM64_INS_UMINP = 373;
+	public static final int ARM64_INS_UMINV = 374;
+	public static final int ARM64_INS_UMIN = 375;
+	public static final int ARM64_INS_UMLAL2 = 376;
+	public static final int ARM64_INS_UMLAL = 377;
+	public static final int ARM64_INS_UMLSL2 = 378;
+	public static final int ARM64_INS_UMLSL = 379;
+	public static final int ARM64_INS_UMOV = 380;
+	public static final int ARM64_INS_UMSUBL = 381;
+	public static final int ARM64_INS_UMULH = 382;
+	public static final int ARM64_INS_UMULL2 = 383;
+	public static final int ARM64_INS_UMULL = 384;
+	public static final int ARM64_INS_UQADD = 385;
+	public static final int ARM64_INS_UQRSHL = 386;
+	public static final int ARM64_INS_UQRSHRN = 387;
+	public static final int ARM64_INS_UQRSHRN2 = 388;
+	public static final int ARM64_INS_UQSHL = 389;
+	public static final int ARM64_INS_UQSHRN = 390;
+	public static final int ARM64_INS_UQSHRN2 = 391;
+	public static final int ARM64_INS_UQSUB = 392;
+	public static final int ARM64_INS_UQXTN2 = 393;
+	public static final int ARM64_INS_UQXTN = 394;
+	public static final int ARM64_INS_URECPE = 395;
+	public static final int ARM64_INS_URHADD = 396;
+	public static final int ARM64_INS_URSHL = 397;
+	public static final int ARM64_INS_URSHR = 398;
+	public static final int ARM64_INS_URSQRTE = 399;
+	public static final int ARM64_INS_URSRA = 400;
+	public static final int ARM64_INS_USHLL2 = 401;
+	public static final int ARM64_INS_USHLL = 402;
+	public static final int ARM64_INS_USHL = 403;
+	public static final int ARM64_INS_USHR = 404;
+	public static final int ARM64_INS_USQADD = 405;
+	public static final int ARM64_INS_USRA = 406;
+	public static final int ARM64_INS_USUBL2 = 407;
+	public static final int ARM64_INS_USUBL = 408;
+	public static final int ARM64_INS_USUBW2 = 409;
+	public static final int ARM64_INS_USUBW = 410;
+	public static final int ARM64_INS_UZP1 = 411;
+	public static final int ARM64_INS_UZP2 = 412;
+	public static final int ARM64_INS_XTN2 = 413;
+	public static final int ARM64_INS_XTN = 414;
+	public static final int ARM64_INS_ZIP1 = 415;
+	public static final int ARM64_INS_ZIP2 = 416;
+	public static final int ARM64_INS_MNEG = 417;
+	public static final int ARM64_INS_UMNEGL = 418;
+	public static final int ARM64_INS_SMNEGL = 419;
+	public static final int ARM64_INS_NOP = 420;
+	public static final int ARM64_INS_YIELD = 421;
+	public static final int ARM64_INS_WFE = 422;
+	public static final int ARM64_INS_WFI = 423;
+	public static final int ARM64_INS_SEV = 424;
+	public static final int ARM64_INS_SEVL = 425;
+	public static final int ARM64_INS_NGC = 426;
+	public static final int ARM64_INS_SBFIZ = 427;
+	public static final int ARM64_INS_UBFIZ = 428;
+	public static final int ARM64_INS_SBFX = 429;
+	public static final int ARM64_INS_UBFX = 430;
+	public static final int ARM64_INS_BFI = 431;
+	public static final int ARM64_INS_BFXIL = 432;
+	public static final int ARM64_INS_CMN = 433;
+	public static final int ARM64_INS_MVN = 434;
+	public static final int ARM64_INS_TST = 435;
+	public static final int ARM64_INS_CSET = 436;
+	public static final int ARM64_INS_CINC = 437;
+	public static final int ARM64_INS_CSETM = 438;
+	public static final int ARM64_INS_CINV = 439;
+	public static final int ARM64_INS_CNEG = 440;
+	public static final int ARM64_INS_SXTB = 441;
+	public static final int ARM64_INS_SXTH = 442;
+	public static final int ARM64_INS_SXTW = 443;
+	public static final int ARM64_INS_CMP = 444;
+	public static final int ARM64_INS_UXTB = 445;
+	public static final int ARM64_INS_UXTH = 446;
+	public static final int ARM64_INS_UXTW = 447;
+	public static final int ARM64_INS_IC = 448;
+	public static final int ARM64_INS_DC = 449;
+	public static final int ARM64_INS_AT = 450;
+	public static final int ARM64_INS_TLBI = 451;
+	public static final int ARM64_INS_MAX = 452;
 
 	// Group of ARM64 instructions
 
@@ -747,6 +1037,7 @@
 	public static final int ARM64_GRP_CRYPTO = 1;
 	public static final int ARM64_GRP_FPARMV8 = 2;
 	public static final int ARM64_GRP_NEON = 3;
-	public static final int ARM64_GRP_JUMP = 4;
-	public static final int ARM64_GRP_MAX = 5;
+	public static final int ARM64_GRP_CRC = 4;
+	public static final int ARM64_GRP_JUMP = 5;
+	public static final int ARM64_GRP_MAX = 6;
 }
\ No newline at end of file
diff --git a/bindings/java/capstone/Arm_const.java b/bindings/java/capstone/Arm_const.java
index 18622ad..78dda57 100644
--- a/bindings/java/capstone/Arm_const.java
+++ b/bindings/java/capstone/Arm_const.java
@@ -36,6 +36,41 @@
 	public static final int ARM_CC_LE = 14;
 	public static final int ARM_CC_AL = 15;
 
+	// Special registers for MSR
+
+	public static final int ARM_SYSREG_INVALID = 0;
+	public static final int ARM_SYSREG_SPSR_C = 1;
+	public static final int ARM_SYSREG_SPSR_X = 2;
+	public static final int ARM_SYSREG_SPSR_S = 4;
+	public static final int ARM_SYSREG_SPSR_F = 8;
+	public static final int ARM_SYSREG_CPSR_C = 16;
+	public static final int ARM_SYSREG_CPSR_X = 32;
+	public static final int ARM_SYSREG_CPSR_S = 64;
+	public static final int ARM_SYSREG_CPSR_F = 128;
+	public static final int ARM_SYSREG_APSR = 256;
+	public static final int ARM_SYSREG_APSR_G = 257;
+	public static final int ARM_SYSREG_APSR_NZCVQ = 258;
+	public static final int ARM_SYSREG_APSR_NZCVQG = 259;
+	public static final int ARM_SYSREG_IAPSR = 260;
+	public static final int ARM_SYSREG_IAPSR_G = 261;
+	public static final int ARM_SYSREG_IAPSR_NZCVQG = 262;
+	public static final int ARM_SYSREG_EAPSR = 263;
+	public static final int ARM_SYSREG_EAPSR_G = 264;
+	public static final int ARM_SYSREG_EAPSR_NZCVQG = 265;
+	public static final int ARM_SYSREG_XPSR = 266;
+	public static final int ARM_SYSREG_XPSR_G = 267;
+	public static final int ARM_SYSREG_XPSR_NZCVQG = 268;
+	public static final int ARM_SYSREG_IPSR = 269;
+	public static final int ARM_SYSREG_EPSR = 270;
+	public static final int ARM_SYSREG_IEPSR = 271;
+	public static final int ARM_SYSREG_MSP = 272;
+	public static final int ARM_SYSREG_PSP = 273;
+	public static final int ARM_SYSREG_PRIMASK = 274;
+	public static final int ARM_SYSREG_BASEPRI = 275;
+	public static final int ARM_SYSREG_BASEPRI_MAX = 276;
+	public static final int ARM_SYSREG_FAULTMASK = 277;
+	public static final int ARM_SYSREG_CONTROL = 278;
+
 	// Operand type for instruction's operands
 
 	public static final int ARM_OP_INVALID = 0;
@@ -45,6 +80,67 @@
 	public static final int ARM_OP_IMM = 4;
 	public static final int ARM_OP_FP = 5;
 	public static final int ARM_OP_MEM = 6;
+	public static final int ARM_OP_SETEND = 7;
+	public static final int ARM_OP_SYSREG = 8;
+
+	// Operand type for SETEND instruction
+
+	public static final int ARM_SETEND_INVALID = 0;
+	public static final int ARM_SETEND_BE = 1;
+	public static final int ARM_SETEND_LE = 2;
+
+	public static final int ARM_CPSMODE_INVALID = 0;
+	public static final int ARM_CPSMODE_IE = 2;
+	public static final int ARM_CPSMODE_ID = 3;
+
+	// Operand type for SETEND instruction
+
+	public static final int ARM_CPSFLAG_INVALID = 0;
+	public static final int ARM_CPSFLAG_F = 1;
+	public static final int ARM_CPSFLAG_I = 2;
+	public static final int ARM_CPSFLAG_A = 4;
+	public static final int ARM_CPSFLAG_NONE = 16;
+
+	// Data type for elements of vector instructions.
+
+	public static final int ARM_VECTORDATA_INVALID = 0;
+	public static final int ARM_VECTORDATA_I8 = 1;
+	public static final int ARM_VECTORDATA_I16 = 2;
+	public static final int ARM_VECTORDATA_I32 = 3;
+	public static final int ARM_VECTORDATA_I64 = 4;
+	public static final int ARM_VECTORDATA_S8 = 5;
+	public static final int ARM_VECTORDATA_S16 = 6;
+	public static final int ARM_VECTORDATA_S32 = 7;
+	public static final int ARM_VECTORDATA_S64 = 8;
+	public static final int ARM_VECTORDATA_U8 = 9;
+	public static final int ARM_VECTORDATA_U16 = 10;
+	public static final int ARM_VECTORDATA_U32 = 11;
+	public static final int ARM_VECTORDATA_U64 = 12;
+	public static final int ARM_VECTORDATA_P8 = 13;
+	public static final int ARM_VECTORDATA_F32 = 14;
+	public static final int ARM_VECTORDATA_F64 = 15;
+	public static final int ARM_VECTORDATA_F16F64 = 16;
+	public static final int ARM_VECTORDATA_F64F16 = 17;
+	public static final int ARM_VECTORDATA_F32F16 = 18;
+	public static final int ARM_VECTORDATA_F16F32 = 19;
+	public static final int ARM_VECTORDATA_F64F32 = 20;
+	public static final int ARM_VECTORDATA_F32F64 = 21;
+	public static final int ARM_VECTORDATA_S32F32 = 22;
+	public static final int ARM_VECTORDATA_U32F32 = 23;
+	public static final int ARM_VECTORDATA_F32S32 = 24;
+	public static final int ARM_VECTORDATA_F32U32 = 25;
+	public static final int ARM_VECTORDATA_F64S16 = 26;
+	public static final int ARM_VECTORDATA_F32S16 = 27;
+	public static final int ARM_VECTORDATA_F64S32 = 28;
+	public static final int ARM_VECTORDATA_S16F64 = 29;
+	public static final int ARM_VECTORDATA_S16F32 = 30;
+	public static final int ARM_VECTORDATA_S32F64 = 31;
+	public static final int ARM_VECTORDATA_U16F64 = 32;
+	public static final int ARM_VECTORDATA_U16F32 = 33;
+	public static final int ARM_VECTORDATA_U32F64 = 34;
+	public static final int ARM_VECTORDATA_F64U16 = 35;
+	public static final int ARM_VECTORDATA_F32U16 = 36;
+	public static final int ARM_VECTORDATA_F64U32 = 37;
 
 	// ARM registers
 
@@ -402,203 +498,212 @@
 	public static final int ARM_INS_UADD8 = 227;
 	public static final int ARM_INS_UASX = 228;
 	public static final int ARM_INS_UBFX = 229;
-	public static final int ARM_INS_UDIV = 230;
-	public static final int ARM_INS_UHADD16 = 231;
-	public static final int ARM_INS_UHADD8 = 232;
-	public static final int ARM_INS_UHASX = 233;
-	public static final int ARM_INS_UHSAX = 234;
-	public static final int ARM_INS_UHSUB16 = 235;
-	public static final int ARM_INS_UHSUB8 = 236;
-	public static final int ARM_INS_UMAAL = 237;
-	public static final int ARM_INS_UMLAL = 238;
-	public static final int ARM_INS_UMULL = 239;
-	public static final int ARM_INS_UQADD16 = 240;
-	public static final int ARM_INS_UQADD8 = 241;
-	public static final int ARM_INS_UQASX = 242;
-	public static final int ARM_INS_UQSAX = 243;
-	public static final int ARM_INS_UQSUB16 = 244;
-	public static final int ARM_INS_UQSUB8 = 245;
-	public static final int ARM_INS_USAD8 = 246;
-	public static final int ARM_INS_USADA8 = 247;
-	public static final int ARM_INS_USAT = 248;
-	public static final int ARM_INS_USAT16 = 249;
-	public static final int ARM_INS_USAX = 250;
-	public static final int ARM_INS_USUB16 = 251;
-	public static final int ARM_INS_USUB8 = 252;
-	public static final int ARM_INS_UXTAB = 253;
-	public static final int ARM_INS_UXTAB16 = 254;
-	public static final int ARM_INS_UXTAH = 255;
-	public static final int ARM_INS_UXTB = 256;
-	public static final int ARM_INS_UXTB16 = 257;
-	public static final int ARM_INS_UXTH = 258;
-	public static final int ARM_INS_VABAL = 259;
-	public static final int ARM_INS_VABA = 260;
-	public static final int ARM_INS_VABDL = 261;
-	public static final int ARM_INS_VABD = 262;
-	public static final int ARM_INS_VABS = 263;
-	public static final int ARM_INS_VACGE = 264;
-	public static final int ARM_INS_VACGT = 265;
-	public static final int ARM_INS_VADD = 266;
-	public static final int ARM_INS_VADDHN = 267;
-	public static final int ARM_INS_VADDL = 268;
-	public static final int ARM_INS_VADDW = 269;
-	public static final int ARM_INS_VAND = 270;
-	public static final int ARM_INS_VBIC = 271;
-	public static final int ARM_INS_VBIF = 272;
-	public static final int ARM_INS_VBIT = 273;
-	public static final int ARM_INS_VBSL = 274;
-	public static final int ARM_INS_VCEQ = 275;
-	public static final int ARM_INS_VCGE = 276;
-	public static final int ARM_INS_VCGT = 277;
-	public static final int ARM_INS_VCLE = 278;
-	public static final int ARM_INS_VCLS = 279;
-	public static final int ARM_INS_VCLT = 280;
-	public static final int ARM_INS_VCLZ = 281;
-	public static final int ARM_INS_VCMP = 282;
-	public static final int ARM_INS_VCMPE = 283;
-	public static final int ARM_INS_VCNT = 284;
-	public static final int ARM_INS_VCVTA = 285;
-	public static final int ARM_INS_VCVTB = 286;
-	public static final int ARM_INS_VCVT = 287;
-	public static final int ARM_INS_VCVTM = 288;
-	public static final int ARM_INS_VCVTN = 289;
-	public static final int ARM_INS_VCVTP = 290;
-	public static final int ARM_INS_VCVTT = 291;
-	public static final int ARM_INS_VDIV = 292;
-	public static final int ARM_INS_VDUP = 293;
-	public static final int ARM_INS_VEOR = 294;
-	public static final int ARM_INS_VEXT = 295;
-	public static final int ARM_INS_VFMA = 296;
-	public static final int ARM_INS_VFMS = 297;
-	public static final int ARM_INS_VFNMA = 298;
-	public static final int ARM_INS_VFNMS = 299;
-	public static final int ARM_INS_VHADD = 300;
-	public static final int ARM_INS_VHSUB = 301;
-	public static final int ARM_INS_VLD1 = 302;
-	public static final int ARM_INS_VLD2 = 303;
-	public static final int ARM_INS_VLD3 = 304;
-	public static final int ARM_INS_VLD4 = 305;
-	public static final int ARM_INS_VLDMDB = 306;
-	public static final int ARM_INS_VLDMIA = 307;
-	public static final int ARM_INS_VLDR = 308;
-	public static final int ARM_INS_VMAXNM = 309;
-	public static final int ARM_INS_VMAX = 310;
-	public static final int ARM_INS_VMINNM = 311;
-	public static final int ARM_INS_VMIN = 312;
-	public static final int ARM_INS_VMLA = 313;
-	public static final int ARM_INS_VMLAL = 314;
-	public static final int ARM_INS_VMLS = 315;
-	public static final int ARM_INS_VMLSL = 316;
-	public static final int ARM_INS_VMOVL = 317;
-	public static final int ARM_INS_VMOVN = 318;
-	public static final int ARM_INS_VMSR = 319;
-	public static final int ARM_INS_VMUL = 320;
-	public static final int ARM_INS_VMULL = 321;
-	public static final int ARM_INS_VMVN = 322;
-	public static final int ARM_INS_VNEG = 323;
-	public static final int ARM_INS_VNMLA = 324;
-	public static final int ARM_INS_VNMLS = 325;
-	public static final int ARM_INS_VNMUL = 326;
-	public static final int ARM_INS_VORN = 327;
-	public static final int ARM_INS_VORR = 328;
-	public static final int ARM_INS_VPADAL = 329;
-	public static final int ARM_INS_VPADDL = 330;
-	public static final int ARM_INS_VPADD = 331;
-	public static final int ARM_INS_VPMAX = 332;
-	public static final int ARM_INS_VPMIN = 333;
-	public static final int ARM_INS_VQABS = 334;
-	public static final int ARM_INS_VQADD = 335;
-	public static final int ARM_INS_VQDMLAL = 336;
-	public static final int ARM_INS_VQDMLSL = 337;
-	public static final int ARM_INS_VQDMULH = 338;
-	public static final int ARM_INS_VQDMULL = 339;
-	public static final int ARM_INS_VQMOVUN = 340;
-	public static final int ARM_INS_VQMOVN = 341;
-	public static final int ARM_INS_VQNEG = 342;
-	public static final int ARM_INS_VQRDMULH = 343;
-	public static final int ARM_INS_VQRSHL = 344;
-	public static final int ARM_INS_VQRSHRN = 345;
-	public static final int ARM_INS_VQRSHRUN = 346;
-	public static final int ARM_INS_VQSHL = 347;
-	public static final int ARM_INS_VQSHLU = 348;
-	public static final int ARM_INS_VQSHRN = 349;
-	public static final int ARM_INS_VQSHRUN = 350;
-	public static final int ARM_INS_VQSUB = 351;
-	public static final int ARM_INS_VRADDHN = 352;
-	public static final int ARM_INS_VRECPE = 353;
-	public static final int ARM_INS_VRECPS = 354;
-	public static final int ARM_INS_VREV16 = 355;
-	public static final int ARM_INS_VREV32 = 356;
-	public static final int ARM_INS_VREV64 = 357;
-	public static final int ARM_INS_VRHADD = 358;
-	public static final int ARM_INS_VRINTA = 359;
-	public static final int ARM_INS_VRINTM = 360;
-	public static final int ARM_INS_VRINTN = 361;
-	public static final int ARM_INS_VRINTP = 362;
-	public static final int ARM_INS_VRINTR = 363;
-	public static final int ARM_INS_VRINTX = 364;
-	public static final int ARM_INS_VRINTZ = 365;
-	public static final int ARM_INS_VRSHL = 366;
-	public static final int ARM_INS_VRSHRN = 367;
-	public static final int ARM_INS_VRSHR = 368;
-	public static final int ARM_INS_VRSQRTE = 369;
-	public static final int ARM_INS_VRSQRTS = 370;
-	public static final int ARM_INS_VRSRA = 371;
-	public static final int ARM_INS_VRSUBHN = 372;
-	public static final int ARM_INS_VSELEQ = 373;
-	public static final int ARM_INS_VSELGE = 374;
-	public static final int ARM_INS_VSELGT = 375;
-	public static final int ARM_INS_VSELVS = 376;
-	public static final int ARM_INS_VSHLL = 377;
-	public static final int ARM_INS_VSHL = 378;
-	public static final int ARM_INS_VSHRN = 379;
-	public static final int ARM_INS_VSHR = 380;
-	public static final int ARM_INS_VSLI = 381;
-	public static final int ARM_INS_VSQRT = 382;
-	public static final int ARM_INS_VSRA = 383;
-	public static final int ARM_INS_VSRI = 384;
-	public static final int ARM_INS_VST1 = 385;
-	public static final int ARM_INS_VST2 = 386;
-	public static final int ARM_INS_VST3 = 387;
-	public static final int ARM_INS_VST4 = 388;
-	public static final int ARM_INS_VSTMDB = 389;
-	public static final int ARM_INS_VSTMIA = 390;
-	public static final int ARM_INS_VSTR = 391;
-	public static final int ARM_INS_VSUB = 392;
-	public static final int ARM_INS_VSUBHN = 393;
-	public static final int ARM_INS_VSUBL = 394;
-	public static final int ARM_INS_VSUBW = 395;
-	public static final int ARM_INS_VSWP = 396;
-	public static final int ARM_INS_VTBL = 397;
-	public static final int ARM_INS_VTBX = 398;
-	public static final int ARM_INS_VCVTR = 399;
-	public static final int ARM_INS_VTRN = 400;
-	public static final int ARM_INS_VTST = 401;
-	public static final int ARM_INS_VUZP = 402;
-	public static final int ARM_INS_VZIP = 403;
-	public static final int ARM_INS_ADDW = 404;
-	public static final int ARM_INS_ASR = 405;
-	public static final int ARM_INS_DCPS1 = 406;
-	public static final int ARM_INS_DCPS2 = 407;
-	public static final int ARM_INS_DCPS3 = 408;
-	public static final int ARM_INS_IT = 409;
-	public static final int ARM_INS_LSL = 410;
-	public static final int ARM_INS_LSR = 411;
-	public static final int ARM_INS_ASRS = 412;
-	public static final int ARM_INS_LSRS = 413;
-	public static final int ARM_INS_ORN = 414;
-	public static final int ARM_INS_ROR = 415;
-	public static final int ARM_INS_RRX = 416;
-	public static final int ARM_INS_SUBS = 417;
-	public static final int ARM_INS_SUBW = 418;
-	public static final int ARM_INS_TBB = 419;
-	public static final int ARM_INS_TBH = 420;
-	public static final int ARM_INS_CBNZ = 421;
-	public static final int ARM_INS_CBZ = 422;
-	public static final int ARM_INS_MOVS = 423;
-	public static final int ARM_INS_POP = 424;
-	public static final int ARM_INS_PUSH = 425;
-	public static final int ARM_INS_MAX = 426;
+	public static final int ARM_INS_UDF = 230;
+	public static final int ARM_INS_UDIV = 231;
+	public static final int ARM_INS_UHADD16 = 232;
+	public static final int ARM_INS_UHADD8 = 233;
+	public static final int ARM_INS_UHASX = 234;
+	public static final int ARM_INS_UHSAX = 235;
+	public static final int ARM_INS_UHSUB16 = 236;
+	public static final int ARM_INS_UHSUB8 = 237;
+	public static final int ARM_INS_UMAAL = 238;
+	public static final int ARM_INS_UMLAL = 239;
+	public static final int ARM_INS_UMULL = 240;
+	public static final int ARM_INS_UQADD16 = 241;
+	public static final int ARM_INS_UQADD8 = 242;
+	public static final int ARM_INS_UQASX = 243;
+	public static final int ARM_INS_UQSAX = 244;
+	public static final int ARM_INS_UQSUB16 = 245;
+	public static final int ARM_INS_UQSUB8 = 246;
+	public static final int ARM_INS_USAD8 = 247;
+	public static final int ARM_INS_USADA8 = 248;
+	public static final int ARM_INS_USAT = 249;
+	public static final int ARM_INS_USAT16 = 250;
+	public static final int ARM_INS_USAX = 251;
+	public static final int ARM_INS_USUB16 = 252;
+	public static final int ARM_INS_USUB8 = 253;
+	public static final int ARM_INS_UXTAB = 254;
+	public static final int ARM_INS_UXTAB16 = 255;
+	public static final int ARM_INS_UXTAH = 256;
+	public static final int ARM_INS_UXTB = 257;
+	public static final int ARM_INS_UXTB16 = 258;
+	public static final int ARM_INS_UXTH = 259;
+	public static final int ARM_INS_VABAL = 260;
+	public static final int ARM_INS_VABA = 261;
+	public static final int ARM_INS_VABDL = 262;
+	public static final int ARM_INS_VABD = 263;
+	public static final int ARM_INS_VABS = 264;
+	public static final int ARM_INS_VACGE = 265;
+	public static final int ARM_INS_VACGT = 266;
+	public static final int ARM_INS_VADD = 267;
+	public static final int ARM_INS_VADDHN = 268;
+	public static final int ARM_INS_VADDL = 269;
+	public static final int ARM_INS_VADDW = 270;
+	public static final int ARM_INS_VAND = 271;
+	public static final int ARM_INS_VBIC = 272;
+	public static final int ARM_INS_VBIF = 273;
+	public static final int ARM_INS_VBIT = 274;
+	public static final int ARM_INS_VBSL = 275;
+	public static final int ARM_INS_VCEQ = 276;
+	public static final int ARM_INS_VCGE = 277;
+	public static final int ARM_INS_VCGT = 278;
+	public static final int ARM_INS_VCLE = 279;
+	public static final int ARM_INS_VCLS = 280;
+	public static final int ARM_INS_VCLT = 281;
+	public static final int ARM_INS_VCLZ = 282;
+	public static final int ARM_INS_VCMP = 283;
+	public static final int ARM_INS_VCMPE = 284;
+	public static final int ARM_INS_VCNT = 285;
+	public static final int ARM_INS_VCVTA = 286;
+	public static final int ARM_INS_VCVTB = 287;
+	public static final int ARM_INS_VCVT = 288;
+	public static final int ARM_INS_VCVTM = 289;
+	public static final int ARM_INS_VCVTN = 290;
+	public static final int ARM_INS_VCVTP = 291;
+	public static final int ARM_INS_VCVTT = 292;
+	public static final int ARM_INS_VDIV = 293;
+	public static final int ARM_INS_VDUP = 294;
+	public static final int ARM_INS_VEOR = 295;
+	public static final int ARM_INS_VEXT = 296;
+	public static final int ARM_INS_VFMA = 297;
+	public static final int ARM_INS_VFMS = 298;
+	public static final int ARM_INS_VFNMA = 299;
+	public static final int ARM_INS_VFNMS = 300;
+	public static final int ARM_INS_VHADD = 301;
+	public static final int ARM_INS_VHSUB = 302;
+	public static final int ARM_INS_VLD1 = 303;
+	public static final int ARM_INS_VLD2 = 304;
+	public static final int ARM_INS_VLD3 = 305;
+	public static final int ARM_INS_VLD4 = 306;
+	public static final int ARM_INS_VLDMDB = 307;
+	public static final int ARM_INS_VLDMIA = 308;
+	public static final int ARM_INS_VLDR = 309;
+	public static final int ARM_INS_VMAXNM = 310;
+	public static final int ARM_INS_VMAX = 311;
+	public static final int ARM_INS_VMINNM = 312;
+	public static final int ARM_INS_VMIN = 313;
+	public static final int ARM_INS_VMLA = 314;
+	public static final int ARM_INS_VMLAL = 315;
+	public static final int ARM_INS_VMLS = 316;
+	public static final int ARM_INS_VMLSL = 317;
+	public static final int ARM_INS_VMOVL = 318;
+	public static final int ARM_INS_VMOVN = 319;
+	public static final int ARM_INS_VMSR = 320;
+	public static final int ARM_INS_VMUL = 321;
+	public static final int ARM_INS_VMULL = 322;
+	public static final int ARM_INS_VMVN = 323;
+	public static final int ARM_INS_VNEG = 324;
+	public static final int ARM_INS_VNMLA = 325;
+	public static final int ARM_INS_VNMLS = 326;
+	public static final int ARM_INS_VNMUL = 327;
+	public static final int ARM_INS_VORN = 328;
+	public static final int ARM_INS_VORR = 329;
+	public static final int ARM_INS_VPADAL = 330;
+	public static final int ARM_INS_VPADDL = 331;
+	public static final int ARM_INS_VPADD = 332;
+	public static final int ARM_INS_VPMAX = 333;
+	public static final int ARM_INS_VPMIN = 334;
+	public static final int ARM_INS_VQABS = 335;
+	public static final int ARM_INS_VQADD = 336;
+	public static final int ARM_INS_VQDMLAL = 337;
+	public static final int ARM_INS_VQDMLSL = 338;
+	public static final int ARM_INS_VQDMULH = 339;
+	public static final int ARM_INS_VQDMULL = 340;
+	public static final int ARM_INS_VQMOVUN = 341;
+	public static final int ARM_INS_VQMOVN = 342;
+	public static final int ARM_INS_VQNEG = 343;
+	public static final int ARM_INS_VQRDMULH = 344;
+	public static final int ARM_INS_VQRSHL = 345;
+	public static final int ARM_INS_VQRSHRN = 346;
+	public static final int ARM_INS_VQRSHRUN = 347;
+	public static final int ARM_INS_VQSHL = 348;
+	public static final int ARM_INS_VQSHLU = 349;
+	public static final int ARM_INS_VQSHRN = 350;
+	public static final int ARM_INS_VQSHRUN = 351;
+	public static final int ARM_INS_VQSUB = 352;
+	public static final int ARM_INS_VRADDHN = 353;
+	public static final int ARM_INS_VRECPE = 354;
+	public static final int ARM_INS_VRECPS = 355;
+	public static final int ARM_INS_VREV16 = 356;
+	public static final int ARM_INS_VREV32 = 357;
+	public static final int ARM_INS_VREV64 = 358;
+	public static final int ARM_INS_VRHADD = 359;
+	public static final int ARM_INS_VRINTA = 360;
+	public static final int ARM_INS_VRINTM = 361;
+	public static final int ARM_INS_VRINTN = 362;
+	public static final int ARM_INS_VRINTP = 363;
+	public static final int ARM_INS_VRINTR = 364;
+	public static final int ARM_INS_VRINTX = 365;
+	public static final int ARM_INS_VRINTZ = 366;
+	public static final int ARM_INS_VRSHL = 367;
+	public static final int ARM_INS_VRSHRN = 368;
+	public static final int ARM_INS_VRSHR = 369;
+	public static final int ARM_INS_VRSQRTE = 370;
+	public static final int ARM_INS_VRSQRTS = 371;
+	public static final int ARM_INS_VRSRA = 372;
+	public static final int ARM_INS_VRSUBHN = 373;
+	public static final int ARM_INS_VSELEQ = 374;
+	public static final int ARM_INS_VSELGE = 375;
+	public static final int ARM_INS_VSELGT = 376;
+	public static final int ARM_INS_VSELVS = 377;
+	public static final int ARM_INS_VSHLL = 378;
+	public static final int ARM_INS_VSHL = 379;
+	public static final int ARM_INS_VSHRN = 380;
+	public static final int ARM_INS_VSHR = 381;
+	public static final int ARM_INS_VSLI = 382;
+	public static final int ARM_INS_VSQRT = 383;
+	public static final int ARM_INS_VSRA = 384;
+	public static final int ARM_INS_VSRI = 385;
+	public static final int ARM_INS_VST1 = 386;
+	public static final int ARM_INS_VST2 = 387;
+	public static final int ARM_INS_VST3 = 388;
+	public static final int ARM_INS_VST4 = 389;
+	public static final int ARM_INS_VSTMDB = 390;
+	public static final int ARM_INS_VSTMIA = 391;
+	public static final int ARM_INS_VSTR = 392;
+	public static final int ARM_INS_VSUB = 393;
+	public static final int ARM_INS_VSUBHN = 394;
+	public static final int ARM_INS_VSUBL = 395;
+	public static final int ARM_INS_VSUBW = 396;
+	public static final int ARM_INS_VSWP = 397;
+	public static final int ARM_INS_VTBL = 398;
+	public static final int ARM_INS_VTBX = 399;
+	public static final int ARM_INS_VCVTR = 400;
+	public static final int ARM_INS_VTRN = 401;
+	public static final int ARM_INS_VTST = 402;
+	public static final int ARM_INS_VUZP = 403;
+	public static final int ARM_INS_VZIP = 404;
+	public static final int ARM_INS_ADDW = 405;
+	public static final int ARM_INS_ASR = 406;
+	public static final int ARM_INS_DCPS1 = 407;
+	public static final int ARM_INS_DCPS2 = 408;
+	public static final int ARM_INS_DCPS3 = 409;
+	public static final int ARM_INS_IT = 410;
+	public static final int ARM_INS_LSL = 411;
+	public static final int ARM_INS_LSR = 412;
+	public static final int ARM_INS_ASRS = 413;
+	public static final int ARM_INS_LSRS = 414;
+	public static final int ARM_INS_ORN = 415;
+	public static final int ARM_INS_ROR = 416;
+	public static final int ARM_INS_RRX = 417;
+	public static final int ARM_INS_SUBS = 418;
+	public static final int ARM_INS_SUBW = 419;
+	public static final int ARM_INS_TBB = 420;
+	public static final int ARM_INS_TBH = 421;
+	public static final int ARM_INS_CBNZ = 422;
+	public static final int ARM_INS_CBZ = 423;
+	public static final int ARM_INS_MOVS = 424;
+	public static final int ARM_INS_POP = 425;
+	public static final int ARM_INS_PUSH = 426;
+	public static final int ARM_INS_NOP = 427;
+	public static final int ARM_INS_YIELD = 428;
+	public static final int ARM_INS_WFE = 429;
+	public static final int ARM_INS_WFI = 430;
+	public static final int ARM_INS_SEV = 431;
+	public static final int ARM_INS_SEVL = 432;
+	public static final int ARM_INS_VPUSH = 433;
+	public static final int ARM_INS_VPOP = 434;
+	public static final int ARM_INS_MAX = 435;
 
 	// Group of ARM instructions
 
diff --git a/bindings/java/capstone/Capstone.java b/bindings/java/capstone/Capstone.java
index e62020b..2a2b1ce 100644
--- a/bindings/java/capstone/Capstone.java
+++ b/bindings/java/capstone/Capstone.java
@@ -242,7 +242,7 @@
 
   private interface CS extends Library {
     public int cs_open(int arch, int mode, NativeLongByReference handle);
-    public NativeLong cs_disasm_ex(NativeLong handle, byte[] code, NativeLong code_len,
+    public NativeLong cs_disasm(NativeLong handle, byte[] code, NativeLong code_len,
         long addr, NativeLong count, PointerByReference insn);
     public void cs_free(Pointer p, NativeLong count);
     public int cs_close(NativeLongByReference handle);
@@ -262,8 +262,8 @@
   }
 
   // Capstone API version
-  public static final int CS_API_MAJOR = 2;
-  public static final int CS_API_MINOR = 2;
+  public static final int CS_API_MAJOR = 3;
+  public static final int CS_API_MINOR = 0;
 
   // architectures
   public static final int CS_ARCH_ARM = 0;
@@ -284,8 +284,12 @@
   public static final int CS_MODE_32 = 1 << 2;
   public static final int CS_MODE_64 = 1 << 3;
   public static final int CS_MODE_THUMB = 1 << 4;	  // ARM's Thumb mode, including Thumb-2
+  public static final int CS_MODE_MCLASS = 1 << 5;	  // ARM's Cortex-M series
   public static final int CS_MODE_MICRO = 1 << 4;	  // MicroMips mode (Mips arch)
   public static final int CS_MODE_N64 = 1 << 5;	      // Nintendo-64 mode (Mips arch)
+  public static final int CS_MODE_MIPS3 = 1 << 6;     // Mips III ISA
+  public static final int CS_MODE_MIPS32R6 = 1 << 7;  // Mips32r6 ISA
+  public static final int CS_MODE_MIPSGP64 = 1 << 8;  // General Purpose Registers are 64-bit wide (MIPS arch)
   public static final int CS_MODE_BIG_ENDIAN = 1 << 31;
   public static final int CS_MODE_V9 = 1 << 4;	      // SparcV9 mode (Sparc arch)
 
@@ -398,7 +402,7 @@
   public CsInsn[] disasm(byte[] code, long address, long count) {
     PointerByReference insnRef = new PointerByReference();
 
-    NativeLong c = cs.cs_disasm_ex(ns.csh, code, new NativeLong(code.length), address, new NativeLong(count), insnRef);
+    NativeLong c = cs.cs_disasm(ns.csh, code, new NativeLong(code.length), address, new NativeLong(count), insnRef);
 
     Pointer p = insnRef.getValue();
     _cs_insn byref = new _cs_insn(p);
diff --git a/bindings/java/capstone/Mips_const.java b/bindings/java/capstone/Mips_const.java
index af169d4..137ba2f 100644
--- a/bindings/java/capstone/Mips_const.java
+++ b/bindings/java/capstone/Mips_const.java
@@ -135,7 +135,13 @@
 	public static final int MIPS_REG_HI = 120;
 	public static final int MIPS_REG_LO = 121;
 	public static final int MIPS_REG_PC = 122;
-	public static final int MIPS_REG_MAX = 123;
+	public static final int MIPS_REG_P0 = 123;
+	public static final int MIPS_REG_P1 = 124;
+	public static final int MIPS_REG_P2 = 125;
+	public static final int MIPS_REG_MPL0 = 126;
+	public static final int MIPS_REG_MPL1 = 127;
+	public static final int MIPS_REG_MPL2 = 128;
+	public static final int MIPS_REG_MAX = 129;
 	public static final int MIPS_REG_ZERO = MIPS_REG_0;
 	public static final int MIPS_REG_AT = MIPS_REG_1;
 	public static final int MIPS_REG_V0 = MIPS_REG_2;
@@ -183,462 +189,563 @@
 	public static final int MIPS_INS_INVALID = 0;
 	public static final int MIPS_INS_ABSQ_S = 1;
 	public static final int MIPS_INS_ADD = 2;
-	public static final int MIPS_INS_ADDQH = 3;
-	public static final int MIPS_INS_ADDQH_R = 4;
-	public static final int MIPS_INS_ADDQ = 5;
-	public static final int MIPS_INS_ADDQ_S = 6;
-	public static final int MIPS_INS_ADDSC = 7;
-	public static final int MIPS_INS_ADDS_A = 8;
-	public static final int MIPS_INS_ADDS_S = 9;
-	public static final int MIPS_INS_ADDS_U = 10;
-	public static final int MIPS_INS_ADDUH = 11;
-	public static final int MIPS_INS_ADDUH_R = 12;
-	public static final int MIPS_INS_ADDU = 13;
-	public static final int MIPS_INS_ADDU_S = 14;
-	public static final int MIPS_INS_ADDVI = 15;
-	public static final int MIPS_INS_ADDV = 16;
-	public static final int MIPS_INS_ADDWC = 17;
-	public static final int MIPS_INS_ADD_A = 18;
-	public static final int MIPS_INS_ADDI = 19;
-	public static final int MIPS_INS_ADDIU = 20;
-	public static final int MIPS_INS_AND = 21;
-	public static final int MIPS_INS_ANDI = 22;
-	public static final int MIPS_INS_APPEND = 23;
-	public static final int MIPS_INS_ASUB_S = 24;
-	public static final int MIPS_INS_ASUB_U = 25;
-	public static final int MIPS_INS_AVER_S = 26;
-	public static final int MIPS_INS_AVER_U = 27;
-	public static final int MIPS_INS_AVE_S = 28;
-	public static final int MIPS_INS_AVE_U = 29;
-	public static final int MIPS_INS_BALIGN = 30;
-	public static final int MIPS_INS_BC1F = 31;
-	public static final int MIPS_INS_BC1T = 32;
-	public static final int MIPS_INS_BCLRI = 33;
-	public static final int MIPS_INS_BCLR = 34;
-	public static final int MIPS_INS_BEQ = 35;
-	public static final int MIPS_INS_BGEZ = 36;
-	public static final int MIPS_INS_BGEZAL = 37;
-	public static final int MIPS_INS_BGTZ = 38;
-	public static final int MIPS_INS_BINSLI = 39;
-	public static final int MIPS_INS_BINSL = 40;
-	public static final int MIPS_INS_BINSRI = 41;
-	public static final int MIPS_INS_BINSR = 42;
-	public static final int MIPS_INS_BITREV = 43;
-	public static final int MIPS_INS_BLEZ = 44;
-	public static final int MIPS_INS_BLTZ = 45;
-	public static final int MIPS_INS_BLTZAL = 46;
-	public static final int MIPS_INS_BMNZI = 47;
-	public static final int MIPS_INS_BMNZ = 48;
-	public static final int MIPS_INS_BMZI = 49;
-	public static final int MIPS_INS_BMZ = 50;
-	public static final int MIPS_INS_BNE = 51;
-	public static final int MIPS_INS_BNEGI = 52;
-	public static final int MIPS_INS_BNEG = 53;
-	public static final int MIPS_INS_BNZ = 54;
-	public static final int MIPS_INS_BPOSGE32 = 55;
-	public static final int MIPS_INS_BREAK = 56;
-	public static final int MIPS_INS_BSELI = 57;
-	public static final int MIPS_INS_BSEL = 58;
-	public static final int MIPS_INS_BSETI = 59;
-	public static final int MIPS_INS_BSET = 60;
-	public static final int MIPS_INS_BZ = 61;
-	public static final int MIPS_INS_BEQZ = 62;
-	public static final int MIPS_INS_B = 63;
-	public static final int MIPS_INS_BNEZ = 64;
-	public static final int MIPS_INS_BTEQZ = 65;
-	public static final int MIPS_INS_BTNEZ = 66;
-	public static final int MIPS_INS_CEIL = 67;
-	public static final int MIPS_INS_CEQI = 68;
-	public static final int MIPS_INS_CEQ = 69;
-	public static final int MIPS_INS_CFC1 = 70;
-	public static final int MIPS_INS_CFCMSA = 71;
-	public static final int MIPS_INS_CLEI_S = 72;
-	public static final int MIPS_INS_CLEI_U = 73;
-	public static final int MIPS_INS_CLE_S = 74;
-	public static final int MIPS_INS_CLE_U = 75;
-	public static final int MIPS_INS_CLO = 76;
-	public static final int MIPS_INS_CLTI_S = 77;
-	public static final int MIPS_INS_CLTI_U = 78;
-	public static final int MIPS_INS_CLT_S = 79;
-	public static final int MIPS_INS_CLT_U = 80;
-	public static final int MIPS_INS_CLZ = 81;
-	public static final int MIPS_INS_CMPGDU = 82;
-	public static final int MIPS_INS_CMPGU = 83;
-	public static final int MIPS_INS_CMPU = 84;
-	public static final int MIPS_INS_CMP = 85;
-	public static final int MIPS_INS_COPY_S = 86;
-	public static final int MIPS_INS_COPY_U = 87;
-	public static final int MIPS_INS_CTC1 = 88;
-	public static final int MIPS_INS_CTCMSA = 89;
-	public static final int MIPS_INS_CVT = 90;
-	public static final int MIPS_INS_C = 91;
-	public static final int MIPS_INS_CMPI = 92;
-	public static final int MIPS_INS_DADD = 93;
-	public static final int MIPS_INS_DADDI = 94;
-	public static final int MIPS_INS_DADDIU = 95;
-	public static final int MIPS_INS_DADDU = 96;
-	public static final int MIPS_INS_DCLO = 97;
-	public static final int MIPS_INS_DCLZ = 98;
-	public static final int MIPS_INS_DERET = 99;
-	public static final int MIPS_INS_DEXT = 100;
-	public static final int MIPS_INS_DEXTM = 101;
-	public static final int MIPS_INS_DEXTU = 102;
-	public static final int MIPS_INS_DI = 103;
-	public static final int MIPS_INS_DINS = 104;
-	public static final int MIPS_INS_DINSM = 105;
-	public static final int MIPS_INS_DINSU = 106;
-	public static final int MIPS_INS_DIV_S = 107;
-	public static final int MIPS_INS_DIV_U = 108;
-	public static final int MIPS_INS_DLSA = 109;
-	public static final int MIPS_INS_DMFC0 = 110;
-	public static final int MIPS_INS_DMFC1 = 111;
-	public static final int MIPS_INS_DMFC2 = 112;
-	public static final int MIPS_INS_DMTC0 = 113;
-	public static final int MIPS_INS_DMTC1 = 114;
-	public static final int MIPS_INS_DMTC2 = 115;
-	public static final int MIPS_INS_DMULT = 116;
-	public static final int MIPS_INS_DMULTU = 117;
-	public static final int MIPS_INS_DOTP_S = 118;
-	public static final int MIPS_INS_DOTP_U = 119;
-	public static final int MIPS_INS_DPADD_S = 120;
-	public static final int MIPS_INS_DPADD_U = 121;
-	public static final int MIPS_INS_DPAQX_SA = 122;
-	public static final int MIPS_INS_DPAQX_S = 123;
-	public static final int MIPS_INS_DPAQ_SA = 124;
-	public static final int MIPS_INS_DPAQ_S = 125;
-	public static final int MIPS_INS_DPAU = 126;
-	public static final int MIPS_INS_DPAX = 127;
-	public static final int MIPS_INS_DPA = 128;
-	public static final int MIPS_INS_DPSQX_SA = 129;
-	public static final int MIPS_INS_DPSQX_S = 130;
-	public static final int MIPS_INS_DPSQ_SA = 131;
-	public static final int MIPS_INS_DPSQ_S = 132;
-	public static final int MIPS_INS_DPSUB_S = 133;
-	public static final int MIPS_INS_DPSUB_U = 134;
-	public static final int MIPS_INS_DPSU = 135;
-	public static final int MIPS_INS_DPSX = 136;
-	public static final int MIPS_INS_DPS = 137;
-	public static final int MIPS_INS_DROTR = 138;
-	public static final int MIPS_INS_DROTR32 = 139;
-	public static final int MIPS_INS_DROTRV = 140;
-	public static final int MIPS_INS_DSBH = 141;
+	public static final int MIPS_INS_ADDIUPC = 3;
+	public static final int MIPS_INS_ADDQH = 4;
+	public static final int MIPS_INS_ADDQH_R = 5;
+	public static final int MIPS_INS_ADDQ = 6;
+	public static final int MIPS_INS_ADDQ_S = 7;
+	public static final int MIPS_INS_ADDSC = 8;
+	public static final int MIPS_INS_ADDS_A = 9;
+	public static final int MIPS_INS_ADDS_S = 10;
+	public static final int MIPS_INS_ADDS_U = 11;
+	public static final int MIPS_INS_ADDUH = 12;
+	public static final int MIPS_INS_ADDUH_R = 13;
+	public static final int MIPS_INS_ADDU = 14;
+	public static final int MIPS_INS_ADDU_S = 15;
+	public static final int MIPS_INS_ADDVI = 16;
+	public static final int MIPS_INS_ADDV = 17;
+	public static final int MIPS_INS_ADDWC = 18;
+	public static final int MIPS_INS_ADD_A = 19;
+	public static final int MIPS_INS_ADDI = 20;
+	public static final int MIPS_INS_ADDIU = 21;
+	public static final int MIPS_INS_ALIGN = 22;
+	public static final int MIPS_INS_ALUIPC = 23;
+	public static final int MIPS_INS_AND = 24;
+	public static final int MIPS_INS_ANDI = 25;
+	public static final int MIPS_INS_APPEND = 26;
+	public static final int MIPS_INS_ASUB_S = 27;
+	public static final int MIPS_INS_ASUB_U = 28;
+	public static final int MIPS_INS_AUI = 29;
+	public static final int MIPS_INS_AUIPC = 30;
+	public static final int MIPS_INS_AVER_S = 31;
+	public static final int MIPS_INS_AVER_U = 32;
+	public static final int MIPS_INS_AVE_S = 33;
+	public static final int MIPS_INS_AVE_U = 34;
+	public static final int MIPS_INS_BADDU = 35;
+	public static final int MIPS_INS_BAL = 36;
+	public static final int MIPS_INS_BALC = 37;
+	public static final int MIPS_INS_BALIGN = 38;
+	public static final int MIPS_INS_BC = 39;
+	public static final int MIPS_INS_BC1EQZ = 40;
+	public static final int MIPS_INS_BC1F = 41;
+	public static final int MIPS_INS_BC1NEZ = 42;
+	public static final int MIPS_INS_BC1T = 43;
+	public static final int MIPS_INS_BC2EQZ = 44;
+	public static final int MIPS_INS_BC2NEZ = 45;
+	public static final int MIPS_INS_BCLRI = 46;
+	public static final int MIPS_INS_BCLR = 47;
+	public static final int MIPS_INS_BEQ = 48;
+	public static final int MIPS_INS_BEQC = 49;
+	public static final int MIPS_INS_BEQZALC = 50;
+	public static final int MIPS_INS_BEQZC = 51;
+	public static final int MIPS_INS_BGEC = 52;
+	public static final int MIPS_INS_BGEUC = 53;
+	public static final int MIPS_INS_BGEZ = 54;
+	public static final int MIPS_INS_BGEZAL = 55;
+	public static final int MIPS_INS_BGEZALC = 56;
+	public static final int MIPS_INS_BGEZC = 57;
+	public static final int MIPS_INS_BGTZ = 58;
+	public static final int MIPS_INS_BGTZALC = 59;
+	public static final int MIPS_INS_BGTZC = 60;
+	public static final int MIPS_INS_BINSLI = 61;
+	public static final int MIPS_INS_BINSL = 62;
+	public static final int MIPS_INS_BINSRI = 63;
+	public static final int MIPS_INS_BINSR = 64;
+	public static final int MIPS_INS_BITREV = 65;
+	public static final int MIPS_INS_BITSWAP = 66;
+	public static final int MIPS_INS_BLEZ = 67;
+	public static final int MIPS_INS_BLEZALC = 68;
+	public static final int MIPS_INS_BLEZC = 69;
+	public static final int MIPS_INS_BLTC = 70;
+	public static final int MIPS_INS_BLTUC = 71;
+	public static final int MIPS_INS_BLTZ = 72;
+	public static final int MIPS_INS_BLTZAL = 73;
+	public static final int MIPS_INS_BLTZALC = 74;
+	public static final int MIPS_INS_BLTZC = 75;
+	public static final int MIPS_INS_BMNZI = 76;
+	public static final int MIPS_INS_BMNZ = 77;
+	public static final int MIPS_INS_BMZI = 78;
+	public static final int MIPS_INS_BMZ = 79;
+	public static final int MIPS_INS_BNE = 80;
+	public static final int MIPS_INS_BNEC = 81;
+	public static final int MIPS_INS_BNEGI = 82;
+	public static final int MIPS_INS_BNEG = 83;
+	public static final int MIPS_INS_BNEZALC = 84;
+	public static final int MIPS_INS_BNEZC = 85;
+	public static final int MIPS_INS_BNVC = 86;
+	public static final int MIPS_INS_BNZ = 87;
+	public static final int MIPS_INS_BOVC = 88;
+	public static final int MIPS_INS_BPOSGE32 = 89;
+	public static final int MIPS_INS_BREAK = 90;
+	public static final int MIPS_INS_BSELI = 91;
+	public static final int MIPS_INS_BSEL = 92;
+	public static final int MIPS_INS_BSETI = 93;
+	public static final int MIPS_INS_BSET = 94;
+	public static final int MIPS_INS_BZ = 95;
+	public static final int MIPS_INS_BEQZ = 96;
+	public static final int MIPS_INS_B = 97;
+	public static final int MIPS_INS_BNEZ = 98;
+	public static final int MIPS_INS_BTEQZ = 99;
+	public static final int MIPS_INS_BTNEZ = 100;
+	public static final int MIPS_INS_CACHE = 101;
+	public static final int MIPS_INS_CEIL = 102;
+	public static final int MIPS_INS_CEQI = 103;
+	public static final int MIPS_INS_CEQ = 104;
+	public static final int MIPS_INS_CFC1 = 105;
+	public static final int MIPS_INS_CFCMSA = 106;
+	public static final int MIPS_INS_CINS = 107;
+	public static final int MIPS_INS_CINS32 = 108;
+	public static final int MIPS_INS_CLASS = 109;
+	public static final int MIPS_INS_CLEI_S = 110;
+	public static final int MIPS_INS_CLEI_U = 111;
+	public static final int MIPS_INS_CLE_S = 112;
+	public static final int MIPS_INS_CLE_U = 113;
+	public static final int MIPS_INS_CLO = 114;
+	public static final int MIPS_INS_CLTI_S = 115;
+	public static final int MIPS_INS_CLTI_U = 116;
+	public static final int MIPS_INS_CLT_S = 117;
+	public static final int MIPS_INS_CLT_U = 118;
+	public static final int MIPS_INS_CLZ = 119;
+	public static final int MIPS_INS_CMPGDU = 120;
+	public static final int MIPS_INS_CMPGU = 121;
+	public static final int MIPS_INS_CMPU = 122;
+	public static final int MIPS_INS_CMP = 123;
+	public static final int MIPS_INS_COPY_S = 124;
+	public static final int MIPS_INS_COPY_U = 125;
+	public static final int MIPS_INS_CTC1 = 126;
+	public static final int MIPS_INS_CTCMSA = 127;
+	public static final int MIPS_INS_CVT = 128;
+	public static final int MIPS_INS_C = 129;
+	public static final int MIPS_INS_CMPI = 130;
+	public static final int MIPS_INS_DADD = 131;
+	public static final int MIPS_INS_DADDI = 132;
+	public static final int MIPS_INS_DADDIU = 133;
+	public static final int MIPS_INS_DADDU = 134;
+	public static final int MIPS_INS_DAHI = 135;
+	public static final int MIPS_INS_DALIGN = 136;
+	public static final int MIPS_INS_DATI = 137;
+	public static final int MIPS_INS_DAUI = 138;
+	public static final int MIPS_INS_DBITSWAP = 139;
+	public static final int MIPS_INS_DCLO = 140;
+	public static final int MIPS_INS_DCLZ = 141;
 	public static final int MIPS_INS_DDIV = 142;
-	public static final int MIPS_INS_DSHD = 143;
-	public static final int MIPS_INS_DSLL = 144;
-	public static final int MIPS_INS_DSLL32 = 145;
-	public static final int MIPS_INS_DSLLV = 146;
-	public static final int MIPS_INS_DSRA = 147;
-	public static final int MIPS_INS_DSRA32 = 148;
-	public static final int MIPS_INS_DSRAV = 149;
-	public static final int MIPS_INS_DSRL = 150;
-	public static final int MIPS_INS_DSRL32 = 151;
-	public static final int MIPS_INS_DSRLV = 152;
-	public static final int MIPS_INS_DSUBU = 153;
-	public static final int MIPS_INS_DDIVU = 154;
-	public static final int MIPS_INS_DIV = 155;
-	public static final int MIPS_INS_DIVU = 156;
-	public static final int MIPS_INS_EI = 157;
-	public static final int MIPS_INS_ERET = 158;
-	public static final int MIPS_INS_EXT = 159;
-	public static final int MIPS_INS_EXTP = 160;
-	public static final int MIPS_INS_EXTPDP = 161;
-	public static final int MIPS_INS_EXTPDPV = 162;
-	public static final int MIPS_INS_EXTPV = 163;
-	public static final int MIPS_INS_EXTRV_RS = 164;
-	public static final int MIPS_INS_EXTRV_R = 165;
-	public static final int MIPS_INS_EXTRV_S = 166;
-	public static final int MIPS_INS_EXTRV = 167;
-	public static final int MIPS_INS_EXTR_RS = 168;
-	public static final int MIPS_INS_EXTR_R = 169;
-	public static final int MIPS_INS_EXTR_S = 170;
-	public static final int MIPS_INS_EXTR = 171;
-	public static final int MIPS_INS_ABS = 172;
-	public static final int MIPS_INS_FADD = 173;
-	public static final int MIPS_INS_FCAF = 174;
-	public static final int MIPS_INS_FCEQ = 175;
-	public static final int MIPS_INS_FCLASS = 176;
-	public static final int MIPS_INS_FCLE = 177;
-	public static final int MIPS_INS_FCLT = 178;
-	public static final int MIPS_INS_FCNE = 179;
-	public static final int MIPS_INS_FCOR = 180;
-	public static final int MIPS_INS_FCUEQ = 181;
-	public static final int MIPS_INS_FCULE = 182;
-	public static final int MIPS_INS_FCULT = 183;
-	public static final int MIPS_INS_FCUNE = 184;
-	public static final int MIPS_INS_FCUN = 185;
-	public static final int MIPS_INS_FDIV = 186;
-	public static final int MIPS_INS_FEXDO = 187;
-	public static final int MIPS_INS_FEXP2 = 188;
-	public static final int MIPS_INS_FEXUPL = 189;
-	public static final int MIPS_INS_FEXUPR = 190;
-	public static final int MIPS_INS_FFINT_S = 191;
-	public static final int MIPS_INS_FFINT_U = 192;
-	public static final int MIPS_INS_FFQL = 193;
-	public static final int MIPS_INS_FFQR = 194;
-	public static final int MIPS_INS_FILL = 195;
-	public static final int MIPS_INS_FLOG2 = 196;
-	public static final int MIPS_INS_FLOOR = 197;
-	public static final int MIPS_INS_FMADD = 198;
-	public static final int MIPS_INS_FMAX_A = 199;
-	public static final int MIPS_INS_FMAX = 200;
-	public static final int MIPS_INS_FMIN_A = 201;
-	public static final int MIPS_INS_FMIN = 202;
-	public static final int MIPS_INS_MOV = 203;
-	public static final int MIPS_INS_FMSUB = 204;
-	public static final int MIPS_INS_FMUL = 205;
-	public static final int MIPS_INS_MUL = 206;
-	public static final int MIPS_INS_NEG = 207;
-	public static final int MIPS_INS_FRCP = 208;
-	public static final int MIPS_INS_FRINT = 209;
-	public static final int MIPS_INS_FRSQRT = 210;
-	public static final int MIPS_INS_FSAF = 211;
-	public static final int MIPS_INS_FSEQ = 212;
-	public static final int MIPS_INS_FSLE = 213;
-	public static final int MIPS_INS_FSLT = 214;
-	public static final int MIPS_INS_FSNE = 215;
-	public static final int MIPS_INS_FSOR = 216;
-	public static final int MIPS_INS_FSQRT = 217;
-	public static final int MIPS_INS_SQRT = 218;
-	public static final int MIPS_INS_FSUB = 219;
-	public static final int MIPS_INS_SUB = 220;
-	public static final int MIPS_INS_FSUEQ = 221;
-	public static final int MIPS_INS_FSULE = 222;
-	public static final int MIPS_INS_FSULT = 223;
-	public static final int MIPS_INS_FSUNE = 224;
-	public static final int MIPS_INS_FSUN = 225;
-	public static final int MIPS_INS_FTINT_S = 226;
-	public static final int MIPS_INS_FTINT_U = 227;
-	public static final int MIPS_INS_FTQ = 228;
-	public static final int MIPS_INS_FTRUNC_S = 229;
-	public static final int MIPS_INS_FTRUNC_U = 230;
-	public static final int MIPS_INS_HADD_S = 231;
-	public static final int MIPS_INS_HADD_U = 232;
-	public static final int MIPS_INS_HSUB_S = 233;
-	public static final int MIPS_INS_HSUB_U = 234;
-	public static final int MIPS_INS_ILVEV = 235;
-	public static final int MIPS_INS_ILVL = 236;
-	public static final int MIPS_INS_ILVOD = 237;
-	public static final int MIPS_INS_ILVR = 238;
-	public static final int MIPS_INS_INS = 239;
-	public static final int MIPS_INS_INSERT = 240;
-	public static final int MIPS_INS_INSV = 241;
-	public static final int MIPS_INS_INSVE = 242;
-	public static final int MIPS_INS_J = 243;
-	public static final int MIPS_INS_JAL = 244;
-	public static final int MIPS_INS_JALR = 245;
-	public static final int MIPS_INS_JR = 246;
-	public static final int MIPS_INS_JRC = 247;
-	public static final int MIPS_INS_JALRC = 248;
-	public static final int MIPS_INS_LB = 249;
-	public static final int MIPS_INS_LBUX = 250;
-	public static final int MIPS_INS_LBU = 251;
-	public static final int MIPS_INS_LD = 252;
-	public static final int MIPS_INS_LDC1 = 253;
-	public static final int MIPS_INS_LDC2 = 254;
-	public static final int MIPS_INS_LDI = 255;
-	public static final int MIPS_INS_LDL = 256;
-	public static final int MIPS_INS_LDR = 257;
-	public static final int MIPS_INS_LDXC1 = 258;
-	public static final int MIPS_INS_LH = 259;
-	public static final int MIPS_INS_LHX = 260;
-	public static final int MIPS_INS_LHU = 261;
-	public static final int MIPS_INS_LL = 262;
-	public static final int MIPS_INS_LLD = 263;
-	public static final int MIPS_INS_LSA = 264;
-	public static final int MIPS_INS_LUXC1 = 265;
-	public static final int MIPS_INS_LUI = 266;
-	public static final int MIPS_INS_LW = 267;
-	public static final int MIPS_INS_LWC1 = 268;
-	public static final int MIPS_INS_LWC2 = 269;
-	public static final int MIPS_INS_LWL = 270;
-	public static final int MIPS_INS_LWR = 271;
-	public static final int MIPS_INS_LWU = 272;
-	public static final int MIPS_INS_LWX = 273;
-	public static final int MIPS_INS_LWXC1 = 274;
-	public static final int MIPS_INS_LI = 275;
-	public static final int MIPS_INS_MADD = 276;
-	public static final int MIPS_INS_MADDR_Q = 277;
-	public static final int MIPS_INS_MADDU = 278;
-	public static final int MIPS_INS_MADDV = 279;
-	public static final int MIPS_INS_MADD_Q = 280;
-	public static final int MIPS_INS_MAQ_SA = 281;
-	public static final int MIPS_INS_MAQ_S = 282;
-	public static final int MIPS_INS_MAXI_S = 283;
-	public static final int MIPS_INS_MAXI_U = 284;
-	public static final int MIPS_INS_MAX_A = 285;
-	public static final int MIPS_INS_MAX_S = 286;
-	public static final int MIPS_INS_MAX_U = 287;
-	public static final int MIPS_INS_MFC0 = 288;
-	public static final int MIPS_INS_MFC1 = 289;
-	public static final int MIPS_INS_MFC2 = 290;
-	public static final int MIPS_INS_MFHC1 = 291;
-	public static final int MIPS_INS_MFHI = 292;
-	public static final int MIPS_INS_MFLO = 293;
-	public static final int MIPS_INS_MINI_S = 294;
-	public static final int MIPS_INS_MINI_U = 295;
-	public static final int MIPS_INS_MIN_A = 296;
-	public static final int MIPS_INS_MIN_S = 297;
-	public static final int MIPS_INS_MIN_U = 298;
-	public static final int MIPS_INS_MODSUB = 299;
-	public static final int MIPS_INS_MOD_S = 300;
-	public static final int MIPS_INS_MOD_U = 301;
-	public static final int MIPS_INS_MOVE = 302;
-	public static final int MIPS_INS_MOVF = 303;
-	public static final int MIPS_INS_MOVN = 304;
-	public static final int MIPS_INS_MOVT = 305;
-	public static final int MIPS_INS_MOVZ = 306;
-	public static final int MIPS_INS_MSUB = 307;
-	public static final int MIPS_INS_MSUBR_Q = 308;
-	public static final int MIPS_INS_MSUBU = 309;
-	public static final int MIPS_INS_MSUBV = 310;
-	public static final int MIPS_INS_MSUB_Q = 311;
-	public static final int MIPS_INS_MTC0 = 312;
-	public static final int MIPS_INS_MTC1 = 313;
-	public static final int MIPS_INS_MTC2 = 314;
-	public static final int MIPS_INS_MTHC1 = 315;
-	public static final int MIPS_INS_MTHI = 316;
-	public static final int MIPS_INS_MTHLIP = 317;
-	public static final int MIPS_INS_MTLO = 318;
-	public static final int MIPS_INS_MULEQ_S = 319;
-	public static final int MIPS_INS_MULEU_S = 320;
-	public static final int MIPS_INS_MULQ_RS = 321;
-	public static final int MIPS_INS_MULQ_S = 322;
-	public static final int MIPS_INS_MULR_Q = 323;
-	public static final int MIPS_INS_MULSAQ_S = 324;
-	public static final int MIPS_INS_MULSA = 325;
-	public static final int MIPS_INS_MULT = 326;
-	public static final int MIPS_INS_MULTU = 327;
-	public static final int MIPS_INS_MULV = 328;
-	public static final int MIPS_INS_MUL_Q = 329;
-	public static final int MIPS_INS_MUL_S = 330;
-	public static final int MIPS_INS_NLOC = 331;
-	public static final int MIPS_INS_NLZC = 332;
-	public static final int MIPS_INS_NMADD = 333;
-	public static final int MIPS_INS_NMSUB = 334;
-	public static final int MIPS_INS_NOR = 335;
-	public static final int MIPS_INS_NORI = 336;
-	public static final int MIPS_INS_NOT = 337;
-	public static final int MIPS_INS_OR = 338;
-	public static final int MIPS_INS_ORI = 339;
-	public static final int MIPS_INS_PACKRL = 340;
-	public static final int MIPS_INS_PCKEV = 341;
-	public static final int MIPS_INS_PCKOD = 342;
-	public static final int MIPS_INS_PCNT = 343;
-	public static final int MIPS_INS_PICK = 344;
-	public static final int MIPS_INS_PRECEQU = 345;
-	public static final int MIPS_INS_PRECEQ = 346;
-	public static final int MIPS_INS_PRECEU = 347;
-	public static final int MIPS_INS_PRECRQU_S = 348;
-	public static final int MIPS_INS_PRECRQ = 349;
-	public static final int MIPS_INS_PRECRQ_RS = 350;
-	public static final int MIPS_INS_PRECR = 351;
-	public static final int MIPS_INS_PRECR_SRA = 352;
-	public static final int MIPS_INS_PRECR_SRA_R = 353;
-	public static final int MIPS_INS_PREPEND = 354;
-	public static final int MIPS_INS_RADDU = 355;
-	public static final int MIPS_INS_RDDSP = 356;
-	public static final int MIPS_INS_RDHWR = 357;
-	public static final int MIPS_INS_REPLV = 358;
-	public static final int MIPS_INS_REPL = 359;
-	public static final int MIPS_INS_ROTR = 360;
-	public static final int MIPS_INS_ROTRV = 361;
-	public static final int MIPS_INS_ROUND = 362;
-	public static final int MIPS_INS_SAT_S = 363;
-	public static final int MIPS_INS_SAT_U = 364;
-	public static final int MIPS_INS_SB = 365;
-	public static final int MIPS_INS_SC = 366;
-	public static final int MIPS_INS_SCD = 367;
-	public static final int MIPS_INS_SD = 368;
-	public static final int MIPS_INS_SDC1 = 369;
-	public static final int MIPS_INS_SDC2 = 370;
-	public static final int MIPS_INS_SDL = 371;
-	public static final int MIPS_INS_SDR = 372;
-	public static final int MIPS_INS_SDXC1 = 373;
-	public static final int MIPS_INS_SEB = 374;
-	public static final int MIPS_INS_SEH = 375;
-	public static final int MIPS_INS_SH = 376;
-	public static final int MIPS_INS_SHF = 377;
-	public static final int MIPS_INS_SHILO = 378;
-	public static final int MIPS_INS_SHILOV = 379;
-	public static final int MIPS_INS_SHLLV = 380;
-	public static final int MIPS_INS_SHLLV_S = 381;
-	public static final int MIPS_INS_SHLL = 382;
-	public static final int MIPS_INS_SHLL_S = 383;
-	public static final int MIPS_INS_SHRAV = 384;
-	public static final int MIPS_INS_SHRAV_R = 385;
-	public static final int MIPS_INS_SHRA = 386;
-	public static final int MIPS_INS_SHRA_R = 387;
-	public static final int MIPS_INS_SHRLV = 388;
-	public static final int MIPS_INS_SHRL = 389;
-	public static final int MIPS_INS_SLDI = 390;
-	public static final int MIPS_INS_SLD = 391;
-	public static final int MIPS_INS_SLL = 392;
-	public static final int MIPS_INS_SLLI = 393;
-	public static final int MIPS_INS_SLLV = 394;
-	public static final int MIPS_INS_SLT = 395;
-	public static final int MIPS_INS_SLTI = 396;
-	public static final int MIPS_INS_SLTIU = 397;
-	public static final int MIPS_INS_SLTU = 398;
-	public static final int MIPS_INS_SPLATI = 399;
-	public static final int MIPS_INS_SPLAT = 400;
-	public static final int MIPS_INS_SRA = 401;
-	public static final int MIPS_INS_SRAI = 402;
-	public static final int MIPS_INS_SRARI = 403;
-	public static final int MIPS_INS_SRAR = 404;
-	public static final int MIPS_INS_SRAV = 405;
-	public static final int MIPS_INS_SRL = 406;
-	public static final int MIPS_INS_SRLI = 407;
-	public static final int MIPS_INS_SRLRI = 408;
-	public static final int MIPS_INS_SRLR = 409;
-	public static final int MIPS_INS_SRLV = 410;
-	public static final int MIPS_INS_ST = 411;
-	public static final int MIPS_INS_SUBQH = 412;
-	public static final int MIPS_INS_SUBQH_R = 413;
-	public static final int MIPS_INS_SUBQ = 414;
-	public static final int MIPS_INS_SUBQ_S = 415;
-	public static final int MIPS_INS_SUBSUS_U = 416;
-	public static final int MIPS_INS_SUBSUU_S = 417;
-	public static final int MIPS_INS_SUBS_S = 418;
-	public static final int MIPS_INS_SUBS_U = 419;
-	public static final int MIPS_INS_SUBUH = 420;
-	public static final int MIPS_INS_SUBUH_R = 421;
-	public static final int MIPS_INS_SUBU = 422;
-	public static final int MIPS_INS_SUBU_S = 423;
-	public static final int MIPS_INS_SUBVI = 424;
-	public static final int MIPS_INS_SUBV = 425;
-	public static final int MIPS_INS_SUXC1 = 426;
-	public static final int MIPS_INS_SW = 427;
-	public static final int MIPS_INS_SWC1 = 428;
-	public static final int MIPS_INS_SWC2 = 429;
-	public static final int MIPS_INS_SWL = 430;
-	public static final int MIPS_INS_SWR = 431;
-	public static final int MIPS_INS_SWXC1 = 432;
-	public static final int MIPS_INS_SYNC = 433;
-	public static final int MIPS_INS_SYSCALL = 434;
-	public static final int MIPS_INS_TEQ = 435;
-	public static final int MIPS_INS_TEQI = 436;
-	public static final int MIPS_INS_TGE = 437;
-	public static final int MIPS_INS_TGEI = 438;
-	public static final int MIPS_INS_TGEIU = 439;
-	public static final int MIPS_INS_TGEU = 440;
-	public static final int MIPS_INS_TLT = 441;
-	public static final int MIPS_INS_TLTI = 442;
-	public static final int MIPS_INS_TLTIU = 443;
-	public static final int MIPS_INS_TLTU = 444;
-	public static final int MIPS_INS_TNE = 445;
-	public static final int MIPS_INS_TNEI = 446;
-	public static final int MIPS_INS_TRUNC = 447;
-	public static final int MIPS_INS_VSHF = 448;
-	public static final int MIPS_INS_WAIT = 449;
-	public static final int MIPS_INS_WRDSP = 450;
-	public static final int MIPS_INS_WSBH = 451;
-	public static final int MIPS_INS_XOR = 452;
-	public static final int MIPS_INS_XORI = 453;
+	public static final int MIPS_INS_DDIVU = 143;
+	public static final int MIPS_INS_DERET = 144;
+	public static final int MIPS_INS_DEXT = 145;
+	public static final int MIPS_INS_DEXTM = 146;
+	public static final int MIPS_INS_DEXTU = 147;
+	public static final int MIPS_INS_DI = 148;
+	public static final int MIPS_INS_DINS = 149;
+	public static final int MIPS_INS_DINSM = 150;
+	public static final int MIPS_INS_DINSU = 151;
+	public static final int MIPS_INS_DIV = 152;
+	public static final int MIPS_INS_DIVU = 153;
+	public static final int MIPS_INS_DIV_S = 154;
+	public static final int MIPS_INS_DIV_U = 155;
+	public static final int MIPS_INS_DLSA = 156;
+	public static final int MIPS_INS_DMFC0 = 157;
+	public static final int MIPS_INS_DMFC1 = 158;
+	public static final int MIPS_INS_DMFC2 = 159;
+	public static final int MIPS_INS_DMOD = 160;
+	public static final int MIPS_INS_DMODU = 161;
+	public static final int MIPS_INS_DMTC0 = 162;
+	public static final int MIPS_INS_DMTC1 = 163;
+	public static final int MIPS_INS_DMTC2 = 164;
+	public static final int MIPS_INS_DMUH = 165;
+	public static final int MIPS_INS_DMUHU = 166;
+	public static final int MIPS_INS_DMUL = 167;
+	public static final int MIPS_INS_DMULT = 168;
+	public static final int MIPS_INS_DMULTU = 169;
+	public static final int MIPS_INS_DMULU = 170;
+	public static final int MIPS_INS_DOTP_S = 171;
+	public static final int MIPS_INS_DOTP_U = 172;
+	public static final int MIPS_INS_DPADD_S = 173;
+	public static final int MIPS_INS_DPADD_U = 174;
+	public static final int MIPS_INS_DPAQX_SA = 175;
+	public static final int MIPS_INS_DPAQX_S = 176;
+	public static final int MIPS_INS_DPAQ_SA = 177;
+	public static final int MIPS_INS_DPAQ_S = 178;
+	public static final int MIPS_INS_DPAU = 179;
+	public static final int MIPS_INS_DPAX = 180;
+	public static final int MIPS_INS_DPA = 181;
+	public static final int MIPS_INS_DPOP = 182;
+	public static final int MIPS_INS_DPSQX_SA = 183;
+	public static final int MIPS_INS_DPSQX_S = 184;
+	public static final int MIPS_INS_DPSQ_SA = 185;
+	public static final int MIPS_INS_DPSQ_S = 186;
+	public static final int MIPS_INS_DPSUB_S = 187;
+	public static final int MIPS_INS_DPSUB_U = 188;
+	public static final int MIPS_INS_DPSU = 189;
+	public static final int MIPS_INS_DPSX = 190;
+	public static final int MIPS_INS_DPS = 191;
+	public static final int MIPS_INS_DROTR = 192;
+	public static final int MIPS_INS_DROTR32 = 193;
+	public static final int MIPS_INS_DROTRV = 194;
+	public static final int MIPS_INS_DSBH = 195;
+	public static final int MIPS_INS_DSHD = 196;
+	public static final int MIPS_INS_DSLL = 197;
+	public static final int MIPS_INS_DSLL32 = 198;
+	public static final int MIPS_INS_DSLLV = 199;
+	public static final int MIPS_INS_DSRA = 200;
+	public static final int MIPS_INS_DSRA32 = 201;
+	public static final int MIPS_INS_DSRAV = 202;
+	public static final int MIPS_INS_DSRL = 203;
+	public static final int MIPS_INS_DSRL32 = 204;
+	public static final int MIPS_INS_DSRLV = 205;
+	public static final int MIPS_INS_DSUB = 206;
+	public static final int MIPS_INS_DSUBU = 207;
+	public static final int MIPS_INS_EHB = 208;
+	public static final int MIPS_INS_EI = 209;
+	public static final int MIPS_INS_ERET = 210;
+	public static final int MIPS_INS_EXT = 211;
+	public static final int MIPS_INS_EXTP = 212;
+	public static final int MIPS_INS_EXTPDP = 213;
+	public static final int MIPS_INS_EXTPDPV = 214;
+	public static final int MIPS_INS_EXTPV = 215;
+	public static final int MIPS_INS_EXTRV_RS = 216;
+	public static final int MIPS_INS_EXTRV_R = 217;
+	public static final int MIPS_INS_EXTRV_S = 218;
+	public static final int MIPS_INS_EXTRV = 219;
+	public static final int MIPS_INS_EXTR_RS = 220;
+	public static final int MIPS_INS_EXTR_R = 221;
+	public static final int MIPS_INS_EXTR_S = 222;
+	public static final int MIPS_INS_EXTR = 223;
+	public static final int MIPS_INS_EXTS = 224;
+	public static final int MIPS_INS_EXTS32 = 225;
+	public static final int MIPS_INS_ABS = 226;
+	public static final int MIPS_INS_FADD = 227;
+	public static final int MIPS_INS_FCAF = 228;
+	public static final int MIPS_INS_FCEQ = 229;
+	public static final int MIPS_INS_FCLASS = 230;
+	public static final int MIPS_INS_FCLE = 231;
+	public static final int MIPS_INS_FCLT = 232;
+	public static final int MIPS_INS_FCNE = 233;
+	public static final int MIPS_INS_FCOR = 234;
+	public static final int MIPS_INS_FCUEQ = 235;
+	public static final int MIPS_INS_FCULE = 236;
+	public static final int MIPS_INS_FCULT = 237;
+	public static final int MIPS_INS_FCUNE = 238;
+	public static final int MIPS_INS_FCUN = 239;
+	public static final int MIPS_INS_FDIV = 240;
+	public static final int MIPS_INS_FEXDO = 241;
+	public static final int MIPS_INS_FEXP2 = 242;
+	public static final int MIPS_INS_FEXUPL = 243;
+	public static final int MIPS_INS_FEXUPR = 244;
+	public static final int MIPS_INS_FFINT_S = 245;
+	public static final int MIPS_INS_FFINT_U = 246;
+	public static final int MIPS_INS_FFQL = 247;
+	public static final int MIPS_INS_FFQR = 248;
+	public static final int MIPS_INS_FILL = 249;
+	public static final int MIPS_INS_FLOG2 = 250;
+	public static final int MIPS_INS_FLOOR = 251;
+	public static final int MIPS_INS_FMADD = 252;
+	public static final int MIPS_INS_FMAX_A = 253;
+	public static final int MIPS_INS_FMAX = 254;
+	public static final int MIPS_INS_FMIN_A = 255;
+	public static final int MIPS_INS_FMIN = 256;
+	public static final int MIPS_INS_MOV = 257;
+	public static final int MIPS_INS_FMSUB = 258;
+	public static final int MIPS_INS_FMUL = 259;
+	public static final int MIPS_INS_MUL = 260;
+	public static final int MIPS_INS_NEG = 261;
+	public static final int MIPS_INS_FRCP = 262;
+	public static final int MIPS_INS_FRINT = 263;
+	public static final int MIPS_INS_FRSQRT = 264;
+	public static final int MIPS_INS_FSAF = 265;
+	public static final int MIPS_INS_FSEQ = 266;
+	public static final int MIPS_INS_FSLE = 267;
+	public static final int MIPS_INS_FSLT = 268;
+	public static final int MIPS_INS_FSNE = 269;
+	public static final int MIPS_INS_FSOR = 270;
+	public static final int MIPS_INS_FSQRT = 271;
+	public static final int MIPS_INS_SQRT = 272;
+	public static final int MIPS_INS_FSUB = 273;
+	public static final int MIPS_INS_SUB = 274;
+	public static final int MIPS_INS_FSUEQ = 275;
+	public static final int MIPS_INS_FSULE = 276;
+	public static final int MIPS_INS_FSULT = 277;
+	public static final int MIPS_INS_FSUNE = 278;
+	public static final int MIPS_INS_FSUN = 279;
+	public static final int MIPS_INS_FTINT_S = 280;
+	public static final int MIPS_INS_FTINT_U = 281;
+	public static final int MIPS_INS_FTQ = 282;
+	public static final int MIPS_INS_FTRUNC_S = 283;
+	public static final int MIPS_INS_FTRUNC_U = 284;
+	public static final int MIPS_INS_HADD_S = 285;
+	public static final int MIPS_INS_HADD_U = 286;
+	public static final int MIPS_INS_HSUB_S = 287;
+	public static final int MIPS_INS_HSUB_U = 288;
+	public static final int MIPS_INS_ILVEV = 289;
+	public static final int MIPS_INS_ILVL = 290;
+	public static final int MIPS_INS_ILVOD = 291;
+	public static final int MIPS_INS_ILVR = 292;
+	public static final int MIPS_INS_INS = 293;
+	public static final int MIPS_INS_INSERT = 294;
+	public static final int MIPS_INS_INSV = 295;
+	public static final int MIPS_INS_INSVE = 296;
+	public static final int MIPS_INS_J = 297;
+	public static final int MIPS_INS_JAL = 298;
+	public static final int MIPS_INS_JALR = 299;
+	public static final int MIPS_INS_JALX = 300;
+	public static final int MIPS_INS_JIALC = 301;
+	public static final int MIPS_INS_JIC = 302;
+	public static final int MIPS_INS_JR = 303;
+	public static final int MIPS_INS_JRC = 304;
+	public static final int MIPS_INS_JALRC = 305;
+	public static final int MIPS_INS_LB = 306;
+	public static final int MIPS_INS_LBUX = 307;
+	public static final int MIPS_INS_LBU = 308;
+	public static final int MIPS_INS_LD = 309;
+	public static final int MIPS_INS_LDC1 = 310;
+	public static final int MIPS_INS_LDC2 = 311;
+	public static final int MIPS_INS_LDC3 = 312;
+	public static final int MIPS_INS_LDI = 313;
+	public static final int MIPS_INS_LDL = 314;
+	public static final int MIPS_INS_LDPC = 315;
+	public static final int MIPS_INS_LDR = 316;
+	public static final int MIPS_INS_LDXC1 = 317;
+	public static final int MIPS_INS_LH = 318;
+	public static final int MIPS_INS_LHX = 319;
+	public static final int MIPS_INS_LHU = 320;
+	public static final int MIPS_INS_LL = 321;
+	public static final int MIPS_INS_LLD = 322;
+	public static final int MIPS_INS_LSA = 323;
+	public static final int MIPS_INS_LUXC1 = 324;
+	public static final int MIPS_INS_LUI = 325;
+	public static final int MIPS_INS_LW = 326;
+	public static final int MIPS_INS_LWC1 = 327;
+	public static final int MIPS_INS_LWC2 = 328;
+	public static final int MIPS_INS_LWC3 = 329;
+	public static final int MIPS_INS_LWL = 330;
+	public static final int MIPS_INS_LWPC = 331;
+	public static final int MIPS_INS_LWR = 332;
+	public static final int MIPS_INS_LWUPC = 333;
+	public static final int MIPS_INS_LWU = 334;
+	public static final int MIPS_INS_LWX = 335;
+	public static final int MIPS_INS_LWXC1 = 336;
+	public static final int MIPS_INS_LI = 337;
+	public static final int MIPS_INS_MADD = 338;
+	public static final int MIPS_INS_MADDF = 339;
+	public static final int MIPS_INS_MADDR_Q = 340;
+	public static final int MIPS_INS_MADDU = 341;
+	public static final int MIPS_INS_MADDV = 342;
+	public static final int MIPS_INS_MADD_Q = 343;
+	public static final int MIPS_INS_MAQ_SA = 344;
+	public static final int MIPS_INS_MAQ_S = 345;
+	public static final int MIPS_INS_MAXA = 346;
+	public static final int MIPS_INS_MAXI_S = 347;
+	public static final int MIPS_INS_MAXI_U = 348;
+	public static final int MIPS_INS_MAX_A = 349;
+	public static final int MIPS_INS_MAX = 350;
+	public static final int MIPS_INS_MAX_S = 351;
+	public static final int MIPS_INS_MAX_U = 352;
+	public static final int MIPS_INS_MFC0 = 353;
+	public static final int MIPS_INS_MFC1 = 354;
+	public static final int MIPS_INS_MFC2 = 355;
+	public static final int MIPS_INS_MFHC1 = 356;
+	public static final int MIPS_INS_MFHI = 357;
+	public static final int MIPS_INS_MFLO = 358;
+	public static final int MIPS_INS_MINA = 359;
+	public static final int MIPS_INS_MINI_S = 360;
+	public static final int MIPS_INS_MINI_U = 361;
+	public static final int MIPS_INS_MIN_A = 362;
+	public static final int MIPS_INS_MIN = 363;
+	public static final int MIPS_INS_MIN_S = 364;
+	public static final int MIPS_INS_MIN_U = 365;
+	public static final int MIPS_INS_MOD = 366;
+	public static final int MIPS_INS_MODSUB = 367;
+	public static final int MIPS_INS_MODU = 368;
+	public static final int MIPS_INS_MOD_S = 369;
+	public static final int MIPS_INS_MOD_U = 370;
+	public static final int MIPS_INS_MOVE = 371;
+	public static final int MIPS_INS_MOVF = 372;
+	public static final int MIPS_INS_MOVN = 373;
+	public static final int MIPS_INS_MOVT = 374;
+	public static final int MIPS_INS_MOVZ = 375;
+	public static final int MIPS_INS_MSUB = 376;
+	public static final int MIPS_INS_MSUBF = 377;
+	public static final int MIPS_INS_MSUBR_Q = 378;
+	public static final int MIPS_INS_MSUBU = 379;
+	public static final int MIPS_INS_MSUBV = 380;
+	public static final int MIPS_INS_MSUB_Q = 381;
+	public static final int MIPS_INS_MTC0 = 382;
+	public static final int MIPS_INS_MTC1 = 383;
+	public static final int MIPS_INS_MTC2 = 384;
+	public static final int MIPS_INS_MTHC1 = 385;
+	public static final int MIPS_INS_MTHI = 386;
+	public static final int MIPS_INS_MTHLIP = 387;
+	public static final int MIPS_INS_MTLO = 388;
+	public static final int MIPS_INS_MTM0 = 389;
+	public static final int MIPS_INS_MTM1 = 390;
+	public static final int MIPS_INS_MTM2 = 391;
+	public static final int MIPS_INS_MTP0 = 392;
+	public static final int MIPS_INS_MTP1 = 393;
+	public static final int MIPS_INS_MTP2 = 394;
+	public static final int MIPS_INS_MUH = 395;
+	public static final int MIPS_INS_MUHU = 396;
+	public static final int MIPS_INS_MULEQ_S = 397;
+	public static final int MIPS_INS_MULEU_S = 398;
+	public static final int MIPS_INS_MULQ_RS = 399;
+	public static final int MIPS_INS_MULQ_S = 400;
+	public static final int MIPS_INS_MULR_Q = 401;
+	public static final int MIPS_INS_MULSAQ_S = 402;
+	public static final int MIPS_INS_MULSA = 403;
+	public static final int MIPS_INS_MULT = 404;
+	public static final int MIPS_INS_MULTU = 405;
+	public static final int MIPS_INS_MULU = 406;
+	public static final int MIPS_INS_MULV = 407;
+	public static final int MIPS_INS_MUL_Q = 408;
+	public static final int MIPS_INS_MUL_S = 409;
+	public static final int MIPS_INS_NLOC = 410;
+	public static final int MIPS_INS_NLZC = 411;
+	public static final int MIPS_INS_NMADD = 412;
+	public static final int MIPS_INS_NMSUB = 413;
+	public static final int MIPS_INS_NOR = 414;
+	public static final int MIPS_INS_NORI = 415;
+	public static final int MIPS_INS_NOT = 416;
+	public static final int MIPS_INS_OR = 417;
+	public static final int MIPS_INS_ORI = 418;
+	public static final int MIPS_INS_PACKRL = 419;
+	public static final int MIPS_INS_PAUSE = 420;
+	public static final int MIPS_INS_PCKEV = 421;
+	public static final int MIPS_INS_PCKOD = 422;
+	public static final int MIPS_INS_PCNT = 423;
+	public static final int MIPS_INS_PICK = 424;
+	public static final int MIPS_INS_POP = 425;
+	public static final int MIPS_INS_PRECEQU = 426;
+	public static final int MIPS_INS_PRECEQ = 427;
+	public static final int MIPS_INS_PRECEU = 428;
+	public static final int MIPS_INS_PRECRQU_S = 429;
+	public static final int MIPS_INS_PRECRQ = 430;
+	public static final int MIPS_INS_PRECRQ_RS = 431;
+	public static final int MIPS_INS_PRECR = 432;
+	public static final int MIPS_INS_PRECR_SRA = 433;
+	public static final int MIPS_INS_PRECR_SRA_R = 434;
+	public static final int MIPS_INS_PREF = 435;
+	public static final int MIPS_INS_PREPEND = 436;
+	public static final int MIPS_INS_RADDU = 437;
+	public static final int MIPS_INS_RDDSP = 438;
+	public static final int MIPS_INS_RDHWR = 439;
+	public static final int MIPS_INS_REPLV = 440;
+	public static final int MIPS_INS_REPL = 441;
+	public static final int MIPS_INS_RINT = 442;
+	public static final int MIPS_INS_ROTR = 443;
+	public static final int MIPS_INS_ROTRV = 444;
+	public static final int MIPS_INS_ROUND = 445;
+	public static final int MIPS_INS_SAT_S = 446;
+	public static final int MIPS_INS_SAT_U = 447;
+	public static final int MIPS_INS_SB = 448;
+	public static final int MIPS_INS_SC = 449;
+	public static final int MIPS_INS_SCD = 450;
+	public static final int MIPS_INS_SD = 451;
+	public static final int MIPS_INS_SDBBP = 452;
+	public static final int MIPS_INS_SDC1 = 453;
+	public static final int MIPS_INS_SDC2 = 454;
+	public static final int MIPS_INS_SDC3 = 455;
+	public static final int MIPS_INS_SDL = 456;
+	public static final int MIPS_INS_SDR = 457;
+	public static final int MIPS_INS_SDXC1 = 458;
+	public static final int MIPS_INS_SEB = 459;
+	public static final int MIPS_INS_SEH = 460;
+	public static final int MIPS_INS_SELEQZ = 461;
+	public static final int MIPS_INS_SELNEZ = 462;
+	public static final int MIPS_INS_SEL = 463;
+	public static final int MIPS_INS_SEQ = 464;
+	public static final int MIPS_INS_SEQI = 465;
+	public static final int MIPS_INS_SH = 466;
+	public static final int MIPS_INS_SHF = 467;
+	public static final int MIPS_INS_SHILO = 468;
+	public static final int MIPS_INS_SHILOV = 469;
+	public static final int MIPS_INS_SHLLV = 470;
+	public static final int MIPS_INS_SHLLV_S = 471;
+	public static final int MIPS_INS_SHLL = 472;
+	public static final int MIPS_INS_SHLL_S = 473;
+	public static final int MIPS_INS_SHRAV = 474;
+	public static final int MIPS_INS_SHRAV_R = 475;
+	public static final int MIPS_INS_SHRA = 476;
+	public static final int MIPS_INS_SHRA_R = 477;
+	public static final int MIPS_INS_SHRLV = 478;
+	public static final int MIPS_INS_SHRL = 479;
+	public static final int MIPS_INS_SLDI = 480;
+	public static final int MIPS_INS_SLD = 481;
+	public static final int MIPS_INS_SLL = 482;
+	public static final int MIPS_INS_SLLI = 483;
+	public static final int MIPS_INS_SLLV = 484;
+	public static final int MIPS_INS_SLT = 485;
+	public static final int MIPS_INS_SLTI = 486;
+	public static final int MIPS_INS_SLTIU = 487;
+	public static final int MIPS_INS_SLTU = 488;
+	public static final int MIPS_INS_SNE = 489;
+	public static final int MIPS_INS_SNEI = 490;
+	public static final int MIPS_INS_SPLATI = 491;
+	public static final int MIPS_INS_SPLAT = 492;
+	public static final int MIPS_INS_SRA = 493;
+	public static final int MIPS_INS_SRAI = 494;
+	public static final int MIPS_INS_SRARI = 495;
+	public static final int MIPS_INS_SRAR = 496;
+	public static final int MIPS_INS_SRAV = 497;
+	public static final int MIPS_INS_SRL = 498;
+	public static final int MIPS_INS_SRLI = 499;
+	public static final int MIPS_INS_SRLRI = 500;
+	public static final int MIPS_INS_SRLR = 501;
+	public static final int MIPS_INS_SRLV = 502;
+	public static final int MIPS_INS_SSNOP = 503;
+	public static final int MIPS_INS_ST = 504;
+	public static final int MIPS_INS_SUBQH = 505;
+	public static final int MIPS_INS_SUBQH_R = 506;
+	public static final int MIPS_INS_SUBQ = 507;
+	public static final int MIPS_INS_SUBQ_S = 508;
+	public static final int MIPS_INS_SUBSUS_U = 509;
+	public static final int MIPS_INS_SUBSUU_S = 510;
+	public static final int MIPS_INS_SUBS_S = 511;
+	public static final int MIPS_INS_SUBS_U = 512;
+	public static final int MIPS_INS_SUBUH = 513;
+	public static final int MIPS_INS_SUBUH_R = 514;
+	public static final int MIPS_INS_SUBU = 515;
+	public static final int MIPS_INS_SUBU_S = 516;
+	public static final int MIPS_INS_SUBVI = 517;
+	public static final int MIPS_INS_SUBV = 518;
+	public static final int MIPS_INS_SUXC1 = 519;
+	public static final int MIPS_INS_SW = 520;
+	public static final int MIPS_INS_SWC1 = 521;
+	public static final int MIPS_INS_SWC2 = 522;
+	public static final int MIPS_INS_SWC3 = 523;
+	public static final int MIPS_INS_SWL = 524;
+	public static final int MIPS_INS_SWR = 525;
+	public static final int MIPS_INS_SWXC1 = 526;
+	public static final int MIPS_INS_SYNC = 527;
+	public static final int MIPS_INS_SYSCALL = 528;
+	public static final int MIPS_INS_TEQ = 529;
+	public static final int MIPS_INS_TEQI = 530;
+	public static final int MIPS_INS_TGE = 531;
+	public static final int MIPS_INS_TGEI = 532;
+	public static final int MIPS_INS_TGEIU = 533;
+	public static final int MIPS_INS_TGEU = 534;
+	public static final int MIPS_INS_TLBP = 535;
+	public static final int MIPS_INS_TLBR = 536;
+	public static final int MIPS_INS_TLBWI = 537;
+	public static final int MIPS_INS_TLBWR = 538;
+	public static final int MIPS_INS_TLT = 539;
+	public static final int MIPS_INS_TLTI = 540;
+	public static final int MIPS_INS_TLTIU = 541;
+	public static final int MIPS_INS_TLTU = 542;
+	public static final int MIPS_INS_TNE = 543;
+	public static final int MIPS_INS_TNEI = 544;
+	public static final int MIPS_INS_TRUNC = 545;
+	public static final int MIPS_INS_V3MULU = 546;
+	public static final int MIPS_INS_VMM0 = 547;
+	public static final int MIPS_INS_VMULU = 548;
+	public static final int MIPS_INS_VSHF = 549;
+	public static final int MIPS_INS_WAIT = 550;
+	public static final int MIPS_INS_WRDSP = 551;
+	public static final int MIPS_INS_WSBH = 552;
+	public static final int MIPS_INS_XOR = 553;
+	public static final int MIPS_INS_XORI = 554;
 
 	// some alias instructions
-	public static final int MIPS_INS_NOP = 454;
-	public static final int MIPS_INS_NEGU = 455;
-	public static final int MIPS_INS_MAX = 456;
+	public static final int MIPS_INS_NOP = 555;
+	public static final int MIPS_INS_NEGU = 556;
+	public static final int MIPS_INS_MAXIMUM = 557;
 
 	// Group of MIPS instructions
 
@@ -661,6 +768,21 @@
 	public static final int MIPS_GRP_NOTFP64BIT = 16;
 	public static final int MIPS_GRP_NOTINMICROMIPS = 17;
 	public static final int MIPS_GRP_NOTNACL = 18;
-	public static final int MIPS_GRP_JUMP = 19;
-	public static final int MIPS_GRP_MAX = 20;
+	public static final int MIPS_GRP_NOTMIPS32R6 = 19;
+	public static final int MIPS_GRP_NOTMIPS64R6 = 20;
+	public static final int MIPS_GRP_CNMIPS = 21;
+	public static final int MIPS_GRP_MIPS32 = 22;
+	public static final int MIPS_GRP_MIPS32R6 = 23;
+	public static final int MIPS_GRP_MIPS64R6 = 24;
+	public static final int MIPS_GRP_MIPS2 = 25;
+	public static final int MIPS_GRP_MIPS3 = 26;
+	public static final int MIPS_GRP_MIPS3_32 = 27;
+	public static final int MIPS_GRP_MIPS3_32R2 = 28;
+	public static final int MIPS_GRP_MIPS4_32 = 29;
+	public static final int MIPS_GRP_MIPS4_32R2 = 30;
+	public static final int MIPS_GRP_MIPS5_32R2 = 31;
+	public static final int MIPS_GRP_GP32BIT = 32;
+	public static final int MIPS_GRP_GP64BIT = 33;
+	public static final int MIPS_GRP_JUMP = 34;
+	public static final int MIPS_GRP_MAX = 35;
 }
\ No newline at end of file
diff --git a/bindings/java/capstone/Ppc_const.java b/bindings/java/capstone/Ppc_const.java
index 1b9aeee..131ea2b 100644
--- a/bindings/java/capstone/Ppc_const.java
+++ b/bindings/java/capstone/Ppc_const.java
@@ -4,6 +4,8 @@
 public class Ppc_const {
 
 	// PPC branch codes for some branch instructions
+
+	public static final int PPC_BC_INVALID = 0;
 	public static final int PPC_BC_LT = (0<<5)|12;
 	public static final int PPC_BC_LE = (1<<5)|4;
 	public static final int PPC_BC_EQ = (2<<5)|12;
@@ -31,7 +33,7 @@
 
 	// PPC branch hint for some branch instructions
 
-	public static final int PPC_BH_NO = 0;
+	public static final int PPC_BH_INVALID = 0;
 	public static final int PPC_BH_PLUS = 1;
 	public static final int PPC_BH_MINUS = 2;
 
@@ -46,142 +48,183 @@
 
 	public static final int PPC_REG_INVALID = 0;
 	public static final int PPC_REG_CARRY = 1;
-	public static final int PPC_REG_CR0 = 2;
-	public static final int PPC_REG_CR1 = 3;
-	public static final int PPC_REG_CR2 = 4;
-	public static final int PPC_REG_CR3 = 5;
-	public static final int PPC_REG_CR4 = 6;
-	public static final int PPC_REG_CR5 = 7;
-	public static final int PPC_REG_CR6 = 8;
-	public static final int PPC_REG_CR7 = 9;
-	public static final int PPC_REG_CR8 = 10;
-	public static final int PPC_REG_CR9 = 11;
-	public static final int PPC_REG_CR10 = 12;
-	public static final int PPC_REG_CR11 = 13;
-	public static final int PPC_REG_CR12 = 14;
-	public static final int PPC_REG_CR13 = 15;
-	public static final int PPC_REG_CR14 = 16;
-	public static final int PPC_REG_CR15 = 17;
-	public static final int PPC_REG_CR16 = 18;
-	public static final int PPC_REG_CR17 = 19;
-	public static final int PPC_REG_CR18 = 20;
-	public static final int PPC_REG_CR19 = 21;
-	public static final int PPC_REG_CR20 = 22;
-	public static final int PPC_REG_CR21 = 23;
-	public static final int PPC_REG_CR22 = 24;
-	public static final int PPC_REG_CR23 = 25;
-	public static final int PPC_REG_CR24 = 26;
-	public static final int PPC_REG_CR25 = 27;
-	public static final int PPC_REG_CR26 = 28;
-	public static final int PPC_REG_CR27 = 29;
-	public static final int PPC_REG_CR28 = 30;
-	public static final int PPC_REG_CR29 = 31;
-	public static final int PPC_REG_CR30 = 32;
-	public static final int PPC_REG_CR31 = 33;
-	public static final int PPC_REG_CTR = 34;
-	public static final int PPC_REG_F0 = 35;
-	public static final int PPC_REG_F1 = 36;
-	public static final int PPC_REG_F2 = 37;
-	public static final int PPC_REG_F3 = 38;
-	public static final int PPC_REG_F4 = 39;
-	public static final int PPC_REG_F5 = 40;
-	public static final int PPC_REG_F6 = 41;
-	public static final int PPC_REG_F7 = 42;
-	public static final int PPC_REG_F8 = 43;
-	public static final int PPC_REG_F9 = 44;
-	public static final int PPC_REG_F10 = 45;
-	public static final int PPC_REG_F11 = 46;
-	public static final int PPC_REG_F12 = 47;
-	public static final int PPC_REG_F13 = 48;
-	public static final int PPC_REG_F14 = 49;
-	public static final int PPC_REG_F15 = 50;
-	public static final int PPC_REG_F16 = 51;
-	public static final int PPC_REG_F17 = 52;
-	public static final int PPC_REG_F18 = 53;
-	public static final int PPC_REG_F19 = 54;
-	public static final int PPC_REG_F20 = 55;
-	public static final int PPC_REG_F21 = 56;
-	public static final int PPC_REG_F22 = 57;
-	public static final int PPC_REG_F23 = 58;
-	public static final int PPC_REG_F24 = 59;
-	public static final int PPC_REG_F25 = 60;
-	public static final int PPC_REG_F26 = 61;
-	public static final int PPC_REG_F27 = 62;
-	public static final int PPC_REG_F28 = 63;
-	public static final int PPC_REG_F29 = 64;
-	public static final int PPC_REG_F30 = 65;
-	public static final int PPC_REG_F31 = 66;
-	public static final int PPC_REG_LR = 67;
-	public static final int PPC_REG_R0 = 68;
-	public static final int PPC_REG_R1 = 69;
-	public static final int PPC_REG_R2 = 70;
-	public static final int PPC_REG_R3 = 71;
-	public static final int PPC_REG_R4 = 72;
-	public static final int PPC_REG_R5 = 73;
-	public static final int PPC_REG_R6 = 74;
-	public static final int PPC_REG_R7 = 75;
-	public static final int PPC_REG_R8 = 76;
-	public static final int PPC_REG_R9 = 77;
-	public static final int PPC_REG_R10 = 78;
-	public static final int PPC_REG_R11 = 79;
-	public static final int PPC_REG_R12 = 80;
-	public static final int PPC_REG_R13 = 81;
-	public static final int PPC_REG_R14 = 82;
-	public static final int PPC_REG_R15 = 83;
-	public static final int PPC_REG_R16 = 84;
-	public static final int PPC_REG_R17 = 85;
-	public static final int PPC_REG_R18 = 86;
-	public static final int PPC_REG_R19 = 87;
-	public static final int PPC_REG_R20 = 88;
-	public static final int PPC_REG_R21 = 89;
-	public static final int PPC_REG_R22 = 90;
-	public static final int PPC_REG_R23 = 91;
-	public static final int PPC_REG_R24 = 92;
-	public static final int PPC_REG_R25 = 93;
-	public static final int PPC_REG_R26 = 94;
-	public static final int PPC_REG_R27 = 95;
-	public static final int PPC_REG_R28 = 96;
-	public static final int PPC_REG_R29 = 97;
-	public static final int PPC_REG_R30 = 98;
-	public static final int PPC_REG_R31 = 99;
-	public static final int PPC_REG_V0 = 100;
-	public static final int PPC_REG_V1 = 101;
-	public static final int PPC_REG_V2 = 102;
-	public static final int PPC_REG_V3 = 103;
-	public static final int PPC_REG_V4 = 104;
-	public static final int PPC_REG_V5 = 105;
-	public static final int PPC_REG_V6 = 106;
-	public static final int PPC_REG_V7 = 107;
-	public static final int PPC_REG_V8 = 108;
-	public static final int PPC_REG_V9 = 109;
-	public static final int PPC_REG_V10 = 110;
-	public static final int PPC_REG_V11 = 111;
-	public static final int PPC_REG_V12 = 112;
-	public static final int PPC_REG_V13 = 113;
-	public static final int PPC_REG_V14 = 114;
-	public static final int PPC_REG_V15 = 115;
-	public static final int PPC_REG_V16 = 116;
-	public static final int PPC_REG_V17 = 117;
-	public static final int PPC_REG_V18 = 118;
-	public static final int PPC_REG_V19 = 119;
-	public static final int PPC_REG_V20 = 120;
-	public static final int PPC_REG_V21 = 121;
-	public static final int PPC_REG_V22 = 122;
-	public static final int PPC_REG_V23 = 123;
-	public static final int PPC_REG_V24 = 124;
-	public static final int PPC_REG_V25 = 125;
-	public static final int PPC_REG_V26 = 126;
-	public static final int PPC_REG_V27 = 127;
-	public static final int PPC_REG_V28 = 128;
-	public static final int PPC_REG_V29 = 129;
-	public static final int PPC_REG_V30 = 130;
-	public static final int PPC_REG_V31 = 131;
-	public static final int PPC_REG_VRSAVE = 132;
-	public static final int PPC_REG_RM = 133;
-	public static final int PPC_REG_CTR8 = 134;
-	public static final int PPC_REG_LR8 = 135;
-	public static final int PPC_REG_CR1EQ = 136;
-	public static final int PPC_REG_MAX = 137;
+	public static final int PPC_REG_CC = 2;
+	public static final int PPC_REG_CR0 = 3;
+	public static final int PPC_REG_CR1 = 4;
+	public static final int PPC_REG_CR2 = 5;
+	public static final int PPC_REG_CR3 = 6;
+	public static final int PPC_REG_CR4 = 7;
+	public static final int PPC_REG_CR5 = 8;
+	public static final int PPC_REG_CR6 = 9;
+	public static final int PPC_REG_CR7 = 10;
+	public static final int PPC_REG_CTR = 11;
+	public static final int PPC_REG_F0 = 12;
+	public static final int PPC_REG_F1 = 13;
+	public static final int PPC_REG_F2 = 14;
+	public static final int PPC_REG_F3 = 15;
+	public static final int PPC_REG_F4 = 16;
+	public static final int PPC_REG_F5 = 17;
+	public static final int PPC_REG_F6 = 18;
+	public static final int PPC_REG_F7 = 19;
+	public static final int PPC_REG_F8 = 20;
+	public static final int PPC_REG_F9 = 21;
+	public static final int PPC_REG_F10 = 22;
+	public static final int PPC_REG_F11 = 23;
+	public static final int PPC_REG_F12 = 24;
+	public static final int PPC_REG_F13 = 25;
+	public static final int PPC_REG_F14 = 26;
+	public static final int PPC_REG_F15 = 27;
+	public static final int PPC_REG_F16 = 28;
+	public static final int PPC_REG_F17 = 29;
+	public static final int PPC_REG_F18 = 30;
+	public static final int PPC_REG_F19 = 31;
+	public static final int PPC_REG_F20 = 32;
+	public static final int PPC_REG_F21 = 33;
+	public static final int PPC_REG_F22 = 34;
+	public static final int PPC_REG_F23 = 35;
+	public static final int PPC_REG_F24 = 36;
+	public static final int PPC_REG_F25 = 37;
+	public static final int PPC_REG_F26 = 38;
+	public static final int PPC_REG_F27 = 39;
+	public static final int PPC_REG_F28 = 40;
+	public static final int PPC_REG_F29 = 41;
+	public static final int PPC_REG_F30 = 42;
+	public static final int PPC_REG_F31 = 43;
+	public static final int PPC_REG_LR = 44;
+	public static final int PPC_REG_R0 = 45;
+	public static final int PPC_REG_R1 = 46;
+	public static final int PPC_REG_R2 = 47;
+	public static final int PPC_REG_R3 = 48;
+	public static final int PPC_REG_R4 = 49;
+	public static final int PPC_REG_R5 = 50;
+	public static final int PPC_REG_R6 = 51;
+	public static final int PPC_REG_R7 = 52;
+	public static final int PPC_REG_R8 = 53;
+	public static final int PPC_REG_R9 = 54;
+	public static final int PPC_REG_R10 = 55;
+	public static final int PPC_REG_R11 = 56;
+	public static final int PPC_REG_R12 = 57;
+	public static final int PPC_REG_R13 = 58;
+	public static final int PPC_REG_R14 = 59;
+	public static final int PPC_REG_R15 = 60;
+	public static final int PPC_REG_R16 = 61;
+	public static final int PPC_REG_R17 = 62;
+	public static final int PPC_REG_R18 = 63;
+	public static final int PPC_REG_R19 = 64;
+	public static final int PPC_REG_R20 = 65;
+	public static final int PPC_REG_R21 = 66;
+	public static final int PPC_REG_R22 = 67;
+	public static final int PPC_REG_R23 = 68;
+	public static final int PPC_REG_R24 = 69;
+	public static final int PPC_REG_R25 = 70;
+	public static final int PPC_REG_R26 = 71;
+	public static final int PPC_REG_R27 = 72;
+	public static final int PPC_REG_R28 = 73;
+	public static final int PPC_REG_R29 = 74;
+	public static final int PPC_REG_R30 = 75;
+	public static final int PPC_REG_R31 = 76;
+	public static final int PPC_REG_V0 = 77;
+	public static final int PPC_REG_V1 = 78;
+	public static final int PPC_REG_V2 = 79;
+	public static final int PPC_REG_V3 = 80;
+	public static final int PPC_REG_V4 = 81;
+	public static final int PPC_REG_V5 = 82;
+	public static final int PPC_REG_V6 = 83;
+	public static final int PPC_REG_V7 = 84;
+	public static final int PPC_REG_V8 = 85;
+	public static final int PPC_REG_V9 = 86;
+	public static final int PPC_REG_V10 = 87;
+	public static final int PPC_REG_V11 = 88;
+	public static final int PPC_REG_V12 = 89;
+	public static final int PPC_REG_V13 = 90;
+	public static final int PPC_REG_V14 = 91;
+	public static final int PPC_REG_V15 = 92;
+	public static final int PPC_REG_V16 = 93;
+	public static final int PPC_REG_V17 = 94;
+	public static final int PPC_REG_V18 = 95;
+	public static final int PPC_REG_V19 = 96;
+	public static final int PPC_REG_V20 = 97;
+	public static final int PPC_REG_V21 = 98;
+	public static final int PPC_REG_V22 = 99;
+	public static final int PPC_REG_V23 = 100;
+	public static final int PPC_REG_V24 = 101;
+	public static final int PPC_REG_V25 = 102;
+	public static final int PPC_REG_V26 = 103;
+	public static final int PPC_REG_V27 = 104;
+	public static final int PPC_REG_V28 = 105;
+	public static final int PPC_REG_V29 = 106;
+	public static final int PPC_REG_V30 = 107;
+	public static final int PPC_REG_V31 = 108;
+	public static final int PPC_REG_VRSAVE = 109;
+	public static final int PPC_REG_VS0 = 110;
+	public static final int PPC_REG_VS1 = 111;
+	public static final int PPC_REG_VS2 = 112;
+	public static final int PPC_REG_VS3 = 113;
+	public static final int PPC_REG_VS4 = 114;
+	public static final int PPC_REG_VS5 = 115;
+	public static final int PPC_REG_VS6 = 116;
+	public static final int PPC_REG_VS7 = 117;
+	public static final int PPC_REG_VS8 = 118;
+	public static final int PPC_REG_VS9 = 119;
+	public static final int PPC_REG_VS10 = 120;
+	public static final int PPC_REG_VS11 = 121;
+	public static final int PPC_REG_VS12 = 122;
+	public static final int PPC_REG_VS13 = 123;
+	public static final int PPC_REG_VS14 = 124;
+	public static final int PPC_REG_VS15 = 125;
+	public static final int PPC_REG_VS16 = 126;
+	public static final int PPC_REG_VS17 = 127;
+	public static final int PPC_REG_VS18 = 128;
+	public static final int PPC_REG_VS19 = 129;
+	public static final int PPC_REG_VS20 = 130;
+	public static final int PPC_REG_VS21 = 131;
+	public static final int PPC_REG_VS22 = 132;
+	public static final int PPC_REG_VS23 = 133;
+	public static final int PPC_REG_VS24 = 134;
+	public static final int PPC_REG_VS25 = 135;
+	public static final int PPC_REG_VS26 = 136;
+	public static final int PPC_REG_VS27 = 137;
+	public static final int PPC_REG_VS28 = 138;
+	public static final int PPC_REG_VS29 = 139;
+	public static final int PPC_REG_VS30 = 140;
+	public static final int PPC_REG_VS31 = 141;
+	public static final int PPC_REG_VS32 = 142;
+	public static final int PPC_REG_VS33 = 143;
+	public static final int PPC_REG_VS34 = 144;
+	public static final int PPC_REG_VS35 = 145;
+	public static final int PPC_REG_VS36 = 146;
+	public static final int PPC_REG_VS37 = 147;
+	public static final int PPC_REG_VS38 = 148;
+	public static final int PPC_REG_VS39 = 149;
+	public static final int PPC_REG_VS40 = 150;
+	public static final int PPC_REG_VS41 = 151;
+	public static final int PPC_REG_VS42 = 152;
+	public static final int PPC_REG_VS43 = 153;
+	public static final int PPC_REG_VS44 = 154;
+	public static final int PPC_REG_VS45 = 155;
+	public static final int PPC_REG_VS46 = 156;
+	public static final int PPC_REG_VS47 = 157;
+	public static final int PPC_REG_VS48 = 158;
+	public static final int PPC_REG_VS49 = 159;
+	public static final int PPC_REG_VS50 = 160;
+	public static final int PPC_REG_VS51 = 161;
+	public static final int PPC_REG_VS52 = 162;
+	public static final int PPC_REG_VS53 = 163;
+	public static final int PPC_REG_VS54 = 164;
+	public static final int PPC_REG_VS55 = 165;
+	public static final int PPC_REG_VS56 = 166;
+	public static final int PPC_REG_VS57 = 167;
+	public static final int PPC_REG_VS58 = 168;
+	public static final int PPC_REG_VS59 = 169;
+	public static final int PPC_REG_VS60 = 170;
+	public static final int PPC_REG_VS61 = 171;
+	public static final int PPC_REG_VS62 = 172;
+	public static final int PPC_REG_VS63 = 173;
+	public static final int PPC_REG_RM = 174;
+	public static final int PPC_REG_CTR8 = 175;
+	public static final int PPC_REG_LR8 = 176;
+	public static final int PPC_REG_CR1EQ = 177;
+	public static final int PPC_REG_MAX = 178;
 
 	// PPC instruction
 
@@ -200,428 +243,935 @@
 	public static final int PPC_INS_ANDI = 12;
 	public static final int PPC_INS_B = 13;
 	public static final int PPC_INS_BA = 14;
-	public static final int PPC_INS_BCL = 15;
-	public static final int PPC_INS_BCTR = 16;
-	public static final int PPC_INS_BCTRL = 17;
-	public static final int PPC_INS_BDNZ = 18;
-	public static final int PPC_INS_BDNZA = 19;
-	public static final int PPC_INS_BDNZL = 20;
-	public static final int PPC_INS_BDNZLA = 21;
-	public static final int PPC_INS_BDNZLR = 22;
-	public static final int PPC_INS_BDNZLRL = 23;
-	public static final int PPC_INS_BDZ = 24;
-	public static final int PPC_INS_BDZA = 25;
-	public static final int PPC_INS_BDZL = 26;
-	public static final int PPC_INS_BDZLA = 27;
-	public static final int PPC_INS_BDZLR = 28;
-	public static final int PPC_INS_BDZLRL = 29;
-	public static final int PPC_INS_BL = 30;
-	public static final int PPC_INS_BLA = 31;
-	public static final int PPC_INS_BLR = 32;
-	public static final int PPC_INS_BLRL = 33;
-	public static final int PPC_INS_CMPD = 34;
-	public static final int PPC_INS_CMPDI = 35;
-	public static final int PPC_INS_CMPLD = 36;
-	public static final int PPC_INS_CMPLDI = 37;
-	public static final int PPC_INS_CMPLW = 38;
-	public static final int PPC_INS_CMPLWI = 39;
-	public static final int PPC_INS_CMPW = 40;
-	public static final int PPC_INS_CMPWI = 41;
-	public static final int PPC_INS_CNTLZD = 42;
-	public static final int PPC_INS_CNTLZW = 43;
-	public static final int PPC_INS_CREQV = 44;
-	public static final int PPC_INS_CRXOR = 45;
-	public static final int PPC_INS_CRAND = 46;
-	public static final int PPC_INS_CRANDC = 47;
-	public static final int PPC_INS_CRNAND = 48;
-	public static final int PPC_INS_CRNOR = 49;
-	public static final int PPC_INS_CROR = 50;
-	public static final int PPC_INS_CRORC = 51;
-	public static final int PPC_INS_DCBA = 52;
-	public static final int PPC_INS_DCBF = 53;
-	public static final int PPC_INS_DCBI = 54;
-	public static final int PPC_INS_DCBST = 55;
-	public static final int PPC_INS_DCBT = 56;
-	public static final int PPC_INS_DCBTST = 57;
-	public static final int PPC_INS_DCBZ = 58;
-	public static final int PPC_INS_DCBZL = 59;
-	public static final int PPC_INS_DIVD = 60;
-	public static final int PPC_INS_DIVDU = 61;
-	public static final int PPC_INS_DIVW = 62;
-	public static final int PPC_INS_DIVWU = 63;
-	public static final int PPC_INS_DSS = 64;
-	public static final int PPC_INS_DSSALL = 65;
-	public static final int PPC_INS_DST = 66;
-	public static final int PPC_INS_DSTST = 67;
-	public static final int PPC_INS_DSTSTT = 68;
-	public static final int PPC_INS_DSTT = 69;
-	public static final int PPC_INS_EIEIO = 70;
-	public static final int PPC_INS_EQV = 71;
-	public static final int PPC_INS_EXTSB = 72;
-	public static final int PPC_INS_EXTSH = 73;
-	public static final int PPC_INS_EXTSW = 74;
-	public static final int PPC_INS_FABS = 75;
-	public static final int PPC_INS_FADD = 76;
-	public static final int PPC_INS_FADDS = 77;
-	public static final int PPC_INS_FCFID = 78;
-	public static final int PPC_INS_FCFIDS = 79;
-	public static final int PPC_INS_FCFIDU = 80;
-	public static final int PPC_INS_FCFIDUS = 81;
-	public static final int PPC_INS_FCMPU = 82;
-	public static final int PPC_INS_FCPSGN = 83;
-	public static final int PPC_INS_FCTID = 84;
-	public static final int PPC_INS_FCTIDUZ = 85;
-	public static final int PPC_INS_FCTIDZ = 86;
-	public static final int PPC_INS_FCTIW = 87;
-	public static final int PPC_INS_FCTIWUZ = 88;
-	public static final int PPC_INS_FCTIWZ = 89;
-	public static final int PPC_INS_FDIV = 90;
-	public static final int PPC_INS_FDIVS = 91;
-	public static final int PPC_INS_FMADD = 92;
-	public static final int PPC_INS_FMADDS = 93;
-	public static final int PPC_INS_FMR = 94;
-	public static final int PPC_INS_FMSUB = 95;
-	public static final int PPC_INS_FMSUBS = 96;
-	public static final int PPC_INS_FMUL = 97;
-	public static final int PPC_INS_FMULS = 98;
-	public static final int PPC_INS_FNABS = 99;
-	public static final int PPC_INS_FNEG = 100;
-	public static final int PPC_INS_FNMADD = 101;
-	public static final int PPC_INS_FNMADDS = 102;
-	public static final int PPC_INS_FNMSUB = 103;
-	public static final int PPC_INS_FNMSUBS = 104;
-	public static final int PPC_INS_FRE = 105;
-	public static final int PPC_INS_FRES = 106;
-	public static final int PPC_INS_FRIM = 107;
-	public static final int PPC_INS_FRIN = 108;
-	public static final int PPC_INS_FRIP = 109;
-	public static final int PPC_INS_FRIZ = 110;
-	public static final int PPC_INS_FRSP = 111;
-	public static final int PPC_INS_FRSQRTE = 112;
-	public static final int PPC_INS_FRSQRTES = 113;
-	public static final int PPC_INS_FSEL = 114;
-	public static final int PPC_INS_FSQRT = 115;
-	public static final int PPC_INS_FSQRTS = 116;
-	public static final int PPC_INS_FSUB = 117;
-	public static final int PPC_INS_FSUBS = 118;
-	public static final int PPC_INS_ICBI = 119;
-	public static final int PPC_INS_ISEL = 120;
-	public static final int PPC_INS_ISYNC = 121;
-	public static final int PPC_INS_LA = 122;
-	public static final int PPC_INS_LBZ = 123;
-	public static final int PPC_INS_LBZU = 124;
-	public static final int PPC_INS_LBZUX = 125;
-	public static final int PPC_INS_LBZX = 126;
-	public static final int PPC_INS_LD = 127;
-	public static final int PPC_INS_LDARX = 128;
-	public static final int PPC_INS_LDBRX = 129;
-	public static final int PPC_INS_LDU = 130;
-	public static final int PPC_INS_LDUX = 131;
-	public static final int PPC_INS_LDX = 132;
-	public static final int PPC_INS_LFD = 133;
-	public static final int PPC_INS_LFDU = 134;
-	public static final int PPC_INS_LFDUX = 135;
-	public static final int PPC_INS_LFDX = 136;
-	public static final int PPC_INS_LFIWAX = 137;
-	public static final int PPC_INS_LFIWZX = 138;
-	public static final int PPC_INS_LFS = 139;
-	public static final int PPC_INS_LFSU = 140;
-	public static final int PPC_INS_LFSUX = 141;
-	public static final int PPC_INS_LFSX = 142;
-	public static final int PPC_INS_LHA = 143;
-	public static final int PPC_INS_LHAU = 144;
-	public static final int PPC_INS_LHAUX = 145;
-	public static final int PPC_INS_LHAX = 146;
-	public static final int PPC_INS_LHBRX = 147;
-	public static final int PPC_INS_LHZ = 148;
-	public static final int PPC_INS_LHZU = 149;
-	public static final int PPC_INS_LHZUX = 150;
-	public static final int PPC_INS_LHZX = 151;
-	public static final int PPC_INS_LI = 152;
-	public static final int PPC_INS_LIS = 153;
-	public static final int PPC_INS_LMW = 154;
-	public static final int PPC_INS_LVEBX = 155;
-	public static final int PPC_INS_LVEHX = 156;
-	public static final int PPC_INS_LVEWX = 157;
-	public static final int PPC_INS_LVSL = 158;
-	public static final int PPC_INS_LVSR = 159;
-	public static final int PPC_INS_LVX = 160;
-	public static final int PPC_INS_LVXL = 161;
-	public static final int PPC_INS_LWA = 162;
-	public static final int PPC_INS_LWARX = 163;
-	public static final int PPC_INS_LWAUX = 164;
-	public static final int PPC_INS_LWAX = 165;
-	public static final int PPC_INS_LWBRX = 166;
-	public static final int PPC_INS_LWZ = 167;
-	public static final int PPC_INS_LWZU = 168;
-	public static final int PPC_INS_LWZUX = 169;
-	public static final int PPC_INS_LWZX = 170;
-	public static final int PPC_INS_MCRF = 171;
-	public static final int PPC_INS_MFCR = 172;
-	public static final int PPC_INS_MFCTR = 173;
-	public static final int PPC_INS_MFFS = 174;
-	public static final int PPC_INS_MFLR = 175;
-	public static final int PPC_INS_MFMSR = 176;
-	public static final int PPC_INS_MFOCRF = 177;
-	public static final int PPC_INS_MFSPR = 178;
-	public static final int PPC_INS_MFTB = 179;
-	public static final int PPC_INS_MFVSCR = 180;
-	public static final int PPC_INS_MSYNC = 181;
-	public static final int PPC_INS_MTCRF = 182;
-	public static final int PPC_INS_MTCTR = 183;
-	public static final int PPC_INS_MTFSB0 = 184;
-	public static final int PPC_INS_MTFSB1 = 185;
-	public static final int PPC_INS_MTFSF = 186;
-	public static final int PPC_INS_MTLR = 187;
-	public static final int PPC_INS_MTMSR = 188;
-	public static final int PPC_INS_MTMSRD = 189;
-	public static final int PPC_INS_MTOCRF = 190;
-	public static final int PPC_INS_MTSPR = 191;
-	public static final int PPC_INS_MTVSCR = 192;
-	public static final int PPC_INS_MULHD = 193;
-	public static final int PPC_INS_MULHDU = 194;
-	public static final int PPC_INS_MULHW = 195;
-	public static final int PPC_INS_MULHWU = 196;
-	public static final int PPC_INS_MULLD = 197;
-	public static final int PPC_INS_MULLI = 198;
-	public static final int PPC_INS_MULLW = 199;
-	public static final int PPC_INS_NAND = 200;
-	public static final int PPC_INS_NEG = 201;
-	public static final int PPC_INS_NOP = 202;
-	public static final int PPC_INS_ORI = 203;
-	public static final int PPC_INS_NOR = 204;
-	public static final int PPC_INS_OR = 205;
-	public static final int PPC_INS_ORC = 206;
-	public static final int PPC_INS_ORIS = 207;
-	public static final int PPC_INS_POPCNTD = 208;
-	public static final int PPC_INS_POPCNTW = 209;
-	public static final int PPC_INS_RLDCL = 210;
-	public static final int PPC_INS_RLDCR = 211;
-	public static final int PPC_INS_RLDIC = 212;
-	public static final int PPC_INS_RLDICL = 213;
-	public static final int PPC_INS_RLDICR = 214;
-	public static final int PPC_INS_RLDIMI = 215;
-	public static final int PPC_INS_RLWIMI = 216;
-	public static final int PPC_INS_RLWINM = 217;
-	public static final int PPC_INS_RLWNM = 218;
-	public static final int PPC_INS_SC = 219;
-	public static final int PPC_INS_SLBIA = 220;
-	public static final int PPC_INS_SLBIE = 221;
-	public static final int PPC_INS_SLBMFEE = 222;
-	public static final int PPC_INS_SLBMTE = 223;
-	public static final int PPC_INS_SLD = 224;
-	public static final int PPC_INS_SLW = 225;
-	public static final int PPC_INS_SRAD = 226;
-	public static final int PPC_INS_SRADI = 227;
-	public static final int PPC_INS_SRAW = 228;
-	public static final int PPC_INS_SRAWI = 229;
-	public static final int PPC_INS_SRD = 230;
-	public static final int PPC_INS_SRW = 231;
-	public static final int PPC_INS_STB = 232;
-	public static final int PPC_INS_STBU = 233;
-	public static final int PPC_INS_STBUX = 234;
-	public static final int PPC_INS_STBX = 235;
-	public static final int PPC_INS_STD = 236;
-	public static final int PPC_INS_STDBRX = 237;
-	public static final int PPC_INS_STDCX = 238;
-	public static final int PPC_INS_STDU = 239;
-	public static final int PPC_INS_STDUX = 240;
-	public static final int PPC_INS_STDX = 241;
-	public static final int PPC_INS_STFD = 242;
-	public static final int PPC_INS_STFDU = 243;
-	public static final int PPC_INS_STFDUX = 244;
-	public static final int PPC_INS_STFDX = 245;
-	public static final int PPC_INS_STFIWX = 246;
-	public static final int PPC_INS_STFS = 247;
-	public static final int PPC_INS_STFSU = 248;
-	public static final int PPC_INS_STFSUX = 249;
-	public static final int PPC_INS_STFSX = 250;
-	public static final int PPC_INS_STH = 251;
-	public static final int PPC_INS_STHBRX = 252;
-	public static final int PPC_INS_STHU = 253;
-	public static final int PPC_INS_STHUX = 254;
-	public static final int PPC_INS_STHX = 255;
-	public static final int PPC_INS_STMW = 256;
-	public static final int PPC_INS_STVEBX = 257;
-	public static final int PPC_INS_STVEHX = 258;
-	public static final int PPC_INS_STVEWX = 259;
-	public static final int PPC_INS_STVX = 260;
-	public static final int PPC_INS_STVXL = 261;
-	public static final int PPC_INS_STW = 262;
-	public static final int PPC_INS_STWBRX = 263;
-	public static final int PPC_INS_STWCX = 264;
-	public static final int PPC_INS_STWU = 265;
-	public static final int PPC_INS_STWUX = 266;
-	public static final int PPC_INS_STWX = 267;
-	public static final int PPC_INS_SUBF = 268;
-	public static final int PPC_INS_SUBFC = 269;
-	public static final int PPC_INS_SUBFE = 270;
-	public static final int PPC_INS_SUBFIC = 271;
-	public static final int PPC_INS_SUBFME = 272;
-	public static final int PPC_INS_SUBFZE = 273;
-	public static final int PPC_INS_SYNC = 274;
-	public static final int PPC_INS_TD = 275;
-	public static final int PPC_INS_TDI = 276;
-	public static final int PPC_INS_TLBIE = 277;
-	public static final int PPC_INS_TLBIEL = 278;
-	public static final int PPC_INS_TLBSYNC = 279;
-	public static final int PPC_INS_TRAP = 280;
-	public static final int PPC_INS_TW = 281;
-	public static final int PPC_INS_TWI = 282;
-	public static final int PPC_INS_VADDCUW = 283;
-	public static final int PPC_INS_VADDFP = 284;
-	public static final int PPC_INS_VADDSBS = 285;
-	public static final int PPC_INS_VADDSHS = 286;
-	public static final int PPC_INS_VADDSWS = 287;
-	public static final int PPC_INS_VADDUBM = 288;
-	public static final int PPC_INS_VADDUBS = 289;
-	public static final int PPC_INS_VADDUHM = 290;
-	public static final int PPC_INS_VADDUHS = 291;
-	public static final int PPC_INS_VADDUWM = 292;
-	public static final int PPC_INS_VADDUWS = 293;
-	public static final int PPC_INS_VAND = 294;
-	public static final int PPC_INS_VANDC = 295;
-	public static final int PPC_INS_VAVGSB = 296;
-	public static final int PPC_INS_VAVGSH = 297;
-	public static final int PPC_INS_VAVGSW = 298;
-	public static final int PPC_INS_VAVGUB = 299;
-	public static final int PPC_INS_VAVGUH = 300;
-	public static final int PPC_INS_VAVGUW = 301;
-	public static final int PPC_INS_VCFSX = 302;
-	public static final int PPC_INS_VCFUX = 303;
-	public static final int PPC_INS_VCMPBFP = 304;
-	public static final int PPC_INS_VCMPEQFP = 305;
-	public static final int PPC_INS_VCMPEQUB = 306;
-	public static final int PPC_INS_VCMPEQUH = 307;
-	public static final int PPC_INS_VCMPEQUW = 308;
-	public static final int PPC_INS_VCMPGEFP = 309;
-	public static final int PPC_INS_VCMPGTFP = 310;
-	public static final int PPC_INS_VCMPGTSB = 311;
-	public static final int PPC_INS_VCMPGTSH = 312;
-	public static final int PPC_INS_VCMPGTSW = 313;
-	public static final int PPC_INS_VCMPGTUB = 314;
-	public static final int PPC_INS_VCMPGTUH = 315;
-	public static final int PPC_INS_VCMPGTUW = 316;
-	public static final int PPC_INS_VCTSXS = 317;
-	public static final int PPC_INS_VCTUXS = 318;
-	public static final int PPC_INS_VEXPTEFP = 319;
-	public static final int PPC_INS_VLOGEFP = 320;
-	public static final int PPC_INS_VMADDFP = 321;
-	public static final int PPC_INS_VMAXFP = 322;
-	public static final int PPC_INS_VMAXSB = 323;
-	public static final int PPC_INS_VMAXSH = 324;
-	public static final int PPC_INS_VMAXSW = 325;
-	public static final int PPC_INS_VMAXUB = 326;
-	public static final int PPC_INS_VMAXUH = 327;
-	public static final int PPC_INS_VMAXUW = 328;
-	public static final int PPC_INS_VMHADDSHS = 329;
-	public static final int PPC_INS_VMHRADDSHS = 330;
-	public static final int PPC_INS_VMINFP = 331;
-	public static final int PPC_INS_VMINSB = 332;
-	public static final int PPC_INS_VMINSH = 333;
-	public static final int PPC_INS_VMINSW = 334;
-	public static final int PPC_INS_VMINUB = 335;
-	public static final int PPC_INS_VMINUH = 336;
-	public static final int PPC_INS_VMINUW = 337;
-	public static final int PPC_INS_VMLADDUHM = 338;
-	public static final int PPC_INS_VMRGHB = 339;
-	public static final int PPC_INS_VMRGHH = 340;
-	public static final int PPC_INS_VMRGHW = 341;
-	public static final int PPC_INS_VMRGLB = 342;
-	public static final int PPC_INS_VMRGLH = 343;
-	public static final int PPC_INS_VMRGLW = 344;
-	public static final int PPC_INS_VMSUMMBM = 345;
-	public static final int PPC_INS_VMSUMSHM = 346;
-	public static final int PPC_INS_VMSUMSHS = 347;
-	public static final int PPC_INS_VMSUMUBM = 348;
-	public static final int PPC_INS_VMSUMUHM = 349;
-	public static final int PPC_INS_VMSUMUHS = 350;
-	public static final int PPC_INS_VMULESB = 351;
-	public static final int PPC_INS_VMULESH = 352;
-	public static final int PPC_INS_VMULEUB = 353;
-	public static final int PPC_INS_VMULEUH = 354;
-	public static final int PPC_INS_VMULOSB = 355;
-	public static final int PPC_INS_VMULOSH = 356;
-	public static final int PPC_INS_VMULOUB = 357;
-	public static final int PPC_INS_VMULOUH = 358;
-	public static final int PPC_INS_VNMSUBFP = 359;
-	public static final int PPC_INS_VNOR = 360;
-	public static final int PPC_INS_VOR = 361;
-	public static final int PPC_INS_VPERM = 362;
-	public static final int PPC_INS_VPKPX = 363;
-	public static final int PPC_INS_VPKSHSS = 364;
-	public static final int PPC_INS_VPKSHUS = 365;
-	public static final int PPC_INS_VPKSWSS = 366;
-	public static final int PPC_INS_VPKSWUS = 367;
-	public static final int PPC_INS_VPKUHUM = 368;
-	public static final int PPC_INS_VPKUHUS = 369;
-	public static final int PPC_INS_VPKUWUM = 370;
-	public static final int PPC_INS_VPKUWUS = 371;
-	public static final int PPC_INS_VREFP = 372;
-	public static final int PPC_INS_VRFIM = 373;
-	public static final int PPC_INS_VRFIN = 374;
-	public static final int PPC_INS_VRFIP = 375;
-	public static final int PPC_INS_VRFIZ = 376;
-	public static final int PPC_INS_VRLB = 377;
-	public static final int PPC_INS_VRLH = 378;
-	public static final int PPC_INS_VRLW = 379;
-	public static final int PPC_INS_VRSQRTEFP = 380;
-	public static final int PPC_INS_VSEL = 381;
-	public static final int PPC_INS_VSL = 382;
-	public static final int PPC_INS_VSLB = 383;
-	public static final int PPC_INS_VSLDOI = 384;
-	public static final int PPC_INS_VSLH = 385;
-	public static final int PPC_INS_VSLO = 386;
-	public static final int PPC_INS_VSLW = 387;
-	public static final int PPC_INS_VSPLTB = 388;
-	public static final int PPC_INS_VSPLTH = 389;
-	public static final int PPC_INS_VSPLTISB = 390;
-	public static final int PPC_INS_VSPLTISH = 391;
-	public static final int PPC_INS_VSPLTISW = 392;
-	public static final int PPC_INS_VSPLTW = 393;
-	public static final int PPC_INS_VSR = 394;
-	public static final int PPC_INS_VSRAB = 395;
-	public static final int PPC_INS_VSRAH = 396;
-	public static final int PPC_INS_VSRAW = 397;
-	public static final int PPC_INS_VSRB = 398;
-	public static final int PPC_INS_VSRH = 399;
-	public static final int PPC_INS_VSRO = 400;
-	public static final int PPC_INS_VSRW = 401;
-	public static final int PPC_INS_VSUBCUW = 402;
-	public static final int PPC_INS_VSUBFP = 403;
-	public static final int PPC_INS_VSUBSBS = 404;
-	public static final int PPC_INS_VSUBSHS = 405;
-	public static final int PPC_INS_VSUBSWS = 406;
-	public static final int PPC_INS_VSUBUBM = 407;
-	public static final int PPC_INS_VSUBUBS = 408;
-	public static final int PPC_INS_VSUBUHM = 409;
-	public static final int PPC_INS_VSUBUHS = 410;
-	public static final int PPC_INS_VSUBUWM = 411;
-	public static final int PPC_INS_VSUBUWS = 412;
-	public static final int PPC_INS_VSUM2SWS = 413;
-	public static final int PPC_INS_VSUM4SBS = 414;
-	public static final int PPC_INS_VSUM4SHS = 415;
-	public static final int PPC_INS_VSUM4UBS = 416;
-	public static final int PPC_INS_VSUMSWS = 417;
-	public static final int PPC_INS_VUPKHPX = 418;
-	public static final int PPC_INS_VUPKHSB = 419;
-	public static final int PPC_INS_VUPKHSH = 420;
-	public static final int PPC_INS_VUPKLPX = 421;
-	public static final int PPC_INS_VUPKLSB = 422;
-	public static final int PPC_INS_VUPKLSH = 423;
-	public static final int PPC_INS_VXOR = 424;
-	public static final int PPC_INS_WAIT = 425;
-	public static final int PPC_INS_XOR = 426;
-	public static final int PPC_INS_XORI = 427;
-	public static final int PPC_INS_XORIS = 428;
-	public static final int PPC_INS_BC = 429;
-	public static final int PPC_INS_BCA = 430;
-	public static final int PPC_INS_BCCTR = 431;
-	public static final int PPC_INS_BCCTRL = 432;
-	public static final int PPC_INS_BCLA = 433;
-	public static final int PPC_INS_BCLR = 434;
-	public static final int PPC_INS_BCLRL = 435;
-	public static final int PPC_INS_MAX = 436;
+	public static final int PPC_INS_BC = 15;
+	public static final int PPC_INS_BCCTR = 16;
+	public static final int PPC_INS_BCCTRL = 17;
+	public static final int PPC_INS_BCL = 18;
+	public static final int PPC_INS_BCLR = 19;
+	public static final int PPC_INS_BCLRL = 20;
+	public static final int PPC_INS_BCTR = 21;
+	public static final int PPC_INS_BCTRL = 22;
+	public static final int PPC_INS_BDNZ = 23;
+	public static final int PPC_INS_BDNZA = 24;
+	public static final int PPC_INS_BDNZL = 25;
+	public static final int PPC_INS_BDNZLA = 26;
+	public static final int PPC_INS_BDNZLR = 27;
+	public static final int PPC_INS_BDNZLRL = 28;
+	public static final int PPC_INS_BDZ = 29;
+	public static final int PPC_INS_BDZA = 30;
+	public static final int PPC_INS_BDZL = 31;
+	public static final int PPC_INS_BDZLA = 32;
+	public static final int PPC_INS_BDZLR = 33;
+	public static final int PPC_INS_BDZLRL = 34;
+	public static final int PPC_INS_BL = 35;
+	public static final int PPC_INS_BLA = 36;
+	public static final int PPC_INS_BLR = 37;
+	public static final int PPC_INS_BLRL = 38;
+	public static final int PPC_INS_BRINC = 39;
+	public static final int PPC_INS_CMPD = 40;
+	public static final int PPC_INS_CMPDI = 41;
+	public static final int PPC_INS_CMPLD = 42;
+	public static final int PPC_INS_CMPLDI = 43;
+	public static final int PPC_INS_CMPLW = 44;
+	public static final int PPC_INS_CMPLWI = 45;
+	public static final int PPC_INS_CMPW = 46;
+	public static final int PPC_INS_CMPWI = 47;
+	public static final int PPC_INS_CNTLZD = 48;
+	public static final int PPC_INS_CNTLZW = 49;
+	public static final int PPC_INS_CREQV = 50;
+	public static final int PPC_INS_CRXOR = 51;
+	public static final int PPC_INS_CRAND = 52;
+	public static final int PPC_INS_CRANDC = 53;
+	public static final int PPC_INS_CRNAND = 54;
+	public static final int PPC_INS_CRNOR = 55;
+	public static final int PPC_INS_CROR = 56;
+	public static final int PPC_INS_CRORC = 57;
+	public static final int PPC_INS_DCBA = 58;
+	public static final int PPC_INS_DCBF = 59;
+	public static final int PPC_INS_DCBI = 60;
+	public static final int PPC_INS_DCBST = 61;
+	public static final int PPC_INS_DCBT = 62;
+	public static final int PPC_INS_DCBTST = 63;
+	public static final int PPC_INS_DCBZ = 64;
+	public static final int PPC_INS_DCBZL = 65;
+	public static final int PPC_INS_DCCCI = 66;
+	public static final int PPC_INS_DIVD = 67;
+	public static final int PPC_INS_DIVDU = 68;
+	public static final int PPC_INS_DIVW = 69;
+	public static final int PPC_INS_DIVWU = 70;
+	public static final int PPC_INS_DSS = 71;
+	public static final int PPC_INS_DSSALL = 72;
+	public static final int PPC_INS_DST = 73;
+	public static final int PPC_INS_DSTST = 74;
+	public static final int PPC_INS_DSTSTT = 75;
+	public static final int PPC_INS_DSTT = 76;
+	public static final int PPC_INS_EIEIO = 77;
+	public static final int PPC_INS_EQV = 78;
+	public static final int PPC_INS_EVABS = 79;
+	public static final int PPC_INS_EVADDIW = 80;
+	public static final int PPC_INS_EVADDSMIAAW = 81;
+	public static final int PPC_INS_EVADDSSIAAW = 82;
+	public static final int PPC_INS_EVADDUMIAAW = 83;
+	public static final int PPC_INS_EVADDUSIAAW = 84;
+	public static final int PPC_INS_EVADDW = 85;
+	public static final int PPC_INS_EVAND = 86;
+	public static final int PPC_INS_EVANDC = 87;
+	public static final int PPC_INS_EVCMPEQ = 88;
+	public static final int PPC_INS_EVCMPGTS = 89;
+	public static final int PPC_INS_EVCMPGTU = 90;
+	public static final int PPC_INS_EVCMPLTS = 91;
+	public static final int PPC_INS_EVCMPLTU = 92;
+	public static final int PPC_INS_EVCNTLSW = 93;
+	public static final int PPC_INS_EVCNTLZW = 94;
+	public static final int PPC_INS_EVDIVWS = 95;
+	public static final int PPC_INS_EVDIVWU = 96;
+	public static final int PPC_INS_EVEQV = 97;
+	public static final int PPC_INS_EVEXTSB = 98;
+	public static final int PPC_INS_EVEXTSH = 99;
+	public static final int PPC_INS_EVLDD = 100;
+	public static final int PPC_INS_EVLDDX = 101;
+	public static final int PPC_INS_EVLDH = 102;
+	public static final int PPC_INS_EVLDHX = 103;
+	public static final int PPC_INS_EVLDW = 104;
+	public static final int PPC_INS_EVLDWX = 105;
+	public static final int PPC_INS_EVLHHESPLAT = 106;
+	public static final int PPC_INS_EVLHHESPLATX = 107;
+	public static final int PPC_INS_EVLHHOSSPLAT = 108;
+	public static final int PPC_INS_EVLHHOSSPLATX = 109;
+	public static final int PPC_INS_EVLHHOUSPLAT = 110;
+	public static final int PPC_INS_EVLHHOUSPLATX = 111;
+	public static final int PPC_INS_EVLWHE = 112;
+	public static final int PPC_INS_EVLWHEX = 113;
+	public static final int PPC_INS_EVLWHOS = 114;
+	public static final int PPC_INS_EVLWHOSX = 115;
+	public static final int PPC_INS_EVLWHOU = 116;
+	public static final int PPC_INS_EVLWHOUX = 117;
+	public static final int PPC_INS_EVLWHSPLAT = 118;
+	public static final int PPC_INS_EVLWHSPLATX = 119;
+	public static final int PPC_INS_EVLWWSPLAT = 120;
+	public static final int PPC_INS_EVLWWSPLATX = 121;
+	public static final int PPC_INS_EVMERGEHI = 122;
+	public static final int PPC_INS_EVMERGEHILO = 123;
+	public static final int PPC_INS_EVMERGELO = 124;
+	public static final int PPC_INS_EVMERGELOHI = 125;
+	public static final int PPC_INS_EVMHEGSMFAA = 126;
+	public static final int PPC_INS_EVMHEGSMFAN = 127;
+	public static final int PPC_INS_EVMHEGSMIAA = 128;
+	public static final int PPC_INS_EVMHEGSMIAN = 129;
+	public static final int PPC_INS_EVMHEGUMIAA = 130;
+	public static final int PPC_INS_EVMHEGUMIAN = 131;
+	public static final int PPC_INS_EVMHESMF = 132;
+	public static final int PPC_INS_EVMHESMFA = 133;
+	public static final int PPC_INS_EVMHESMFAAW = 134;
+	public static final int PPC_INS_EVMHESMFANW = 135;
+	public static final int PPC_INS_EVMHESMI = 136;
+	public static final int PPC_INS_EVMHESMIA = 137;
+	public static final int PPC_INS_EVMHESMIAAW = 138;
+	public static final int PPC_INS_EVMHESMIANW = 139;
+	public static final int PPC_INS_EVMHESSF = 140;
+	public static final int PPC_INS_EVMHESSFA = 141;
+	public static final int PPC_INS_EVMHESSFAAW = 142;
+	public static final int PPC_INS_EVMHESSFANW = 143;
+	public static final int PPC_INS_EVMHESSIAAW = 144;
+	public static final int PPC_INS_EVMHESSIANW = 145;
+	public static final int PPC_INS_EVMHEUMI = 146;
+	public static final int PPC_INS_EVMHEUMIA = 147;
+	public static final int PPC_INS_EVMHEUMIAAW = 148;
+	public static final int PPC_INS_EVMHEUMIANW = 149;
+	public static final int PPC_INS_EVMHEUSIAAW = 150;
+	public static final int PPC_INS_EVMHEUSIANW = 151;
+	public static final int PPC_INS_EVMHOGSMFAA = 152;
+	public static final int PPC_INS_EVMHOGSMFAN = 153;
+	public static final int PPC_INS_EVMHOGSMIAA = 154;
+	public static final int PPC_INS_EVMHOGSMIAN = 155;
+	public static final int PPC_INS_EVMHOGUMIAA = 156;
+	public static final int PPC_INS_EVMHOGUMIAN = 157;
+	public static final int PPC_INS_EVMHOSMF = 158;
+	public static final int PPC_INS_EVMHOSMFA = 159;
+	public static final int PPC_INS_EVMHOSMFAAW = 160;
+	public static final int PPC_INS_EVMHOSMFANW = 161;
+	public static final int PPC_INS_EVMHOSMI = 162;
+	public static final int PPC_INS_EVMHOSMIA = 163;
+	public static final int PPC_INS_EVMHOSMIAAW = 164;
+	public static final int PPC_INS_EVMHOSMIANW = 165;
+	public static final int PPC_INS_EVMHOSSF = 166;
+	public static final int PPC_INS_EVMHOSSFA = 167;
+	public static final int PPC_INS_EVMHOSSFAAW = 168;
+	public static final int PPC_INS_EVMHOSSFANW = 169;
+	public static final int PPC_INS_EVMHOSSIAAW = 170;
+	public static final int PPC_INS_EVMHOSSIANW = 171;
+	public static final int PPC_INS_EVMHOUMI = 172;
+	public static final int PPC_INS_EVMHOUMIA = 173;
+	public static final int PPC_INS_EVMHOUMIAAW = 174;
+	public static final int PPC_INS_EVMHOUMIANW = 175;
+	public static final int PPC_INS_EVMHOUSIAAW = 176;
+	public static final int PPC_INS_EVMHOUSIANW = 177;
+	public static final int PPC_INS_EVMRA = 178;
+	public static final int PPC_INS_EVMWHSMF = 179;
+	public static final int PPC_INS_EVMWHSMFA = 180;
+	public static final int PPC_INS_EVMWHSMI = 181;
+	public static final int PPC_INS_EVMWHSMIA = 182;
+	public static final int PPC_INS_EVMWHSSF = 183;
+	public static final int PPC_INS_EVMWHSSFA = 184;
+	public static final int PPC_INS_EVMWHUMI = 185;
+	public static final int PPC_INS_EVMWHUMIA = 186;
+	public static final int PPC_INS_EVMWLSMIAAW = 187;
+	public static final int PPC_INS_EVMWLSMIANW = 188;
+	public static final int PPC_INS_EVMWLSSIAAW = 189;
+	public static final int PPC_INS_EVMWLSSIANW = 190;
+	public static final int PPC_INS_EVMWLUMI = 191;
+	public static final int PPC_INS_EVMWLUMIA = 192;
+	public static final int PPC_INS_EVMWLUMIAAW = 193;
+	public static final int PPC_INS_EVMWLUMIANW = 194;
+	public static final int PPC_INS_EVMWLUSIAAW = 195;
+	public static final int PPC_INS_EVMWLUSIANW = 196;
+	public static final int PPC_INS_EVMWSMF = 197;
+	public static final int PPC_INS_EVMWSMFA = 198;
+	public static final int PPC_INS_EVMWSMFAA = 199;
+	public static final int PPC_INS_EVMWSMFAN = 200;
+	public static final int PPC_INS_EVMWSMI = 201;
+	public static final int PPC_INS_EVMWSMIA = 202;
+	public static final int PPC_INS_EVMWSMIAA = 203;
+	public static final int PPC_INS_EVMWSMIAN = 204;
+	public static final int PPC_INS_EVMWSSF = 205;
+	public static final int PPC_INS_EVMWSSFA = 206;
+	public static final int PPC_INS_EVMWSSFAA = 207;
+	public static final int PPC_INS_EVMWSSFAN = 208;
+	public static final int PPC_INS_EVMWUMI = 209;
+	public static final int PPC_INS_EVMWUMIA = 210;
+	public static final int PPC_INS_EVMWUMIAA = 211;
+	public static final int PPC_INS_EVMWUMIAN = 212;
+	public static final int PPC_INS_EVNAND = 213;
+	public static final int PPC_INS_EVNEG = 214;
+	public static final int PPC_INS_EVNOR = 215;
+	public static final int PPC_INS_EVOR = 216;
+	public static final int PPC_INS_EVORC = 217;
+	public static final int PPC_INS_EVRLW = 218;
+	public static final int PPC_INS_EVRLWI = 219;
+	public static final int PPC_INS_EVRNDW = 220;
+	public static final int PPC_INS_EVSLW = 221;
+	public static final int PPC_INS_EVSLWI = 222;
+	public static final int PPC_INS_EVSPLATFI = 223;
+	public static final int PPC_INS_EVSPLATI = 224;
+	public static final int PPC_INS_EVSRWIS = 225;
+	public static final int PPC_INS_EVSRWIU = 226;
+	public static final int PPC_INS_EVSRWS = 227;
+	public static final int PPC_INS_EVSRWU = 228;
+	public static final int PPC_INS_EVSTDD = 229;
+	public static final int PPC_INS_EVSTDDX = 230;
+	public static final int PPC_INS_EVSTDH = 231;
+	public static final int PPC_INS_EVSTDHX = 232;
+	public static final int PPC_INS_EVSTDW = 233;
+	public static final int PPC_INS_EVSTDWX = 234;
+	public static final int PPC_INS_EVSTWHE = 235;
+	public static final int PPC_INS_EVSTWHEX = 236;
+	public static final int PPC_INS_EVSTWHO = 237;
+	public static final int PPC_INS_EVSTWHOX = 238;
+	public static final int PPC_INS_EVSTWWE = 239;
+	public static final int PPC_INS_EVSTWWEX = 240;
+	public static final int PPC_INS_EVSTWWO = 241;
+	public static final int PPC_INS_EVSTWWOX = 242;
+	public static final int PPC_INS_EVSUBFSMIAAW = 243;
+	public static final int PPC_INS_EVSUBFSSIAAW = 244;
+	public static final int PPC_INS_EVSUBFUMIAAW = 245;
+	public static final int PPC_INS_EVSUBFUSIAAW = 246;
+	public static final int PPC_INS_EVSUBFW = 247;
+	public static final int PPC_INS_EVSUBIFW = 248;
+	public static final int PPC_INS_EVXOR = 249;
+	public static final int PPC_INS_EXTSB = 250;
+	public static final int PPC_INS_EXTSH = 251;
+	public static final int PPC_INS_EXTSW = 252;
+	public static final int PPC_INS_FABS = 253;
+	public static final int PPC_INS_FADD = 254;
+	public static final int PPC_INS_FADDS = 255;
+	public static final int PPC_INS_FCFID = 256;
+	public static final int PPC_INS_FCFIDS = 257;
+	public static final int PPC_INS_FCFIDU = 258;
+	public static final int PPC_INS_FCFIDUS = 259;
+	public static final int PPC_INS_FCMPU = 260;
+	public static final int PPC_INS_FCPSGN = 261;
+	public static final int PPC_INS_FCTID = 262;
+	public static final int PPC_INS_FCTIDUZ = 263;
+	public static final int PPC_INS_FCTIDZ = 264;
+	public static final int PPC_INS_FCTIW = 265;
+	public static final int PPC_INS_FCTIWUZ = 266;
+	public static final int PPC_INS_FCTIWZ = 267;
+	public static final int PPC_INS_FDIV = 268;
+	public static final int PPC_INS_FDIVS = 269;
+	public static final int PPC_INS_FMADD = 270;
+	public static final int PPC_INS_FMADDS = 271;
+	public static final int PPC_INS_FMR = 272;
+	public static final int PPC_INS_FMSUB = 273;
+	public static final int PPC_INS_FMSUBS = 274;
+	public static final int PPC_INS_FMUL = 275;
+	public static final int PPC_INS_FMULS = 276;
+	public static final int PPC_INS_FNABS = 277;
+	public static final int PPC_INS_FNEG = 278;
+	public static final int PPC_INS_FNMADD = 279;
+	public static final int PPC_INS_FNMADDS = 280;
+	public static final int PPC_INS_FNMSUB = 281;
+	public static final int PPC_INS_FNMSUBS = 282;
+	public static final int PPC_INS_FRE = 283;
+	public static final int PPC_INS_FRES = 284;
+	public static final int PPC_INS_FRIM = 285;
+	public static final int PPC_INS_FRIN = 286;
+	public static final int PPC_INS_FRIP = 287;
+	public static final int PPC_INS_FRIZ = 288;
+	public static final int PPC_INS_FRSP = 289;
+	public static final int PPC_INS_FRSQRTE = 290;
+	public static final int PPC_INS_FRSQRTES = 291;
+	public static final int PPC_INS_FSEL = 292;
+	public static final int PPC_INS_FSQRT = 293;
+	public static final int PPC_INS_FSQRTS = 294;
+	public static final int PPC_INS_FSUB = 295;
+	public static final int PPC_INS_FSUBS = 296;
+	public static final int PPC_INS_ICBI = 297;
+	public static final int PPC_INS_ICCCI = 298;
+	public static final int PPC_INS_ISEL = 299;
+	public static final int PPC_INS_ISYNC = 300;
+	public static final int PPC_INS_LA = 301;
+	public static final int PPC_INS_LBZ = 302;
+	public static final int PPC_INS_LBZU = 303;
+	public static final int PPC_INS_LBZUX = 304;
+	public static final int PPC_INS_LBZX = 305;
+	public static final int PPC_INS_LD = 306;
+	public static final int PPC_INS_LDARX = 307;
+	public static final int PPC_INS_LDBRX = 308;
+	public static final int PPC_INS_LDU = 309;
+	public static final int PPC_INS_LDUX = 310;
+	public static final int PPC_INS_LDX = 311;
+	public static final int PPC_INS_LFD = 312;
+	public static final int PPC_INS_LFDU = 313;
+	public static final int PPC_INS_LFDUX = 314;
+	public static final int PPC_INS_LFDX = 315;
+	public static final int PPC_INS_LFIWAX = 316;
+	public static final int PPC_INS_LFIWZX = 317;
+	public static final int PPC_INS_LFS = 318;
+	public static final int PPC_INS_LFSU = 319;
+	public static final int PPC_INS_LFSUX = 320;
+	public static final int PPC_INS_LFSX = 321;
+	public static final int PPC_INS_LHA = 322;
+	public static final int PPC_INS_LHAU = 323;
+	public static final int PPC_INS_LHAUX = 324;
+	public static final int PPC_INS_LHAX = 325;
+	public static final int PPC_INS_LHBRX = 326;
+	public static final int PPC_INS_LHZ = 327;
+	public static final int PPC_INS_LHZU = 328;
+	public static final int PPC_INS_LHZUX = 329;
+	public static final int PPC_INS_LHZX = 330;
+	public static final int PPC_INS_LI = 331;
+	public static final int PPC_INS_LIS = 332;
+	public static final int PPC_INS_LMW = 333;
+	public static final int PPC_INS_LSWI = 334;
+	public static final int PPC_INS_LVEBX = 335;
+	public static final int PPC_INS_LVEHX = 336;
+	public static final int PPC_INS_LVEWX = 337;
+	public static final int PPC_INS_LVSL = 338;
+	public static final int PPC_INS_LVSR = 339;
+	public static final int PPC_INS_LVX = 340;
+	public static final int PPC_INS_LVXL = 341;
+	public static final int PPC_INS_LWA = 342;
+	public static final int PPC_INS_LWARX = 343;
+	public static final int PPC_INS_LWAUX = 344;
+	public static final int PPC_INS_LWAX = 345;
+	public static final int PPC_INS_LWBRX = 346;
+	public static final int PPC_INS_LWZ = 347;
+	public static final int PPC_INS_LWZU = 348;
+	public static final int PPC_INS_LWZUX = 349;
+	public static final int PPC_INS_LWZX = 350;
+	public static final int PPC_INS_LXSDX = 351;
+	public static final int PPC_INS_LXVD2X = 352;
+	public static final int PPC_INS_LXVDSX = 353;
+	public static final int PPC_INS_LXVW4X = 354;
+	public static final int PPC_INS_MBAR = 355;
+	public static final int PPC_INS_MCRF = 356;
+	public static final int PPC_INS_MFCR = 357;
+	public static final int PPC_INS_MFCTR = 358;
+	public static final int PPC_INS_MFDCR = 359;
+	public static final int PPC_INS_MFFS = 360;
+	public static final int PPC_INS_MFLR = 361;
+	public static final int PPC_INS_MFMSR = 362;
+	public static final int PPC_INS_MFOCRF = 363;
+	public static final int PPC_INS_MFSPR = 364;
+	public static final int PPC_INS_MFSR = 365;
+	public static final int PPC_INS_MFSRIN = 366;
+	public static final int PPC_INS_MFTB = 367;
+	public static final int PPC_INS_MFVSCR = 368;
+	public static final int PPC_INS_MSYNC = 369;
+	public static final int PPC_INS_MTCRF = 370;
+	public static final int PPC_INS_MTCTR = 371;
+	public static final int PPC_INS_MTDCR = 372;
+	public static final int PPC_INS_MTFSB0 = 373;
+	public static final int PPC_INS_MTFSB1 = 374;
+	public static final int PPC_INS_MTFSF = 375;
+	public static final int PPC_INS_MTLR = 376;
+	public static final int PPC_INS_MTMSR = 377;
+	public static final int PPC_INS_MTMSRD = 378;
+	public static final int PPC_INS_MTOCRF = 379;
+	public static final int PPC_INS_MTSPR = 380;
+	public static final int PPC_INS_MTSR = 381;
+	public static final int PPC_INS_MTSRIN = 382;
+	public static final int PPC_INS_MTVSCR = 383;
+	public static final int PPC_INS_MULHD = 384;
+	public static final int PPC_INS_MULHDU = 385;
+	public static final int PPC_INS_MULHW = 386;
+	public static final int PPC_INS_MULHWU = 387;
+	public static final int PPC_INS_MULLD = 388;
+	public static final int PPC_INS_MULLI = 389;
+	public static final int PPC_INS_MULLW = 390;
+	public static final int PPC_INS_NAND = 391;
+	public static final int PPC_INS_NEG = 392;
+	public static final int PPC_INS_NOP = 393;
+	public static final int PPC_INS_ORI = 394;
+	public static final int PPC_INS_NOR = 395;
+	public static final int PPC_INS_OR = 396;
+	public static final int PPC_INS_ORC = 397;
+	public static final int PPC_INS_ORIS = 398;
+	public static final int PPC_INS_POPCNTD = 399;
+	public static final int PPC_INS_POPCNTW = 400;
+	public static final int PPC_INS_RFCI = 401;
+	public static final int PPC_INS_RFDI = 402;
+	public static final int PPC_INS_RFI = 403;
+	public static final int PPC_INS_RFID = 404;
+	public static final int PPC_INS_RFMCI = 405;
+	public static final int PPC_INS_RLDCL = 406;
+	public static final int PPC_INS_RLDCR = 407;
+	public static final int PPC_INS_RLDIC = 408;
+	public static final int PPC_INS_RLDICL = 409;
+	public static final int PPC_INS_RLDICR = 410;
+	public static final int PPC_INS_RLDIMI = 411;
+	public static final int PPC_INS_RLWIMI = 412;
+	public static final int PPC_INS_RLWINM = 413;
+	public static final int PPC_INS_RLWNM = 414;
+	public static final int PPC_INS_SC = 415;
+	public static final int PPC_INS_SLBIA = 416;
+	public static final int PPC_INS_SLBIE = 417;
+	public static final int PPC_INS_SLBMFEE = 418;
+	public static final int PPC_INS_SLBMTE = 419;
+	public static final int PPC_INS_SLD = 420;
+	public static final int PPC_INS_SLW = 421;
+	public static final int PPC_INS_SRAD = 422;
+	public static final int PPC_INS_SRADI = 423;
+	public static final int PPC_INS_SRAW = 424;
+	public static final int PPC_INS_SRAWI = 425;
+	public static final int PPC_INS_SRD = 426;
+	public static final int PPC_INS_SRW = 427;
+	public static final int PPC_INS_STB = 428;
+	public static final int PPC_INS_STBU = 429;
+	public static final int PPC_INS_STBUX = 430;
+	public static final int PPC_INS_STBX = 431;
+	public static final int PPC_INS_STD = 432;
+	public static final int PPC_INS_STDBRX = 433;
+	public static final int PPC_INS_STDCX = 434;
+	public static final int PPC_INS_STDU = 435;
+	public static final int PPC_INS_STDUX = 436;
+	public static final int PPC_INS_STDX = 437;
+	public static final int PPC_INS_STFD = 438;
+	public static final int PPC_INS_STFDU = 439;
+	public static final int PPC_INS_STFDUX = 440;
+	public static final int PPC_INS_STFDX = 441;
+	public static final int PPC_INS_STFIWX = 442;
+	public static final int PPC_INS_STFS = 443;
+	public static final int PPC_INS_STFSU = 444;
+	public static final int PPC_INS_STFSUX = 445;
+	public static final int PPC_INS_STFSX = 446;
+	public static final int PPC_INS_STH = 447;
+	public static final int PPC_INS_STHBRX = 448;
+	public static final int PPC_INS_STHU = 449;
+	public static final int PPC_INS_STHUX = 450;
+	public static final int PPC_INS_STHX = 451;
+	public static final int PPC_INS_STMW = 452;
+	public static final int PPC_INS_STSWI = 453;
+	public static final int PPC_INS_STVEBX = 454;
+	public static final int PPC_INS_STVEHX = 455;
+	public static final int PPC_INS_STVEWX = 456;
+	public static final int PPC_INS_STVX = 457;
+	public static final int PPC_INS_STVXL = 458;
+	public static final int PPC_INS_STW = 459;
+	public static final int PPC_INS_STWBRX = 460;
+	public static final int PPC_INS_STWCX = 461;
+	public static final int PPC_INS_STWU = 462;
+	public static final int PPC_INS_STWUX = 463;
+	public static final int PPC_INS_STWX = 464;
+	public static final int PPC_INS_STXSDX = 465;
+	public static final int PPC_INS_STXVD2X = 466;
+	public static final int PPC_INS_STXVW4X = 467;
+	public static final int PPC_INS_SUBF = 468;
+	public static final int PPC_INS_SUBFC = 469;
+	public static final int PPC_INS_SUBFE = 470;
+	public static final int PPC_INS_SUBFIC = 471;
+	public static final int PPC_INS_SUBFME = 472;
+	public static final int PPC_INS_SUBFZE = 473;
+	public static final int PPC_INS_SYNC = 474;
+	public static final int PPC_INS_TD = 475;
+	public static final int PPC_INS_TDI = 476;
+	public static final int PPC_INS_TLBIA = 477;
+	public static final int PPC_INS_TLBIE = 478;
+	public static final int PPC_INS_TLBIEL = 479;
+	public static final int PPC_INS_TLBIVAX = 480;
+	public static final int PPC_INS_TLBLD = 481;
+	public static final int PPC_INS_TLBLI = 482;
+	public static final int PPC_INS_TLBRE = 483;
+	public static final int PPC_INS_TLBSX = 484;
+	public static final int PPC_INS_TLBSYNC = 485;
+	public static final int PPC_INS_TLBWE = 486;
+	public static final int PPC_INS_TRAP = 487;
+	public static final int PPC_INS_TW = 488;
+	public static final int PPC_INS_TWI = 489;
+	public static final int PPC_INS_VADDCUW = 490;
+	public static final int PPC_INS_VADDFP = 491;
+	public static final int PPC_INS_VADDSBS = 492;
+	public static final int PPC_INS_VADDSHS = 493;
+	public static final int PPC_INS_VADDSWS = 494;
+	public static final int PPC_INS_VADDUBM = 495;
+	public static final int PPC_INS_VADDUBS = 496;
+	public static final int PPC_INS_VADDUHM = 497;
+	public static final int PPC_INS_VADDUHS = 498;
+	public static final int PPC_INS_VADDUWM = 499;
+	public static final int PPC_INS_VADDUWS = 500;
+	public static final int PPC_INS_VAND = 501;
+	public static final int PPC_INS_VANDC = 502;
+	public static final int PPC_INS_VAVGSB = 503;
+	public static final int PPC_INS_VAVGSH = 504;
+	public static final int PPC_INS_VAVGSW = 505;
+	public static final int PPC_INS_VAVGUB = 506;
+	public static final int PPC_INS_VAVGUH = 507;
+	public static final int PPC_INS_VAVGUW = 508;
+	public static final int PPC_INS_VCFSX = 509;
+	public static final int PPC_INS_VCFUX = 510;
+	public static final int PPC_INS_VCMPBFP = 511;
+	public static final int PPC_INS_VCMPEQFP = 512;
+	public static final int PPC_INS_VCMPEQUB = 513;
+	public static final int PPC_INS_VCMPEQUH = 514;
+	public static final int PPC_INS_VCMPEQUW = 515;
+	public static final int PPC_INS_VCMPGEFP = 516;
+	public static final int PPC_INS_VCMPGTFP = 517;
+	public static final int PPC_INS_VCMPGTSB = 518;
+	public static final int PPC_INS_VCMPGTSH = 519;
+	public static final int PPC_INS_VCMPGTSW = 520;
+	public static final int PPC_INS_VCMPGTUB = 521;
+	public static final int PPC_INS_VCMPGTUH = 522;
+	public static final int PPC_INS_VCMPGTUW = 523;
+	public static final int PPC_INS_VCTSXS = 524;
+	public static final int PPC_INS_VCTUXS = 525;
+	public static final int PPC_INS_VEXPTEFP = 526;
+	public static final int PPC_INS_VLOGEFP = 527;
+	public static final int PPC_INS_VMADDFP = 528;
+	public static final int PPC_INS_VMAXFP = 529;
+	public static final int PPC_INS_VMAXSB = 530;
+	public static final int PPC_INS_VMAXSH = 531;
+	public static final int PPC_INS_VMAXSW = 532;
+	public static final int PPC_INS_VMAXUB = 533;
+	public static final int PPC_INS_VMAXUH = 534;
+	public static final int PPC_INS_VMAXUW = 535;
+	public static final int PPC_INS_VMHADDSHS = 536;
+	public static final int PPC_INS_VMHRADDSHS = 537;
+	public static final int PPC_INS_VMINFP = 538;
+	public static final int PPC_INS_VMINSB = 539;
+	public static final int PPC_INS_VMINSH = 540;
+	public static final int PPC_INS_VMINSW = 541;
+	public static final int PPC_INS_VMINUB = 542;
+	public static final int PPC_INS_VMINUH = 543;
+	public static final int PPC_INS_VMINUW = 544;
+	public static final int PPC_INS_VMLADDUHM = 545;
+	public static final int PPC_INS_VMRGHB = 546;
+	public static final int PPC_INS_VMRGHH = 547;
+	public static final int PPC_INS_VMRGHW = 548;
+	public static final int PPC_INS_VMRGLB = 549;
+	public static final int PPC_INS_VMRGLH = 550;
+	public static final int PPC_INS_VMRGLW = 551;
+	public static final int PPC_INS_VMSUMMBM = 552;
+	public static final int PPC_INS_VMSUMSHM = 553;
+	public static final int PPC_INS_VMSUMSHS = 554;
+	public static final int PPC_INS_VMSUMUBM = 555;
+	public static final int PPC_INS_VMSUMUHM = 556;
+	public static final int PPC_INS_VMSUMUHS = 557;
+	public static final int PPC_INS_VMULESB = 558;
+	public static final int PPC_INS_VMULESH = 559;
+	public static final int PPC_INS_VMULEUB = 560;
+	public static final int PPC_INS_VMULEUH = 561;
+	public static final int PPC_INS_VMULOSB = 562;
+	public static final int PPC_INS_VMULOSH = 563;
+	public static final int PPC_INS_VMULOUB = 564;
+	public static final int PPC_INS_VMULOUH = 565;
+	public static final int PPC_INS_VNMSUBFP = 566;
+	public static final int PPC_INS_VNOR = 567;
+	public static final int PPC_INS_VOR = 568;
+	public static final int PPC_INS_VPERM = 569;
+	public static final int PPC_INS_VPKPX = 570;
+	public static final int PPC_INS_VPKSHSS = 571;
+	public static final int PPC_INS_VPKSHUS = 572;
+	public static final int PPC_INS_VPKSWSS = 573;
+	public static final int PPC_INS_VPKSWUS = 574;
+	public static final int PPC_INS_VPKUHUM = 575;
+	public static final int PPC_INS_VPKUHUS = 576;
+	public static final int PPC_INS_VPKUWUM = 577;
+	public static final int PPC_INS_VPKUWUS = 578;
+	public static final int PPC_INS_VREFP = 579;
+	public static final int PPC_INS_VRFIM = 580;
+	public static final int PPC_INS_VRFIN = 581;
+	public static final int PPC_INS_VRFIP = 582;
+	public static final int PPC_INS_VRFIZ = 583;
+	public static final int PPC_INS_VRLB = 584;
+	public static final int PPC_INS_VRLH = 585;
+	public static final int PPC_INS_VRLW = 586;
+	public static final int PPC_INS_VRSQRTEFP = 587;
+	public static final int PPC_INS_VSEL = 588;
+	public static final int PPC_INS_VSL = 589;
+	public static final int PPC_INS_VSLB = 590;
+	public static final int PPC_INS_VSLDOI = 591;
+	public static final int PPC_INS_VSLH = 592;
+	public static final int PPC_INS_VSLO = 593;
+	public static final int PPC_INS_VSLW = 594;
+	public static final int PPC_INS_VSPLTB = 595;
+	public static final int PPC_INS_VSPLTH = 596;
+	public static final int PPC_INS_VSPLTISB = 597;
+	public static final int PPC_INS_VSPLTISH = 598;
+	public static final int PPC_INS_VSPLTISW = 599;
+	public static final int PPC_INS_VSPLTW = 600;
+	public static final int PPC_INS_VSR = 601;
+	public static final int PPC_INS_VSRAB = 602;
+	public static final int PPC_INS_VSRAH = 603;
+	public static final int PPC_INS_VSRAW = 604;
+	public static final int PPC_INS_VSRB = 605;
+	public static final int PPC_INS_VSRH = 606;
+	public static final int PPC_INS_VSRO = 607;
+	public static final int PPC_INS_VSRW = 608;
+	public static final int PPC_INS_VSUBCUW = 609;
+	public static final int PPC_INS_VSUBFP = 610;
+	public static final int PPC_INS_VSUBSBS = 611;
+	public static final int PPC_INS_VSUBSHS = 612;
+	public static final int PPC_INS_VSUBSWS = 613;
+	public static final int PPC_INS_VSUBUBM = 614;
+	public static final int PPC_INS_VSUBUBS = 615;
+	public static final int PPC_INS_VSUBUHM = 616;
+	public static final int PPC_INS_VSUBUHS = 617;
+	public static final int PPC_INS_VSUBUWM = 618;
+	public static final int PPC_INS_VSUBUWS = 619;
+	public static final int PPC_INS_VSUM2SWS = 620;
+	public static final int PPC_INS_VSUM4SBS = 621;
+	public static final int PPC_INS_VSUM4SHS = 622;
+	public static final int PPC_INS_VSUM4UBS = 623;
+	public static final int PPC_INS_VSUMSWS = 624;
+	public static final int PPC_INS_VUPKHPX = 625;
+	public static final int PPC_INS_VUPKHSB = 626;
+	public static final int PPC_INS_VUPKHSH = 627;
+	public static final int PPC_INS_VUPKLPX = 628;
+	public static final int PPC_INS_VUPKLSB = 629;
+	public static final int PPC_INS_VUPKLSH = 630;
+	public static final int PPC_INS_VXOR = 631;
+	public static final int PPC_INS_WAIT = 632;
+	public static final int PPC_INS_WRTEE = 633;
+	public static final int PPC_INS_WRTEEI = 634;
+	public static final int PPC_INS_XOR = 635;
+	public static final int PPC_INS_XORI = 636;
+	public static final int PPC_INS_XORIS = 637;
+	public static final int PPC_INS_XSABSDP = 638;
+	public static final int PPC_INS_XSADDDP = 639;
+	public static final int PPC_INS_XSCMPODP = 640;
+	public static final int PPC_INS_XSCMPUDP = 641;
+	public static final int PPC_INS_XSCPSGNDP = 642;
+	public static final int PPC_INS_XSCVDPSP = 643;
+	public static final int PPC_INS_XSCVDPSXDS = 644;
+	public static final int PPC_INS_XSCVDPSXWS = 645;
+	public static final int PPC_INS_XSCVDPUXDS = 646;
+	public static final int PPC_INS_XSCVDPUXWS = 647;
+	public static final int PPC_INS_XSCVSPDP = 648;
+	public static final int PPC_INS_XSCVSXDDP = 649;
+	public static final int PPC_INS_XSCVUXDDP = 650;
+	public static final int PPC_INS_XSDIVDP = 651;
+	public static final int PPC_INS_XSMADDADP = 652;
+	public static final int PPC_INS_XSMADDMDP = 653;
+	public static final int PPC_INS_XSMAXDP = 654;
+	public static final int PPC_INS_XSMINDP = 655;
+	public static final int PPC_INS_XSMSUBADP = 656;
+	public static final int PPC_INS_XSMSUBMDP = 657;
+	public static final int PPC_INS_XSMULDP = 658;
+	public static final int PPC_INS_XSNABSDP = 659;
+	public static final int PPC_INS_XSNEGDP = 660;
+	public static final int PPC_INS_XSNMADDADP = 661;
+	public static final int PPC_INS_XSNMADDMDP = 662;
+	public static final int PPC_INS_XSNMSUBADP = 663;
+	public static final int PPC_INS_XSNMSUBMDP = 664;
+	public static final int PPC_INS_XSRDPI = 665;
+	public static final int PPC_INS_XSRDPIC = 666;
+	public static final int PPC_INS_XSRDPIM = 667;
+	public static final int PPC_INS_XSRDPIP = 668;
+	public static final int PPC_INS_XSRDPIZ = 669;
+	public static final int PPC_INS_XSREDP = 670;
+	public static final int PPC_INS_XSRSQRTEDP = 671;
+	public static final int PPC_INS_XSSQRTDP = 672;
+	public static final int PPC_INS_XSSUBDP = 673;
+	public static final int PPC_INS_XSTDIVDP = 674;
+	public static final int PPC_INS_XSTSQRTDP = 675;
+	public static final int PPC_INS_XVABSDP = 676;
+	public static final int PPC_INS_XVABSSP = 677;
+	public static final int PPC_INS_XVADDDP = 678;
+	public static final int PPC_INS_XVADDSP = 679;
+	public static final int PPC_INS_XVCMPEQDP = 680;
+	public static final int PPC_INS_XVCMPEQSP = 681;
+	public static final int PPC_INS_XVCMPGEDP = 682;
+	public static final int PPC_INS_XVCMPGESP = 683;
+	public static final int PPC_INS_XVCMPGTDP = 684;
+	public static final int PPC_INS_XVCMPGTSP = 685;
+	public static final int PPC_INS_XVCPSGNDP = 686;
+	public static final int PPC_INS_XVCPSGNSP = 687;
+	public static final int PPC_INS_XVCVDPSP = 688;
+	public static final int PPC_INS_XVCVDPSXDS = 689;
+	public static final int PPC_INS_XVCVDPSXWS = 690;
+	public static final int PPC_INS_XVCVDPUXDS = 691;
+	public static final int PPC_INS_XVCVDPUXWS = 692;
+	public static final int PPC_INS_XVCVSPDP = 693;
+	public static final int PPC_INS_XVCVSPSXDS = 694;
+	public static final int PPC_INS_XVCVSPSXWS = 695;
+	public static final int PPC_INS_XVCVSPUXDS = 696;
+	public static final int PPC_INS_XVCVSPUXWS = 697;
+	public static final int PPC_INS_XVCVSXDDP = 698;
+	public static final int PPC_INS_XVCVSXDSP = 699;
+	public static final int PPC_INS_XVCVSXWDP = 700;
+	public static final int PPC_INS_XVCVSXWSP = 701;
+	public static final int PPC_INS_XVCVUXDDP = 702;
+	public static final int PPC_INS_XVCVUXDSP = 703;
+	public static final int PPC_INS_XVCVUXWDP = 704;
+	public static final int PPC_INS_XVCVUXWSP = 705;
+	public static final int PPC_INS_XVDIVDP = 706;
+	public static final int PPC_INS_XVDIVSP = 707;
+	public static final int PPC_INS_XVMADDADP = 708;
+	public static final int PPC_INS_XVMADDASP = 709;
+	public static final int PPC_INS_XVMADDMDP = 710;
+	public static final int PPC_INS_XVMADDMSP = 711;
+	public static final int PPC_INS_XVMAXDP = 712;
+	public static final int PPC_INS_XVMAXSP = 713;
+	public static final int PPC_INS_XVMINDP = 714;
+	public static final int PPC_INS_XVMINSP = 715;
+	public static final int PPC_INS_XVMSUBADP = 716;
+	public static final int PPC_INS_XVMSUBASP = 717;
+	public static final int PPC_INS_XVMSUBMDP = 718;
+	public static final int PPC_INS_XVMSUBMSP = 719;
+	public static final int PPC_INS_XVMULDP = 720;
+	public static final int PPC_INS_XVMULSP = 721;
+	public static final int PPC_INS_XVNABSDP = 722;
+	public static final int PPC_INS_XVNABSSP = 723;
+	public static final int PPC_INS_XVNEGDP = 724;
+	public static final int PPC_INS_XVNEGSP = 725;
+	public static final int PPC_INS_XVNMADDADP = 726;
+	public static final int PPC_INS_XVNMADDASP = 727;
+	public static final int PPC_INS_XVNMADDMDP = 728;
+	public static final int PPC_INS_XVNMADDMSP = 729;
+	public static final int PPC_INS_XVNMSUBADP = 730;
+	public static final int PPC_INS_XVNMSUBASP = 731;
+	public static final int PPC_INS_XVNMSUBMDP = 732;
+	public static final int PPC_INS_XVNMSUBMSP = 733;
+	public static final int PPC_INS_XVRDPI = 734;
+	public static final int PPC_INS_XVRDPIC = 735;
+	public static final int PPC_INS_XVRDPIM = 736;
+	public static final int PPC_INS_XVRDPIP = 737;
+	public static final int PPC_INS_XVRDPIZ = 738;
+	public static final int PPC_INS_XVREDP = 739;
+	public static final int PPC_INS_XVRESP = 740;
+	public static final int PPC_INS_XVRSPI = 741;
+	public static final int PPC_INS_XVRSPIC = 742;
+	public static final int PPC_INS_XVRSPIM = 743;
+	public static final int PPC_INS_XVRSPIP = 744;
+	public static final int PPC_INS_XVRSPIZ = 745;
+	public static final int PPC_INS_XVRSQRTEDP = 746;
+	public static final int PPC_INS_XVRSQRTESP = 747;
+	public static final int PPC_INS_XVSQRTDP = 748;
+	public static final int PPC_INS_XVSQRTSP = 749;
+	public static final int PPC_INS_XVSUBDP = 750;
+	public static final int PPC_INS_XVSUBSP = 751;
+	public static final int PPC_INS_XVTDIVDP = 752;
+	public static final int PPC_INS_XVTDIVSP = 753;
+	public static final int PPC_INS_XVTSQRTDP = 754;
+	public static final int PPC_INS_XVTSQRTSP = 755;
+	public static final int PPC_INS_XXLAND = 756;
+	public static final int PPC_INS_XXLANDC = 757;
+	public static final int PPC_INS_XXLNOR = 758;
+	public static final int PPC_INS_XXLOR = 759;
+	public static final int PPC_INS_XXLXOR = 760;
+	public static final int PPC_INS_XXMRGHW = 761;
+	public static final int PPC_INS_XXMRGLW = 762;
+	public static final int PPC_INS_XXPERMDI = 763;
+	public static final int PPC_INS_XXSEL = 764;
+	public static final int PPC_INS_XXSLDWI = 765;
+	public static final int PPC_INS_XXSPLTW = 766;
+	public static final int PPC_INS_BCA = 767;
+	public static final int PPC_INS_BCLA = 768;
+	public static final int PPC_INS_SLWI = 769;
+	public static final int PPC_INS_SRWI = 770;
+	public static final int PPC_INS_SLDI = 771;
+	public static final int PPC_INS_BTA = 772;
+	public static final int PPC_INS_CRSET = 773;
+	public static final int PPC_INS_CRNOT = 774;
+	public static final int PPC_INS_CRMOVE = 775;
+	public static final int PPC_INS_CRCLR = 776;
+	public static final int PPC_INS_MFBR0 = 777;
+	public static final int PPC_INS_MFBR1 = 778;
+	public static final int PPC_INS_MFBR2 = 779;
+	public static final int PPC_INS_MFBR3 = 780;
+	public static final int PPC_INS_MFBR4 = 781;
+	public static final int PPC_INS_MFBR5 = 782;
+	public static final int PPC_INS_MFBR6 = 783;
+	public static final int PPC_INS_MFBR7 = 784;
+	public static final int PPC_INS_MFXER = 785;
+	public static final int PPC_INS_MFRTCU = 786;
+	public static final int PPC_INS_MFRTCL = 787;
+	public static final int PPC_INS_MFDSCR = 788;
+	public static final int PPC_INS_MFDSISR = 789;
+	public static final int PPC_INS_MFDAR = 790;
+	public static final int PPC_INS_MFSRR2 = 791;
+	public static final int PPC_INS_MFSRR3 = 792;
+	public static final int PPC_INS_MFCFAR = 793;
+	public static final int PPC_INS_MFAMR = 794;
+	public static final int PPC_INS_MFPID = 795;
+	public static final int PPC_INS_MFTBLO = 796;
+	public static final int PPC_INS_MFTBHI = 797;
+	public static final int PPC_INS_MFDBATU = 798;
+	public static final int PPC_INS_MFDBATL = 799;
+	public static final int PPC_INS_MFIBATU = 800;
+	public static final int PPC_INS_MFIBATL = 801;
+	public static final int PPC_INS_MFDCCR = 802;
+	public static final int PPC_INS_MFICCR = 803;
+	public static final int PPC_INS_MFDEAR = 804;
+	public static final int PPC_INS_MFESR = 805;
+	public static final int PPC_INS_MFSPEFSCR = 806;
+	public static final int PPC_INS_MFTCR = 807;
+	public static final int PPC_INS_MFASR = 808;
+	public static final int PPC_INS_MFPVR = 809;
+	public static final int PPC_INS_MFTBU = 810;
+	public static final int PPC_INS_MTCR = 811;
+	public static final int PPC_INS_MTBR0 = 812;
+	public static final int PPC_INS_MTBR1 = 813;
+	public static final int PPC_INS_MTBR2 = 814;
+	public static final int PPC_INS_MTBR3 = 815;
+	public static final int PPC_INS_MTBR4 = 816;
+	public static final int PPC_INS_MTBR5 = 817;
+	public static final int PPC_INS_MTBR6 = 818;
+	public static final int PPC_INS_MTBR7 = 819;
+	public static final int PPC_INS_MTXER = 820;
+	public static final int PPC_INS_MTDSCR = 821;
+	public static final int PPC_INS_MTDSISR = 822;
+	public static final int PPC_INS_MTDAR = 823;
+	public static final int PPC_INS_MTSRR2 = 824;
+	public static final int PPC_INS_MTSRR3 = 825;
+	public static final int PPC_INS_MTCFAR = 826;
+	public static final int PPC_INS_MTAMR = 827;
+	public static final int PPC_INS_MTPID = 828;
+	public static final int PPC_INS_MTTBL = 829;
+	public static final int PPC_INS_MTTBU = 830;
+	public static final int PPC_INS_MTTBLO = 831;
+	public static final int PPC_INS_MTTBHI = 832;
+	public static final int PPC_INS_MTDBATU = 833;
+	public static final int PPC_INS_MTDBATL = 834;
+	public static final int PPC_INS_MTIBATU = 835;
+	public static final int PPC_INS_MTIBATL = 836;
+	public static final int PPC_INS_MTDCCR = 837;
+	public static final int PPC_INS_MTICCR = 838;
+	public static final int PPC_INS_MTDEAR = 839;
+	public static final int PPC_INS_MTESR = 840;
+	public static final int PPC_INS_MTSPEFSCR = 841;
+	public static final int PPC_INS_MTTCR = 842;
+	public static final int PPC_INS_NOT = 843;
+	public static final int PPC_INS_MR = 844;
+	public static final int PPC_INS_ROTLD = 845;
+	public static final int PPC_INS_ROTLDI = 846;
+	public static final int PPC_INS_CLRLDI = 847;
+	public static final int PPC_INS_ROTLWI = 848;
+	public static final int PPC_INS_CLRLWI = 849;
+	public static final int PPC_INS_ROTLW = 850;
+	public static final int PPC_INS_SUB = 851;
+	public static final int PPC_INS_SUBC = 852;
+	public static final int PPC_INS_LWSYNC = 853;
+	public static final int PPC_INS_PTESYNC = 854;
+	public static final int PPC_INS_TDLT = 855;
+	public static final int PPC_INS_TDEQ = 856;
+	public static final int PPC_INS_TDGT = 857;
+	public static final int PPC_INS_TDNE = 858;
+	public static final int PPC_INS_TDLLT = 859;
+	public static final int PPC_INS_TDLGT = 860;
+	public static final int PPC_INS_TDU = 861;
+	public static final int PPC_INS_TDLTI = 862;
+	public static final int PPC_INS_TDEQI = 863;
+	public static final int PPC_INS_TDGTI = 864;
+	public static final int PPC_INS_TDNEI = 865;
+	public static final int PPC_INS_TDLLTI = 866;
+	public static final int PPC_INS_TDLGTI = 867;
+	public static final int PPC_INS_TDUI = 868;
+	public static final int PPC_INS_TLBREHI = 869;
+	public static final int PPC_INS_TLBRELO = 870;
+	public static final int PPC_INS_TLBWEHI = 871;
+	public static final int PPC_INS_TLBWELO = 872;
+	public static final int PPC_INS_TWLT = 873;
+	public static final int PPC_INS_TWEQ = 874;
+	public static final int PPC_INS_TWGT = 875;
+	public static final int PPC_INS_TWNE = 876;
+	public static final int PPC_INS_TWLLT = 877;
+	public static final int PPC_INS_TWLGT = 878;
+	public static final int PPC_INS_TWU = 879;
+	public static final int PPC_INS_TWLTI = 880;
+	public static final int PPC_INS_TWEQI = 881;
+	public static final int PPC_INS_TWGTI = 882;
+	public static final int PPC_INS_TWNEI = 883;
+	public static final int PPC_INS_TWLLTI = 884;
+	public static final int PPC_INS_TWLGTI = 885;
+	public static final int PPC_INS_TWUI = 886;
+	public static final int PPC_INS_WAITRSV = 887;
+	public static final int PPC_INS_WAITIMPL = 888;
+	public static final int PPC_INS_XNOP = 889;
+	public static final int PPC_INS_XVMOVDP = 890;
+	public static final int PPC_INS_XVMOVSP = 891;
+	public static final int PPC_INS_XXSPLTD = 892;
+	public static final int PPC_INS_XXMRGHD = 893;
+	public static final int PPC_INS_XXMRGLD = 894;
+	public static final int PPC_INS_XXSWAPD = 895;
+	public static final int PPC_INS_BT = 896;
+	public static final int PPC_INS_BF = 897;
+	public static final int PPC_INS_BDNZT = 898;
+	public static final int PPC_INS_BDNZF = 899;
+	public static final int PPC_INS_BDZF = 900;
+	public static final int PPC_INS_BDZT = 901;
+	public static final int PPC_INS_BFA = 902;
+	public static final int PPC_INS_BDNZTA = 903;
+	public static final int PPC_INS_BDNZFA = 904;
+	public static final int PPC_INS_BDZTA = 905;
+	public static final int PPC_INS_BDZFA = 906;
+	public static final int PPC_INS_BTCTR = 907;
+	public static final int PPC_INS_BFCTR = 908;
+	public static final int PPC_INS_BTCTRL = 909;
+	public static final int PPC_INS_BFCTRL = 910;
+	public static final int PPC_INS_BTL = 911;
+	public static final int PPC_INS_BFL = 912;
+	public static final int PPC_INS_BDNZTL = 913;
+	public static final int PPC_INS_BDNZFL = 914;
+	public static final int PPC_INS_BDZTL = 915;
+	public static final int PPC_INS_BDZFL = 916;
+	public static final int PPC_INS_BTLA = 917;
+	public static final int PPC_INS_BFLA = 918;
+	public static final int PPC_INS_BDNZTLA = 919;
+	public static final int PPC_INS_BDNZFLA = 920;
+	public static final int PPC_INS_BDZTLA = 921;
+	public static final int PPC_INS_BDZFLA = 922;
+	public static final int PPC_INS_BTLR = 923;
+	public static final int PPC_INS_BFLR = 924;
+	public static final int PPC_INS_BDNZTLR = 925;
+	public static final int PPC_INS_BDZTLR = 926;
+	public static final int PPC_INS_BDZFLR = 927;
+	public static final int PPC_INS_BTLRL = 928;
+	public static final int PPC_INS_BFLRL = 929;
+	public static final int PPC_INS_BDNZTLRL = 930;
+	public static final int PPC_INS_BDNZFLRL = 931;
+	public static final int PPC_INS_BDZTLRL = 932;
+	public static final int PPC_INS_BDZFLRL = 933;
+	public static final int PPC_INS_B_CC = 934;
+	public static final int PPC_INS_BL_CC = 935;
+	public static final int PPC_INS_BLA_CC = 936;
+	public static final int PPC_INS_BLR_CC = 937;
+	public static final int PPC_INS_BLRL_CC = 938;
+	public static final int PPC_INS_BA_CC = 939;
+	public static final int PPC_INS_BCTR_CC = 940;
+	public static final int PPC_INS_BCTRL_CC = 941;
+	public static final int PPC_INS_BNE = 942;
+	public static final int PPC_INS_MAX = 943;
 
 	// Group of PPC instructions
 
@@ -631,6 +1181,11 @@
 	public static final int PPC_GRP_MODE64 = 3;
 	public static final int PPC_GRP_BOOKE = 4;
 	public static final int PPC_GRP_NOTBOOKE = 5;
-	public static final int PPC_GRP_JUMP = 6;
-	public static final int PPC_GRP_MAX = 7;
+	public static final int PPC_GRP_SPE = 6;
+	public static final int PPC_GRP_VSX = 7;
+	public static final int PPC_GRP_E500 = 8;
+	public static final int PPC_GRP_PPC4XX = 9;
+	public static final int PPC_GRP_PPC6XX = 10;
+	public static final int PPC_GRP_JUMP = 11;
+	public static final int PPC_GRP_MAX = 12;
 }
\ No newline at end of file
diff --git a/bindings/java/capstone/Sparc_const.java b/bindings/java/capstone/Sparc_const.java
index 1ffab3a..d7db811 100644
--- a/bindings/java/capstone/Sparc_const.java
+++ b/bindings/java/capstone/Sparc_const.java
@@ -146,7 +146,8 @@
 	public static final int SPARC_REG_O7 = 84;
 	public static final int SPARC_REG_SP = 85;
 	public static final int SPARC_REG_Y = 86;
-	public static final int SPARC_REG_MAX = 87;
+	public static final int SPARC_REG_XCC = 87;
+	public static final int SPARC_REG_MAX = 88;
 	public static final int SPARC_REG_O6 = SPARC_REG_SP;
 	public static final int SPARC_REG_I6 = SPARC_REG_FP;
 
@@ -168,269 +169,269 @@
 	public static final int SPARC_INS_ARRAY16 = 13;
 	public static final int SPARC_INS_ARRAY32 = 14;
 	public static final int SPARC_INS_ARRAY8 = 15;
-	public static final int SPARC_INS_BA = 16;
-	public static final int SPARC_INS_B = 17;
-	public static final int SPARC_INS_JMP = 18;
-	public static final int SPARC_INS_BMASK = 19;
-	public static final int SPARC_INS_FB = 20;
-	public static final int SPARC_INS_BRGEZ = 21;
-	public static final int SPARC_INS_BRGZ = 22;
-	public static final int SPARC_INS_BRLEZ = 23;
-	public static final int SPARC_INS_BRLZ = 24;
-	public static final int SPARC_INS_BRNZ = 25;
-	public static final int SPARC_INS_BRZ = 26;
-	public static final int SPARC_INS_BSHUFFLE = 27;
-	public static final int SPARC_INS_CALL = 28;
-	public static final int SPARC_INS_CASX = 29;
-	public static final int SPARC_INS_CAS = 30;
-	public static final int SPARC_INS_CMASK16 = 31;
-	public static final int SPARC_INS_CMASK32 = 32;
-	public static final int SPARC_INS_CMASK8 = 33;
-	public static final int SPARC_INS_CMP = 34;
-	public static final int SPARC_INS_EDGE16 = 35;
-	public static final int SPARC_INS_EDGE16L = 36;
-	public static final int SPARC_INS_EDGE16LN = 37;
-	public static final int SPARC_INS_EDGE16N = 38;
-	public static final int SPARC_INS_EDGE32 = 39;
-	public static final int SPARC_INS_EDGE32L = 40;
-	public static final int SPARC_INS_EDGE32LN = 41;
-	public static final int SPARC_INS_EDGE32N = 42;
-	public static final int SPARC_INS_EDGE8 = 43;
-	public static final int SPARC_INS_EDGE8L = 44;
-	public static final int SPARC_INS_EDGE8LN = 45;
-	public static final int SPARC_INS_EDGE8N = 46;
-	public static final int SPARC_INS_FABSD = 47;
-	public static final int SPARC_INS_FABSQ = 48;
-	public static final int SPARC_INS_FABSS = 49;
-	public static final int SPARC_INS_FADDD = 50;
-	public static final int SPARC_INS_FADDQ = 51;
-	public static final int SPARC_INS_FADDS = 52;
-	public static final int SPARC_INS_FALIGNDATA = 53;
-	public static final int SPARC_INS_FAND = 54;
-	public static final int SPARC_INS_FANDNOT1 = 55;
-	public static final int SPARC_INS_FANDNOT1S = 56;
-	public static final int SPARC_INS_FANDNOT2 = 57;
-	public static final int SPARC_INS_FANDNOT2S = 58;
-	public static final int SPARC_INS_FANDS = 59;
-	public static final int SPARC_INS_FCHKSM16 = 60;
-	public static final int SPARC_INS_FCMPD = 61;
-	public static final int SPARC_INS_FCMPEQ16 = 62;
-	public static final int SPARC_INS_FCMPEQ32 = 63;
-	public static final int SPARC_INS_FCMPGT16 = 64;
-	public static final int SPARC_INS_FCMPGT32 = 65;
-	public static final int SPARC_INS_FCMPLE16 = 66;
-	public static final int SPARC_INS_FCMPLE32 = 67;
-	public static final int SPARC_INS_FCMPNE16 = 68;
-	public static final int SPARC_INS_FCMPNE32 = 69;
-	public static final int SPARC_INS_FCMPQ = 70;
-	public static final int SPARC_INS_FCMPS = 71;
-	public static final int SPARC_INS_FDIVD = 72;
-	public static final int SPARC_INS_FDIVQ = 73;
-	public static final int SPARC_INS_FDIVS = 74;
-	public static final int SPARC_INS_FDMULQ = 75;
-	public static final int SPARC_INS_FDTOI = 76;
-	public static final int SPARC_INS_FDTOQ = 77;
-	public static final int SPARC_INS_FDTOS = 78;
-	public static final int SPARC_INS_FDTOX = 79;
-	public static final int SPARC_INS_FEXPAND = 80;
-	public static final int SPARC_INS_FHADDD = 81;
-	public static final int SPARC_INS_FHADDS = 82;
-	public static final int SPARC_INS_FHSUBD = 83;
-	public static final int SPARC_INS_FHSUBS = 84;
-	public static final int SPARC_INS_FITOD = 85;
-	public static final int SPARC_INS_FITOQ = 86;
-	public static final int SPARC_INS_FITOS = 87;
-	public static final int SPARC_INS_FLCMPD = 88;
-	public static final int SPARC_INS_FLCMPS = 89;
-	public static final int SPARC_INS_FLUSHW = 90;
-	public static final int SPARC_INS_FMEAN16 = 91;
-	public static final int SPARC_INS_FMOVD = 92;
-	public static final int SPARC_INS_FMOVQ = 93;
-	public static final int SPARC_INS_FMOVRDGEZ = 94;
-	public static final int SPARC_INS_FMOVRQGEZ = 95;
-	public static final int SPARC_INS_FMOVRSGEZ = 96;
-	public static final int SPARC_INS_FMOVRDGZ = 97;
-	public static final int SPARC_INS_FMOVRQGZ = 98;
-	public static final int SPARC_INS_FMOVRSGZ = 99;
-	public static final int SPARC_INS_FMOVRDLEZ = 100;
-	public static final int SPARC_INS_FMOVRQLEZ = 101;
-	public static final int SPARC_INS_FMOVRSLEZ = 102;
-	public static final int SPARC_INS_FMOVRDLZ = 103;
-	public static final int SPARC_INS_FMOVRQLZ = 104;
-	public static final int SPARC_INS_FMOVRSLZ = 105;
-	public static final int SPARC_INS_FMOVRDNZ = 106;
-	public static final int SPARC_INS_FMOVRQNZ = 107;
-	public static final int SPARC_INS_FMOVRSNZ = 108;
-	public static final int SPARC_INS_FMOVRDZ = 109;
-	public static final int SPARC_INS_FMOVRQZ = 110;
-	public static final int SPARC_INS_FMOVRSZ = 111;
-	public static final int SPARC_INS_FMOVS = 112;
-	public static final int SPARC_INS_FMUL8SUX16 = 113;
-	public static final int SPARC_INS_FMUL8ULX16 = 114;
-	public static final int SPARC_INS_FMUL8X16 = 115;
-	public static final int SPARC_INS_FMUL8X16AL = 116;
-	public static final int SPARC_INS_FMUL8X16AU = 117;
-	public static final int SPARC_INS_FMULD = 118;
-	public static final int SPARC_INS_FMULD8SUX16 = 119;
-	public static final int SPARC_INS_FMULD8ULX16 = 120;
-	public static final int SPARC_INS_FMULQ = 121;
-	public static final int SPARC_INS_FMULS = 122;
-	public static final int SPARC_INS_FNADDD = 123;
-	public static final int SPARC_INS_FNADDS = 124;
-	public static final int SPARC_INS_FNAND = 125;
-	public static final int SPARC_INS_FNANDS = 126;
-	public static final int SPARC_INS_FNEGD = 127;
-	public static final int SPARC_INS_FNEGQ = 128;
-	public static final int SPARC_INS_FNEGS = 129;
-	public static final int SPARC_INS_FNHADDD = 130;
-	public static final int SPARC_INS_FNHADDS = 131;
-	public static final int SPARC_INS_FNOR = 132;
-	public static final int SPARC_INS_FNORS = 133;
-	public static final int SPARC_INS_FNOT1 = 134;
-	public static final int SPARC_INS_FNOT1S = 135;
-	public static final int SPARC_INS_FNOT2 = 136;
-	public static final int SPARC_INS_FNOT2S = 137;
-	public static final int SPARC_INS_FONE = 138;
-	public static final int SPARC_INS_FONES = 139;
-	public static final int SPARC_INS_FOR = 140;
-	public static final int SPARC_INS_FORNOT1 = 141;
-	public static final int SPARC_INS_FORNOT1S = 142;
-	public static final int SPARC_INS_FORNOT2 = 143;
-	public static final int SPARC_INS_FORNOT2S = 144;
-	public static final int SPARC_INS_FORS = 145;
-	public static final int SPARC_INS_FPACK16 = 146;
-	public static final int SPARC_INS_FPACK32 = 147;
-	public static final int SPARC_INS_FPACKFIX = 148;
-	public static final int SPARC_INS_FPADD16 = 149;
-	public static final int SPARC_INS_FPADD16S = 150;
-	public static final int SPARC_INS_FPADD32 = 151;
-	public static final int SPARC_INS_FPADD32S = 152;
-	public static final int SPARC_INS_FPADD64 = 153;
-	public static final int SPARC_INS_FPMERGE = 154;
-	public static final int SPARC_INS_FPSUB16 = 155;
-	public static final int SPARC_INS_FPSUB16S = 156;
-	public static final int SPARC_INS_FPSUB32 = 157;
-	public static final int SPARC_INS_FPSUB32S = 158;
-	public static final int SPARC_INS_FQTOD = 159;
-	public static final int SPARC_INS_FQTOI = 160;
-	public static final int SPARC_INS_FQTOS = 161;
-	public static final int SPARC_INS_FQTOX = 162;
-	public static final int SPARC_INS_FSLAS16 = 163;
-	public static final int SPARC_INS_FSLAS32 = 164;
-	public static final int SPARC_INS_FSLL16 = 165;
-	public static final int SPARC_INS_FSLL32 = 166;
-	public static final int SPARC_INS_FSMULD = 167;
-	public static final int SPARC_INS_FSQRTD = 168;
-	public static final int SPARC_INS_FSQRTQ = 169;
-	public static final int SPARC_INS_FSQRTS = 170;
-	public static final int SPARC_INS_FSRA16 = 171;
-	public static final int SPARC_INS_FSRA32 = 172;
-	public static final int SPARC_INS_FSRC1 = 173;
-	public static final int SPARC_INS_FSRC1S = 174;
-	public static final int SPARC_INS_FSRC2 = 175;
-	public static final int SPARC_INS_FSRC2S = 176;
-	public static final int SPARC_INS_FSRL16 = 177;
-	public static final int SPARC_INS_FSRL32 = 178;
-	public static final int SPARC_INS_FSTOD = 179;
-	public static final int SPARC_INS_FSTOI = 180;
-	public static final int SPARC_INS_FSTOQ = 181;
-	public static final int SPARC_INS_FSTOX = 182;
-	public static final int SPARC_INS_FSUBD = 183;
-	public static final int SPARC_INS_FSUBQ = 184;
-	public static final int SPARC_INS_FSUBS = 185;
-	public static final int SPARC_INS_FXNOR = 186;
-	public static final int SPARC_INS_FXNORS = 187;
-	public static final int SPARC_INS_FXOR = 188;
-	public static final int SPARC_INS_FXORS = 189;
-	public static final int SPARC_INS_FXTOD = 190;
-	public static final int SPARC_INS_FXTOQ = 191;
-	public static final int SPARC_INS_FXTOS = 192;
-	public static final int SPARC_INS_FZERO = 193;
-	public static final int SPARC_INS_FZEROS = 194;
-	public static final int SPARC_INS_JMPL = 195;
-	public static final int SPARC_INS_LDD = 196;
-	public static final int SPARC_INS_LD = 197;
-	public static final int SPARC_INS_LDQ = 198;
-	public static final int SPARC_INS_LDSB = 199;
-	public static final int SPARC_INS_LDSH = 200;
-	public static final int SPARC_INS_LDSW = 201;
-	public static final int SPARC_INS_LDUB = 202;
-	public static final int SPARC_INS_LDUH = 203;
-	public static final int SPARC_INS_LDX = 204;
-	public static final int SPARC_INS_LZCNT = 205;
-	public static final int SPARC_INS_MEMBAR = 206;
-	public static final int SPARC_INS_MOVDTOX = 207;
-	public static final int SPARC_INS_MOV = 208;
-	public static final int SPARC_INS_MOVRGEZ = 209;
-	public static final int SPARC_INS_MOVRGZ = 210;
-	public static final int SPARC_INS_MOVRLEZ = 211;
-	public static final int SPARC_INS_MOVRLZ = 212;
-	public static final int SPARC_INS_MOVRNZ = 213;
-	public static final int SPARC_INS_MOVRZ = 214;
-	public static final int SPARC_INS_MOVSTOSW = 215;
-	public static final int SPARC_INS_MOVSTOUW = 216;
-	public static final int SPARC_INS_MULX = 217;
-	public static final int SPARC_INS_NOP = 218;
-	public static final int SPARC_INS_ORCC = 219;
-	public static final int SPARC_INS_ORNCC = 220;
-	public static final int SPARC_INS_ORN = 221;
-	public static final int SPARC_INS_OR = 222;
-	public static final int SPARC_INS_PDIST = 223;
-	public static final int SPARC_INS_PDISTN = 224;
-	public static final int SPARC_INS_POPC = 225;
-	public static final int SPARC_INS_RD = 226;
-	public static final int SPARC_INS_RESTORE = 227;
-	public static final int SPARC_INS_RETT = 228;
-	public static final int SPARC_INS_SAVE = 229;
-	public static final int SPARC_INS_SDIVCC = 230;
-	public static final int SPARC_INS_SDIVX = 231;
-	public static final int SPARC_INS_SDIV = 232;
-	public static final int SPARC_INS_SETHI = 233;
-	public static final int SPARC_INS_SHUTDOWN = 234;
-	public static final int SPARC_INS_SIAM = 235;
-	public static final int SPARC_INS_SLLX = 236;
-	public static final int SPARC_INS_SLL = 237;
-	public static final int SPARC_INS_SMULCC = 238;
-	public static final int SPARC_INS_SMUL = 239;
-	public static final int SPARC_INS_SRAX = 240;
-	public static final int SPARC_INS_SRA = 241;
-	public static final int SPARC_INS_SRLX = 242;
-	public static final int SPARC_INS_SRL = 243;
-	public static final int SPARC_INS_STBAR = 244;
-	public static final int SPARC_INS_STB = 245;
-	public static final int SPARC_INS_STD = 246;
-	public static final int SPARC_INS_ST = 247;
-	public static final int SPARC_INS_STH = 248;
-	public static final int SPARC_INS_STQ = 249;
-	public static final int SPARC_INS_STX = 250;
-	public static final int SPARC_INS_SUBCC = 251;
-	public static final int SPARC_INS_SUBX = 252;
-	public static final int SPARC_INS_SUBXCC = 253;
-	public static final int SPARC_INS_SUB = 254;
-	public static final int SPARC_INS_SWAP = 255;
-	public static final int SPARC_INS_TA = 256;
-	public static final int SPARC_INS_TADDCCTV = 257;
-	public static final int SPARC_INS_TADDCC = 258;
-	public static final int SPARC_INS_T = 259;
-	public static final int SPARC_INS_TSUBCCTV = 260;
-	public static final int SPARC_INS_TSUBCC = 261;
-	public static final int SPARC_INS_UDIVCC = 262;
-	public static final int SPARC_INS_UDIVX = 263;
-	public static final int SPARC_INS_UDIV = 264;
-	public static final int SPARC_INS_UMULCC = 265;
-	public static final int SPARC_INS_UMULXHI = 266;
-	public static final int SPARC_INS_UMUL = 267;
-	public static final int SPARC_INS_UNIMP = 268;
-	public static final int SPARC_INS_FCMPED = 269;
-	public static final int SPARC_INS_FCMPEQ = 270;
-	public static final int SPARC_INS_FCMPES = 271;
-	public static final int SPARC_INS_WR = 272;
-	public static final int SPARC_INS_XMULX = 273;
-	public static final int SPARC_INS_XMULXHI = 274;
-	public static final int SPARC_INS_XNORCC = 275;
-	public static final int SPARC_INS_XNOR = 276;
-	public static final int SPARC_INS_XORCC = 277;
-	public static final int SPARC_INS_XOR = 278;
+	public static final int SPARC_INS_B = 16;
+	public static final int SPARC_INS_JMP = 17;
+	public static final int SPARC_INS_BMASK = 18;
+	public static final int SPARC_INS_FB = 19;
+	public static final int SPARC_INS_BRGEZ = 20;
+	public static final int SPARC_INS_BRGZ = 21;
+	public static final int SPARC_INS_BRLEZ = 22;
+	public static final int SPARC_INS_BRLZ = 23;
+	public static final int SPARC_INS_BRNZ = 24;
+	public static final int SPARC_INS_BRZ = 25;
+	public static final int SPARC_INS_BSHUFFLE = 26;
+	public static final int SPARC_INS_CALL = 27;
+	public static final int SPARC_INS_CASX = 28;
+	public static final int SPARC_INS_CAS = 29;
+	public static final int SPARC_INS_CMASK16 = 30;
+	public static final int SPARC_INS_CMASK32 = 31;
+	public static final int SPARC_INS_CMASK8 = 32;
+	public static final int SPARC_INS_CMP = 33;
+	public static final int SPARC_INS_EDGE16 = 34;
+	public static final int SPARC_INS_EDGE16L = 35;
+	public static final int SPARC_INS_EDGE16LN = 36;
+	public static final int SPARC_INS_EDGE16N = 37;
+	public static final int SPARC_INS_EDGE32 = 38;
+	public static final int SPARC_INS_EDGE32L = 39;
+	public static final int SPARC_INS_EDGE32LN = 40;
+	public static final int SPARC_INS_EDGE32N = 41;
+	public static final int SPARC_INS_EDGE8 = 42;
+	public static final int SPARC_INS_EDGE8L = 43;
+	public static final int SPARC_INS_EDGE8LN = 44;
+	public static final int SPARC_INS_EDGE8N = 45;
+	public static final int SPARC_INS_FABSD = 46;
+	public static final int SPARC_INS_FABSQ = 47;
+	public static final int SPARC_INS_FABSS = 48;
+	public static final int SPARC_INS_FADDD = 49;
+	public static final int SPARC_INS_FADDQ = 50;
+	public static final int SPARC_INS_FADDS = 51;
+	public static final int SPARC_INS_FALIGNDATA = 52;
+	public static final int SPARC_INS_FAND = 53;
+	public static final int SPARC_INS_FANDNOT1 = 54;
+	public static final int SPARC_INS_FANDNOT1S = 55;
+	public static final int SPARC_INS_FANDNOT2 = 56;
+	public static final int SPARC_INS_FANDNOT2S = 57;
+	public static final int SPARC_INS_FANDS = 58;
+	public static final int SPARC_INS_FCHKSM16 = 59;
+	public static final int SPARC_INS_FCMPD = 60;
+	public static final int SPARC_INS_FCMPEQ16 = 61;
+	public static final int SPARC_INS_FCMPEQ32 = 62;
+	public static final int SPARC_INS_FCMPGT16 = 63;
+	public static final int SPARC_INS_FCMPGT32 = 64;
+	public static final int SPARC_INS_FCMPLE16 = 65;
+	public static final int SPARC_INS_FCMPLE32 = 66;
+	public static final int SPARC_INS_FCMPNE16 = 67;
+	public static final int SPARC_INS_FCMPNE32 = 68;
+	public static final int SPARC_INS_FCMPQ = 69;
+	public static final int SPARC_INS_FCMPS = 70;
+	public static final int SPARC_INS_FDIVD = 71;
+	public static final int SPARC_INS_FDIVQ = 72;
+	public static final int SPARC_INS_FDIVS = 73;
+	public static final int SPARC_INS_FDMULQ = 74;
+	public static final int SPARC_INS_FDTOI = 75;
+	public static final int SPARC_INS_FDTOQ = 76;
+	public static final int SPARC_INS_FDTOS = 77;
+	public static final int SPARC_INS_FDTOX = 78;
+	public static final int SPARC_INS_FEXPAND = 79;
+	public static final int SPARC_INS_FHADDD = 80;
+	public static final int SPARC_INS_FHADDS = 81;
+	public static final int SPARC_INS_FHSUBD = 82;
+	public static final int SPARC_INS_FHSUBS = 83;
+	public static final int SPARC_INS_FITOD = 84;
+	public static final int SPARC_INS_FITOQ = 85;
+	public static final int SPARC_INS_FITOS = 86;
+	public static final int SPARC_INS_FLCMPD = 87;
+	public static final int SPARC_INS_FLCMPS = 88;
+	public static final int SPARC_INS_FLUSHW = 89;
+	public static final int SPARC_INS_FMEAN16 = 90;
+	public static final int SPARC_INS_FMOVD = 91;
+	public static final int SPARC_INS_FMOVQ = 92;
+	public static final int SPARC_INS_FMOVRDGEZ = 93;
+	public static final int SPARC_INS_FMOVRQGEZ = 94;
+	public static final int SPARC_INS_FMOVRSGEZ = 95;
+	public static final int SPARC_INS_FMOVRDGZ = 96;
+	public static final int SPARC_INS_FMOVRQGZ = 97;
+	public static final int SPARC_INS_FMOVRSGZ = 98;
+	public static final int SPARC_INS_FMOVRDLEZ = 99;
+	public static final int SPARC_INS_FMOVRQLEZ = 100;
+	public static final int SPARC_INS_FMOVRSLEZ = 101;
+	public static final int SPARC_INS_FMOVRDLZ = 102;
+	public static final int SPARC_INS_FMOVRQLZ = 103;
+	public static final int SPARC_INS_FMOVRSLZ = 104;
+	public static final int SPARC_INS_FMOVRDNZ = 105;
+	public static final int SPARC_INS_FMOVRQNZ = 106;
+	public static final int SPARC_INS_FMOVRSNZ = 107;
+	public static final int SPARC_INS_FMOVRDZ = 108;
+	public static final int SPARC_INS_FMOVRQZ = 109;
+	public static final int SPARC_INS_FMOVRSZ = 110;
+	public static final int SPARC_INS_FMOVS = 111;
+	public static final int SPARC_INS_FMUL8SUX16 = 112;
+	public static final int SPARC_INS_FMUL8ULX16 = 113;
+	public static final int SPARC_INS_FMUL8X16 = 114;
+	public static final int SPARC_INS_FMUL8X16AL = 115;
+	public static final int SPARC_INS_FMUL8X16AU = 116;
+	public static final int SPARC_INS_FMULD = 117;
+	public static final int SPARC_INS_FMULD8SUX16 = 118;
+	public static final int SPARC_INS_FMULD8ULX16 = 119;
+	public static final int SPARC_INS_FMULQ = 120;
+	public static final int SPARC_INS_FMULS = 121;
+	public static final int SPARC_INS_FNADDD = 122;
+	public static final int SPARC_INS_FNADDS = 123;
+	public static final int SPARC_INS_FNAND = 124;
+	public static final int SPARC_INS_FNANDS = 125;
+	public static final int SPARC_INS_FNEGD = 126;
+	public static final int SPARC_INS_FNEGQ = 127;
+	public static final int SPARC_INS_FNEGS = 128;
+	public static final int SPARC_INS_FNHADDD = 129;
+	public static final int SPARC_INS_FNHADDS = 130;
+	public static final int SPARC_INS_FNOR = 131;
+	public static final int SPARC_INS_FNORS = 132;
+	public static final int SPARC_INS_FNOT1 = 133;
+	public static final int SPARC_INS_FNOT1S = 134;
+	public static final int SPARC_INS_FNOT2 = 135;
+	public static final int SPARC_INS_FNOT2S = 136;
+	public static final int SPARC_INS_FONE = 137;
+	public static final int SPARC_INS_FONES = 138;
+	public static final int SPARC_INS_FOR = 139;
+	public static final int SPARC_INS_FORNOT1 = 140;
+	public static final int SPARC_INS_FORNOT1S = 141;
+	public static final int SPARC_INS_FORNOT2 = 142;
+	public static final int SPARC_INS_FORNOT2S = 143;
+	public static final int SPARC_INS_FORS = 144;
+	public static final int SPARC_INS_FPACK16 = 145;
+	public static final int SPARC_INS_FPACK32 = 146;
+	public static final int SPARC_INS_FPACKFIX = 147;
+	public static final int SPARC_INS_FPADD16 = 148;
+	public static final int SPARC_INS_FPADD16S = 149;
+	public static final int SPARC_INS_FPADD32 = 150;
+	public static final int SPARC_INS_FPADD32S = 151;
+	public static final int SPARC_INS_FPADD64 = 152;
+	public static final int SPARC_INS_FPMERGE = 153;
+	public static final int SPARC_INS_FPSUB16 = 154;
+	public static final int SPARC_INS_FPSUB16S = 155;
+	public static final int SPARC_INS_FPSUB32 = 156;
+	public static final int SPARC_INS_FPSUB32S = 157;
+	public static final int SPARC_INS_FQTOD = 158;
+	public static final int SPARC_INS_FQTOI = 159;
+	public static final int SPARC_INS_FQTOS = 160;
+	public static final int SPARC_INS_FQTOX = 161;
+	public static final int SPARC_INS_FSLAS16 = 162;
+	public static final int SPARC_INS_FSLAS32 = 163;
+	public static final int SPARC_INS_FSLL16 = 164;
+	public static final int SPARC_INS_FSLL32 = 165;
+	public static final int SPARC_INS_FSMULD = 166;
+	public static final int SPARC_INS_FSQRTD = 167;
+	public static final int SPARC_INS_FSQRTQ = 168;
+	public static final int SPARC_INS_FSQRTS = 169;
+	public static final int SPARC_INS_FSRA16 = 170;
+	public static final int SPARC_INS_FSRA32 = 171;
+	public static final int SPARC_INS_FSRC1 = 172;
+	public static final int SPARC_INS_FSRC1S = 173;
+	public static final int SPARC_INS_FSRC2 = 174;
+	public static final int SPARC_INS_FSRC2S = 175;
+	public static final int SPARC_INS_FSRL16 = 176;
+	public static final int SPARC_INS_FSRL32 = 177;
+	public static final int SPARC_INS_FSTOD = 178;
+	public static final int SPARC_INS_FSTOI = 179;
+	public static final int SPARC_INS_FSTOQ = 180;
+	public static final int SPARC_INS_FSTOX = 181;
+	public static final int SPARC_INS_FSUBD = 182;
+	public static final int SPARC_INS_FSUBQ = 183;
+	public static final int SPARC_INS_FSUBS = 184;
+	public static final int SPARC_INS_FXNOR = 185;
+	public static final int SPARC_INS_FXNORS = 186;
+	public static final int SPARC_INS_FXOR = 187;
+	public static final int SPARC_INS_FXORS = 188;
+	public static final int SPARC_INS_FXTOD = 189;
+	public static final int SPARC_INS_FXTOQ = 190;
+	public static final int SPARC_INS_FXTOS = 191;
+	public static final int SPARC_INS_FZERO = 192;
+	public static final int SPARC_INS_FZEROS = 193;
+	public static final int SPARC_INS_JMPL = 194;
+	public static final int SPARC_INS_LDD = 195;
+	public static final int SPARC_INS_LD = 196;
+	public static final int SPARC_INS_LDQ = 197;
+	public static final int SPARC_INS_LDSB = 198;
+	public static final int SPARC_INS_LDSH = 199;
+	public static final int SPARC_INS_LDSW = 200;
+	public static final int SPARC_INS_LDUB = 201;
+	public static final int SPARC_INS_LDUH = 202;
+	public static final int SPARC_INS_LDX = 203;
+	public static final int SPARC_INS_LZCNT = 204;
+	public static final int SPARC_INS_MEMBAR = 205;
+	public static final int SPARC_INS_MOVDTOX = 206;
+	public static final int SPARC_INS_MOV = 207;
+	public static final int SPARC_INS_MOVRGEZ = 208;
+	public static final int SPARC_INS_MOVRGZ = 209;
+	public static final int SPARC_INS_MOVRLEZ = 210;
+	public static final int SPARC_INS_MOVRLZ = 211;
+	public static final int SPARC_INS_MOVRNZ = 212;
+	public static final int SPARC_INS_MOVRZ = 213;
+	public static final int SPARC_INS_MOVSTOSW = 214;
+	public static final int SPARC_INS_MOVSTOUW = 215;
+	public static final int SPARC_INS_MULX = 216;
+	public static final int SPARC_INS_NOP = 217;
+	public static final int SPARC_INS_ORCC = 218;
+	public static final int SPARC_INS_ORNCC = 219;
+	public static final int SPARC_INS_ORN = 220;
+	public static final int SPARC_INS_OR = 221;
+	public static final int SPARC_INS_PDIST = 222;
+	public static final int SPARC_INS_PDISTN = 223;
+	public static final int SPARC_INS_POPC = 224;
+	public static final int SPARC_INS_RD = 225;
+	public static final int SPARC_INS_RESTORE = 226;
+	public static final int SPARC_INS_RETT = 227;
+	public static final int SPARC_INS_SAVE = 228;
+	public static final int SPARC_INS_SDIVCC = 229;
+	public static final int SPARC_INS_SDIVX = 230;
+	public static final int SPARC_INS_SDIV = 231;
+	public static final int SPARC_INS_SETHI = 232;
+	public static final int SPARC_INS_SHUTDOWN = 233;
+	public static final int SPARC_INS_SIAM = 234;
+	public static final int SPARC_INS_SLLX = 235;
+	public static final int SPARC_INS_SLL = 236;
+	public static final int SPARC_INS_SMULCC = 237;
+	public static final int SPARC_INS_SMUL = 238;
+	public static final int SPARC_INS_SRAX = 239;
+	public static final int SPARC_INS_SRA = 240;
+	public static final int SPARC_INS_SRLX = 241;
+	public static final int SPARC_INS_SRL = 242;
+	public static final int SPARC_INS_STBAR = 243;
+	public static final int SPARC_INS_STB = 244;
+	public static final int SPARC_INS_STD = 245;
+	public static final int SPARC_INS_ST = 246;
+	public static final int SPARC_INS_STH = 247;
+	public static final int SPARC_INS_STQ = 248;
+	public static final int SPARC_INS_STX = 249;
+	public static final int SPARC_INS_SUBCC = 250;
+	public static final int SPARC_INS_SUBX = 251;
+	public static final int SPARC_INS_SUBXCC = 252;
+	public static final int SPARC_INS_SUB = 253;
+	public static final int SPARC_INS_SWAP = 254;
+	public static final int SPARC_INS_TADDCCTV = 255;
+	public static final int SPARC_INS_TADDCC = 256;
+	public static final int SPARC_INS_T = 257;
+	public static final int SPARC_INS_TSUBCCTV = 258;
+	public static final int SPARC_INS_TSUBCC = 259;
+	public static final int SPARC_INS_UDIVCC = 260;
+	public static final int SPARC_INS_UDIVX = 261;
+	public static final int SPARC_INS_UDIV = 262;
+	public static final int SPARC_INS_UMULCC = 263;
+	public static final int SPARC_INS_UMULXHI = 264;
+	public static final int SPARC_INS_UMUL = 265;
+	public static final int SPARC_INS_UNIMP = 266;
+	public static final int SPARC_INS_FCMPED = 267;
+	public static final int SPARC_INS_FCMPEQ = 268;
+	public static final int SPARC_INS_FCMPES = 269;
+	public static final int SPARC_INS_WR = 270;
+	public static final int SPARC_INS_XMULX = 271;
+	public static final int SPARC_INS_XMULXHI = 272;
+	public static final int SPARC_INS_XNORCC = 273;
+	public static final int SPARC_INS_XNOR = 274;
+	public static final int SPARC_INS_XORCC = 275;
+	public static final int SPARC_INS_XOR = 276;
+	public static final int SPARC_INS_RET = 277;
+	public static final int SPARC_INS_RETL = 278;
 	public static final int SPARC_INS_MAX = 279;
 
 	// Group of SPARC instructions
diff --git a/bindings/java/capstone/Sysz_const.java b/bindings/java/capstone/Sysz_const.java
index 93c4dbe..de38612 100644
--- a/bindings/java/capstone/Sysz_const.java
+++ b/bindings/java/capstone/Sysz_const.java
@@ -499,266 +499,269 @@
 	public static final int SYSZ_INS_LDGR = 426;
 	public static final int SYSZ_INS_LDR = 427;
 	public static final int SYSZ_INS_LDXBR = 428;
-	public static final int SYSZ_INS_LDY = 429;
-	public static final int SYSZ_INS_LE = 430;
-	public static final int SYSZ_INS_LEDBR = 431;
-	public static final int SYSZ_INS_LER = 432;
-	public static final int SYSZ_INS_LEXBR = 433;
-	public static final int SYSZ_INS_LEY = 434;
-	public static final int SYSZ_INS_LFH = 435;
-	public static final int SYSZ_INS_LG = 436;
-	public static final int SYSZ_INS_LGB = 437;
-	public static final int SYSZ_INS_LGBR = 438;
-	public static final int SYSZ_INS_LGDR = 439;
-	public static final int SYSZ_INS_LGF = 440;
-	public static final int SYSZ_INS_LGFI = 441;
-	public static final int SYSZ_INS_LGFR = 442;
-	public static final int SYSZ_INS_LGFRL = 443;
-	public static final int SYSZ_INS_LGH = 444;
-	public static final int SYSZ_INS_LGHI = 445;
-	public static final int SYSZ_INS_LGHR = 446;
-	public static final int SYSZ_INS_LGHRL = 447;
-	public static final int SYSZ_INS_LGR = 448;
-	public static final int SYSZ_INS_LGRL = 449;
-	public static final int SYSZ_INS_LH = 450;
-	public static final int SYSZ_INS_LHH = 451;
-	public static final int SYSZ_INS_LHI = 452;
-	public static final int SYSZ_INS_LHR = 453;
-	public static final int SYSZ_INS_LHRL = 454;
-	public static final int SYSZ_INS_LHY = 455;
-	public static final int SYSZ_INS_LLC = 456;
-	public static final int SYSZ_INS_LLCH = 457;
-	public static final int SYSZ_INS_LLCR = 458;
-	public static final int SYSZ_INS_LLGC = 459;
-	public static final int SYSZ_INS_LLGCR = 460;
-	public static final int SYSZ_INS_LLGF = 461;
-	public static final int SYSZ_INS_LLGFR = 462;
-	public static final int SYSZ_INS_LLGFRL = 463;
-	public static final int SYSZ_INS_LLGH = 464;
-	public static final int SYSZ_INS_LLGHR = 465;
-	public static final int SYSZ_INS_LLGHRL = 466;
-	public static final int SYSZ_INS_LLH = 467;
-	public static final int SYSZ_INS_LLHH = 468;
-	public static final int SYSZ_INS_LLHR = 469;
-	public static final int SYSZ_INS_LLHRL = 470;
-	public static final int SYSZ_INS_LLIHF = 471;
-	public static final int SYSZ_INS_LLIHH = 472;
-	public static final int SYSZ_INS_LLIHL = 473;
-	public static final int SYSZ_INS_LLILF = 474;
-	public static final int SYSZ_INS_LLILH = 475;
-	public static final int SYSZ_INS_LLILL = 476;
-	public static final int SYSZ_INS_LMG = 477;
-	public static final int SYSZ_INS_LNDBR = 478;
-	public static final int SYSZ_INS_LNEBR = 479;
-	public static final int SYSZ_INS_LNGFR = 480;
-	public static final int SYSZ_INS_LNGR = 481;
-	public static final int SYSZ_INS_LNR = 482;
-	public static final int SYSZ_INS_LNXBR = 483;
-	public static final int SYSZ_INS_LPDBR = 484;
-	public static final int SYSZ_INS_LPEBR = 485;
-	public static final int SYSZ_INS_LPGFR = 486;
-	public static final int SYSZ_INS_LPGR = 487;
-	public static final int SYSZ_INS_LPR = 488;
-	public static final int SYSZ_INS_LPXBR = 489;
-	public static final int SYSZ_INS_LR = 490;
-	public static final int SYSZ_INS_LRL = 491;
-	public static final int SYSZ_INS_LRV = 492;
-	public static final int SYSZ_INS_LRVG = 493;
-	public static final int SYSZ_INS_LRVGR = 494;
-	public static final int SYSZ_INS_LRVR = 495;
-	public static final int SYSZ_INS_LT = 496;
-	public static final int SYSZ_INS_LTDBR = 497;
-	public static final int SYSZ_INS_LTEBR = 498;
-	public static final int SYSZ_INS_LTG = 499;
-	public static final int SYSZ_INS_LTGF = 500;
-	public static final int SYSZ_INS_LTGFR = 501;
-	public static final int SYSZ_INS_LTGR = 502;
-	public static final int SYSZ_INS_LTR = 503;
-	public static final int SYSZ_INS_LTXBR = 504;
-	public static final int SYSZ_INS_LXDB = 505;
-	public static final int SYSZ_INS_LXDBR = 506;
-	public static final int SYSZ_INS_LXEB = 507;
-	public static final int SYSZ_INS_LXEBR = 508;
-	public static final int SYSZ_INS_LXR = 509;
-	public static final int SYSZ_INS_LY = 510;
-	public static final int SYSZ_INS_LZDR = 511;
-	public static final int SYSZ_INS_LZER = 512;
-	public static final int SYSZ_INS_LZXR = 513;
-	public static final int SYSZ_INS_MADB = 514;
-	public static final int SYSZ_INS_MADBR = 515;
-	public static final int SYSZ_INS_MAEB = 516;
-	public static final int SYSZ_INS_MAEBR = 517;
-	public static final int SYSZ_INS_MDB = 518;
-	public static final int SYSZ_INS_MDBR = 519;
-	public static final int SYSZ_INS_MDEB = 520;
-	public static final int SYSZ_INS_MDEBR = 521;
-	public static final int SYSZ_INS_MEEB = 522;
-	public static final int SYSZ_INS_MEEBR = 523;
-	public static final int SYSZ_INS_MGHI = 524;
-	public static final int SYSZ_INS_MH = 525;
-	public static final int SYSZ_INS_MHI = 526;
-	public static final int SYSZ_INS_MHY = 527;
-	public static final int SYSZ_INS_MLG = 528;
-	public static final int SYSZ_INS_MLGR = 529;
-	public static final int SYSZ_INS_MS = 530;
-	public static final int SYSZ_INS_MSDB = 531;
-	public static final int SYSZ_INS_MSDBR = 532;
-	public static final int SYSZ_INS_MSEB = 533;
-	public static final int SYSZ_INS_MSEBR = 534;
-	public static final int SYSZ_INS_MSFI = 535;
-	public static final int SYSZ_INS_MSG = 536;
-	public static final int SYSZ_INS_MSGF = 537;
-	public static final int SYSZ_INS_MSGFI = 538;
-	public static final int SYSZ_INS_MSGFR = 539;
-	public static final int SYSZ_INS_MSGR = 540;
-	public static final int SYSZ_INS_MSR = 541;
-	public static final int SYSZ_INS_MSY = 542;
-	public static final int SYSZ_INS_MVC = 543;
-	public static final int SYSZ_INS_MVGHI = 544;
-	public static final int SYSZ_INS_MVHHI = 545;
-	public static final int SYSZ_INS_MVHI = 546;
-	public static final int SYSZ_INS_MVI = 547;
-	public static final int SYSZ_INS_MVIY = 548;
-	public static final int SYSZ_INS_MVST = 549;
-	public static final int SYSZ_INS_MXBR = 550;
-	public static final int SYSZ_INS_MXDB = 551;
-	public static final int SYSZ_INS_MXDBR = 552;
-	public static final int SYSZ_INS_N = 553;
-	public static final int SYSZ_INS_NC = 554;
-	public static final int SYSZ_INS_NG = 555;
-	public static final int SYSZ_INS_NGR = 556;
-	public static final int SYSZ_INS_NGRK = 557;
-	public static final int SYSZ_INS_NI = 558;
-	public static final int SYSZ_INS_NIHF = 559;
-	public static final int SYSZ_INS_NIHH = 560;
-	public static final int SYSZ_INS_NIHL = 561;
-	public static final int SYSZ_INS_NILF = 562;
-	public static final int SYSZ_INS_NILH = 563;
-	public static final int SYSZ_INS_NILL = 564;
-	public static final int SYSZ_INS_NIY = 565;
-	public static final int SYSZ_INS_NR = 566;
-	public static final int SYSZ_INS_NRK = 567;
-	public static final int SYSZ_INS_NY = 568;
-	public static final int SYSZ_INS_O = 569;
-	public static final int SYSZ_INS_OC = 570;
-	public static final int SYSZ_INS_OG = 571;
-	public static final int SYSZ_INS_OGR = 572;
-	public static final int SYSZ_INS_OGRK = 573;
-	public static final int SYSZ_INS_OI = 574;
-	public static final int SYSZ_INS_OIHF = 575;
-	public static final int SYSZ_INS_OIHH = 576;
-	public static final int SYSZ_INS_OIHL = 577;
-	public static final int SYSZ_INS_OILF = 578;
-	public static final int SYSZ_INS_OILH = 579;
-	public static final int SYSZ_INS_OILL = 580;
-	public static final int SYSZ_INS_OIY = 581;
-	public static final int SYSZ_INS_OR = 582;
-	public static final int SYSZ_INS_ORK = 583;
-	public static final int SYSZ_INS_OY = 584;
-	public static final int SYSZ_INS_PFD = 585;
-	public static final int SYSZ_INS_PFDRL = 586;
-	public static final int SYSZ_INS_RISBG = 587;
-	public static final int SYSZ_INS_RISBHG = 588;
-	public static final int SYSZ_INS_RISBLG = 589;
-	public static final int SYSZ_INS_RLL = 590;
-	public static final int SYSZ_INS_RLLG = 591;
-	public static final int SYSZ_INS_RNSBG = 592;
-	public static final int SYSZ_INS_ROSBG = 593;
-	public static final int SYSZ_INS_RXSBG = 594;
-	public static final int SYSZ_INS_S = 595;
-	public static final int SYSZ_INS_SDB = 596;
-	public static final int SYSZ_INS_SDBR = 597;
-	public static final int SYSZ_INS_SEB = 598;
-	public static final int SYSZ_INS_SEBR = 599;
-	public static final int SYSZ_INS_SG = 600;
-	public static final int SYSZ_INS_SGF = 601;
-	public static final int SYSZ_INS_SGFR = 602;
-	public static final int SYSZ_INS_SGR = 603;
-	public static final int SYSZ_INS_SGRK = 604;
-	public static final int SYSZ_INS_SH = 605;
-	public static final int SYSZ_INS_SHY = 606;
-	public static final int SYSZ_INS_SL = 607;
-	public static final int SYSZ_INS_SLB = 608;
-	public static final int SYSZ_INS_SLBG = 609;
-	public static final int SYSZ_INS_SLBR = 610;
-	public static final int SYSZ_INS_SLFI = 611;
-	public static final int SYSZ_INS_SLG = 612;
-	public static final int SYSZ_INS_SLBGR = 613;
-	public static final int SYSZ_INS_SLGF = 614;
-	public static final int SYSZ_INS_SLGFI = 615;
-	public static final int SYSZ_INS_SLGFR = 616;
-	public static final int SYSZ_INS_SLGR = 617;
-	public static final int SYSZ_INS_SLGRK = 618;
-	public static final int SYSZ_INS_SLL = 619;
-	public static final int SYSZ_INS_SLLG = 620;
-	public static final int SYSZ_INS_SLLK = 621;
-	public static final int SYSZ_INS_SLR = 622;
-	public static final int SYSZ_INS_SLRK = 623;
-	public static final int SYSZ_INS_SLY = 624;
-	public static final int SYSZ_INS_SQDB = 625;
-	public static final int SYSZ_INS_SQDBR = 626;
-	public static final int SYSZ_INS_SQEB = 627;
-	public static final int SYSZ_INS_SQEBR = 628;
-	public static final int SYSZ_INS_SQXBR = 629;
-	public static final int SYSZ_INS_SR = 630;
-	public static final int SYSZ_INS_SRA = 631;
-	public static final int SYSZ_INS_SRAG = 632;
-	public static final int SYSZ_INS_SRAK = 633;
-	public static final int SYSZ_INS_SRK = 634;
-	public static final int SYSZ_INS_SRL = 635;
-	public static final int SYSZ_INS_SRLG = 636;
-	public static final int SYSZ_INS_SRLK = 637;
-	public static final int SYSZ_INS_SRST = 638;
-	public static final int SYSZ_INS_ST = 639;
-	public static final int SYSZ_INS_STC = 640;
-	public static final int SYSZ_INS_STCH = 641;
-	public static final int SYSZ_INS_STCY = 642;
-	public static final int SYSZ_INS_STD = 643;
-	public static final int SYSZ_INS_STDY = 644;
-	public static final int SYSZ_INS_STE = 645;
-	public static final int SYSZ_INS_STEY = 646;
-	public static final int SYSZ_INS_STFH = 647;
-	public static final int SYSZ_INS_STG = 648;
-	public static final int SYSZ_INS_STGRL = 649;
-	public static final int SYSZ_INS_STH = 650;
-	public static final int SYSZ_INS_STHH = 651;
-	public static final int SYSZ_INS_STHRL = 652;
-	public static final int SYSZ_INS_STHY = 653;
-	public static final int SYSZ_INS_STMG = 654;
-	public static final int SYSZ_INS_STRL = 655;
-	public static final int SYSZ_INS_STRV = 656;
-	public static final int SYSZ_INS_STRVG = 657;
-	public static final int SYSZ_INS_STY = 658;
-	public static final int SYSZ_INS_SXBR = 659;
-	public static final int SYSZ_INS_SY = 660;
-	public static final int SYSZ_INS_TM = 661;
-	public static final int SYSZ_INS_TMHH = 662;
-	public static final int SYSZ_INS_TMHL = 663;
-	public static final int SYSZ_INS_TMLH = 664;
-	public static final int SYSZ_INS_TMLL = 665;
-	public static final int SYSZ_INS_TMY = 666;
-	public static final int SYSZ_INS_X = 667;
-	public static final int SYSZ_INS_XC = 668;
-	public static final int SYSZ_INS_XG = 669;
-	public static final int SYSZ_INS_XGR = 670;
-	public static final int SYSZ_INS_XGRK = 671;
-	public static final int SYSZ_INS_XI = 672;
-	public static final int SYSZ_INS_XIHF = 673;
-	public static final int SYSZ_INS_XILF = 674;
-	public static final int SYSZ_INS_XIY = 675;
-	public static final int SYSZ_INS_XR = 676;
-	public static final int SYSZ_INS_XRK = 677;
-	public static final int SYSZ_INS_XY = 678;
-	public static final int SYSZ_INS_MAX = 679;
+	public static final int SYSZ_INS_LDXBRA = 429;
+	public static final int SYSZ_INS_LDY = 430;
+	public static final int SYSZ_INS_LE = 431;
+	public static final int SYSZ_INS_LEDBR = 432;
+	public static final int SYSZ_INS_LEDBRA = 433;
+	public static final int SYSZ_INS_LER = 434;
+	public static final int SYSZ_INS_LEXBR = 435;
+	public static final int SYSZ_INS_LEXBRA = 436;
+	public static final int SYSZ_INS_LEY = 437;
+	public static final int SYSZ_INS_LFH = 438;
+	public static final int SYSZ_INS_LG = 439;
+	public static final int SYSZ_INS_LGB = 440;
+	public static final int SYSZ_INS_LGBR = 441;
+	public static final int SYSZ_INS_LGDR = 442;
+	public static final int SYSZ_INS_LGF = 443;
+	public static final int SYSZ_INS_LGFI = 444;
+	public static final int SYSZ_INS_LGFR = 445;
+	public static final int SYSZ_INS_LGFRL = 446;
+	public static final int SYSZ_INS_LGH = 447;
+	public static final int SYSZ_INS_LGHI = 448;
+	public static final int SYSZ_INS_LGHR = 449;
+	public static final int SYSZ_INS_LGHRL = 450;
+	public static final int SYSZ_INS_LGR = 451;
+	public static final int SYSZ_INS_LGRL = 452;
+	public static final int SYSZ_INS_LH = 453;
+	public static final int SYSZ_INS_LHH = 454;
+	public static final int SYSZ_INS_LHI = 455;
+	public static final int SYSZ_INS_LHR = 456;
+	public static final int SYSZ_INS_LHRL = 457;
+	public static final int SYSZ_INS_LHY = 458;
+	public static final int SYSZ_INS_LLC = 459;
+	public static final int SYSZ_INS_LLCH = 460;
+	public static final int SYSZ_INS_LLCR = 461;
+	public static final int SYSZ_INS_LLGC = 462;
+	public static final int SYSZ_INS_LLGCR = 463;
+	public static final int SYSZ_INS_LLGF = 464;
+	public static final int SYSZ_INS_LLGFR = 465;
+	public static final int SYSZ_INS_LLGFRL = 466;
+	public static final int SYSZ_INS_LLGH = 467;
+	public static final int SYSZ_INS_LLGHR = 468;
+	public static final int SYSZ_INS_LLGHRL = 469;
+	public static final int SYSZ_INS_LLH = 470;
+	public static final int SYSZ_INS_LLHH = 471;
+	public static final int SYSZ_INS_LLHR = 472;
+	public static final int SYSZ_INS_LLHRL = 473;
+	public static final int SYSZ_INS_LLIHF = 474;
+	public static final int SYSZ_INS_LLIHH = 475;
+	public static final int SYSZ_INS_LLIHL = 476;
+	public static final int SYSZ_INS_LLILF = 477;
+	public static final int SYSZ_INS_LLILH = 478;
+	public static final int SYSZ_INS_LLILL = 479;
+	public static final int SYSZ_INS_LMG = 480;
+	public static final int SYSZ_INS_LNDBR = 481;
+	public static final int SYSZ_INS_LNEBR = 482;
+	public static final int SYSZ_INS_LNGFR = 483;
+	public static final int SYSZ_INS_LNGR = 484;
+	public static final int SYSZ_INS_LNR = 485;
+	public static final int SYSZ_INS_LNXBR = 486;
+	public static final int SYSZ_INS_LPDBR = 487;
+	public static final int SYSZ_INS_LPEBR = 488;
+	public static final int SYSZ_INS_LPGFR = 489;
+	public static final int SYSZ_INS_LPGR = 490;
+	public static final int SYSZ_INS_LPR = 491;
+	public static final int SYSZ_INS_LPXBR = 492;
+	public static final int SYSZ_INS_LR = 493;
+	public static final int SYSZ_INS_LRL = 494;
+	public static final int SYSZ_INS_LRV = 495;
+	public static final int SYSZ_INS_LRVG = 496;
+	public static final int SYSZ_INS_LRVGR = 497;
+	public static final int SYSZ_INS_LRVR = 498;
+	public static final int SYSZ_INS_LT = 499;
+	public static final int SYSZ_INS_LTDBR = 500;
+	public static final int SYSZ_INS_LTEBR = 501;
+	public static final int SYSZ_INS_LTG = 502;
+	public static final int SYSZ_INS_LTGF = 503;
+	public static final int SYSZ_INS_LTGFR = 504;
+	public static final int SYSZ_INS_LTGR = 505;
+	public static final int SYSZ_INS_LTR = 506;
+	public static final int SYSZ_INS_LTXBR = 507;
+	public static final int SYSZ_INS_LXDB = 508;
+	public static final int SYSZ_INS_LXDBR = 509;
+	public static final int SYSZ_INS_LXEB = 510;
+	public static final int SYSZ_INS_LXEBR = 511;
+	public static final int SYSZ_INS_LXR = 512;
+	public static final int SYSZ_INS_LY = 513;
+	public static final int SYSZ_INS_LZDR = 514;
+	public static final int SYSZ_INS_LZER = 515;
+	public static final int SYSZ_INS_LZXR = 516;
+	public static final int SYSZ_INS_MADB = 517;
+	public static final int SYSZ_INS_MADBR = 518;
+	public static final int SYSZ_INS_MAEB = 519;
+	public static final int SYSZ_INS_MAEBR = 520;
+	public static final int SYSZ_INS_MDB = 521;
+	public static final int SYSZ_INS_MDBR = 522;
+	public static final int SYSZ_INS_MDEB = 523;
+	public static final int SYSZ_INS_MDEBR = 524;
+	public static final int SYSZ_INS_MEEB = 525;
+	public static final int SYSZ_INS_MEEBR = 526;
+	public static final int SYSZ_INS_MGHI = 527;
+	public static final int SYSZ_INS_MH = 528;
+	public static final int SYSZ_INS_MHI = 529;
+	public static final int SYSZ_INS_MHY = 530;
+	public static final int SYSZ_INS_MLG = 531;
+	public static final int SYSZ_INS_MLGR = 532;
+	public static final int SYSZ_INS_MS = 533;
+	public static final int SYSZ_INS_MSDB = 534;
+	public static final int SYSZ_INS_MSDBR = 535;
+	public static final int SYSZ_INS_MSEB = 536;
+	public static final int SYSZ_INS_MSEBR = 537;
+	public static final int SYSZ_INS_MSFI = 538;
+	public static final int SYSZ_INS_MSG = 539;
+	public static final int SYSZ_INS_MSGF = 540;
+	public static final int SYSZ_INS_MSGFI = 541;
+	public static final int SYSZ_INS_MSGFR = 542;
+	public static final int SYSZ_INS_MSGR = 543;
+	public static final int SYSZ_INS_MSR = 544;
+	public static final int SYSZ_INS_MSY = 545;
+	public static final int SYSZ_INS_MVC = 546;
+	public static final int SYSZ_INS_MVGHI = 547;
+	public static final int SYSZ_INS_MVHHI = 548;
+	public static final int SYSZ_INS_MVHI = 549;
+	public static final int SYSZ_INS_MVI = 550;
+	public static final int SYSZ_INS_MVIY = 551;
+	public static final int SYSZ_INS_MVST = 552;
+	public static final int SYSZ_INS_MXBR = 553;
+	public static final int SYSZ_INS_MXDB = 554;
+	public static final int SYSZ_INS_MXDBR = 555;
+	public static final int SYSZ_INS_N = 556;
+	public static final int SYSZ_INS_NC = 557;
+	public static final int SYSZ_INS_NG = 558;
+	public static final int SYSZ_INS_NGR = 559;
+	public static final int SYSZ_INS_NGRK = 560;
+	public static final int SYSZ_INS_NI = 561;
+	public static final int SYSZ_INS_NIHF = 562;
+	public static final int SYSZ_INS_NIHH = 563;
+	public static final int SYSZ_INS_NIHL = 564;
+	public static final int SYSZ_INS_NILF = 565;
+	public static final int SYSZ_INS_NILH = 566;
+	public static final int SYSZ_INS_NILL = 567;
+	public static final int SYSZ_INS_NIY = 568;
+	public static final int SYSZ_INS_NR = 569;
+	public static final int SYSZ_INS_NRK = 570;
+	public static final int SYSZ_INS_NY = 571;
+	public static final int SYSZ_INS_O = 572;
+	public static final int SYSZ_INS_OC = 573;
+	public static final int SYSZ_INS_OG = 574;
+	public static final int SYSZ_INS_OGR = 575;
+	public static final int SYSZ_INS_OGRK = 576;
+	public static final int SYSZ_INS_OI = 577;
+	public static final int SYSZ_INS_OIHF = 578;
+	public static final int SYSZ_INS_OIHH = 579;
+	public static final int SYSZ_INS_OIHL = 580;
+	public static final int SYSZ_INS_OILF = 581;
+	public static final int SYSZ_INS_OILH = 582;
+	public static final int SYSZ_INS_OILL = 583;
+	public static final int SYSZ_INS_OIY = 584;
+	public static final int SYSZ_INS_OR = 585;
+	public static final int SYSZ_INS_ORK = 586;
+	public static final int SYSZ_INS_OY = 587;
+	public static final int SYSZ_INS_PFD = 588;
+	public static final int SYSZ_INS_PFDRL = 589;
+	public static final int SYSZ_INS_RISBG = 590;
+	public static final int SYSZ_INS_RISBHG = 591;
+	public static final int SYSZ_INS_RISBLG = 592;
+	public static final int SYSZ_INS_RLL = 593;
+	public static final int SYSZ_INS_RLLG = 594;
+	public static final int SYSZ_INS_RNSBG = 595;
+	public static final int SYSZ_INS_ROSBG = 596;
+	public static final int SYSZ_INS_RXSBG = 597;
+	public static final int SYSZ_INS_S = 598;
+	public static final int SYSZ_INS_SDB = 599;
+	public static final int SYSZ_INS_SDBR = 600;
+	public static final int SYSZ_INS_SEB = 601;
+	public static final int SYSZ_INS_SEBR = 602;
+	public static final int SYSZ_INS_SG = 603;
+	public static final int SYSZ_INS_SGF = 604;
+	public static final int SYSZ_INS_SGFR = 605;
+	public static final int SYSZ_INS_SGR = 606;
+	public static final int SYSZ_INS_SGRK = 607;
+	public static final int SYSZ_INS_SH = 608;
+	public static final int SYSZ_INS_SHY = 609;
+	public static final int SYSZ_INS_SL = 610;
+	public static final int SYSZ_INS_SLB = 611;
+	public static final int SYSZ_INS_SLBG = 612;
+	public static final int SYSZ_INS_SLBR = 613;
+	public static final int SYSZ_INS_SLFI = 614;
+	public static final int SYSZ_INS_SLG = 615;
+	public static final int SYSZ_INS_SLBGR = 616;
+	public static final int SYSZ_INS_SLGF = 617;
+	public static final int SYSZ_INS_SLGFI = 618;
+	public static final int SYSZ_INS_SLGFR = 619;
+	public static final int SYSZ_INS_SLGR = 620;
+	public static final int SYSZ_INS_SLGRK = 621;
+	public static final int SYSZ_INS_SLL = 622;
+	public static final int SYSZ_INS_SLLG = 623;
+	public static final int SYSZ_INS_SLLK = 624;
+	public static final int SYSZ_INS_SLR = 625;
+	public static final int SYSZ_INS_SLRK = 626;
+	public static final int SYSZ_INS_SLY = 627;
+	public static final int SYSZ_INS_SQDB = 628;
+	public static final int SYSZ_INS_SQDBR = 629;
+	public static final int SYSZ_INS_SQEB = 630;
+	public static final int SYSZ_INS_SQEBR = 631;
+	public static final int SYSZ_INS_SQXBR = 632;
+	public static final int SYSZ_INS_SR = 633;
+	public static final int SYSZ_INS_SRA = 634;
+	public static final int SYSZ_INS_SRAG = 635;
+	public static final int SYSZ_INS_SRAK = 636;
+	public static final int SYSZ_INS_SRK = 637;
+	public static final int SYSZ_INS_SRL = 638;
+	public static final int SYSZ_INS_SRLG = 639;
+	public static final int SYSZ_INS_SRLK = 640;
+	public static final int SYSZ_INS_SRST = 641;
+	public static final int SYSZ_INS_ST = 642;
+	public static final int SYSZ_INS_STC = 643;
+	public static final int SYSZ_INS_STCH = 644;
+	public static final int SYSZ_INS_STCY = 645;
+	public static final int SYSZ_INS_STD = 646;
+	public static final int SYSZ_INS_STDY = 647;
+	public static final int SYSZ_INS_STE = 648;
+	public static final int SYSZ_INS_STEY = 649;
+	public static final int SYSZ_INS_STFH = 650;
+	public static final int SYSZ_INS_STG = 651;
+	public static final int SYSZ_INS_STGRL = 652;
+	public static final int SYSZ_INS_STH = 653;
+	public static final int SYSZ_INS_STHH = 654;
+	public static final int SYSZ_INS_STHRL = 655;
+	public static final int SYSZ_INS_STHY = 656;
+	public static final int SYSZ_INS_STMG = 657;
+	public static final int SYSZ_INS_STRL = 658;
+	public static final int SYSZ_INS_STRV = 659;
+	public static final int SYSZ_INS_STRVG = 660;
+	public static final int SYSZ_INS_STY = 661;
+	public static final int SYSZ_INS_SXBR = 662;
+	public static final int SYSZ_INS_SY = 663;
+	public static final int SYSZ_INS_TM = 664;
+	public static final int SYSZ_INS_TMHH = 665;
+	public static final int SYSZ_INS_TMHL = 666;
+	public static final int SYSZ_INS_TMLH = 667;
+	public static final int SYSZ_INS_TMLL = 668;
+	public static final int SYSZ_INS_TMY = 669;
+	public static final int SYSZ_INS_X = 670;
+	public static final int SYSZ_INS_XC = 671;
+	public static final int SYSZ_INS_XG = 672;
+	public static final int SYSZ_INS_XGR = 673;
+	public static final int SYSZ_INS_XGRK = 674;
+	public static final int SYSZ_INS_XI = 675;
+	public static final int SYSZ_INS_XIHF = 676;
+	public static final int SYSZ_INS_XILF = 677;
+	public static final int SYSZ_INS_XIY = 678;
+	public static final int SYSZ_INS_XR = 679;
+	public static final int SYSZ_INS_XRK = 680;
+	public static final int SYSZ_INS_XY = 681;
+	public static final int SYSZ_INS_MAX = 682;
 
 	// Group of SystemZ instructions
 
 	public static final int SYSZ_GRP_INVALID = 0;
-	public static final int SYSZ_GRP_FEATUREDISTINCTOPS = 1;
-	public static final int SYSZ_GRP_FEATUREFPEXTENSION = 2;
-	public static final int SYSZ_GRP_FEATUREHIGHWORD = 3;
-	public static final int SYSZ_GRP_FEATUREINTERLOCKEDACCESS1 = 4;
-	public static final int SYSZ_GRP_FEATURELOADSTOREONCOND = 5;
+	public static final int SYSZ_GRP_DISTINCTOPS = 1;
+	public static final int SYSZ_GRP_FPEXTENSION = 2;
+	public static final int SYSZ_GRP_HIGHWORD = 3;
+	public static final int SYSZ_GRP_INTERLOCKEDACCESS1 = 4;
+	public static final int SYSZ_GRP_LOADSTOREONCOND = 5;
 	public static final int SYSZ_GRP_JUMP = 6;
 	public static final int SYSZ_GRP_MAX = 7;
 }
\ No newline at end of file
diff --git a/bindings/java/capstone/X86.java b/bindings/java/capstone/X86.java
index 2fd14f6..2ed1545 100644
--- a/bindings/java/capstone/X86.java
+++ b/bindings/java/capstone/X86.java
@@ -14,6 +14,7 @@
 public class X86 {
 
   public static class MemType extends Structure {
+    public int segment;
     public int base;
     public int index;
     public int scale;
@@ -21,7 +22,7 @@
 
     @Override
     public List getFieldOrder() {
-      return Arrays.asList("base", "index", "scale", "disp");
+      return Arrays.asList("segment", "base", "index", "scale", "disp");
     }
   }
 
@@ -40,6 +41,9 @@
   public static class Operand extends Structure {
     public int type;
     public OpValue value;
+    public int size;
+    public int avx_bcast;
+    public boolean avx_zero_opmask;
 
     public void read() {
       super.read();
@@ -58,24 +62,25 @@
 
     @Override
     public List getFieldOrder() {
-      return Arrays.asList("type", "value");
+      return Arrays.asList("type", "value", "size", "avx_bcast", "avx_zero_opmask");
     }
   }
 
   public static class UnionOpInfo extends Capstone.UnionOpInfo {
     public byte [] prefix;
-    public int segment;
     public byte [] opcode;
-    public byte op_size;
+    public byte rex;
     public byte addr_size;
-    public byte disp_size;
-    public byte imm_size;
     public byte modrm;
     public byte sib;
     public int disp;
     public int sib_index;
     public byte sib_scale;
     public int sib_base;
+    public int sse_cc;
+    public int avx_cc;
+    public boolean avx_sae;
+    public int avx_rm;
 
     public char op_count;
 
@@ -83,20 +88,19 @@
 
     public UnionOpInfo() {
       op = new Operand[8];
-      opcode = new byte[3];
-      prefix = new byte[5];
+      opcode = new byte[4];
+      prefix = new byte[4];
     }
 
     @Override
     public List getFieldOrder() {
-      return Arrays.asList("prefix", "segment", "opcode", "op_size", "addr_size", "disp_size",
-          "imm_size", "modrm", "sib", "disp", "sib_index", "sib_scale", "sib_base", "op_count", "op");
+      return Arrays.asList("prefix", "opcode", "rex", "addr_size",
+          "modrm", "sib", "disp", "sib_index", "sib_scale", "sib_base", "sse_cc", "avx_cc", "avx_sae", "avx_rm", "op_count", "op");
     }
   }
 
   public static class OpInfo extends Capstone.OpInfo {
     public byte [] prefix;
-    public int segment;
     public byte [] opcode;
     public byte opSize;
     public byte addrSize;
@@ -108,23 +112,26 @@
     public int sibIndex;
     public byte sibScale;
     public int sibBase;
+    public int sseCC;
+    public int avxCC;
 
     public Operand[] op;
 
     public OpInfo(UnionOpInfo e) {
       prefix = e.prefix;
-      segment = e.segment;
       opcode = e.opcode;
-      opSize = e.op_size;
+      rex = e.rex;
       addrSize = e.addr_size;
-      dispSize = e.disp_size;
-      immSize = e.imm_size;
       modrm = e.modrm;
       sib = e.sib;
       disp = e.disp;
       sibIndex = e.sib_index;
       sibScale = e.sib_scale;
       sibBase = e.sib_base;
+      sseCC = e.sse_cc;
+      avxCC = e.avx_cc;
+      avxSae = e.avx_sae;
+      avxRm = e.avx_rm;
       op = new Operand[e.op_count];
       for (int i=0; i<e.op_count; i++)
         op[i] = e.op[i];
diff --git a/bindings/java/capstone/X86_const.java b/bindings/java/capstone/X86_const.java
index d5c4321..4ecaa8c 100644
--- a/bindings/java/capstone/X86_const.java
+++ b/bindings/java/capstone/X86_const.java
@@ -86,159 +86,160 @@
 	public static final int X86_REG_FP4 = 78;
 	public static final int X86_REG_FP5 = 79;
 	public static final int X86_REG_FP6 = 80;
-	public static final int X86_REG_K0 = 81;
-	public static final int X86_REG_K1 = 82;
-	public static final int X86_REG_K2 = 83;
-	public static final int X86_REG_K3 = 84;
-	public static final int X86_REG_K4 = 85;
-	public static final int X86_REG_K5 = 86;
-	public static final int X86_REG_K6 = 87;
-	public static final int X86_REG_K7 = 88;
-	public static final int X86_REG_MM0 = 89;
-	public static final int X86_REG_MM1 = 90;
-	public static final int X86_REG_MM2 = 91;
-	public static final int X86_REG_MM3 = 92;
-	public static final int X86_REG_MM4 = 93;
-	public static final int X86_REG_MM5 = 94;
-	public static final int X86_REG_MM6 = 95;
-	public static final int X86_REG_MM7 = 96;
-	public static final int X86_REG_R8 = 97;
-	public static final int X86_REG_R9 = 98;
-	public static final int X86_REG_R10 = 99;
-	public static final int X86_REG_R11 = 100;
-	public static final int X86_REG_R12 = 101;
-	public static final int X86_REG_R13 = 102;
-	public static final int X86_REG_R14 = 103;
-	public static final int X86_REG_R15 = 104;
-	public static final int X86_REG_ST0 = 105;
-	public static final int X86_REG_ST1 = 106;
-	public static final int X86_REG_ST2 = 107;
-	public static final int X86_REG_ST3 = 108;
-	public static final int X86_REG_ST4 = 109;
-	public static final int X86_REG_ST5 = 110;
-	public static final int X86_REG_ST6 = 111;
-	public static final int X86_REG_ST7 = 112;
-	public static final int X86_REG_XMM0 = 113;
-	public static final int X86_REG_XMM1 = 114;
-	public static final int X86_REG_XMM2 = 115;
-	public static final int X86_REG_XMM3 = 116;
-	public static final int X86_REG_XMM4 = 117;
-	public static final int X86_REG_XMM5 = 118;
-	public static final int X86_REG_XMM6 = 119;
-	public static final int X86_REG_XMM7 = 120;
-	public static final int X86_REG_XMM8 = 121;
-	public static final int X86_REG_XMM9 = 122;
-	public static final int X86_REG_XMM10 = 123;
-	public static final int X86_REG_XMM11 = 124;
-	public static final int X86_REG_XMM12 = 125;
-	public static final int X86_REG_XMM13 = 126;
-	public static final int X86_REG_XMM14 = 127;
-	public static final int X86_REG_XMM15 = 128;
-	public static final int X86_REG_XMM16 = 129;
-	public static final int X86_REG_XMM17 = 130;
-	public static final int X86_REG_XMM18 = 131;
-	public static final int X86_REG_XMM19 = 132;
-	public static final int X86_REG_XMM20 = 133;
-	public static final int X86_REG_XMM21 = 134;
-	public static final int X86_REG_XMM22 = 135;
-	public static final int X86_REG_XMM23 = 136;
-	public static final int X86_REG_XMM24 = 137;
-	public static final int X86_REG_XMM25 = 138;
-	public static final int X86_REG_XMM26 = 139;
-	public static final int X86_REG_XMM27 = 140;
-	public static final int X86_REG_XMM28 = 141;
-	public static final int X86_REG_XMM29 = 142;
-	public static final int X86_REG_XMM30 = 143;
-	public static final int X86_REG_XMM31 = 144;
-	public static final int X86_REG_YMM0 = 145;
-	public static final int X86_REG_YMM1 = 146;
-	public static final int X86_REG_YMM2 = 147;
-	public static final int X86_REG_YMM3 = 148;
-	public static final int X86_REG_YMM4 = 149;
-	public static final int X86_REG_YMM5 = 150;
-	public static final int X86_REG_YMM6 = 151;
-	public static final int X86_REG_YMM7 = 152;
-	public static final int X86_REG_YMM8 = 153;
-	public static final int X86_REG_YMM9 = 154;
-	public static final int X86_REG_YMM10 = 155;
-	public static final int X86_REG_YMM11 = 156;
-	public static final int X86_REG_YMM12 = 157;
-	public static final int X86_REG_YMM13 = 158;
-	public static final int X86_REG_YMM14 = 159;
-	public static final int X86_REG_YMM15 = 160;
-	public static final int X86_REG_YMM16 = 161;
-	public static final int X86_REG_YMM17 = 162;
-	public static final int X86_REG_YMM18 = 163;
-	public static final int X86_REG_YMM19 = 164;
-	public static final int X86_REG_YMM20 = 165;
-	public static final int X86_REG_YMM21 = 166;
-	public static final int X86_REG_YMM22 = 167;
-	public static final int X86_REG_YMM23 = 168;
-	public static final int X86_REG_YMM24 = 169;
-	public static final int X86_REG_YMM25 = 170;
-	public static final int X86_REG_YMM26 = 171;
-	public static final int X86_REG_YMM27 = 172;
-	public static final int X86_REG_YMM28 = 173;
-	public static final int X86_REG_YMM29 = 174;
-	public static final int X86_REG_YMM30 = 175;
-	public static final int X86_REG_YMM31 = 176;
-	public static final int X86_REG_ZMM0 = 177;
-	public static final int X86_REG_ZMM1 = 178;
-	public static final int X86_REG_ZMM2 = 179;
-	public static final int X86_REG_ZMM3 = 180;
-	public static final int X86_REG_ZMM4 = 181;
-	public static final int X86_REG_ZMM5 = 182;
-	public static final int X86_REG_ZMM6 = 183;
-	public static final int X86_REG_ZMM7 = 184;
-	public static final int X86_REG_ZMM8 = 185;
-	public static final int X86_REG_ZMM9 = 186;
-	public static final int X86_REG_ZMM10 = 187;
-	public static final int X86_REG_ZMM11 = 188;
-	public static final int X86_REG_ZMM12 = 189;
-	public static final int X86_REG_ZMM13 = 190;
-	public static final int X86_REG_ZMM14 = 191;
-	public static final int X86_REG_ZMM15 = 192;
-	public static final int X86_REG_ZMM16 = 193;
-	public static final int X86_REG_ZMM17 = 194;
-	public static final int X86_REG_ZMM18 = 195;
-	public static final int X86_REG_ZMM19 = 196;
-	public static final int X86_REG_ZMM20 = 197;
-	public static final int X86_REG_ZMM21 = 198;
-	public static final int X86_REG_ZMM22 = 199;
-	public static final int X86_REG_ZMM23 = 200;
-	public static final int X86_REG_ZMM24 = 201;
-	public static final int X86_REG_ZMM25 = 202;
-	public static final int X86_REG_ZMM26 = 203;
-	public static final int X86_REG_ZMM27 = 204;
-	public static final int X86_REG_ZMM28 = 205;
-	public static final int X86_REG_ZMM29 = 206;
-	public static final int X86_REG_ZMM30 = 207;
-	public static final int X86_REG_ZMM31 = 208;
-	public static final int X86_REG_R8B = 209;
-	public static final int X86_REG_R9B = 210;
-	public static final int X86_REG_R10B = 211;
-	public static final int X86_REG_R11B = 212;
-	public static final int X86_REG_R12B = 213;
-	public static final int X86_REG_R13B = 214;
-	public static final int X86_REG_R14B = 215;
-	public static final int X86_REG_R15B = 216;
-	public static final int X86_REG_R8D = 217;
-	public static final int X86_REG_R9D = 218;
-	public static final int X86_REG_R10D = 219;
-	public static final int X86_REG_R11D = 220;
-	public static final int X86_REG_R12D = 221;
-	public static final int X86_REG_R13D = 222;
-	public static final int X86_REG_R14D = 223;
-	public static final int X86_REG_R15D = 224;
-	public static final int X86_REG_R8W = 225;
-	public static final int X86_REG_R9W = 226;
-	public static final int X86_REG_R10W = 227;
-	public static final int X86_REG_R11W = 228;
-	public static final int X86_REG_R12W = 229;
-	public static final int X86_REG_R13W = 230;
-	public static final int X86_REG_R14W = 231;
-	public static final int X86_REG_R15W = 232;
-	public static final int X86_REG_MAX = 233;
+	public static final int X86_REG_FP7 = 81;
+	public static final int X86_REG_K0 = 82;
+	public static final int X86_REG_K1 = 83;
+	public static final int X86_REG_K2 = 84;
+	public static final int X86_REG_K3 = 85;
+	public static final int X86_REG_K4 = 86;
+	public static final int X86_REG_K5 = 87;
+	public static final int X86_REG_K6 = 88;
+	public static final int X86_REG_K7 = 89;
+	public static final int X86_REG_MM0 = 90;
+	public static final int X86_REG_MM1 = 91;
+	public static final int X86_REG_MM2 = 92;
+	public static final int X86_REG_MM3 = 93;
+	public static final int X86_REG_MM4 = 94;
+	public static final int X86_REG_MM5 = 95;
+	public static final int X86_REG_MM6 = 96;
+	public static final int X86_REG_MM7 = 97;
+	public static final int X86_REG_R8 = 98;
+	public static final int X86_REG_R9 = 99;
+	public static final int X86_REG_R10 = 100;
+	public static final int X86_REG_R11 = 101;
+	public static final int X86_REG_R12 = 102;
+	public static final int X86_REG_R13 = 103;
+	public static final int X86_REG_R14 = 104;
+	public static final int X86_REG_R15 = 105;
+	public static final int X86_REG_ST0 = 106;
+	public static final int X86_REG_ST1 = 107;
+	public static final int X86_REG_ST2 = 108;
+	public static final int X86_REG_ST3 = 109;
+	public static final int X86_REG_ST4 = 110;
+	public static final int X86_REG_ST5 = 111;
+	public static final int X86_REG_ST6 = 112;
+	public static final int X86_REG_ST7 = 113;
+	public static final int X86_REG_XMM0 = 114;
+	public static final int X86_REG_XMM1 = 115;
+	public static final int X86_REG_XMM2 = 116;
+	public static final int X86_REG_XMM3 = 117;
+	public static final int X86_REG_XMM4 = 118;
+	public static final int X86_REG_XMM5 = 119;
+	public static final int X86_REG_XMM6 = 120;
+	public static final int X86_REG_XMM7 = 121;
+	public static final int X86_REG_XMM8 = 122;
+	public static final int X86_REG_XMM9 = 123;
+	public static final int X86_REG_XMM10 = 124;
+	public static final int X86_REG_XMM11 = 125;
+	public static final int X86_REG_XMM12 = 126;
+	public static final int X86_REG_XMM13 = 127;
+	public static final int X86_REG_XMM14 = 128;
+	public static final int X86_REG_XMM15 = 129;
+	public static final int X86_REG_XMM16 = 130;
+	public static final int X86_REG_XMM17 = 131;
+	public static final int X86_REG_XMM18 = 132;
+	public static final int X86_REG_XMM19 = 133;
+	public static final int X86_REG_XMM20 = 134;
+	public static final int X86_REG_XMM21 = 135;
+	public static final int X86_REG_XMM22 = 136;
+	public static final int X86_REG_XMM23 = 137;
+	public static final int X86_REG_XMM24 = 138;
+	public static final int X86_REG_XMM25 = 139;
+	public static final int X86_REG_XMM26 = 140;
+	public static final int X86_REG_XMM27 = 141;
+	public static final int X86_REG_XMM28 = 142;
+	public static final int X86_REG_XMM29 = 143;
+	public static final int X86_REG_XMM30 = 144;
+	public static final int X86_REG_XMM31 = 145;
+	public static final int X86_REG_YMM0 = 146;
+	public static final int X86_REG_YMM1 = 147;
+	public static final int X86_REG_YMM2 = 148;
+	public static final int X86_REG_YMM3 = 149;
+	public static final int X86_REG_YMM4 = 150;
+	public static final int X86_REG_YMM5 = 151;
+	public static final int X86_REG_YMM6 = 152;
+	public static final int X86_REG_YMM7 = 153;
+	public static final int X86_REG_YMM8 = 154;
+	public static final int X86_REG_YMM9 = 155;
+	public static final int X86_REG_YMM10 = 156;
+	public static final int X86_REG_YMM11 = 157;
+	public static final int X86_REG_YMM12 = 158;
+	public static final int X86_REG_YMM13 = 159;
+	public static final int X86_REG_YMM14 = 160;
+	public static final int X86_REG_YMM15 = 161;
+	public static final int X86_REG_YMM16 = 162;
+	public static final int X86_REG_YMM17 = 163;
+	public static final int X86_REG_YMM18 = 164;
+	public static final int X86_REG_YMM19 = 165;
+	public static final int X86_REG_YMM20 = 166;
+	public static final int X86_REG_YMM21 = 167;
+	public static final int X86_REG_YMM22 = 168;
+	public static final int X86_REG_YMM23 = 169;
+	public static final int X86_REG_YMM24 = 170;
+	public static final int X86_REG_YMM25 = 171;
+	public static final int X86_REG_YMM26 = 172;
+	public static final int X86_REG_YMM27 = 173;
+	public static final int X86_REG_YMM28 = 174;
+	public static final int X86_REG_YMM29 = 175;
+	public static final int X86_REG_YMM30 = 176;
+	public static final int X86_REG_YMM31 = 177;
+	public static final int X86_REG_ZMM0 = 178;
+	public static final int X86_REG_ZMM1 = 179;
+	public static final int X86_REG_ZMM2 = 180;
+	public static final int X86_REG_ZMM3 = 181;
+	public static final int X86_REG_ZMM4 = 182;
+	public static final int X86_REG_ZMM5 = 183;
+	public static final int X86_REG_ZMM6 = 184;
+	public static final int X86_REG_ZMM7 = 185;
+	public static final int X86_REG_ZMM8 = 186;
+	public static final int X86_REG_ZMM9 = 187;
+	public static final int X86_REG_ZMM10 = 188;
+	public static final int X86_REG_ZMM11 = 189;
+	public static final int X86_REG_ZMM12 = 190;
+	public static final int X86_REG_ZMM13 = 191;
+	public static final int X86_REG_ZMM14 = 192;
+	public static final int X86_REG_ZMM15 = 193;
+	public static final int X86_REG_ZMM16 = 194;
+	public static final int X86_REG_ZMM17 = 195;
+	public static final int X86_REG_ZMM18 = 196;
+	public static final int X86_REG_ZMM19 = 197;
+	public static final int X86_REG_ZMM20 = 198;
+	public static final int X86_REG_ZMM21 = 199;
+	public static final int X86_REG_ZMM22 = 200;
+	public static final int X86_REG_ZMM23 = 201;
+	public static final int X86_REG_ZMM24 = 202;
+	public static final int X86_REG_ZMM25 = 203;
+	public static final int X86_REG_ZMM26 = 204;
+	public static final int X86_REG_ZMM27 = 205;
+	public static final int X86_REG_ZMM28 = 206;
+	public static final int X86_REG_ZMM29 = 207;
+	public static final int X86_REG_ZMM30 = 208;
+	public static final int X86_REG_ZMM31 = 209;
+	public static final int X86_REG_R8B = 210;
+	public static final int X86_REG_R9B = 211;
+	public static final int X86_REG_R10B = 212;
+	public static final int X86_REG_R11B = 213;
+	public static final int X86_REG_R12B = 214;
+	public static final int X86_REG_R13B = 215;
+	public static final int X86_REG_R14B = 216;
+	public static final int X86_REG_R15B = 217;
+	public static final int X86_REG_R8D = 218;
+	public static final int X86_REG_R9D = 219;
+	public static final int X86_REG_R10D = 220;
+	public static final int X86_REG_R11D = 221;
+	public static final int X86_REG_R12D = 222;
+	public static final int X86_REG_R13D = 223;
+	public static final int X86_REG_R14D = 224;
+	public static final int X86_REG_R15D = 225;
+	public static final int X86_REG_R8W = 226;
+	public static final int X86_REG_R9W = 227;
+	public static final int X86_REG_R10W = 228;
+	public static final int X86_REG_R11W = 229;
+	public static final int X86_REG_R12W = 230;
+	public static final int X86_REG_R13W = 231;
+	public static final int X86_REG_R14W = 232;
+	public static final int X86_REG_R15W = 233;
+	public static final int X86_REG_MAX = 234;
 
 	// Operand type for instruction's operands
 
@@ -248,6 +249,78 @@
 	public static final int X86_OP_FP = 3;
 	public static final int X86_OP_MEM = 4;
 
+	// AVX broadcast type
+
+	public static final int X86_AVX_BCAST_INVALID = 0;
+	public static final int X86_AVX_BCAST_2 = 1;
+	public static final int X86_AVX_BCAST_4 = 2;
+	public static final int X86_AVX_BCAST_8 = 3;
+	public static final int X86_AVX_BCAST_16 = 4;
+
+	// SSE Code Condition type
+
+	public static final int X86_SSE_CC_INVALID = 0;
+	public static final int X86_SSE_CC_EQ = 1;
+	public static final int X86_SSE_CC_LT = 2;
+	public static final int X86_SSE_CC_LE = 3;
+	public static final int X86_SSE_CC_UNORD = 4;
+	public static final int X86_SSE_CC_NEQ = 5;
+	public static final int X86_SSE_CC_NLT = 6;
+	public static final int X86_SSE_CC_NLE = 7;
+	public static final int X86_SSE_CC_ORD = 8;
+	public static final int X86_SSE_CC_EQ_UQ = 9;
+	public static final int X86_SSE_CC_NGE = 10;
+	public static final int X86_SSE_CC_NGT = 11;
+	public static final int X86_SSE_CC_FALSE = 12;
+	public static final int X86_SSE_CC_NEQ_OQ = 13;
+	public static final int X86_SSE_CC_GE = 14;
+	public static final int X86_SSE_CC_GT = 15;
+	public static final int X86_SSE_CC_TRUE = 16;
+
+	// AVX Code Condition type
+
+	public static final int X86_AVX_CC_INVALID = 0;
+	public static final int X86_AVX_CC_EQ = 1;
+	public static final int X86_AVX_CC_LT = 2;
+	public static final int X86_AVX_CC_LE = 3;
+	public static final int X86_AVX_CC_UNORD = 4;
+	public static final int X86_AVX_CC_NEQ = 5;
+	public static final int X86_AVX_CC_NLT = 6;
+	public static final int X86_AVX_CC_NLE = 7;
+	public static final int X86_AVX_CC_ORD = 8;
+	public static final int X86_AVX_CC_EQ_UQ = 9;
+	public static final int X86_AVX_CC_NGE = 10;
+	public static final int X86_AVX_CC_NGT = 11;
+	public static final int X86_AVX_CC_FALSE = 12;
+	public static final int X86_AVX_CC_NEQ_OQ = 13;
+	public static final int X86_AVX_CC_GE = 14;
+	public static final int X86_AVX_CC_GT = 15;
+	public static final int X86_AVX_CC_TRUE = 16;
+	public static final int X86_AVX_CC_EQ_OS = 17;
+	public static final int X86_AVX_CC_LT_OQ = 18;
+	public static final int X86_AVX_CC_LE_OQ = 19;
+	public static final int X86_AVX_CC_UNORD_S = 20;
+	public static final int X86_AVX_CC_NEQ_US = 21;
+	public static final int X86_AVX_CC_NLT_UQ = 22;
+	public static final int X86_AVX_CC_NLE_UQ = 23;
+	public static final int X86_AVX_CC_ORD_S = 24;
+	public static final int X86_AVX_CC_EQ_US = 25;
+	public static final int X86_AVX_CC_NGE_UQ = 26;
+	public static final int X86_AVX_CC_NGT_UQ = 27;
+	public static final int X86_AVX_CC_FALSE_OS = 28;
+	public static final int X86_AVX_CC_NEQ_OS = 29;
+	public static final int X86_AVX_CC_GE_OQ = 30;
+	public static final int X86_AVX_CC_GT_OQ = 31;
+	public static final int X86_AVX_CC_TRUE_US = 32;
+
+	// AVX static rounding mode type
+
+	public static final int X86_AVX_RM_INVALID = 0;
+	public static final int X86_AVX_RM_RN = 1;
+	public static final int X86_AVX_RM_RD = 2;
+	public static final int X86_AVX_RM_RU = 3;
+	public static final int X86_AVX_RM_RZ = 4;
+
 	// X86 instructions
 
 	public static final int X86_INS_INVALID = 0;
@@ -346,11 +419,11 @@
 	public static final int X86_INS_CMP = 93;
 	public static final int X86_INS_CMPPD = 94;
 	public static final int X86_INS_CMPPS = 95;
-	public static final int X86_INS_CMPSW = 96;
+	public static final int X86_INS_CMPSB = 96;
 	public static final int X86_INS_CMPSD = 97;
 	public static final int X86_INS_CMPSQ = 98;
-	public static final int X86_INS_CMPSB = 99;
-	public static final int X86_INS_CMPSS = 100;
+	public static final int X86_INS_CMPSS = 99;
+	public static final int X86_INS_CMPSW = 100;
 	public static final int X86_INS_CMPXCHG16B = 101;
 	public static final int X86_INS_CMPXCHG = 102;
 	public static final int X86_INS_CMPXCHG8B = 103;
@@ -364,45 +437,45 @@
 	public static final int X86_INS_CPUID = 111;
 	public static final int X86_INS_CQO = 112;
 	public static final int X86_INS_CRC32 = 113;
-	public static final int X86_INS_CS = 114;
-	public static final int X86_INS_CVTDQ2PD = 115;
-	public static final int X86_INS_CVTDQ2PS = 116;
-	public static final int X86_INS_CVTPD2DQ = 117;
-	public static final int X86_INS_CVTPD2PS = 118;
-	public static final int X86_INS_CVTPS2DQ = 119;
-	public static final int X86_INS_CVTPS2PD = 120;
-	public static final int X86_INS_CVTSD2SI = 121;
-	public static final int X86_INS_CVTSD2SS = 122;
-	public static final int X86_INS_CVTSI2SD = 123;
-	public static final int X86_INS_CVTSI2SS = 124;
-	public static final int X86_INS_CVTSS2SD = 125;
-	public static final int X86_INS_CVTSS2SI = 126;
-	public static final int X86_INS_CVTTPD2DQ = 127;
-	public static final int X86_INS_CVTTPS2DQ = 128;
-	public static final int X86_INS_CVTTSD2SI = 129;
-	public static final int X86_INS_CVTTSS2SI = 130;
-	public static final int X86_INS_CWD = 131;
-	public static final int X86_INS_CWDE = 132;
-	public static final int X86_INS_DAA = 133;
-	public static final int X86_INS_DAS = 134;
-	public static final int X86_INS_DATA16 = 135;
-	public static final int X86_INS_DEC = 136;
-	public static final int X86_INS_DIV = 137;
-	public static final int X86_INS_DIVPD = 138;
-	public static final int X86_INS_DIVPS = 139;
-	public static final int X86_INS_FDIVR = 140;
-	public static final int X86_INS_FIDIVR = 141;
-	public static final int X86_INS_FDIVRP = 142;
-	public static final int X86_INS_DIVSD = 143;
-	public static final int X86_INS_DIVSS = 144;
-	public static final int X86_INS_FDIV = 145;
-	public static final int X86_INS_FIDIV = 146;
-	public static final int X86_INS_FDIVP = 147;
-	public static final int X86_INS_DPPD = 148;
-	public static final int X86_INS_DPPS = 149;
-	public static final int X86_INS_DS = 150;
-	public static final int X86_INS_ENTER = 151;
-	public static final int X86_INS_ES = 152;
+	public static final int X86_INS_CVTDQ2PD = 114;
+	public static final int X86_INS_CVTDQ2PS = 115;
+	public static final int X86_INS_CVTPD2DQ = 116;
+	public static final int X86_INS_CVTPD2PS = 117;
+	public static final int X86_INS_CVTPS2DQ = 118;
+	public static final int X86_INS_CVTPS2PD = 119;
+	public static final int X86_INS_CVTSD2SI = 120;
+	public static final int X86_INS_CVTSD2SS = 121;
+	public static final int X86_INS_CVTSI2SD = 122;
+	public static final int X86_INS_CVTSI2SS = 123;
+	public static final int X86_INS_CVTSS2SD = 124;
+	public static final int X86_INS_CVTSS2SI = 125;
+	public static final int X86_INS_CVTTPD2DQ = 126;
+	public static final int X86_INS_CVTTPS2DQ = 127;
+	public static final int X86_INS_CVTTSD2SI = 128;
+	public static final int X86_INS_CVTTSS2SI = 129;
+	public static final int X86_INS_CWD = 130;
+	public static final int X86_INS_CWDE = 131;
+	public static final int X86_INS_DAA = 132;
+	public static final int X86_INS_DAS = 133;
+	public static final int X86_INS_DATA16 = 134;
+	public static final int X86_INS_DEC = 135;
+	public static final int X86_INS_DIV = 136;
+	public static final int X86_INS_DIVPD = 137;
+	public static final int X86_INS_DIVPS = 138;
+	public static final int X86_INS_FDIVR = 139;
+	public static final int X86_INS_FIDIVR = 140;
+	public static final int X86_INS_FDIVRP = 141;
+	public static final int X86_INS_DIVSD = 142;
+	public static final int X86_INS_DIVSS = 143;
+	public static final int X86_INS_FDIV = 144;
+	public static final int X86_INS_FIDIV = 145;
+	public static final int X86_INS_FDIVP = 146;
+	public static final int X86_INS_DPPD = 147;
+	public static final int X86_INS_DPPS = 148;
+	public static final int X86_INS_RET = 149;
+	public static final int X86_INS_ENCLS = 150;
+	public static final int X86_INS_ENCLU = 151;
+	public static final int X86_INS_ENTER = 152;
 	public static final int X86_INS_EXTRACTPS = 153;
 	public static final int X86_INS_EXTRQ = 154;
 	public static final int X86_INS_F2XM1 = 155;
@@ -440,1077 +513,1115 @@
 	public static final int X86_INS_FSETPM = 187;
 	public static final int X86_INS_FSINCOS = 188;
 	public static final int X86_INS_FNSTENV = 189;
-	public static final int X86_INS_FS = 190;
-	public static final int X86_INS_FXAM = 191;
-	public static final int X86_INS_FXRSTOR = 192;
-	public static final int X86_INS_FXRSTOR64 = 193;
-	public static final int X86_INS_FXSAVE = 194;
-	public static final int X86_INS_FXSAVE64 = 195;
-	public static final int X86_INS_FXTRACT = 196;
-	public static final int X86_INS_FYL2X = 197;
-	public static final int X86_INS_FYL2XP1 = 198;
-	public static final int X86_INS_MOVAPD = 199;
-	public static final int X86_INS_MOVAPS = 200;
-	public static final int X86_INS_ORPD = 201;
-	public static final int X86_INS_ORPS = 202;
-	public static final int X86_INS_VMOVAPD = 203;
-	public static final int X86_INS_VMOVAPS = 204;
-	public static final int X86_INS_XORPD = 205;
-	public static final int X86_INS_XORPS = 206;
-	public static final int X86_INS_GETSEC = 207;
-	public static final int X86_INS_GS = 208;
-	public static final int X86_INS_HADDPD = 209;
-	public static final int X86_INS_HADDPS = 210;
-	public static final int X86_INS_HLT = 211;
-	public static final int X86_INS_HSUBPD = 212;
-	public static final int X86_INS_HSUBPS = 213;
-	public static final int X86_INS_IDIV = 214;
-	public static final int X86_INS_FILD = 215;
-	public static final int X86_INS_IMUL = 216;
-	public static final int X86_INS_INSW = 217;
-	public static final int X86_INS_IN = 218;
-	public static final int X86_INS_INSD = 219;
-	public static final int X86_INS_INSB = 220;
-	public static final int X86_INS_INC = 221;
-	public static final int X86_INS_INSERTPS = 222;
-	public static final int X86_INS_INSERTQ = 223;
-	public static final int X86_INS_INT = 224;
-	public static final int X86_INS_INT1 = 225;
-	public static final int X86_INS_INT3 = 226;
-	public static final int X86_INS_INTO = 227;
-	public static final int X86_INS_INVD = 228;
-	public static final int X86_INS_INVEPT = 229;
-	public static final int X86_INS_INVLPG = 230;
-	public static final int X86_INS_INVLPGA = 231;
-	public static final int X86_INS_INVPCID = 232;
-	public static final int X86_INS_INVVPID = 233;
-	public static final int X86_INS_IRET = 234;
-	public static final int X86_INS_IRETD = 235;
-	public static final int X86_INS_IRETQ = 236;
-	public static final int X86_INS_FISTTP = 237;
-	public static final int X86_INS_FIST = 238;
-	public static final int X86_INS_FISTP = 239;
-	public static final int X86_INS_UCOMISD = 240;
-	public static final int X86_INS_UCOMISS = 241;
-	public static final int X86_INS_VCMP = 242;
-	public static final int X86_INS_VCOMISD = 243;
-	public static final int X86_INS_VCOMISS = 244;
-	public static final int X86_INS_VCVTSD2SS = 245;
-	public static final int X86_INS_VCVTSI2SD = 246;
-	public static final int X86_INS_VCVTSI2SS = 247;
-	public static final int X86_INS_VCVTSS2SD = 248;
-	public static final int X86_INS_VCVTTSD2SI = 249;
-	public static final int X86_INS_VCVTTSD2USI = 250;
-	public static final int X86_INS_VCVTTSS2SI = 251;
-	public static final int X86_INS_VCVTTSS2USI = 252;
-	public static final int X86_INS_VCVTUSI2SD = 253;
-	public static final int X86_INS_VCVTUSI2SS = 254;
-	public static final int X86_INS_VUCOMISD = 255;
-	public static final int X86_INS_VUCOMISS = 256;
-	public static final int X86_INS_JAE = 257;
-	public static final int X86_INS_JA = 258;
-	public static final int X86_INS_JBE = 259;
-	public static final int X86_INS_JB = 260;
-	public static final int X86_INS_JCXZ = 261;
-	public static final int X86_INS_JECXZ = 262;
-	public static final int X86_INS_JE = 263;
-	public static final int X86_INS_JGE = 264;
-	public static final int X86_INS_JG = 265;
-	public static final int X86_INS_JLE = 266;
-	public static final int X86_INS_JL = 267;
-	public static final int X86_INS_JMP = 268;
-	public static final int X86_INS_JNE = 269;
-	public static final int X86_INS_JNO = 270;
-	public static final int X86_INS_JNP = 271;
-	public static final int X86_INS_JNS = 272;
-	public static final int X86_INS_JO = 273;
-	public static final int X86_INS_JP = 274;
-	public static final int X86_INS_JRCXZ = 275;
-	public static final int X86_INS_JS = 276;
-	public static final int X86_INS_KANDNW = 277;
-	public static final int X86_INS_KANDW = 278;
-	public static final int X86_INS_KMOVW = 279;
-	public static final int X86_INS_KNOTW = 280;
-	public static final int X86_INS_KORTESTW = 281;
-	public static final int X86_INS_KORW = 282;
-	public static final int X86_INS_KSHIFTLW = 283;
-	public static final int X86_INS_KSHIFTRW = 284;
-	public static final int X86_INS_KUNPCKBW = 285;
-	public static final int X86_INS_KXNORW = 286;
-	public static final int X86_INS_KXORW = 287;
-	public static final int X86_INS_LAHF = 288;
-	public static final int X86_INS_LAR = 289;
-	public static final int X86_INS_LDDQU = 290;
-	public static final int X86_INS_LDMXCSR = 291;
-	public static final int X86_INS_LDS = 292;
-	public static final int X86_INS_FLDZ = 293;
-	public static final int X86_INS_FLD1 = 294;
-	public static final int X86_INS_FLD = 295;
-	public static final int X86_INS_LEA = 296;
-	public static final int X86_INS_LEAVE = 297;
-	public static final int X86_INS_LES = 298;
-	public static final int X86_INS_LFENCE = 299;
-	public static final int X86_INS_LFS = 300;
-	public static final int X86_INS_LGDT = 301;
-	public static final int X86_INS_LGS = 302;
-	public static final int X86_INS_LIDT = 303;
-	public static final int X86_INS_LLDT = 304;
-	public static final int X86_INS_LMSW = 305;
-	public static final int X86_INS_OR = 306;
-	public static final int X86_INS_LOCK = 307;
-	public static final int X86_INS_SUB = 308;
-	public static final int X86_INS_XOR = 309;
-	public static final int X86_INS_LODSB = 310;
-	public static final int X86_INS_LODSD = 311;
-	public static final int X86_INS_LODSQ = 312;
-	public static final int X86_INS_LODSW = 313;
-	public static final int X86_INS_LOOP = 314;
-	public static final int X86_INS_LOOPE = 315;
-	public static final int X86_INS_LOOPNE = 316;
-	public static final int X86_INS_RETF = 317;
-	public static final int X86_INS_RETFQ = 318;
-	public static final int X86_INS_LSL = 319;
-	public static final int X86_INS_LSS = 320;
-	public static final int X86_INS_LTR = 321;
-	public static final int X86_INS_XADD = 322;
-	public static final int X86_INS_LZCNT = 323;
-	public static final int X86_INS_MASKMOVDQU = 324;
-	public static final int X86_INS_MAXPD = 325;
-	public static final int X86_INS_MAXPS = 326;
-	public static final int X86_INS_MAXSD = 327;
-	public static final int X86_INS_MAXSS = 328;
-	public static final int X86_INS_MFENCE = 329;
-	public static final int X86_INS_MINPD = 330;
-	public static final int X86_INS_MINPS = 331;
-	public static final int X86_INS_MINSD = 332;
-	public static final int X86_INS_MINSS = 333;
-	public static final int X86_INS_CVTPD2PI = 334;
-	public static final int X86_INS_CVTPI2PD = 335;
-	public static final int X86_INS_CVTPI2PS = 336;
-	public static final int X86_INS_CVTPS2PI = 337;
-	public static final int X86_INS_CVTTPD2PI = 338;
-	public static final int X86_INS_CVTTPS2PI = 339;
-	public static final int X86_INS_EMMS = 340;
-	public static final int X86_INS_MASKMOVQ = 341;
-	public static final int X86_INS_MOVD = 342;
-	public static final int X86_INS_MOVDQ2Q = 343;
-	public static final int X86_INS_MOVNTQ = 344;
-	public static final int X86_INS_MOVQ2DQ = 345;
-	public static final int X86_INS_MOVQ = 346;
-	public static final int X86_INS_PABSB = 347;
-	public static final int X86_INS_PABSD = 348;
-	public static final int X86_INS_PABSW = 349;
-	public static final int X86_INS_PACKSSDW = 350;
-	public static final int X86_INS_PACKSSWB = 351;
-	public static final int X86_INS_PACKUSWB = 352;
-	public static final int X86_INS_PADDB = 353;
-	public static final int X86_INS_PADDD = 354;
-	public static final int X86_INS_PADDQ = 355;
-	public static final int X86_INS_PADDSB = 356;
-	public static final int X86_INS_PADDSW = 357;
-	public static final int X86_INS_PADDUSB = 358;
-	public static final int X86_INS_PADDUSW = 359;
-	public static final int X86_INS_PADDW = 360;
-	public static final int X86_INS_PALIGNR = 361;
-	public static final int X86_INS_PANDN = 362;
-	public static final int X86_INS_PAND = 363;
-	public static final int X86_INS_PAVGB = 364;
-	public static final int X86_INS_PAVGW = 365;
-	public static final int X86_INS_PCMPEQB = 366;
-	public static final int X86_INS_PCMPEQD = 367;
-	public static final int X86_INS_PCMPEQW = 368;
-	public static final int X86_INS_PCMPGTB = 369;
-	public static final int X86_INS_PCMPGTD = 370;
-	public static final int X86_INS_PCMPGTW = 371;
-	public static final int X86_INS_PEXTRW = 372;
-	public static final int X86_INS_PHADDSW = 373;
-	public static final int X86_INS_PHADDW = 374;
-	public static final int X86_INS_PHADDD = 375;
-	public static final int X86_INS_PHSUBD = 376;
-	public static final int X86_INS_PHSUBSW = 377;
-	public static final int X86_INS_PHSUBW = 378;
-	public static final int X86_INS_PINSRW = 379;
-	public static final int X86_INS_PMADDUBSW = 380;
-	public static final int X86_INS_PMADDWD = 381;
-	public static final int X86_INS_PMAXSW = 382;
-	public static final int X86_INS_PMAXUB = 383;
-	public static final int X86_INS_PMINSW = 384;
-	public static final int X86_INS_PMINUB = 385;
-	public static final int X86_INS_PMOVMSKB = 386;
-	public static final int X86_INS_PMULHRSW = 387;
-	public static final int X86_INS_PMULHUW = 388;
-	public static final int X86_INS_PMULHW = 389;
-	public static final int X86_INS_PMULLW = 390;
-	public static final int X86_INS_PMULUDQ = 391;
-	public static final int X86_INS_POR = 392;
-	public static final int X86_INS_PSADBW = 393;
-	public static final int X86_INS_PSHUFB = 394;
-	public static final int X86_INS_PSHUFW = 395;
-	public static final int X86_INS_PSIGNB = 396;
-	public static final int X86_INS_PSIGND = 397;
-	public static final int X86_INS_PSIGNW = 398;
-	public static final int X86_INS_PSLLD = 399;
-	public static final int X86_INS_PSLLQ = 400;
-	public static final int X86_INS_PSLLW = 401;
-	public static final int X86_INS_PSRAD = 402;
-	public static final int X86_INS_PSRAW = 403;
-	public static final int X86_INS_PSRLD = 404;
-	public static final int X86_INS_PSRLQ = 405;
-	public static final int X86_INS_PSRLW = 406;
-	public static final int X86_INS_PSUBB = 407;
-	public static final int X86_INS_PSUBD = 408;
-	public static final int X86_INS_PSUBQ = 409;
-	public static final int X86_INS_PSUBSB = 410;
-	public static final int X86_INS_PSUBSW = 411;
-	public static final int X86_INS_PSUBUSB = 412;
-	public static final int X86_INS_PSUBUSW = 413;
-	public static final int X86_INS_PSUBW = 414;
-	public static final int X86_INS_PUNPCKHBW = 415;
-	public static final int X86_INS_PUNPCKHDQ = 416;
-	public static final int X86_INS_PUNPCKHWD = 417;
-	public static final int X86_INS_PUNPCKLBW = 418;
-	public static final int X86_INS_PUNPCKLDQ = 419;
-	public static final int X86_INS_PUNPCKLWD = 420;
-	public static final int X86_INS_PXOR = 421;
-	public static final int X86_INS_MONITOR = 422;
-	public static final int X86_INS_MONTMUL = 423;
-	public static final int X86_INS_MOV = 424;
-	public static final int X86_INS_MOVABS = 425;
-	public static final int X86_INS_MOVBE = 426;
-	public static final int X86_INS_MOVDDUP = 427;
-	public static final int X86_INS_MOVDQA = 428;
-	public static final int X86_INS_MOVDQU = 429;
-	public static final int X86_INS_MOVHLPS = 430;
-	public static final int X86_INS_MOVHPD = 431;
-	public static final int X86_INS_MOVHPS = 432;
-	public static final int X86_INS_MOVLHPS = 433;
-	public static final int X86_INS_MOVLPD = 434;
-	public static final int X86_INS_MOVLPS = 435;
-	public static final int X86_INS_MOVMSKPD = 436;
-	public static final int X86_INS_MOVMSKPS = 437;
-	public static final int X86_INS_MOVNTDQA = 438;
-	public static final int X86_INS_MOVNTDQ = 439;
-	public static final int X86_INS_MOVNTI = 440;
-	public static final int X86_INS_MOVNTPD = 441;
-	public static final int X86_INS_MOVNTPS = 442;
-	public static final int X86_INS_MOVNTSD = 443;
-	public static final int X86_INS_MOVNTSS = 444;
-	public static final int X86_INS_MOVSB = 445;
-	public static final int X86_INS_MOVSD = 446;
-	public static final int X86_INS_MOVSHDUP = 447;
-	public static final int X86_INS_MOVSLDUP = 448;
-	public static final int X86_INS_MOVSQ = 449;
-	public static final int X86_INS_MOVSS = 450;
-	public static final int X86_INS_MOVSW = 451;
-	public static final int X86_INS_MOVSX = 452;
-	public static final int X86_INS_MOVSXD = 453;
-	public static final int X86_INS_MOVUPD = 454;
-	public static final int X86_INS_MOVUPS = 455;
-	public static final int X86_INS_MOVZX = 456;
-	public static final int X86_INS_MPSADBW = 457;
-	public static final int X86_INS_MUL = 458;
-	public static final int X86_INS_MULPD = 459;
-	public static final int X86_INS_MULPS = 460;
-	public static final int X86_INS_MULSD = 461;
-	public static final int X86_INS_MULSS = 462;
-	public static final int X86_INS_MULX = 463;
-	public static final int X86_INS_FMUL = 464;
-	public static final int X86_INS_FIMUL = 465;
-	public static final int X86_INS_FMULP = 466;
-	public static final int X86_INS_MWAIT = 467;
-	public static final int X86_INS_NEG = 468;
-	public static final int X86_INS_NOP = 469;
-	public static final int X86_INS_NOT = 470;
-	public static final int X86_INS_OUT = 471;
-	public static final int X86_INS_OUTSB = 472;
-	public static final int X86_INS_OUTSD = 473;
-	public static final int X86_INS_OUTSW = 474;
-	public static final int X86_INS_PACKUSDW = 475;
-	public static final int X86_INS_PAUSE = 476;
-	public static final int X86_INS_PAVGUSB = 477;
-	public static final int X86_INS_PBLENDVB = 478;
-	public static final int X86_INS_PBLENDW = 479;
-	public static final int X86_INS_PCLMULQDQ = 480;
-	public static final int X86_INS_PCMPEQQ = 481;
-	public static final int X86_INS_PCMPESTRI = 482;
-	public static final int X86_INS_PCMPESTRM = 483;
-	public static final int X86_INS_PCMPGTQ = 484;
-	public static final int X86_INS_PCMPISTRI = 485;
-	public static final int X86_INS_PCMPISTRM = 486;
-	public static final int X86_INS_PDEP = 487;
-	public static final int X86_INS_PEXT = 488;
-	public static final int X86_INS_PEXTRB = 489;
-	public static final int X86_INS_PEXTRD = 490;
-	public static final int X86_INS_PEXTRQ = 491;
-	public static final int X86_INS_PF2ID = 492;
-	public static final int X86_INS_PF2IW = 493;
-	public static final int X86_INS_PFACC = 494;
-	public static final int X86_INS_PFADD = 495;
-	public static final int X86_INS_PFCMPEQ = 496;
-	public static final int X86_INS_PFCMPGE = 497;
-	public static final int X86_INS_PFCMPGT = 498;
-	public static final int X86_INS_PFMAX = 499;
-	public static final int X86_INS_PFMIN = 500;
-	public static final int X86_INS_PFMUL = 501;
-	public static final int X86_INS_PFNACC = 502;
-	public static final int X86_INS_PFPNACC = 503;
-	public static final int X86_INS_PFRCPIT1 = 504;
-	public static final int X86_INS_PFRCPIT2 = 505;
-	public static final int X86_INS_PFRCP = 506;
-	public static final int X86_INS_PFRSQIT1 = 507;
-	public static final int X86_INS_PFRSQRT = 508;
-	public static final int X86_INS_PFSUBR = 509;
-	public static final int X86_INS_PFSUB = 510;
-	public static final int X86_INS_PHMINPOSUW = 511;
-	public static final int X86_INS_PI2FD = 512;
-	public static final int X86_INS_PI2FW = 513;
-	public static final int X86_INS_PINSRB = 514;
-	public static final int X86_INS_PINSRD = 515;
-	public static final int X86_INS_PINSRQ = 516;
-	public static final int X86_INS_PMAXSB = 517;
-	public static final int X86_INS_PMAXSD = 518;
-	public static final int X86_INS_PMAXUD = 519;
-	public static final int X86_INS_PMAXUW = 520;
-	public static final int X86_INS_PMINSB = 521;
-	public static final int X86_INS_PMINSD = 522;
-	public static final int X86_INS_PMINUD = 523;
-	public static final int X86_INS_PMINUW = 524;
-	public static final int X86_INS_PMOVSXBD = 525;
-	public static final int X86_INS_PMOVSXBQ = 526;
-	public static final int X86_INS_PMOVSXBW = 527;
-	public static final int X86_INS_PMOVSXDQ = 528;
-	public static final int X86_INS_PMOVSXWD = 529;
-	public static final int X86_INS_PMOVSXWQ = 530;
-	public static final int X86_INS_PMOVZXBD = 531;
-	public static final int X86_INS_PMOVZXBQ = 532;
-	public static final int X86_INS_PMOVZXBW = 533;
-	public static final int X86_INS_PMOVZXDQ = 534;
-	public static final int X86_INS_PMOVZXWD = 535;
-	public static final int X86_INS_PMOVZXWQ = 536;
-	public static final int X86_INS_PMULDQ = 537;
-	public static final int X86_INS_PMULHRW = 538;
-	public static final int X86_INS_PMULLD = 539;
-	public static final int X86_INS_POP = 540;
-	public static final int X86_INS_POPAW = 541;
-	public static final int X86_INS_POPAL = 542;
-	public static final int X86_INS_POPCNT = 543;
-	public static final int X86_INS_POPF = 544;
-	public static final int X86_INS_POPFD = 545;
-	public static final int X86_INS_POPFQ = 546;
-	public static final int X86_INS_PREFETCH = 547;
-	public static final int X86_INS_PREFETCHNTA = 548;
-	public static final int X86_INS_PREFETCHT0 = 549;
-	public static final int X86_INS_PREFETCHT1 = 550;
-	public static final int X86_INS_PREFETCHT2 = 551;
-	public static final int X86_INS_PREFETCHW = 552;
-	public static final int X86_INS_PSHUFD = 553;
-	public static final int X86_INS_PSHUFHW = 554;
-	public static final int X86_INS_PSHUFLW = 555;
-	public static final int X86_INS_PSLLDQ = 556;
-	public static final int X86_INS_PSRLDQ = 557;
-	public static final int X86_INS_PSWAPD = 558;
-	public static final int X86_INS_PTEST = 559;
-	public static final int X86_INS_PUNPCKHQDQ = 560;
-	public static final int X86_INS_PUNPCKLQDQ = 561;
-	public static final int X86_INS_PUSH = 562;
-	public static final int X86_INS_PUSHAW = 563;
-	public static final int X86_INS_PUSHAL = 564;
-	public static final int X86_INS_PUSHF = 565;
-	public static final int X86_INS_PUSHFD = 566;
-	public static final int X86_INS_PUSHFQ = 567;
-	public static final int X86_INS_RCL = 568;
-	public static final int X86_INS_RCPPS = 569;
-	public static final int X86_INS_RCPSS = 570;
-	public static final int X86_INS_RCR = 571;
-	public static final int X86_INS_RDFSBASE = 572;
-	public static final int X86_INS_RDGSBASE = 573;
-	public static final int X86_INS_RDMSR = 574;
-	public static final int X86_INS_RDPMC = 575;
-	public static final int X86_INS_RDRAND = 576;
-	public static final int X86_INS_RDSEED = 577;
-	public static final int X86_INS_RDTSC = 578;
-	public static final int X86_INS_RDTSCP = 579;
-	public static final int X86_INS_REPNE = 580;
-	public static final int X86_INS_REP = 581;
-	public static final int X86_INS_RET = 582;
-	public static final int X86_INS_REX64 = 583;
-	public static final int X86_INS_ROL = 584;
-	public static final int X86_INS_ROR = 585;
-	public static final int X86_INS_RORX = 586;
-	public static final int X86_INS_ROUNDPD = 587;
-	public static final int X86_INS_ROUNDPS = 588;
-	public static final int X86_INS_ROUNDSD = 589;
-	public static final int X86_INS_ROUNDSS = 590;
-	public static final int X86_INS_RSM = 591;
-	public static final int X86_INS_RSQRTPS = 592;
-	public static final int X86_INS_RSQRTSS = 593;
-	public static final int X86_INS_SAHF = 594;
-	public static final int X86_INS_SAL = 595;
-	public static final int X86_INS_SALC = 596;
-	public static final int X86_INS_SAR = 597;
-	public static final int X86_INS_SARX = 598;
-	public static final int X86_INS_SBB = 599;
-	public static final int X86_INS_SCASW = 600;
-	public static final int X86_INS_SCASD = 601;
-	public static final int X86_INS_SCASQ = 602;
-	public static final int X86_INS_SCASB = 603;
-	public static final int X86_INS_SETAE = 604;
-	public static final int X86_INS_SETA = 605;
-	public static final int X86_INS_SETBE = 606;
-	public static final int X86_INS_SETB = 607;
-	public static final int X86_INS_SETE = 608;
-	public static final int X86_INS_SETGE = 609;
-	public static final int X86_INS_SETG = 610;
-	public static final int X86_INS_SETLE = 611;
-	public static final int X86_INS_SETL = 612;
-	public static final int X86_INS_SETNE = 613;
-	public static final int X86_INS_SETNO = 614;
-	public static final int X86_INS_SETNP = 615;
-	public static final int X86_INS_SETNS = 616;
-	public static final int X86_INS_SETO = 617;
-	public static final int X86_INS_SETP = 618;
-	public static final int X86_INS_SETS = 619;
-	public static final int X86_INS_SFENCE = 620;
-	public static final int X86_INS_SGDT = 621;
-	public static final int X86_INS_SHA1MSG1 = 622;
-	public static final int X86_INS_SHA1MSG2 = 623;
-	public static final int X86_INS_SHA1NEXTE = 624;
-	public static final int X86_INS_SHA1RNDS4 = 625;
-	public static final int X86_INS_SHA256MSG1 = 626;
-	public static final int X86_INS_SHA256MSG2 = 627;
-	public static final int X86_INS_SHA256RNDS2 = 628;
-	public static final int X86_INS_SHL = 629;
-	public static final int X86_INS_SHLD = 630;
-	public static final int X86_INS_SHLX = 631;
-	public static final int X86_INS_SHR = 632;
-	public static final int X86_INS_SHRD = 633;
-	public static final int X86_INS_SHRX = 634;
-	public static final int X86_INS_SHUFPD = 635;
-	public static final int X86_INS_SHUFPS = 636;
-	public static final int X86_INS_SIDT = 637;
-	public static final int X86_INS_FSIN = 638;
-	public static final int X86_INS_SKINIT = 639;
-	public static final int X86_INS_SLDT = 640;
-	public static final int X86_INS_SMSW = 641;
-	public static final int X86_INS_SQRTPD = 642;
-	public static final int X86_INS_SQRTPS = 643;
-	public static final int X86_INS_SQRTSD = 644;
-	public static final int X86_INS_SQRTSS = 645;
-	public static final int X86_INS_FSQRT = 646;
-	public static final int X86_INS_SS = 647;
-	public static final int X86_INS_STAC = 648;
-	public static final int X86_INS_STC = 649;
-	public static final int X86_INS_STD = 650;
-	public static final int X86_INS_STGI = 651;
-	public static final int X86_INS_STI = 652;
-	public static final int X86_INS_STMXCSR = 653;
-	public static final int X86_INS_STOSB = 654;
-	public static final int X86_INS_STOSD = 655;
-	public static final int X86_INS_STOSQ = 656;
-	public static final int X86_INS_STOSW = 657;
-	public static final int X86_INS_STR = 658;
-	public static final int X86_INS_FST = 659;
-	public static final int X86_INS_FSTP = 660;
-	public static final int X86_INS_FSTPNCE = 661;
-	public static final int X86_INS_SUBPD = 662;
-	public static final int X86_INS_SUBPS = 663;
-	public static final int X86_INS_FSUBR = 664;
-	public static final int X86_INS_FISUBR = 665;
-	public static final int X86_INS_FSUBRP = 666;
-	public static final int X86_INS_SUBSD = 667;
-	public static final int X86_INS_SUBSS = 668;
-	public static final int X86_INS_FSUB = 669;
-	public static final int X86_INS_FISUB = 670;
-	public static final int X86_INS_FSUBP = 671;
-	public static final int X86_INS_SWAPGS = 672;
-	public static final int X86_INS_SYSCALL = 673;
-	public static final int X86_INS_SYSENTER = 674;
-	public static final int X86_INS_SYSEXIT = 675;
-	public static final int X86_INS_SYSRET = 676;
-	public static final int X86_INS_T1MSKC = 677;
-	public static final int X86_INS_TEST = 678;
-	public static final int X86_INS_UD2 = 679;
-	public static final int X86_INS_FTST = 680;
-	public static final int X86_INS_TZCNT = 681;
-	public static final int X86_INS_TZMSK = 682;
-	public static final int X86_INS_FUCOMPI = 683;
-	public static final int X86_INS_FUCOMI = 684;
-	public static final int X86_INS_FUCOMPP = 685;
-	public static final int X86_INS_FUCOMP = 686;
-	public static final int X86_INS_FUCOM = 687;
-	public static final int X86_INS_UD2B = 688;
-	public static final int X86_INS_UNPCKHPD = 689;
-	public static final int X86_INS_UNPCKHPS = 690;
-	public static final int X86_INS_UNPCKLPD = 691;
-	public static final int X86_INS_UNPCKLPS = 692;
-	public static final int X86_INS_VADDPD = 693;
-	public static final int X86_INS_VADDPS = 694;
-	public static final int X86_INS_VADDSD = 695;
-	public static final int X86_INS_VADDSS = 696;
-	public static final int X86_INS_VADDSUBPD = 697;
-	public static final int X86_INS_VADDSUBPS = 698;
-	public static final int X86_INS_VAESDECLAST = 699;
-	public static final int X86_INS_VAESDEC = 700;
-	public static final int X86_INS_VAESENCLAST = 701;
-	public static final int X86_INS_VAESENC = 702;
-	public static final int X86_INS_VAESIMC = 703;
-	public static final int X86_INS_VAESKEYGENASSIST = 704;
-	public static final int X86_INS_VALIGND = 705;
-	public static final int X86_INS_VALIGNQ = 706;
-	public static final int X86_INS_VANDNPD = 707;
-	public static final int X86_INS_VANDNPS = 708;
-	public static final int X86_INS_VANDPD = 709;
-	public static final int X86_INS_VANDPS = 710;
-	public static final int X86_INS_VBLENDMPD = 711;
-	public static final int X86_INS_VBLENDMPS = 712;
-	public static final int X86_INS_VBLENDPD = 713;
-	public static final int X86_INS_VBLENDPS = 714;
-	public static final int X86_INS_VBLENDVPD = 715;
-	public static final int X86_INS_VBLENDVPS = 716;
-	public static final int X86_INS_VBROADCASTF128 = 717;
-	public static final int X86_INS_VBROADCASTI128 = 718;
-	public static final int X86_INS_VBROADCASTSD = 719;
-	public static final int X86_INS_VBROADCASTSS = 720;
-	public static final int X86_INS_VCMPPD = 721;
-	public static final int X86_INS_VCMPPS = 722;
-	public static final int X86_INS_VCMPSD = 723;
-	public static final int X86_INS_VCMPSS = 724;
-	public static final int X86_INS_VCVTDQ2PD = 725;
-	public static final int X86_INS_VCVTDQ2PS = 726;
-	public static final int X86_INS_VCVTPD2DQX = 727;
-	public static final int X86_INS_VCVTPD2DQ = 728;
-	public static final int X86_INS_VCVTPD2PSX = 729;
-	public static final int X86_INS_VCVTPD2PS = 730;
-	public static final int X86_INS_VCVTPD2UDQ = 731;
-	public static final int X86_INS_VCVTPH2PS = 732;
-	public static final int X86_INS_VCVTPS2DQ = 733;
-	public static final int X86_INS_VCVTPS2PD = 734;
-	public static final int X86_INS_VCVTPS2PH = 735;
-	public static final int X86_INS_VCVTPS2UDQ = 736;
-	public static final int X86_INS_VCVTSD2SI = 737;
-	public static final int X86_INS_VCVTSD2USI = 738;
-	public static final int X86_INS_VCVTSS2SI = 739;
-	public static final int X86_INS_VCVTSS2USI = 740;
-	public static final int X86_INS_VCVTTPD2DQX = 741;
-	public static final int X86_INS_VCVTTPD2DQ = 742;
-	public static final int X86_INS_VCVTTPD2UDQ = 743;
-	public static final int X86_INS_VCVTTPS2DQ = 744;
-	public static final int X86_INS_VCVTTPS2UDQ = 745;
-	public static final int X86_INS_VCVTUDQ2PD = 746;
-	public static final int X86_INS_VCVTUDQ2PS = 747;
-	public static final int X86_INS_VDIVPD = 748;
-	public static final int X86_INS_VDIVPS = 749;
-	public static final int X86_INS_VDIVSD = 750;
-	public static final int X86_INS_VDIVSS = 751;
-	public static final int X86_INS_VDPPD = 752;
-	public static final int X86_INS_VDPPS = 753;
-	public static final int X86_INS_VERR = 754;
-	public static final int X86_INS_VERW = 755;
-	public static final int X86_INS_VEXTRACTF128 = 756;
-	public static final int X86_INS_VEXTRACTF32X4 = 757;
-	public static final int X86_INS_VEXTRACTF64X4 = 758;
-	public static final int X86_INS_VEXTRACTI128 = 759;
-	public static final int X86_INS_VEXTRACTI32X4 = 760;
-	public static final int X86_INS_VEXTRACTI64X4 = 761;
-	public static final int X86_INS_VEXTRACTPS = 762;
-	public static final int X86_INS_VFMADD132PD = 763;
-	public static final int X86_INS_VFMADD132PS = 764;
-	public static final int X86_INS_VFMADD213PD = 765;
-	public static final int X86_INS_VFMADD213PS = 766;
-	public static final int X86_INS_VFMADDPD = 767;
-	public static final int X86_INS_VFMADD231PD = 768;
-	public static final int X86_INS_VFMADDPS = 769;
-	public static final int X86_INS_VFMADD231PS = 770;
-	public static final int X86_INS_VFMADDSD = 771;
-	public static final int X86_INS_VFMADD213SD = 772;
-	public static final int X86_INS_VFMADD132SD = 773;
-	public static final int X86_INS_VFMADD231SD = 774;
-	public static final int X86_INS_VFMADDSS = 775;
-	public static final int X86_INS_VFMADD213SS = 776;
-	public static final int X86_INS_VFMADD132SS = 777;
-	public static final int X86_INS_VFMADD231SS = 778;
-	public static final int X86_INS_VFMADDSUB132PD = 779;
-	public static final int X86_INS_VFMADDSUB132PS = 780;
-	public static final int X86_INS_VFMADDSUB213PD = 781;
-	public static final int X86_INS_VFMADDSUB213PS = 782;
-	public static final int X86_INS_VFMADDSUBPD = 783;
-	public static final int X86_INS_VFMADDSUB231PD = 784;
-	public static final int X86_INS_VFMADDSUBPS = 785;
-	public static final int X86_INS_VFMADDSUB231PS = 786;
-	public static final int X86_INS_VFMSUB132PD = 787;
-	public static final int X86_INS_VFMSUB132PS = 788;
-	public static final int X86_INS_VFMSUB213PD = 789;
-	public static final int X86_INS_VFMSUB213PS = 790;
-	public static final int X86_INS_VFMSUBADD132PD = 791;
-	public static final int X86_INS_VFMSUBADD132PS = 792;
-	public static final int X86_INS_VFMSUBADD213PD = 793;
-	public static final int X86_INS_VFMSUBADD213PS = 794;
-	public static final int X86_INS_VFMSUBADDPD = 795;
-	public static final int X86_INS_VFMSUBADD231PD = 796;
-	public static final int X86_INS_VFMSUBADDPS = 797;
-	public static final int X86_INS_VFMSUBADD231PS = 798;
-	public static final int X86_INS_VFMSUBPD = 799;
-	public static final int X86_INS_VFMSUB231PD = 800;
-	public static final int X86_INS_VFMSUBPS = 801;
-	public static final int X86_INS_VFMSUB231PS = 802;
-	public static final int X86_INS_VFMSUBSD = 803;
-	public static final int X86_INS_VFMSUB213SD = 804;
-	public static final int X86_INS_VFMSUB132SD = 805;
-	public static final int X86_INS_VFMSUB231SD = 806;
-	public static final int X86_INS_VFMSUBSS = 807;
-	public static final int X86_INS_VFMSUB213SS = 808;
-	public static final int X86_INS_VFMSUB132SS = 809;
-	public static final int X86_INS_VFMSUB231SS = 810;
-	public static final int X86_INS_VFNMADD132PD = 811;
-	public static final int X86_INS_VFNMADD132PS = 812;
-	public static final int X86_INS_VFNMADD213PD = 813;
-	public static final int X86_INS_VFNMADD213PS = 814;
-	public static final int X86_INS_VFNMADDPD = 815;
-	public static final int X86_INS_VFNMADD231PD = 816;
-	public static final int X86_INS_VFNMADDPS = 817;
-	public static final int X86_INS_VFNMADD231PS = 818;
-	public static final int X86_INS_VFNMADDSD = 819;
-	public static final int X86_INS_VFNMADD213SD = 820;
-	public static final int X86_INS_VFNMADD132SD = 821;
-	public static final int X86_INS_VFNMADD231SD = 822;
-	public static final int X86_INS_VFNMADDSS = 823;
-	public static final int X86_INS_VFNMADD213SS = 824;
-	public static final int X86_INS_VFNMADD132SS = 825;
-	public static final int X86_INS_VFNMADD231SS = 826;
-	public static final int X86_INS_VFNMSUB132PD = 827;
-	public static final int X86_INS_VFNMSUB132PS = 828;
-	public static final int X86_INS_VFNMSUB213PD = 829;
-	public static final int X86_INS_VFNMSUB213PS = 830;
-	public static final int X86_INS_VFNMSUBPD = 831;
-	public static final int X86_INS_VFNMSUB231PD = 832;
-	public static final int X86_INS_VFNMSUBPS = 833;
-	public static final int X86_INS_VFNMSUB231PS = 834;
-	public static final int X86_INS_VFNMSUBSD = 835;
-	public static final int X86_INS_VFNMSUB213SD = 836;
-	public static final int X86_INS_VFNMSUB132SD = 837;
-	public static final int X86_INS_VFNMSUB231SD = 838;
-	public static final int X86_INS_VFNMSUBSS = 839;
-	public static final int X86_INS_VFNMSUB213SS = 840;
-	public static final int X86_INS_VFNMSUB132SS = 841;
-	public static final int X86_INS_VFNMSUB231SS = 842;
-	public static final int X86_INS_VFRCZPD = 843;
-	public static final int X86_INS_VFRCZPS = 844;
-	public static final int X86_INS_VFRCZSD = 845;
-	public static final int X86_INS_VFRCZSS = 846;
-	public static final int X86_INS_VORPD = 847;
-	public static final int X86_INS_VORPS = 848;
-	public static final int X86_INS_VXORPD = 849;
-	public static final int X86_INS_VXORPS = 850;
-	public static final int X86_INS_VGATHERDPD = 851;
-	public static final int X86_INS_VGATHERDPS = 852;
-	public static final int X86_INS_VGATHERQPD = 853;
-	public static final int X86_INS_VGATHERQPS = 854;
-	public static final int X86_INS_VHADDPD = 855;
-	public static final int X86_INS_VHADDPS = 856;
-	public static final int X86_INS_VHSUBPD = 857;
-	public static final int X86_INS_VHSUBPS = 858;
-	public static final int X86_INS_VINSERTF128 = 859;
-	public static final int X86_INS_VINSERTF32X4 = 860;
-	public static final int X86_INS_VINSERTF64X4 = 861;
-	public static final int X86_INS_VINSERTI128 = 862;
-	public static final int X86_INS_VINSERTI32X4 = 863;
-	public static final int X86_INS_VINSERTI64X4 = 864;
-	public static final int X86_INS_VINSERTPS = 865;
-	public static final int X86_INS_VLDDQU = 866;
-	public static final int X86_INS_VLDMXCSR = 867;
-	public static final int X86_INS_VMASKMOVDQU = 868;
-	public static final int X86_INS_VMASKMOVPD = 869;
-	public static final int X86_INS_VMASKMOVPS = 870;
-	public static final int X86_INS_VMAXPD = 871;
-	public static final int X86_INS_VMAXPS = 872;
-	public static final int X86_INS_VMAXSD = 873;
-	public static final int X86_INS_VMAXSS = 874;
-	public static final int X86_INS_VMCALL = 875;
-	public static final int X86_INS_VMCLEAR = 876;
-	public static final int X86_INS_VMFUNC = 877;
-	public static final int X86_INS_VMINPD = 878;
-	public static final int X86_INS_VMINPS = 879;
-	public static final int X86_INS_VMINSD = 880;
-	public static final int X86_INS_VMINSS = 881;
-	public static final int X86_INS_VMLAUNCH = 882;
-	public static final int X86_INS_VMLOAD = 883;
-	public static final int X86_INS_VMMCALL = 884;
-	public static final int X86_INS_VMOVQ = 885;
-	public static final int X86_INS_VMOVDDUP = 886;
-	public static final int X86_INS_VMOVD = 887;
-	public static final int X86_INS_VMOVDQA32 = 888;
-	public static final int X86_INS_VMOVDQA64 = 889;
-	public static final int X86_INS_VMOVDQA = 890;
-	public static final int X86_INS_VMOVDQU32 = 891;
-	public static final int X86_INS_VMOVDQU64 = 892;
-	public static final int X86_INS_VMOVDQU = 893;
-	public static final int X86_INS_VMOVHLPS = 894;
-	public static final int X86_INS_VMOVHPD = 895;
-	public static final int X86_INS_VMOVHPS = 896;
-	public static final int X86_INS_VMOVLHPS = 897;
-	public static final int X86_INS_VMOVLPD = 898;
-	public static final int X86_INS_VMOVLPS = 899;
-	public static final int X86_INS_VMOVMSKPD = 900;
-	public static final int X86_INS_VMOVMSKPS = 901;
-	public static final int X86_INS_VMOVNTDQA = 902;
-	public static final int X86_INS_VMOVNTDQ = 903;
-	public static final int X86_INS_VMOVNTPD = 904;
-	public static final int X86_INS_VMOVNTPS = 905;
-	public static final int X86_INS_VMOVSD = 906;
-	public static final int X86_INS_VMOVSHDUP = 907;
-	public static final int X86_INS_VMOVSLDUP = 908;
-	public static final int X86_INS_VMOVSS = 909;
-	public static final int X86_INS_VMOVUPD = 910;
-	public static final int X86_INS_VMOVUPS = 911;
-	public static final int X86_INS_VMPSADBW = 912;
-	public static final int X86_INS_VMPTRLD = 913;
-	public static final int X86_INS_VMPTRST = 914;
-	public static final int X86_INS_VMREAD = 915;
-	public static final int X86_INS_VMRESUME = 916;
-	public static final int X86_INS_VMRUN = 917;
-	public static final int X86_INS_VMSAVE = 918;
-	public static final int X86_INS_VMULPD = 919;
-	public static final int X86_INS_VMULPS = 920;
-	public static final int X86_INS_VMULSD = 921;
-	public static final int X86_INS_VMULSS = 922;
-	public static final int X86_INS_VMWRITE = 923;
-	public static final int X86_INS_VMXOFF = 924;
-	public static final int X86_INS_VMXON = 925;
-	public static final int X86_INS_VPABSB = 926;
-	public static final int X86_INS_VPABSD = 927;
-	public static final int X86_INS_VPABSQ = 928;
-	public static final int X86_INS_VPABSW = 929;
-	public static final int X86_INS_VPACKSSDW = 930;
-	public static final int X86_INS_VPACKSSWB = 931;
-	public static final int X86_INS_VPACKUSDW = 932;
-	public static final int X86_INS_VPACKUSWB = 933;
-	public static final int X86_INS_VPADDB = 934;
-	public static final int X86_INS_VPADDD = 935;
-	public static final int X86_INS_VPADDQ = 936;
-	public static final int X86_INS_VPADDSB = 937;
-	public static final int X86_INS_VPADDSW = 938;
-	public static final int X86_INS_VPADDUSB = 939;
-	public static final int X86_INS_VPADDUSW = 940;
-	public static final int X86_INS_VPADDW = 941;
-	public static final int X86_INS_VPALIGNR = 942;
-	public static final int X86_INS_VPANDD = 943;
-	public static final int X86_INS_VPANDND = 944;
-	public static final int X86_INS_VPANDNQ = 945;
-	public static final int X86_INS_VPANDN = 946;
-	public static final int X86_INS_VPANDQ = 947;
-	public static final int X86_INS_VPAND = 948;
-	public static final int X86_INS_VPAVGB = 949;
-	public static final int X86_INS_VPAVGW = 950;
-	public static final int X86_INS_VPBLENDD = 951;
-	public static final int X86_INS_VPBLENDMD = 952;
-	public static final int X86_INS_VPBLENDMQ = 953;
-	public static final int X86_INS_VPBLENDVB = 954;
-	public static final int X86_INS_VPBLENDW = 955;
-	public static final int X86_INS_VPBROADCASTB = 956;
-	public static final int X86_INS_VPBROADCASTD = 957;
-	public static final int X86_INS_VPBROADCASTMB2Q = 958;
-	public static final int X86_INS_VPBROADCASTMW2D = 959;
-	public static final int X86_INS_VPBROADCASTQ = 960;
-	public static final int X86_INS_VPBROADCASTW = 961;
-	public static final int X86_INS_VPCLMULQDQ = 962;
-	public static final int X86_INS_VPCMOV = 963;
-	public static final int X86_INS_VPCMP = 964;
-	public static final int X86_INS_VPCMPD = 965;
-	public static final int X86_INS_VPCMPEQB = 966;
-	public static final int X86_INS_VPCMPEQD = 967;
-	public static final int X86_INS_VPCMPEQQ = 968;
-	public static final int X86_INS_VPCMPEQW = 969;
-	public static final int X86_INS_VPCMPESTRI = 970;
-	public static final int X86_INS_VPCMPESTRM = 971;
-	public static final int X86_INS_VPCMPGTB = 972;
-	public static final int X86_INS_VPCMPGTD = 973;
-	public static final int X86_INS_VPCMPGTQ = 974;
-	public static final int X86_INS_VPCMPGTW = 975;
-	public static final int X86_INS_VPCMPISTRI = 976;
-	public static final int X86_INS_VPCMPISTRM = 977;
-	public static final int X86_INS_VPCMPQ = 978;
-	public static final int X86_INS_VPCMPUD = 979;
-	public static final int X86_INS_VPCMPUQ = 980;
-	public static final int X86_INS_VPCOMB = 981;
-	public static final int X86_INS_VPCOMD = 982;
-	public static final int X86_INS_VPCOMQ = 983;
-	public static final int X86_INS_VPCOMUB = 984;
-	public static final int X86_INS_VPCOMUD = 985;
-	public static final int X86_INS_VPCOMUQ = 986;
-	public static final int X86_INS_VPCOMUW = 987;
-	public static final int X86_INS_VPCOMW = 988;
-	public static final int X86_INS_VPCONFLICTD = 989;
-	public static final int X86_INS_VPCONFLICTQ = 990;
-	public static final int X86_INS_VPERM2F128 = 991;
-	public static final int X86_INS_VPERM2I128 = 992;
-	public static final int X86_INS_VPERMD = 993;
-	public static final int X86_INS_VPERMI2D = 994;
-	public static final int X86_INS_VPERMI2PD = 995;
-	public static final int X86_INS_VPERMI2PS = 996;
-	public static final int X86_INS_VPERMI2Q = 997;
-	public static final int X86_INS_VPERMIL2PD = 998;
-	public static final int X86_INS_VPERMIL2PS = 999;
-	public static final int X86_INS_VPERMILPD = 1000;
-	public static final int X86_INS_VPERMILPS = 1001;
-	public static final int X86_INS_VPERMPD = 1002;
-	public static final int X86_INS_VPERMPS = 1003;
-	public static final int X86_INS_VPERMQ = 1004;
-	public static final int X86_INS_VPERMT2D = 1005;
-	public static final int X86_INS_VPERMT2PD = 1006;
-	public static final int X86_INS_VPERMT2PS = 1007;
-	public static final int X86_INS_VPERMT2Q = 1008;
-	public static final int X86_INS_VPEXTRB = 1009;
-	public static final int X86_INS_VPEXTRD = 1010;
-	public static final int X86_INS_VPEXTRQ = 1011;
-	public static final int X86_INS_VPEXTRW = 1012;
-	public static final int X86_INS_VPGATHERDD = 1013;
-	public static final int X86_INS_VPGATHERDQ = 1014;
-	public static final int X86_INS_VPGATHERQD = 1015;
-	public static final int X86_INS_VPGATHERQQ = 1016;
-	public static final int X86_INS_VPHADDBD = 1017;
-	public static final int X86_INS_VPHADDBQ = 1018;
-	public static final int X86_INS_VPHADDBW = 1019;
-	public static final int X86_INS_VPHADDDQ = 1020;
-	public static final int X86_INS_VPHADDD = 1021;
-	public static final int X86_INS_VPHADDSW = 1022;
-	public static final int X86_INS_VPHADDUBD = 1023;
-	public static final int X86_INS_VPHADDUBQ = 1024;
-	public static final int X86_INS_VPHADDUBW = 1025;
-	public static final int X86_INS_VPHADDUDQ = 1026;
-	public static final int X86_INS_VPHADDUWD = 1027;
-	public static final int X86_INS_VPHADDUWQ = 1028;
-	public static final int X86_INS_VPHADDWD = 1029;
-	public static final int X86_INS_VPHADDWQ = 1030;
-	public static final int X86_INS_VPHADDW = 1031;
-	public static final int X86_INS_VPHMINPOSUW = 1032;
-	public static final int X86_INS_VPHSUBBW = 1033;
-	public static final int X86_INS_VPHSUBDQ = 1034;
-	public static final int X86_INS_VPHSUBD = 1035;
-	public static final int X86_INS_VPHSUBSW = 1036;
-	public static final int X86_INS_VPHSUBWD = 1037;
-	public static final int X86_INS_VPHSUBW = 1038;
-	public static final int X86_INS_VPINSRB = 1039;
-	public static final int X86_INS_VPINSRD = 1040;
-	public static final int X86_INS_VPINSRQ = 1041;
-	public static final int X86_INS_VPINSRW = 1042;
-	public static final int X86_INS_VPMACSDD = 1043;
-	public static final int X86_INS_VPMACSDQH = 1044;
-	public static final int X86_INS_VPMACSDQL = 1045;
-	public static final int X86_INS_VPMACSSDD = 1046;
-	public static final int X86_INS_VPMACSSDQH = 1047;
-	public static final int X86_INS_VPMACSSDQL = 1048;
-	public static final int X86_INS_VPMACSSWD = 1049;
-	public static final int X86_INS_VPMACSSWW = 1050;
-	public static final int X86_INS_VPMACSWD = 1051;
-	public static final int X86_INS_VPMACSWW = 1052;
-	public static final int X86_INS_VPMADCSSWD = 1053;
-	public static final int X86_INS_VPMADCSWD = 1054;
-	public static final int X86_INS_VPMADDUBSW = 1055;
-	public static final int X86_INS_VPMADDWD = 1056;
-	public static final int X86_INS_VPMASKMOVD = 1057;
-	public static final int X86_INS_VPMASKMOVQ = 1058;
-	public static final int X86_INS_VPMAXSB = 1059;
-	public static final int X86_INS_VPMAXSD = 1060;
-	public static final int X86_INS_VPMAXSQ = 1061;
-	public static final int X86_INS_VPMAXSW = 1062;
-	public static final int X86_INS_VPMAXUB = 1063;
-	public static final int X86_INS_VPMAXUD = 1064;
-	public static final int X86_INS_VPMAXUQ = 1065;
-	public static final int X86_INS_VPMAXUW = 1066;
-	public static final int X86_INS_VPMINSB = 1067;
-	public static final int X86_INS_VPMINSD = 1068;
-	public static final int X86_INS_VPMINSQ = 1069;
-	public static final int X86_INS_VPMINSW = 1070;
-	public static final int X86_INS_VPMINUB = 1071;
-	public static final int X86_INS_VPMINUD = 1072;
-	public static final int X86_INS_VPMINUQ = 1073;
-	public static final int X86_INS_VPMINUW = 1074;
-	public static final int X86_INS_VPMOVDB = 1075;
-	public static final int X86_INS_VPMOVDW = 1076;
-	public static final int X86_INS_VPMOVMSKB = 1077;
-	public static final int X86_INS_VPMOVQB = 1078;
-	public static final int X86_INS_VPMOVQD = 1079;
-	public static final int X86_INS_VPMOVQW = 1080;
-	public static final int X86_INS_VPMOVSDB = 1081;
-	public static final int X86_INS_VPMOVSDW = 1082;
-	public static final int X86_INS_VPMOVSQB = 1083;
-	public static final int X86_INS_VPMOVSQD = 1084;
-	public static final int X86_INS_VPMOVSQW = 1085;
-	public static final int X86_INS_VPMOVSXBD = 1086;
-	public static final int X86_INS_VPMOVSXBQ = 1087;
-	public static final int X86_INS_VPMOVSXBW = 1088;
-	public static final int X86_INS_VPMOVSXDQ = 1089;
-	public static final int X86_INS_VPMOVSXWD = 1090;
-	public static final int X86_INS_VPMOVSXWQ = 1091;
-	public static final int X86_INS_VPMOVUSDB = 1092;
-	public static final int X86_INS_VPMOVUSDW = 1093;
-	public static final int X86_INS_VPMOVUSQB = 1094;
-	public static final int X86_INS_VPMOVUSQD = 1095;
-	public static final int X86_INS_VPMOVUSQW = 1096;
-	public static final int X86_INS_VPMOVZXBD = 1097;
-	public static final int X86_INS_VPMOVZXBQ = 1098;
-	public static final int X86_INS_VPMOVZXBW = 1099;
-	public static final int X86_INS_VPMOVZXDQ = 1100;
-	public static final int X86_INS_VPMOVZXWD = 1101;
-	public static final int X86_INS_VPMOVZXWQ = 1102;
-	public static final int X86_INS_VPMULDQ = 1103;
-	public static final int X86_INS_VPMULHRSW = 1104;
-	public static final int X86_INS_VPMULHUW = 1105;
-	public static final int X86_INS_VPMULHW = 1106;
-	public static final int X86_INS_VPMULLD = 1107;
-	public static final int X86_INS_VPMULLW = 1108;
-	public static final int X86_INS_VPMULUDQ = 1109;
-	public static final int X86_INS_VPORD = 1110;
-	public static final int X86_INS_VPORQ = 1111;
-	public static final int X86_INS_VPOR = 1112;
-	public static final int X86_INS_VPPERM = 1113;
-	public static final int X86_INS_VPROTB = 1114;
-	public static final int X86_INS_VPROTD = 1115;
-	public static final int X86_INS_VPROTQ = 1116;
-	public static final int X86_INS_VPROTW = 1117;
-	public static final int X86_INS_VPSADBW = 1118;
-	public static final int X86_INS_VPSCATTERDD = 1119;
-	public static final int X86_INS_VPSCATTERDQ = 1120;
-	public static final int X86_INS_VPSCATTERQD = 1121;
-	public static final int X86_INS_VPSCATTERQQ = 1122;
-	public static final int X86_INS_VPSHAB = 1123;
-	public static final int X86_INS_VPSHAD = 1124;
-	public static final int X86_INS_VPSHAQ = 1125;
-	public static final int X86_INS_VPSHAW = 1126;
-	public static final int X86_INS_VPSHLB = 1127;
-	public static final int X86_INS_VPSHLD = 1128;
-	public static final int X86_INS_VPSHLQ = 1129;
-	public static final int X86_INS_VPSHLW = 1130;
-	public static final int X86_INS_VPSHUFB = 1131;
-	public static final int X86_INS_VPSHUFD = 1132;
-	public static final int X86_INS_VPSHUFHW = 1133;
-	public static final int X86_INS_VPSHUFLW = 1134;
-	public static final int X86_INS_VPSIGNB = 1135;
-	public static final int X86_INS_VPSIGND = 1136;
-	public static final int X86_INS_VPSIGNW = 1137;
-	public static final int X86_INS_VPSLLDQ = 1138;
-	public static final int X86_INS_VPSLLD = 1139;
-	public static final int X86_INS_VPSLLQ = 1140;
-	public static final int X86_INS_VPSLLVD = 1141;
-	public static final int X86_INS_VPSLLVQ = 1142;
-	public static final int X86_INS_VPSLLW = 1143;
-	public static final int X86_INS_VPSRAD = 1144;
-	public static final int X86_INS_VPSRAQ = 1145;
-	public static final int X86_INS_VPSRAVD = 1146;
-	public static final int X86_INS_VPSRAVQ = 1147;
-	public static final int X86_INS_VPSRAW = 1148;
-	public static final int X86_INS_VPSRLDQ = 1149;
-	public static final int X86_INS_VPSRLD = 1150;
-	public static final int X86_INS_VPSRLQ = 1151;
-	public static final int X86_INS_VPSRLVD = 1152;
-	public static final int X86_INS_VPSRLVQ = 1153;
-	public static final int X86_INS_VPSRLW = 1154;
-	public static final int X86_INS_VPSUBB = 1155;
-	public static final int X86_INS_VPSUBD = 1156;
-	public static final int X86_INS_VPSUBQ = 1157;
-	public static final int X86_INS_VPSUBSB = 1158;
-	public static final int X86_INS_VPSUBSW = 1159;
-	public static final int X86_INS_VPSUBUSB = 1160;
-	public static final int X86_INS_VPSUBUSW = 1161;
-	public static final int X86_INS_VPSUBW = 1162;
-	public static final int X86_INS_VPTESTMD = 1163;
-	public static final int X86_INS_VPTESTMQ = 1164;
-	public static final int X86_INS_VPTESTNMD = 1165;
-	public static final int X86_INS_VPTESTNMQ = 1166;
-	public static final int X86_INS_VPTEST = 1167;
-	public static final int X86_INS_VPUNPCKHBW = 1168;
-	public static final int X86_INS_VPUNPCKHDQ = 1169;
-	public static final int X86_INS_VPUNPCKHQDQ = 1170;
-	public static final int X86_INS_VPUNPCKHWD = 1171;
-	public static final int X86_INS_VPUNPCKLBW = 1172;
-	public static final int X86_INS_VPUNPCKLDQ = 1173;
-	public static final int X86_INS_VPUNPCKLQDQ = 1174;
-	public static final int X86_INS_VPUNPCKLWD = 1175;
-	public static final int X86_INS_VPXORD = 1176;
-	public static final int X86_INS_VPXORQ = 1177;
-	public static final int X86_INS_VPXOR = 1178;
-	public static final int X86_INS_VRCP14PD = 1179;
-	public static final int X86_INS_VRCP14PS = 1180;
-	public static final int X86_INS_VRCP14SD = 1181;
-	public static final int X86_INS_VRCP14SS = 1182;
-	public static final int X86_INS_VRCP28PD = 1183;
-	public static final int X86_INS_VRCP28PS = 1184;
-	public static final int X86_INS_VRCP28SD = 1185;
-	public static final int X86_INS_VRCP28SS = 1186;
-	public static final int X86_INS_VRCPPS = 1187;
-	public static final int X86_INS_VRCPSS = 1188;
-	public static final int X86_INS_VRNDSCALEPD = 1189;
-	public static final int X86_INS_VRNDSCALEPS = 1190;
-	public static final int X86_INS_VRNDSCALESD = 1191;
-	public static final int X86_INS_VRNDSCALESS = 1192;
-	public static final int X86_INS_VROUNDPD = 1193;
-	public static final int X86_INS_VROUNDPS = 1194;
-	public static final int X86_INS_VROUNDSD = 1195;
-	public static final int X86_INS_VROUNDSS = 1196;
-	public static final int X86_INS_VRSQRT14PD = 1197;
-	public static final int X86_INS_VRSQRT14PS = 1198;
-	public static final int X86_INS_VRSQRT14SD = 1199;
-	public static final int X86_INS_VRSQRT14SS = 1200;
-	public static final int X86_INS_VRSQRT28PD = 1201;
-	public static final int X86_INS_VRSQRT28PS = 1202;
-	public static final int X86_INS_VRSQRT28SD = 1203;
-	public static final int X86_INS_VRSQRT28SS = 1204;
-	public static final int X86_INS_VRSQRTPS = 1205;
-	public static final int X86_INS_VRSQRTSS = 1206;
-	public static final int X86_INS_VSCATTERDPD = 1207;
-	public static final int X86_INS_VSCATTERDPS = 1208;
-	public static final int X86_INS_VSCATTERQPD = 1209;
-	public static final int X86_INS_VSCATTERQPS = 1210;
-	public static final int X86_INS_VSHUFPD = 1211;
-	public static final int X86_INS_VSHUFPS = 1212;
-	public static final int X86_INS_VSQRTPD = 1213;
-	public static final int X86_INS_VSQRTPS = 1214;
-	public static final int X86_INS_VSQRTSD = 1215;
-	public static final int X86_INS_VSQRTSS = 1216;
-	public static final int X86_INS_VSTMXCSR = 1217;
-	public static final int X86_INS_VSUBPD = 1218;
-	public static final int X86_INS_VSUBPS = 1219;
-	public static final int X86_INS_VSUBSD = 1220;
-	public static final int X86_INS_VSUBSS = 1221;
-	public static final int X86_INS_VTESTPD = 1222;
-	public static final int X86_INS_VTESTPS = 1223;
-	public static final int X86_INS_VUNPCKHPD = 1224;
-	public static final int X86_INS_VUNPCKHPS = 1225;
-	public static final int X86_INS_VUNPCKLPD = 1226;
-	public static final int X86_INS_VUNPCKLPS = 1227;
-	public static final int X86_INS_VZEROALL = 1228;
-	public static final int X86_INS_VZEROUPPER = 1229;
-	public static final int X86_INS_WAIT = 1230;
-	public static final int X86_INS_WBINVD = 1231;
-	public static final int X86_INS_WRFSBASE = 1232;
-	public static final int X86_INS_WRGSBASE = 1233;
-	public static final int X86_INS_WRMSR = 1234;
-	public static final int X86_INS_XABORT = 1235;
-	public static final int X86_INS_XACQUIRE = 1236;
-	public static final int X86_INS_XBEGIN = 1237;
-	public static final int X86_INS_XCHG = 1238;
-	public static final int X86_INS_FXCH = 1239;
-	public static final int X86_INS_XCRYPTCBC = 1240;
-	public static final int X86_INS_XCRYPTCFB = 1241;
-	public static final int X86_INS_XCRYPTCTR = 1242;
-	public static final int X86_INS_XCRYPTECB = 1243;
-	public static final int X86_INS_XCRYPTOFB = 1244;
-	public static final int X86_INS_XEND = 1245;
-	public static final int X86_INS_XGETBV = 1246;
-	public static final int X86_INS_XLATB = 1247;
-	public static final int X86_INS_XRELEASE = 1248;
-	public static final int X86_INS_XRSTOR = 1249;
-	public static final int X86_INS_XRSTOR64 = 1250;
-	public static final int X86_INS_XSAVE = 1251;
-	public static final int X86_INS_XSAVE64 = 1252;
-	public static final int X86_INS_XSAVEOPT = 1253;
-	public static final int X86_INS_XSAVEOPT64 = 1254;
-	public static final int X86_INS_XSETBV = 1255;
-	public static final int X86_INS_XSHA1 = 1256;
-	public static final int X86_INS_XSHA256 = 1257;
-	public static final int X86_INS_XSTORE = 1258;
-	public static final int X86_INS_XTEST = 1259;
-	public static final int X86_INS_MAX = 1260;
+	public static final int X86_INS_FXAM = 190;
+	public static final int X86_INS_FXRSTOR = 191;
+	public static final int X86_INS_FXRSTOR64 = 192;
+	public static final int X86_INS_FXSAVE = 193;
+	public static final int X86_INS_FXSAVE64 = 194;
+	public static final int X86_INS_FXTRACT = 195;
+	public static final int X86_INS_FYL2X = 196;
+	public static final int X86_INS_FYL2XP1 = 197;
+	public static final int X86_INS_MOVAPD = 198;
+	public static final int X86_INS_MOVAPS = 199;
+	public static final int X86_INS_ORPD = 200;
+	public static final int X86_INS_ORPS = 201;
+	public static final int X86_INS_VMOVAPD = 202;
+	public static final int X86_INS_VMOVAPS = 203;
+	public static final int X86_INS_XORPD = 204;
+	public static final int X86_INS_XORPS = 205;
+	public static final int X86_INS_GETSEC = 206;
+	public static final int X86_INS_HADDPD = 207;
+	public static final int X86_INS_HADDPS = 208;
+	public static final int X86_INS_HLT = 209;
+	public static final int X86_INS_HSUBPD = 210;
+	public static final int X86_INS_HSUBPS = 211;
+	public static final int X86_INS_IDIV = 212;
+	public static final int X86_INS_FILD = 213;
+	public static final int X86_INS_IMUL = 214;
+	public static final int X86_INS_IN = 215;
+	public static final int X86_INS_INC = 216;
+	public static final int X86_INS_INSB = 217;
+	public static final int X86_INS_INSERTPS = 218;
+	public static final int X86_INS_INSERTQ = 219;
+	public static final int X86_INS_INSD = 220;
+	public static final int X86_INS_INSW = 221;
+	public static final int X86_INS_INT = 222;
+	public static final int X86_INS_INT1 = 223;
+	public static final int X86_INS_INT3 = 224;
+	public static final int X86_INS_INTO = 225;
+	public static final int X86_INS_INVD = 226;
+	public static final int X86_INS_INVEPT = 227;
+	public static final int X86_INS_INVLPG = 228;
+	public static final int X86_INS_INVLPGA = 229;
+	public static final int X86_INS_INVPCID = 230;
+	public static final int X86_INS_INVVPID = 231;
+	public static final int X86_INS_IRET = 232;
+	public static final int X86_INS_IRETD = 233;
+	public static final int X86_INS_IRETQ = 234;
+	public static final int X86_INS_FISTTP = 235;
+	public static final int X86_INS_FIST = 236;
+	public static final int X86_INS_FISTP = 237;
+	public static final int X86_INS_UCOMISD = 238;
+	public static final int X86_INS_UCOMISS = 239;
+	public static final int X86_INS_VCMP = 240;
+	public static final int X86_INS_VCOMISD = 241;
+	public static final int X86_INS_VCOMISS = 242;
+	public static final int X86_INS_VCVTSD2SS = 243;
+	public static final int X86_INS_VCVTSI2SD = 244;
+	public static final int X86_INS_VCVTSI2SS = 245;
+	public static final int X86_INS_VCVTSS2SD = 246;
+	public static final int X86_INS_VCVTTSD2SI = 247;
+	public static final int X86_INS_VCVTTSD2USI = 248;
+	public static final int X86_INS_VCVTTSS2SI = 249;
+	public static final int X86_INS_VCVTTSS2USI = 250;
+	public static final int X86_INS_VCVTUSI2SD = 251;
+	public static final int X86_INS_VCVTUSI2SS = 252;
+	public static final int X86_INS_VUCOMISD = 253;
+	public static final int X86_INS_VUCOMISS = 254;
+	public static final int X86_INS_JAE = 255;
+	public static final int X86_INS_JA = 256;
+	public static final int X86_INS_JBE = 257;
+	public static final int X86_INS_JB = 258;
+	public static final int X86_INS_JCXZ = 259;
+	public static final int X86_INS_JECXZ = 260;
+	public static final int X86_INS_JE = 261;
+	public static final int X86_INS_JGE = 262;
+	public static final int X86_INS_JG = 263;
+	public static final int X86_INS_JLE = 264;
+	public static final int X86_INS_JL = 265;
+	public static final int X86_INS_JMP = 266;
+	public static final int X86_INS_JNE = 267;
+	public static final int X86_INS_JNO = 268;
+	public static final int X86_INS_JNP = 269;
+	public static final int X86_INS_JNS = 270;
+	public static final int X86_INS_JO = 271;
+	public static final int X86_INS_JP = 272;
+	public static final int X86_INS_JRCXZ = 273;
+	public static final int X86_INS_JS = 274;
+	public static final int X86_INS_KANDB = 275;
+	public static final int X86_INS_KANDD = 276;
+	public static final int X86_INS_KANDNB = 277;
+	public static final int X86_INS_KANDND = 278;
+	public static final int X86_INS_KANDNQ = 279;
+	public static final int X86_INS_KANDNW = 280;
+	public static final int X86_INS_KANDQ = 281;
+	public static final int X86_INS_KANDW = 282;
+	public static final int X86_INS_KMOVB = 283;
+	public static final int X86_INS_KMOVD = 284;
+	public static final int X86_INS_KMOVQ = 285;
+	public static final int X86_INS_KMOVW = 286;
+	public static final int X86_INS_KNOTB = 287;
+	public static final int X86_INS_KNOTD = 288;
+	public static final int X86_INS_KNOTQ = 289;
+	public static final int X86_INS_KNOTW = 290;
+	public static final int X86_INS_KORB = 291;
+	public static final int X86_INS_KORD = 292;
+	public static final int X86_INS_KORQ = 293;
+	public static final int X86_INS_KORTESTW = 294;
+	public static final int X86_INS_KORW = 295;
+	public static final int X86_INS_KSHIFTLW = 296;
+	public static final int X86_INS_KSHIFTRW = 297;
+	public static final int X86_INS_KUNPCKBW = 298;
+	public static final int X86_INS_KXNORB = 299;
+	public static final int X86_INS_KXNORD = 300;
+	public static final int X86_INS_KXNORQ = 301;
+	public static final int X86_INS_KXNORW = 302;
+	public static final int X86_INS_KXORB = 303;
+	public static final int X86_INS_KXORD = 304;
+	public static final int X86_INS_KXORQ = 305;
+	public static final int X86_INS_KXORW = 306;
+	public static final int X86_INS_LAHF = 307;
+	public static final int X86_INS_LAR = 308;
+	public static final int X86_INS_LDDQU = 309;
+	public static final int X86_INS_LDMXCSR = 310;
+	public static final int X86_INS_LDS = 311;
+	public static final int X86_INS_FLDZ = 312;
+	public static final int X86_INS_FLD1 = 313;
+	public static final int X86_INS_FLD = 314;
+	public static final int X86_INS_LEA = 315;
+	public static final int X86_INS_LEAVE = 316;
+	public static final int X86_INS_LES = 317;
+	public static final int X86_INS_LFENCE = 318;
+	public static final int X86_INS_LFS = 319;
+	public static final int X86_INS_LGDT = 320;
+	public static final int X86_INS_LGS = 321;
+	public static final int X86_INS_LIDT = 322;
+	public static final int X86_INS_LLDT = 323;
+	public static final int X86_INS_LMSW = 324;
+	public static final int X86_INS_OR = 325;
+	public static final int X86_INS_LOCK = 326;
+	public static final int X86_INS_SUB = 327;
+	public static final int X86_INS_XOR = 328;
+	public static final int X86_INS_LODSB = 329;
+	public static final int X86_INS_LODSD = 330;
+	public static final int X86_INS_LODSQ = 331;
+	public static final int X86_INS_LODSW = 332;
+	public static final int X86_INS_LOOP = 333;
+	public static final int X86_INS_LOOPE = 334;
+	public static final int X86_INS_LOOPNE = 335;
+	public static final int X86_INS_RETF = 336;
+	public static final int X86_INS_RETFQ = 337;
+	public static final int X86_INS_LSL = 338;
+	public static final int X86_INS_LSS = 339;
+	public static final int X86_INS_LTR = 340;
+	public static final int X86_INS_XADD = 341;
+	public static final int X86_INS_LZCNT = 342;
+	public static final int X86_INS_MASKMOVDQU = 343;
+	public static final int X86_INS_MAXPD = 344;
+	public static final int X86_INS_MAXPS = 345;
+	public static final int X86_INS_MAXSD = 346;
+	public static final int X86_INS_MAXSS = 347;
+	public static final int X86_INS_MFENCE = 348;
+	public static final int X86_INS_MINPD = 349;
+	public static final int X86_INS_MINPS = 350;
+	public static final int X86_INS_MINSD = 351;
+	public static final int X86_INS_MINSS = 352;
+	public static final int X86_INS_CVTPD2PI = 353;
+	public static final int X86_INS_CVTPI2PD = 354;
+	public static final int X86_INS_CVTPI2PS = 355;
+	public static final int X86_INS_CVTPS2PI = 356;
+	public static final int X86_INS_CVTTPD2PI = 357;
+	public static final int X86_INS_CVTTPS2PI = 358;
+	public static final int X86_INS_EMMS = 359;
+	public static final int X86_INS_MASKMOVQ = 360;
+	public static final int X86_INS_MOVD = 361;
+	public static final int X86_INS_MOVDQ2Q = 362;
+	public static final int X86_INS_MOVNTQ = 363;
+	public static final int X86_INS_MOVQ2DQ = 364;
+	public static final int X86_INS_MOVQ = 365;
+	public static final int X86_INS_PABSB = 366;
+	public static final int X86_INS_PABSD = 367;
+	public static final int X86_INS_PABSW = 368;
+	public static final int X86_INS_PACKSSDW = 369;
+	public static final int X86_INS_PACKSSWB = 370;
+	public static final int X86_INS_PACKUSWB = 371;
+	public static final int X86_INS_PADDB = 372;
+	public static final int X86_INS_PADDD = 373;
+	public static final int X86_INS_PADDQ = 374;
+	public static final int X86_INS_PADDSB = 375;
+	public static final int X86_INS_PADDSW = 376;
+	public static final int X86_INS_PADDUSB = 377;
+	public static final int X86_INS_PADDUSW = 378;
+	public static final int X86_INS_PADDW = 379;
+	public static final int X86_INS_PALIGNR = 380;
+	public static final int X86_INS_PANDN = 381;
+	public static final int X86_INS_PAND = 382;
+	public static final int X86_INS_PAVGB = 383;
+	public static final int X86_INS_PAVGW = 384;
+	public static final int X86_INS_PCMPEQB = 385;
+	public static final int X86_INS_PCMPEQD = 386;
+	public static final int X86_INS_PCMPEQW = 387;
+	public static final int X86_INS_PCMPGTB = 388;
+	public static final int X86_INS_PCMPGTD = 389;
+	public static final int X86_INS_PCMPGTW = 390;
+	public static final int X86_INS_PEXTRW = 391;
+	public static final int X86_INS_PHADDSW = 392;
+	public static final int X86_INS_PHADDW = 393;
+	public static final int X86_INS_PHADDD = 394;
+	public static final int X86_INS_PHSUBD = 395;
+	public static final int X86_INS_PHSUBSW = 396;
+	public static final int X86_INS_PHSUBW = 397;
+	public static final int X86_INS_PINSRW = 398;
+	public static final int X86_INS_PMADDUBSW = 399;
+	public static final int X86_INS_PMADDWD = 400;
+	public static final int X86_INS_PMAXSW = 401;
+	public static final int X86_INS_PMAXUB = 402;
+	public static final int X86_INS_PMINSW = 403;
+	public static final int X86_INS_PMINUB = 404;
+	public static final int X86_INS_PMOVMSKB = 405;
+	public static final int X86_INS_PMULHRSW = 406;
+	public static final int X86_INS_PMULHUW = 407;
+	public static final int X86_INS_PMULHW = 408;
+	public static final int X86_INS_PMULLW = 409;
+	public static final int X86_INS_PMULUDQ = 410;
+	public static final int X86_INS_POR = 411;
+	public static final int X86_INS_PSADBW = 412;
+	public static final int X86_INS_PSHUFB = 413;
+	public static final int X86_INS_PSHUFW = 414;
+	public static final int X86_INS_PSIGNB = 415;
+	public static final int X86_INS_PSIGND = 416;
+	public static final int X86_INS_PSIGNW = 417;
+	public static final int X86_INS_PSLLD = 418;
+	public static final int X86_INS_PSLLQ = 419;
+	public static final int X86_INS_PSLLW = 420;
+	public static final int X86_INS_PSRAD = 421;
+	public static final int X86_INS_PSRAW = 422;
+	public static final int X86_INS_PSRLD = 423;
+	public static final int X86_INS_PSRLQ = 424;
+	public static final int X86_INS_PSRLW = 425;
+	public static final int X86_INS_PSUBB = 426;
+	public static final int X86_INS_PSUBD = 427;
+	public static final int X86_INS_PSUBQ = 428;
+	public static final int X86_INS_PSUBSB = 429;
+	public static final int X86_INS_PSUBSW = 430;
+	public static final int X86_INS_PSUBUSB = 431;
+	public static final int X86_INS_PSUBUSW = 432;
+	public static final int X86_INS_PSUBW = 433;
+	public static final int X86_INS_PUNPCKHBW = 434;
+	public static final int X86_INS_PUNPCKHDQ = 435;
+	public static final int X86_INS_PUNPCKHWD = 436;
+	public static final int X86_INS_PUNPCKLBW = 437;
+	public static final int X86_INS_PUNPCKLDQ = 438;
+	public static final int X86_INS_PUNPCKLWD = 439;
+	public static final int X86_INS_PXOR = 440;
+	public static final int X86_INS_MONITOR = 441;
+	public static final int X86_INS_MONTMUL = 442;
+	public static final int X86_INS_MOV = 443;
+	public static final int X86_INS_MOVABS = 444;
+	public static final int X86_INS_MOVBE = 445;
+	public static final int X86_INS_MOVDDUP = 446;
+	public static final int X86_INS_MOVDQA = 447;
+	public static final int X86_INS_MOVDQU = 448;
+	public static final int X86_INS_MOVHLPS = 449;
+	public static final int X86_INS_MOVHPD = 450;
+	public static final int X86_INS_MOVHPS = 451;
+	public static final int X86_INS_MOVLHPS = 452;
+	public static final int X86_INS_MOVLPD = 453;
+	public static final int X86_INS_MOVLPS = 454;
+	public static final int X86_INS_MOVMSKPD = 455;
+	public static final int X86_INS_MOVMSKPS = 456;
+	public static final int X86_INS_MOVNTDQA = 457;
+	public static final int X86_INS_MOVNTDQ = 458;
+	public static final int X86_INS_MOVNTI = 459;
+	public static final int X86_INS_MOVNTPD = 460;
+	public static final int X86_INS_MOVNTPS = 461;
+	public static final int X86_INS_MOVNTSD = 462;
+	public static final int X86_INS_MOVNTSS = 463;
+	public static final int X86_INS_MOVSB = 464;
+	public static final int X86_INS_MOVSD = 465;
+	public static final int X86_INS_MOVSHDUP = 466;
+	public static final int X86_INS_MOVSLDUP = 467;
+	public static final int X86_INS_MOVSQ = 468;
+	public static final int X86_INS_MOVSS = 469;
+	public static final int X86_INS_MOVSW = 470;
+	public static final int X86_INS_MOVSX = 471;
+	public static final int X86_INS_MOVSXD = 472;
+	public static final int X86_INS_MOVUPD = 473;
+	public static final int X86_INS_MOVUPS = 474;
+	public static final int X86_INS_MOVZX = 475;
+	public static final int X86_INS_MPSADBW = 476;
+	public static final int X86_INS_MUL = 477;
+	public static final int X86_INS_MULPD = 478;
+	public static final int X86_INS_MULPS = 479;
+	public static final int X86_INS_MULSD = 480;
+	public static final int X86_INS_MULSS = 481;
+	public static final int X86_INS_MULX = 482;
+	public static final int X86_INS_FMUL = 483;
+	public static final int X86_INS_FIMUL = 484;
+	public static final int X86_INS_FMULP = 485;
+	public static final int X86_INS_MWAIT = 486;
+	public static final int X86_INS_NEG = 487;
+	public static final int X86_INS_NOP = 488;
+	public static final int X86_INS_NOT = 489;
+	public static final int X86_INS_OUT = 490;
+	public static final int X86_INS_OUTSB = 491;
+	public static final int X86_INS_OUTSD = 492;
+	public static final int X86_INS_OUTSW = 493;
+	public static final int X86_INS_PACKUSDW = 494;
+	public static final int X86_INS_PAUSE = 495;
+	public static final int X86_INS_PAVGUSB = 496;
+	public static final int X86_INS_PBLENDVB = 497;
+	public static final int X86_INS_PBLENDW = 498;
+	public static final int X86_INS_PCLMULQDQ = 499;
+	public static final int X86_INS_PCMPEQQ = 500;
+	public static final int X86_INS_PCMPESTRI = 501;
+	public static final int X86_INS_PCMPESTRM = 502;
+	public static final int X86_INS_PCMPGTQ = 503;
+	public static final int X86_INS_PCMPISTRI = 504;
+	public static final int X86_INS_PCMPISTRM = 505;
+	public static final int X86_INS_PDEP = 506;
+	public static final int X86_INS_PEXT = 507;
+	public static final int X86_INS_PEXTRB = 508;
+	public static final int X86_INS_PEXTRD = 509;
+	public static final int X86_INS_PEXTRQ = 510;
+	public static final int X86_INS_PF2ID = 511;
+	public static final int X86_INS_PF2IW = 512;
+	public static final int X86_INS_PFACC = 513;
+	public static final int X86_INS_PFADD = 514;
+	public static final int X86_INS_PFCMPEQ = 515;
+	public static final int X86_INS_PFCMPGE = 516;
+	public static final int X86_INS_PFCMPGT = 517;
+	public static final int X86_INS_PFMAX = 518;
+	public static final int X86_INS_PFMIN = 519;
+	public static final int X86_INS_PFMUL = 520;
+	public static final int X86_INS_PFNACC = 521;
+	public static final int X86_INS_PFPNACC = 522;
+	public static final int X86_INS_PFRCPIT1 = 523;
+	public static final int X86_INS_PFRCPIT2 = 524;
+	public static final int X86_INS_PFRCP = 525;
+	public static final int X86_INS_PFRSQIT1 = 526;
+	public static final int X86_INS_PFRSQRT = 527;
+	public static final int X86_INS_PFSUBR = 528;
+	public static final int X86_INS_PFSUB = 529;
+	public static final int X86_INS_PHMINPOSUW = 530;
+	public static final int X86_INS_PI2FD = 531;
+	public static final int X86_INS_PI2FW = 532;
+	public static final int X86_INS_PINSRB = 533;
+	public static final int X86_INS_PINSRD = 534;
+	public static final int X86_INS_PINSRQ = 535;
+	public static final int X86_INS_PMAXSB = 536;
+	public static final int X86_INS_PMAXSD = 537;
+	public static final int X86_INS_PMAXUD = 538;
+	public static final int X86_INS_PMAXUW = 539;
+	public static final int X86_INS_PMINSB = 540;
+	public static final int X86_INS_PMINSD = 541;
+	public static final int X86_INS_PMINUD = 542;
+	public static final int X86_INS_PMINUW = 543;
+	public static final int X86_INS_PMOVSXBD = 544;
+	public static final int X86_INS_PMOVSXBQ = 545;
+	public static final int X86_INS_PMOVSXBW = 546;
+	public static final int X86_INS_PMOVSXDQ = 547;
+	public static final int X86_INS_PMOVSXWD = 548;
+	public static final int X86_INS_PMOVSXWQ = 549;
+	public static final int X86_INS_PMOVZXBD = 550;
+	public static final int X86_INS_PMOVZXBQ = 551;
+	public static final int X86_INS_PMOVZXBW = 552;
+	public static final int X86_INS_PMOVZXDQ = 553;
+	public static final int X86_INS_PMOVZXWD = 554;
+	public static final int X86_INS_PMOVZXWQ = 555;
+	public static final int X86_INS_PMULDQ = 556;
+	public static final int X86_INS_PMULHRW = 557;
+	public static final int X86_INS_PMULLD = 558;
+	public static final int X86_INS_POP = 559;
+	public static final int X86_INS_POPAW = 560;
+	public static final int X86_INS_POPAL = 561;
+	public static final int X86_INS_POPCNT = 562;
+	public static final int X86_INS_POPF = 563;
+	public static final int X86_INS_POPFD = 564;
+	public static final int X86_INS_POPFQ = 565;
+	public static final int X86_INS_PREFETCH = 566;
+	public static final int X86_INS_PREFETCHNTA = 567;
+	public static final int X86_INS_PREFETCHT0 = 568;
+	public static final int X86_INS_PREFETCHT1 = 569;
+	public static final int X86_INS_PREFETCHT2 = 570;
+	public static final int X86_INS_PREFETCHW = 571;
+	public static final int X86_INS_PSHUFD = 572;
+	public static final int X86_INS_PSHUFHW = 573;
+	public static final int X86_INS_PSHUFLW = 574;
+	public static final int X86_INS_PSLLDQ = 575;
+	public static final int X86_INS_PSRLDQ = 576;
+	public static final int X86_INS_PSWAPD = 577;
+	public static final int X86_INS_PTEST = 578;
+	public static final int X86_INS_PUNPCKHQDQ = 579;
+	public static final int X86_INS_PUNPCKLQDQ = 580;
+	public static final int X86_INS_PUSH = 581;
+	public static final int X86_INS_PUSHAW = 582;
+	public static final int X86_INS_PUSHAL = 583;
+	public static final int X86_INS_PUSHF = 584;
+	public static final int X86_INS_PUSHFD = 585;
+	public static final int X86_INS_PUSHFQ = 586;
+	public static final int X86_INS_RCL = 587;
+	public static final int X86_INS_RCPPS = 588;
+	public static final int X86_INS_RCPSS = 589;
+	public static final int X86_INS_RCR = 590;
+	public static final int X86_INS_RDFSBASE = 591;
+	public static final int X86_INS_RDGSBASE = 592;
+	public static final int X86_INS_RDMSR = 593;
+	public static final int X86_INS_RDPMC = 594;
+	public static final int X86_INS_RDRAND = 595;
+	public static final int X86_INS_RDSEED = 596;
+	public static final int X86_INS_RDTSC = 597;
+	public static final int X86_INS_RDTSCP = 598;
+	public static final int X86_INS_REPNE = 599;
+	public static final int X86_INS_REP = 600;
+	public static final int X86_INS_ROL = 601;
+	public static final int X86_INS_ROR = 602;
+	public static final int X86_INS_RORX = 603;
+	public static final int X86_INS_ROUNDPD = 604;
+	public static final int X86_INS_ROUNDPS = 605;
+	public static final int X86_INS_ROUNDSD = 606;
+	public static final int X86_INS_ROUNDSS = 607;
+	public static final int X86_INS_RSM = 608;
+	public static final int X86_INS_RSQRTPS = 609;
+	public static final int X86_INS_RSQRTSS = 610;
+	public static final int X86_INS_SAHF = 611;
+	public static final int X86_INS_SAL = 612;
+	public static final int X86_INS_SALC = 613;
+	public static final int X86_INS_SAR = 614;
+	public static final int X86_INS_SARX = 615;
+	public static final int X86_INS_SBB = 616;
+	public static final int X86_INS_SCASB = 617;
+	public static final int X86_INS_SCASD = 618;
+	public static final int X86_INS_SCASQ = 619;
+	public static final int X86_INS_SCASW = 620;
+	public static final int X86_INS_SETAE = 621;
+	public static final int X86_INS_SETA = 622;
+	public static final int X86_INS_SETBE = 623;
+	public static final int X86_INS_SETB = 624;
+	public static final int X86_INS_SETE = 625;
+	public static final int X86_INS_SETGE = 626;
+	public static final int X86_INS_SETG = 627;
+	public static final int X86_INS_SETLE = 628;
+	public static final int X86_INS_SETL = 629;
+	public static final int X86_INS_SETNE = 630;
+	public static final int X86_INS_SETNO = 631;
+	public static final int X86_INS_SETNP = 632;
+	public static final int X86_INS_SETNS = 633;
+	public static final int X86_INS_SETO = 634;
+	public static final int X86_INS_SETP = 635;
+	public static final int X86_INS_SETS = 636;
+	public static final int X86_INS_SFENCE = 637;
+	public static final int X86_INS_SGDT = 638;
+	public static final int X86_INS_SHA1MSG1 = 639;
+	public static final int X86_INS_SHA1MSG2 = 640;
+	public static final int X86_INS_SHA1NEXTE = 641;
+	public static final int X86_INS_SHA1RNDS4 = 642;
+	public static final int X86_INS_SHA256MSG1 = 643;
+	public static final int X86_INS_SHA256MSG2 = 644;
+	public static final int X86_INS_SHA256RNDS2 = 645;
+	public static final int X86_INS_SHL = 646;
+	public static final int X86_INS_SHLD = 647;
+	public static final int X86_INS_SHLX = 648;
+	public static final int X86_INS_SHR = 649;
+	public static final int X86_INS_SHRD = 650;
+	public static final int X86_INS_SHRX = 651;
+	public static final int X86_INS_SHUFPD = 652;
+	public static final int X86_INS_SHUFPS = 653;
+	public static final int X86_INS_SIDT = 654;
+	public static final int X86_INS_FSIN = 655;
+	public static final int X86_INS_SKINIT = 656;
+	public static final int X86_INS_SLDT = 657;
+	public static final int X86_INS_SMSW = 658;
+	public static final int X86_INS_SQRTPD = 659;
+	public static final int X86_INS_SQRTPS = 660;
+	public static final int X86_INS_SQRTSD = 661;
+	public static final int X86_INS_SQRTSS = 662;
+	public static final int X86_INS_FSQRT = 663;
+	public static final int X86_INS_STAC = 664;
+	public static final int X86_INS_STC = 665;
+	public static final int X86_INS_STD = 666;
+	public static final int X86_INS_STGI = 667;
+	public static final int X86_INS_STI = 668;
+	public static final int X86_INS_STMXCSR = 669;
+	public static final int X86_INS_STOSB = 670;
+	public static final int X86_INS_STOSD = 671;
+	public static final int X86_INS_STOSQ = 672;
+	public static final int X86_INS_STOSW = 673;
+	public static final int X86_INS_STR = 674;
+	public static final int X86_INS_FST = 675;
+	public static final int X86_INS_FSTP = 676;
+	public static final int X86_INS_FSTPNCE = 677;
+	public static final int X86_INS_SUBPD = 678;
+	public static final int X86_INS_SUBPS = 679;
+	public static final int X86_INS_FSUBR = 680;
+	public static final int X86_INS_FISUBR = 681;
+	public static final int X86_INS_FSUBRP = 682;
+	public static final int X86_INS_SUBSD = 683;
+	public static final int X86_INS_SUBSS = 684;
+	public static final int X86_INS_FSUB = 685;
+	public static final int X86_INS_FISUB = 686;
+	public static final int X86_INS_FSUBP = 687;
+	public static final int X86_INS_SWAPGS = 688;
+	public static final int X86_INS_SYSCALL = 689;
+	public static final int X86_INS_SYSENTER = 690;
+	public static final int X86_INS_SYSEXIT = 691;
+	public static final int X86_INS_SYSRET = 692;
+	public static final int X86_INS_T1MSKC = 693;
+	public static final int X86_INS_TEST = 694;
+	public static final int X86_INS_UD2 = 695;
+	public static final int X86_INS_FTST = 696;
+	public static final int X86_INS_TZCNT = 697;
+	public static final int X86_INS_TZMSK = 698;
+	public static final int X86_INS_FUCOMPI = 699;
+	public static final int X86_INS_FUCOMI = 700;
+	public static final int X86_INS_FUCOMPP = 701;
+	public static final int X86_INS_FUCOMP = 702;
+	public static final int X86_INS_FUCOM = 703;
+	public static final int X86_INS_UD2B = 704;
+	public static final int X86_INS_UNPCKHPD = 705;
+	public static final int X86_INS_UNPCKHPS = 706;
+	public static final int X86_INS_UNPCKLPD = 707;
+	public static final int X86_INS_UNPCKLPS = 708;
+	public static final int X86_INS_VADDPD = 709;
+	public static final int X86_INS_VADDPS = 710;
+	public static final int X86_INS_VADDSD = 711;
+	public static final int X86_INS_VADDSS = 712;
+	public static final int X86_INS_VADDSUBPD = 713;
+	public static final int X86_INS_VADDSUBPS = 714;
+	public static final int X86_INS_VAESDECLAST = 715;
+	public static final int X86_INS_VAESDEC = 716;
+	public static final int X86_INS_VAESENCLAST = 717;
+	public static final int X86_INS_VAESENC = 718;
+	public static final int X86_INS_VAESIMC = 719;
+	public static final int X86_INS_VAESKEYGENASSIST = 720;
+	public static final int X86_INS_VALIGND = 721;
+	public static final int X86_INS_VALIGNQ = 722;
+	public static final int X86_INS_VANDNPD = 723;
+	public static final int X86_INS_VANDNPS = 724;
+	public static final int X86_INS_VANDPD = 725;
+	public static final int X86_INS_VANDPS = 726;
+	public static final int X86_INS_VBLENDMPD = 727;
+	public static final int X86_INS_VBLENDMPS = 728;
+	public static final int X86_INS_VBLENDPD = 729;
+	public static final int X86_INS_VBLENDPS = 730;
+	public static final int X86_INS_VBLENDVPD = 731;
+	public static final int X86_INS_VBLENDVPS = 732;
+	public static final int X86_INS_VBROADCASTF128 = 733;
+	public static final int X86_INS_VBROADCASTI128 = 734;
+	public static final int X86_INS_VBROADCASTI32X4 = 735;
+	public static final int X86_INS_VBROADCASTI64X4 = 736;
+	public static final int X86_INS_VBROADCASTSD = 737;
+	public static final int X86_INS_VBROADCASTSS = 738;
+	public static final int X86_INS_VCMPPD = 739;
+	public static final int X86_INS_VCMPPS = 740;
+	public static final int X86_INS_VCMPSD = 741;
+	public static final int X86_INS_VCMPSS = 742;
+	public static final int X86_INS_VCVTDQ2PD = 743;
+	public static final int X86_INS_VCVTDQ2PS = 744;
+	public static final int X86_INS_VCVTPD2DQX = 745;
+	public static final int X86_INS_VCVTPD2DQ = 746;
+	public static final int X86_INS_VCVTPD2PSX = 747;
+	public static final int X86_INS_VCVTPD2PS = 748;
+	public static final int X86_INS_VCVTPD2UDQ = 749;
+	public static final int X86_INS_VCVTPH2PS = 750;
+	public static final int X86_INS_VCVTPS2DQ = 751;
+	public static final int X86_INS_VCVTPS2PD = 752;
+	public static final int X86_INS_VCVTPS2PH = 753;
+	public static final int X86_INS_VCVTPS2UDQ = 754;
+	public static final int X86_INS_VCVTSD2SI = 755;
+	public static final int X86_INS_VCVTSD2USI = 756;
+	public static final int X86_INS_VCVTSS2SI = 757;
+	public static final int X86_INS_VCVTSS2USI = 758;
+	public static final int X86_INS_VCVTTPD2DQX = 759;
+	public static final int X86_INS_VCVTTPD2DQ = 760;
+	public static final int X86_INS_VCVTTPD2UDQ = 761;
+	public static final int X86_INS_VCVTTPS2DQ = 762;
+	public static final int X86_INS_VCVTTPS2UDQ = 763;
+	public static final int X86_INS_VCVTUDQ2PD = 764;
+	public static final int X86_INS_VCVTUDQ2PS = 765;
+	public static final int X86_INS_VDIVPD = 766;
+	public static final int X86_INS_VDIVPS = 767;
+	public static final int X86_INS_VDIVSD = 768;
+	public static final int X86_INS_VDIVSS = 769;
+	public static final int X86_INS_VDPPD = 770;
+	public static final int X86_INS_VDPPS = 771;
+	public static final int X86_INS_VERR = 772;
+	public static final int X86_INS_VERW = 773;
+	public static final int X86_INS_VEXTRACTF128 = 774;
+	public static final int X86_INS_VEXTRACTF32X4 = 775;
+	public static final int X86_INS_VEXTRACTF64X4 = 776;
+	public static final int X86_INS_VEXTRACTI128 = 777;
+	public static final int X86_INS_VEXTRACTI32X4 = 778;
+	public static final int X86_INS_VEXTRACTI64X4 = 779;
+	public static final int X86_INS_VEXTRACTPS = 780;
+	public static final int X86_INS_VFMADD132PD = 781;
+	public static final int X86_INS_VFMADD132PS = 782;
+	public static final int X86_INS_VFMADD213PD = 783;
+	public static final int X86_INS_VFMADD213PS = 784;
+	public static final int X86_INS_VFMADDPD = 785;
+	public static final int X86_INS_VFMADD231PD = 786;
+	public static final int X86_INS_VFMADDPS = 787;
+	public static final int X86_INS_VFMADD231PS = 788;
+	public static final int X86_INS_VFMADDSD = 789;
+	public static final int X86_INS_VFMADD213SD = 790;
+	public static final int X86_INS_VFMADD132SD = 791;
+	public static final int X86_INS_VFMADD231SD = 792;
+	public static final int X86_INS_VFMADDSS = 793;
+	public static final int X86_INS_VFMADD213SS = 794;
+	public static final int X86_INS_VFMADD132SS = 795;
+	public static final int X86_INS_VFMADD231SS = 796;
+	public static final int X86_INS_VFMADDSUB132PD = 797;
+	public static final int X86_INS_VFMADDSUB132PS = 798;
+	public static final int X86_INS_VFMADDSUB213PD = 799;
+	public static final int X86_INS_VFMADDSUB213PS = 800;
+	public static final int X86_INS_VFMADDSUBPD = 801;
+	public static final int X86_INS_VFMADDSUB231PD = 802;
+	public static final int X86_INS_VFMADDSUBPS = 803;
+	public static final int X86_INS_VFMADDSUB231PS = 804;
+	public static final int X86_INS_VFMSUB132PD = 805;
+	public static final int X86_INS_VFMSUB132PS = 806;
+	public static final int X86_INS_VFMSUB213PD = 807;
+	public static final int X86_INS_VFMSUB213PS = 808;
+	public static final int X86_INS_VFMSUBADD132PD = 809;
+	public static final int X86_INS_VFMSUBADD132PS = 810;
+	public static final int X86_INS_VFMSUBADD213PD = 811;
+	public static final int X86_INS_VFMSUBADD213PS = 812;
+	public static final int X86_INS_VFMSUBADDPD = 813;
+	public static final int X86_INS_VFMSUBADD231PD = 814;
+	public static final int X86_INS_VFMSUBADDPS = 815;
+	public static final int X86_INS_VFMSUBADD231PS = 816;
+	public static final int X86_INS_VFMSUBPD = 817;
+	public static final int X86_INS_VFMSUB231PD = 818;
+	public static final int X86_INS_VFMSUBPS = 819;
+	public static final int X86_INS_VFMSUB231PS = 820;
+	public static final int X86_INS_VFMSUBSD = 821;
+	public static final int X86_INS_VFMSUB213SD = 822;
+	public static final int X86_INS_VFMSUB132SD = 823;
+	public static final int X86_INS_VFMSUB231SD = 824;
+	public static final int X86_INS_VFMSUBSS = 825;
+	public static final int X86_INS_VFMSUB213SS = 826;
+	public static final int X86_INS_VFMSUB132SS = 827;
+	public static final int X86_INS_VFMSUB231SS = 828;
+	public static final int X86_INS_VFNMADD132PD = 829;
+	public static final int X86_INS_VFNMADD132PS = 830;
+	public static final int X86_INS_VFNMADD213PD = 831;
+	public static final int X86_INS_VFNMADD213PS = 832;
+	public static final int X86_INS_VFNMADDPD = 833;
+	public static final int X86_INS_VFNMADD231PD = 834;
+	public static final int X86_INS_VFNMADDPS = 835;
+	public static final int X86_INS_VFNMADD231PS = 836;
+	public static final int X86_INS_VFNMADDSD = 837;
+	public static final int X86_INS_VFNMADD213SD = 838;
+	public static final int X86_INS_VFNMADD132SD = 839;
+	public static final int X86_INS_VFNMADD231SD = 840;
+	public static final int X86_INS_VFNMADDSS = 841;
+	public static final int X86_INS_VFNMADD213SS = 842;
+	public static final int X86_INS_VFNMADD132SS = 843;
+	public static final int X86_INS_VFNMADD231SS = 844;
+	public static final int X86_INS_VFNMSUB132PD = 845;
+	public static final int X86_INS_VFNMSUB132PS = 846;
+	public static final int X86_INS_VFNMSUB213PD = 847;
+	public static final int X86_INS_VFNMSUB213PS = 848;
+	public static final int X86_INS_VFNMSUBPD = 849;
+	public static final int X86_INS_VFNMSUB231PD = 850;
+	public static final int X86_INS_VFNMSUBPS = 851;
+	public static final int X86_INS_VFNMSUB231PS = 852;
+	public static final int X86_INS_VFNMSUBSD = 853;
+	public static final int X86_INS_VFNMSUB213SD = 854;
+	public static final int X86_INS_VFNMSUB132SD = 855;
+	public static final int X86_INS_VFNMSUB231SD = 856;
+	public static final int X86_INS_VFNMSUBSS = 857;
+	public static final int X86_INS_VFNMSUB213SS = 858;
+	public static final int X86_INS_VFNMSUB132SS = 859;
+	public static final int X86_INS_VFNMSUB231SS = 860;
+	public static final int X86_INS_VFRCZPD = 861;
+	public static final int X86_INS_VFRCZPS = 862;
+	public static final int X86_INS_VFRCZSD = 863;
+	public static final int X86_INS_VFRCZSS = 864;
+	public static final int X86_INS_VORPD = 865;
+	public static final int X86_INS_VORPS = 866;
+	public static final int X86_INS_VXORPD = 867;
+	public static final int X86_INS_VXORPS = 868;
+	public static final int X86_INS_VGATHERDPD = 869;
+	public static final int X86_INS_VGATHERDPS = 870;
+	public static final int X86_INS_VGATHERPF0DPD = 871;
+	public static final int X86_INS_VGATHERPF0DPS = 872;
+	public static final int X86_INS_VGATHERPF0QPD = 873;
+	public static final int X86_INS_VGATHERPF0QPS = 874;
+	public static final int X86_INS_VGATHERPF1DPD = 875;
+	public static final int X86_INS_VGATHERPF1DPS = 876;
+	public static final int X86_INS_VGATHERPF1QPD = 877;
+	public static final int X86_INS_VGATHERPF1QPS = 878;
+	public static final int X86_INS_VGATHERQPD = 879;
+	public static final int X86_INS_VGATHERQPS = 880;
+	public static final int X86_INS_VHADDPD = 881;
+	public static final int X86_INS_VHADDPS = 882;
+	public static final int X86_INS_VHSUBPD = 883;
+	public static final int X86_INS_VHSUBPS = 884;
+	public static final int X86_INS_VINSERTF128 = 885;
+	public static final int X86_INS_VINSERTF32X4 = 886;
+	public static final int X86_INS_VINSERTF64X4 = 887;
+	public static final int X86_INS_VINSERTI128 = 888;
+	public static final int X86_INS_VINSERTI32X4 = 889;
+	public static final int X86_INS_VINSERTI64X4 = 890;
+	public static final int X86_INS_VINSERTPS = 891;
+	public static final int X86_INS_VLDDQU = 892;
+	public static final int X86_INS_VLDMXCSR = 893;
+	public static final int X86_INS_VMASKMOVDQU = 894;
+	public static final int X86_INS_VMASKMOVPD = 895;
+	public static final int X86_INS_VMASKMOVPS = 896;
+	public static final int X86_INS_VMAXPD = 897;
+	public static final int X86_INS_VMAXPS = 898;
+	public static final int X86_INS_VMAXSD = 899;
+	public static final int X86_INS_VMAXSS = 900;
+	public static final int X86_INS_VMCALL = 901;
+	public static final int X86_INS_VMCLEAR = 902;
+	public static final int X86_INS_VMFUNC = 903;
+	public static final int X86_INS_VMINPD = 904;
+	public static final int X86_INS_VMINPS = 905;
+	public static final int X86_INS_VMINSD = 906;
+	public static final int X86_INS_VMINSS = 907;
+	public static final int X86_INS_VMLAUNCH = 908;
+	public static final int X86_INS_VMLOAD = 909;
+	public static final int X86_INS_VMMCALL = 910;
+	public static final int X86_INS_VMOVQ = 911;
+	public static final int X86_INS_VMOVDDUP = 912;
+	public static final int X86_INS_VMOVD = 913;
+	public static final int X86_INS_VMOVDQA32 = 914;
+	public static final int X86_INS_VMOVDQA64 = 915;
+	public static final int X86_INS_VMOVDQA = 916;
+	public static final int X86_INS_VMOVDQU16 = 917;
+	public static final int X86_INS_VMOVDQU32 = 918;
+	public static final int X86_INS_VMOVDQU64 = 919;
+	public static final int X86_INS_VMOVDQU8 = 920;
+	public static final int X86_INS_VMOVDQU = 921;
+	public static final int X86_INS_VMOVHLPS = 922;
+	public static final int X86_INS_VMOVHPD = 923;
+	public static final int X86_INS_VMOVHPS = 924;
+	public static final int X86_INS_VMOVLHPS = 925;
+	public static final int X86_INS_VMOVLPD = 926;
+	public static final int X86_INS_VMOVLPS = 927;
+	public static final int X86_INS_VMOVMSKPD = 928;
+	public static final int X86_INS_VMOVMSKPS = 929;
+	public static final int X86_INS_VMOVNTDQA = 930;
+	public static final int X86_INS_VMOVNTDQ = 931;
+	public static final int X86_INS_VMOVNTPD = 932;
+	public static final int X86_INS_VMOVNTPS = 933;
+	public static final int X86_INS_VMOVSD = 934;
+	public static final int X86_INS_VMOVSHDUP = 935;
+	public static final int X86_INS_VMOVSLDUP = 936;
+	public static final int X86_INS_VMOVSS = 937;
+	public static final int X86_INS_VMOVUPD = 938;
+	public static final int X86_INS_VMOVUPS = 939;
+	public static final int X86_INS_VMPSADBW = 940;
+	public static final int X86_INS_VMPTRLD = 941;
+	public static final int X86_INS_VMPTRST = 942;
+	public static final int X86_INS_VMREAD = 943;
+	public static final int X86_INS_VMRESUME = 944;
+	public static final int X86_INS_VMRUN = 945;
+	public static final int X86_INS_VMSAVE = 946;
+	public static final int X86_INS_VMULPD = 947;
+	public static final int X86_INS_VMULPS = 948;
+	public static final int X86_INS_VMULSD = 949;
+	public static final int X86_INS_VMULSS = 950;
+	public static final int X86_INS_VMWRITE = 951;
+	public static final int X86_INS_VMXOFF = 952;
+	public static final int X86_INS_VMXON = 953;
+	public static final int X86_INS_VPABSB = 954;
+	public static final int X86_INS_VPABSD = 955;
+	public static final int X86_INS_VPABSQ = 956;
+	public static final int X86_INS_VPABSW = 957;
+	public static final int X86_INS_VPACKSSDW = 958;
+	public static final int X86_INS_VPACKSSWB = 959;
+	public static final int X86_INS_VPACKUSDW = 960;
+	public static final int X86_INS_VPACKUSWB = 961;
+	public static final int X86_INS_VPADDB = 962;
+	public static final int X86_INS_VPADDD = 963;
+	public static final int X86_INS_VPADDQ = 964;
+	public static final int X86_INS_VPADDSB = 965;
+	public static final int X86_INS_VPADDSW = 966;
+	public static final int X86_INS_VPADDUSB = 967;
+	public static final int X86_INS_VPADDUSW = 968;
+	public static final int X86_INS_VPADDW = 969;
+	public static final int X86_INS_VPALIGNR = 970;
+	public static final int X86_INS_VPANDD = 971;
+	public static final int X86_INS_VPANDND = 972;
+	public static final int X86_INS_VPANDNQ = 973;
+	public static final int X86_INS_VPANDN = 974;
+	public static final int X86_INS_VPANDQ = 975;
+	public static final int X86_INS_VPAND = 976;
+	public static final int X86_INS_VPAVGB = 977;
+	public static final int X86_INS_VPAVGW = 978;
+	public static final int X86_INS_VPBLENDD = 979;
+	public static final int X86_INS_VPBLENDMD = 980;
+	public static final int X86_INS_VPBLENDMQ = 981;
+	public static final int X86_INS_VPBLENDVB = 982;
+	public static final int X86_INS_VPBLENDW = 983;
+	public static final int X86_INS_VPBROADCASTB = 984;
+	public static final int X86_INS_VPBROADCASTD = 985;
+	public static final int X86_INS_VPBROADCASTMB2Q = 986;
+	public static final int X86_INS_VPBROADCASTMW2D = 987;
+	public static final int X86_INS_VPBROADCASTQ = 988;
+	public static final int X86_INS_VPBROADCASTW = 989;
+	public static final int X86_INS_VPCLMULQDQ = 990;
+	public static final int X86_INS_VPCMOV = 991;
+	public static final int X86_INS_VPCMP = 992;
+	public static final int X86_INS_VPCMPD = 993;
+	public static final int X86_INS_VPCMPEQB = 994;
+	public static final int X86_INS_VPCMPEQD = 995;
+	public static final int X86_INS_VPCMPEQQ = 996;
+	public static final int X86_INS_VPCMPEQW = 997;
+	public static final int X86_INS_VPCMPESTRI = 998;
+	public static final int X86_INS_VPCMPESTRM = 999;
+	public static final int X86_INS_VPCMPGTB = 1000;
+	public static final int X86_INS_VPCMPGTD = 1001;
+	public static final int X86_INS_VPCMPGTQ = 1002;
+	public static final int X86_INS_VPCMPGTW = 1003;
+	public static final int X86_INS_VPCMPISTRI = 1004;
+	public static final int X86_INS_VPCMPISTRM = 1005;
+	public static final int X86_INS_VPCMPQ = 1006;
+	public static final int X86_INS_VPCMPUD = 1007;
+	public static final int X86_INS_VPCMPUQ = 1008;
+	public static final int X86_INS_VPCOMB = 1009;
+	public static final int X86_INS_VPCOMD = 1010;
+	public static final int X86_INS_VPCOMQ = 1011;
+	public static final int X86_INS_VPCOMUB = 1012;
+	public static final int X86_INS_VPCOMUD = 1013;
+	public static final int X86_INS_VPCOMUQ = 1014;
+	public static final int X86_INS_VPCOMUW = 1015;
+	public static final int X86_INS_VPCOMW = 1016;
+	public static final int X86_INS_VPCONFLICTD = 1017;
+	public static final int X86_INS_VPCONFLICTQ = 1018;
+	public static final int X86_INS_VPERM2F128 = 1019;
+	public static final int X86_INS_VPERM2I128 = 1020;
+	public static final int X86_INS_VPERMD = 1021;
+	public static final int X86_INS_VPERMI2D = 1022;
+	public static final int X86_INS_VPERMI2PD = 1023;
+	public static final int X86_INS_VPERMI2PS = 1024;
+	public static final int X86_INS_VPERMI2Q = 1025;
+	public static final int X86_INS_VPERMIL2PD = 1026;
+	public static final int X86_INS_VPERMIL2PS = 1027;
+	public static final int X86_INS_VPERMILPD = 1028;
+	public static final int X86_INS_VPERMILPS = 1029;
+	public static final int X86_INS_VPERMPD = 1030;
+	public static final int X86_INS_VPERMPS = 1031;
+	public static final int X86_INS_VPERMQ = 1032;
+	public static final int X86_INS_VPERMT2D = 1033;
+	public static final int X86_INS_VPERMT2PD = 1034;
+	public static final int X86_INS_VPERMT2PS = 1035;
+	public static final int X86_INS_VPERMT2Q = 1036;
+	public static final int X86_INS_VPEXTRB = 1037;
+	public static final int X86_INS_VPEXTRD = 1038;
+	public static final int X86_INS_VPEXTRQ = 1039;
+	public static final int X86_INS_VPEXTRW = 1040;
+	public static final int X86_INS_VPGATHERDD = 1041;
+	public static final int X86_INS_VPGATHERDQ = 1042;
+	public static final int X86_INS_VPGATHERQD = 1043;
+	public static final int X86_INS_VPGATHERQQ = 1044;
+	public static final int X86_INS_VPHADDBD = 1045;
+	public static final int X86_INS_VPHADDBQ = 1046;
+	public static final int X86_INS_VPHADDBW = 1047;
+	public static final int X86_INS_VPHADDDQ = 1048;
+	public static final int X86_INS_VPHADDD = 1049;
+	public static final int X86_INS_VPHADDSW = 1050;
+	public static final int X86_INS_VPHADDUBD = 1051;
+	public static final int X86_INS_VPHADDUBQ = 1052;
+	public static final int X86_INS_VPHADDUBW = 1053;
+	public static final int X86_INS_VPHADDUDQ = 1054;
+	public static final int X86_INS_VPHADDUWD = 1055;
+	public static final int X86_INS_VPHADDUWQ = 1056;
+	public static final int X86_INS_VPHADDWD = 1057;
+	public static final int X86_INS_VPHADDWQ = 1058;
+	public static final int X86_INS_VPHADDW = 1059;
+	public static final int X86_INS_VPHMINPOSUW = 1060;
+	public static final int X86_INS_VPHSUBBW = 1061;
+	public static final int X86_INS_VPHSUBDQ = 1062;
+	public static final int X86_INS_VPHSUBD = 1063;
+	public static final int X86_INS_VPHSUBSW = 1064;
+	public static final int X86_INS_VPHSUBWD = 1065;
+	public static final int X86_INS_VPHSUBW = 1066;
+	public static final int X86_INS_VPINSRB = 1067;
+	public static final int X86_INS_VPINSRD = 1068;
+	public static final int X86_INS_VPINSRQ = 1069;
+	public static final int X86_INS_VPINSRW = 1070;
+	public static final int X86_INS_VPLZCNTD = 1071;
+	public static final int X86_INS_VPLZCNTQ = 1072;
+	public static final int X86_INS_VPMACSDD = 1073;
+	public static final int X86_INS_VPMACSDQH = 1074;
+	public static final int X86_INS_VPMACSDQL = 1075;
+	public static final int X86_INS_VPMACSSDD = 1076;
+	public static final int X86_INS_VPMACSSDQH = 1077;
+	public static final int X86_INS_VPMACSSDQL = 1078;
+	public static final int X86_INS_VPMACSSWD = 1079;
+	public static final int X86_INS_VPMACSSWW = 1080;
+	public static final int X86_INS_VPMACSWD = 1081;
+	public static final int X86_INS_VPMACSWW = 1082;
+	public static final int X86_INS_VPMADCSSWD = 1083;
+	public static final int X86_INS_VPMADCSWD = 1084;
+	public static final int X86_INS_VPMADDUBSW = 1085;
+	public static final int X86_INS_VPMADDWD = 1086;
+	public static final int X86_INS_VPMASKMOVD = 1087;
+	public static final int X86_INS_VPMASKMOVQ = 1088;
+	public static final int X86_INS_VPMAXSB = 1089;
+	public static final int X86_INS_VPMAXSD = 1090;
+	public static final int X86_INS_VPMAXSQ = 1091;
+	public static final int X86_INS_VPMAXSW = 1092;
+	public static final int X86_INS_VPMAXUB = 1093;
+	public static final int X86_INS_VPMAXUD = 1094;
+	public static final int X86_INS_VPMAXUQ = 1095;
+	public static final int X86_INS_VPMAXUW = 1096;
+	public static final int X86_INS_VPMINSB = 1097;
+	public static final int X86_INS_VPMINSD = 1098;
+	public static final int X86_INS_VPMINSQ = 1099;
+	public static final int X86_INS_VPMINSW = 1100;
+	public static final int X86_INS_VPMINUB = 1101;
+	public static final int X86_INS_VPMINUD = 1102;
+	public static final int X86_INS_VPMINUQ = 1103;
+	public static final int X86_INS_VPMINUW = 1104;
+	public static final int X86_INS_VPMOVDB = 1105;
+	public static final int X86_INS_VPMOVDW = 1106;
+	public static final int X86_INS_VPMOVMSKB = 1107;
+	public static final int X86_INS_VPMOVQB = 1108;
+	public static final int X86_INS_VPMOVQD = 1109;
+	public static final int X86_INS_VPMOVQW = 1110;
+	public static final int X86_INS_VPMOVSDB = 1111;
+	public static final int X86_INS_VPMOVSDW = 1112;
+	public static final int X86_INS_VPMOVSQB = 1113;
+	public static final int X86_INS_VPMOVSQD = 1114;
+	public static final int X86_INS_VPMOVSQW = 1115;
+	public static final int X86_INS_VPMOVSXBD = 1116;
+	public static final int X86_INS_VPMOVSXBQ = 1117;
+	public static final int X86_INS_VPMOVSXBW = 1118;
+	public static final int X86_INS_VPMOVSXDQ = 1119;
+	public static final int X86_INS_VPMOVSXWD = 1120;
+	public static final int X86_INS_VPMOVSXWQ = 1121;
+	public static final int X86_INS_VPMOVUSDB = 1122;
+	public static final int X86_INS_VPMOVUSDW = 1123;
+	public static final int X86_INS_VPMOVUSQB = 1124;
+	public static final int X86_INS_VPMOVUSQD = 1125;
+	public static final int X86_INS_VPMOVUSQW = 1126;
+	public static final int X86_INS_VPMOVZXBD = 1127;
+	public static final int X86_INS_VPMOVZXBQ = 1128;
+	public static final int X86_INS_VPMOVZXBW = 1129;
+	public static final int X86_INS_VPMOVZXDQ = 1130;
+	public static final int X86_INS_VPMOVZXWD = 1131;
+	public static final int X86_INS_VPMOVZXWQ = 1132;
+	public static final int X86_INS_VPMULDQ = 1133;
+	public static final int X86_INS_VPMULHRSW = 1134;
+	public static final int X86_INS_VPMULHUW = 1135;
+	public static final int X86_INS_VPMULHW = 1136;
+	public static final int X86_INS_VPMULLD = 1137;
+	public static final int X86_INS_VPMULLW = 1138;
+	public static final int X86_INS_VPMULUDQ = 1139;
+	public static final int X86_INS_VPORD = 1140;
+	public static final int X86_INS_VPORQ = 1141;
+	public static final int X86_INS_VPOR = 1142;
+	public static final int X86_INS_VPPERM = 1143;
+	public static final int X86_INS_VPROTB = 1144;
+	public static final int X86_INS_VPROTD = 1145;
+	public static final int X86_INS_VPROTQ = 1146;
+	public static final int X86_INS_VPROTW = 1147;
+	public static final int X86_INS_VPSADBW = 1148;
+	public static final int X86_INS_VPSCATTERDD = 1149;
+	public static final int X86_INS_VPSCATTERDQ = 1150;
+	public static final int X86_INS_VPSCATTERQD = 1151;
+	public static final int X86_INS_VPSCATTERQQ = 1152;
+	public static final int X86_INS_VPSHAB = 1153;
+	public static final int X86_INS_VPSHAD = 1154;
+	public static final int X86_INS_VPSHAQ = 1155;
+	public static final int X86_INS_VPSHAW = 1156;
+	public static final int X86_INS_VPSHLB = 1157;
+	public static final int X86_INS_VPSHLD = 1158;
+	public static final int X86_INS_VPSHLQ = 1159;
+	public static final int X86_INS_VPSHLW = 1160;
+	public static final int X86_INS_VPSHUFB = 1161;
+	public static final int X86_INS_VPSHUFD = 1162;
+	public static final int X86_INS_VPSHUFHW = 1163;
+	public static final int X86_INS_VPSHUFLW = 1164;
+	public static final int X86_INS_VPSIGNB = 1165;
+	public static final int X86_INS_VPSIGND = 1166;
+	public static final int X86_INS_VPSIGNW = 1167;
+	public static final int X86_INS_VPSLLDQ = 1168;
+	public static final int X86_INS_VPSLLD = 1169;
+	public static final int X86_INS_VPSLLQ = 1170;
+	public static final int X86_INS_VPSLLVD = 1171;
+	public static final int X86_INS_VPSLLVQ = 1172;
+	public static final int X86_INS_VPSLLW = 1173;
+	public static final int X86_INS_VPSRAD = 1174;
+	public static final int X86_INS_VPSRAQ = 1175;
+	public static final int X86_INS_VPSRAVD = 1176;
+	public static final int X86_INS_VPSRAVQ = 1177;
+	public static final int X86_INS_VPSRAW = 1178;
+	public static final int X86_INS_VPSRLDQ = 1179;
+	public static final int X86_INS_VPSRLD = 1180;
+	public static final int X86_INS_VPSRLQ = 1181;
+	public static final int X86_INS_VPSRLVD = 1182;
+	public static final int X86_INS_VPSRLVQ = 1183;
+	public static final int X86_INS_VPSRLW = 1184;
+	public static final int X86_INS_VPSUBB = 1185;
+	public static final int X86_INS_VPSUBD = 1186;
+	public static final int X86_INS_VPSUBQ = 1187;
+	public static final int X86_INS_VPSUBSB = 1188;
+	public static final int X86_INS_VPSUBSW = 1189;
+	public static final int X86_INS_VPSUBUSB = 1190;
+	public static final int X86_INS_VPSUBUSW = 1191;
+	public static final int X86_INS_VPSUBW = 1192;
+	public static final int X86_INS_VPTESTMD = 1193;
+	public static final int X86_INS_VPTESTMQ = 1194;
+	public static final int X86_INS_VPTESTNMD = 1195;
+	public static final int X86_INS_VPTESTNMQ = 1196;
+	public static final int X86_INS_VPTEST = 1197;
+	public static final int X86_INS_VPUNPCKHBW = 1198;
+	public static final int X86_INS_VPUNPCKHDQ = 1199;
+	public static final int X86_INS_VPUNPCKHQDQ = 1200;
+	public static final int X86_INS_VPUNPCKHWD = 1201;
+	public static final int X86_INS_VPUNPCKLBW = 1202;
+	public static final int X86_INS_VPUNPCKLDQ = 1203;
+	public static final int X86_INS_VPUNPCKLQDQ = 1204;
+	public static final int X86_INS_VPUNPCKLWD = 1205;
+	public static final int X86_INS_VPXORD = 1206;
+	public static final int X86_INS_VPXORQ = 1207;
+	public static final int X86_INS_VPXOR = 1208;
+	public static final int X86_INS_VRCP14PD = 1209;
+	public static final int X86_INS_VRCP14PS = 1210;
+	public static final int X86_INS_VRCP14SD = 1211;
+	public static final int X86_INS_VRCP14SS = 1212;
+	public static final int X86_INS_VRCP28PD = 1213;
+	public static final int X86_INS_VRCP28PS = 1214;
+	public static final int X86_INS_VRCP28SD = 1215;
+	public static final int X86_INS_VRCP28SS = 1216;
+	public static final int X86_INS_VRCPPS = 1217;
+	public static final int X86_INS_VRCPSS = 1218;
+	public static final int X86_INS_VRNDSCALEPD = 1219;
+	public static final int X86_INS_VRNDSCALEPS = 1220;
+	public static final int X86_INS_VRNDSCALESD = 1221;
+	public static final int X86_INS_VRNDSCALESS = 1222;
+	public static final int X86_INS_VROUNDPD = 1223;
+	public static final int X86_INS_VROUNDPS = 1224;
+	public static final int X86_INS_VROUNDSD = 1225;
+	public static final int X86_INS_VROUNDSS = 1226;
+	public static final int X86_INS_VRSQRT14PD = 1227;
+	public static final int X86_INS_VRSQRT14PS = 1228;
+	public static final int X86_INS_VRSQRT14SD = 1229;
+	public static final int X86_INS_VRSQRT14SS = 1230;
+	public static final int X86_INS_VRSQRT28PD = 1231;
+	public static final int X86_INS_VRSQRT28PS = 1232;
+	public static final int X86_INS_VRSQRT28SD = 1233;
+	public static final int X86_INS_VRSQRT28SS = 1234;
+	public static final int X86_INS_VRSQRTPS = 1235;
+	public static final int X86_INS_VRSQRTSS = 1236;
+	public static final int X86_INS_VSCATTERDPD = 1237;
+	public static final int X86_INS_VSCATTERDPS = 1238;
+	public static final int X86_INS_VSCATTERPF0DPD = 1239;
+	public static final int X86_INS_VSCATTERPF0DPS = 1240;
+	public static final int X86_INS_VSCATTERPF0QPD = 1241;
+	public static final int X86_INS_VSCATTERPF0QPS = 1242;
+	public static final int X86_INS_VSCATTERPF1DPD = 1243;
+	public static final int X86_INS_VSCATTERPF1DPS = 1244;
+	public static final int X86_INS_VSCATTERPF1QPD = 1245;
+	public static final int X86_INS_VSCATTERPF1QPS = 1246;
+	public static final int X86_INS_VSCATTERQPD = 1247;
+	public static final int X86_INS_VSCATTERQPS = 1248;
+	public static final int X86_INS_VSHUFPD = 1249;
+	public static final int X86_INS_VSHUFPS = 1250;
+	public static final int X86_INS_VSQRTPD = 1251;
+	public static final int X86_INS_VSQRTPS = 1252;
+	public static final int X86_INS_VSQRTSD = 1253;
+	public static final int X86_INS_VSQRTSS = 1254;
+	public static final int X86_INS_VSTMXCSR = 1255;
+	public static final int X86_INS_VSUBPD = 1256;
+	public static final int X86_INS_VSUBPS = 1257;
+	public static final int X86_INS_VSUBSD = 1258;
+	public static final int X86_INS_VSUBSS = 1259;
+	public static final int X86_INS_VTESTPD = 1260;
+	public static final int X86_INS_VTESTPS = 1261;
+	public static final int X86_INS_VUNPCKHPD = 1262;
+	public static final int X86_INS_VUNPCKHPS = 1263;
+	public static final int X86_INS_VUNPCKLPD = 1264;
+	public static final int X86_INS_VUNPCKLPS = 1265;
+	public static final int X86_INS_VZEROALL = 1266;
+	public static final int X86_INS_VZEROUPPER = 1267;
+	public static final int X86_INS_WAIT = 1268;
+	public static final int X86_INS_WBINVD = 1269;
+	public static final int X86_INS_WRFSBASE = 1270;
+	public static final int X86_INS_WRGSBASE = 1271;
+	public static final int X86_INS_WRMSR = 1272;
+	public static final int X86_INS_XABORT = 1273;
+	public static final int X86_INS_XACQUIRE = 1274;
+	public static final int X86_INS_XBEGIN = 1275;
+	public static final int X86_INS_XCHG = 1276;
+	public static final int X86_INS_FXCH = 1277;
+	public static final int X86_INS_XCRYPTCBC = 1278;
+	public static final int X86_INS_XCRYPTCFB = 1279;
+	public static final int X86_INS_XCRYPTCTR = 1280;
+	public static final int X86_INS_XCRYPTECB = 1281;
+	public static final int X86_INS_XCRYPTOFB = 1282;
+	public static final int X86_INS_XEND = 1283;
+	public static final int X86_INS_XGETBV = 1284;
+	public static final int X86_INS_XLATB = 1285;
+	public static final int X86_INS_XRELEASE = 1286;
+	public static final int X86_INS_XRSTOR = 1287;
+	public static final int X86_INS_XRSTOR64 = 1288;
+	public static final int X86_INS_XSAVE = 1289;
+	public static final int X86_INS_XSAVE64 = 1290;
+	public static final int X86_INS_XSAVEOPT = 1291;
+	public static final int X86_INS_XSAVEOPT64 = 1292;
+	public static final int X86_INS_XSETBV = 1293;
+	public static final int X86_INS_XSHA1 = 1294;
+	public static final int X86_INS_XSHA256 = 1295;
+	public static final int X86_INS_XSTORE = 1296;
+	public static final int X86_INS_XTEST = 1297;
+	public static final int X86_INS_MAX = 1298;
 
 	// Group of X86 instructions
 
@@ -1548,11 +1659,18 @@
 	public static final int X86_GRP_TBM = 31;
 	public static final int X86_GRP_16BITMODE = 32;
 	public static final int X86_GRP_NOT64BITMODE = 33;
-	public static final int X86_GRP_JUMP = 34;
-	public static final int X86_GRP_VM = 35;
-	public static final int X86_GRP_INT = 36;
-	public static final int X86_GRP_IRET = 37;
-	public static final int X86_GRP_CALL = 38;
-	public static final int X86_GRP_RET = 39;
-	public static final int X86_GRP_MAX = 40;
+	public static final int X86_GRP_SGX = 34;
+	public static final int X86_GRP_DQI = 35;
+	public static final int X86_GRP_BWI = 36;
+	public static final int X86_GRP_PFI = 37;
+	public static final int X86_GRP_VLX = 38;
+	public static final int X86_GRP_SMAP = 39;
+	public static final int X86_GRP_NOVLX = 40;
+	public static final int X86_GRP_JUMP = 41;
+	public static final int X86_GRP_VM = 42;
+	public static final int X86_GRP_INT = 43;
+	public static final int X86_GRP_IRET = 44;
+	public static final int X86_GRP_CALL = 45;
+	public static final int X86_GRP_RET = 46;
+	public static final int X86_GRP_MAX = 47;
 }
\ No newline at end of file
diff --git a/bindings/ocaml/ocaml.c b/bindings/ocaml/ocaml.c
index 72fa7a6..ca8627e 100644
--- a/bindings/ocaml/ocaml.c
+++ b/bindings/ocaml/ocaml.c
@@ -34,7 +34,7 @@
 
 	list = Val_emptylist;
 
-	size_t c = cs_disasm_ex(handle, code, code_len, addr, count, &insn);
+	size_t c = cs_disasm(handle, code, code_len, addr, count, &insn);
 
 	if (c) {
 		//printf("Found %lu insn, addr: %lx\n", c, addr);
diff --git a/bindings/python/capstone/__init__.py b/bindings/python/capstone/__init__.py
index b746ff4..63402bc 100644
--- a/bindings/python/capstone/__init__.py
+++ b/bindings/python/capstone/__init__.py
@@ -36,8 +36,12 @@
     'CS_MODE_64',
     'CS_MODE_ARM',
     'CS_MODE_THUMB',
+    'CS_MODE_MCLASS',
     'CS_MODE_MICRO',
     'CS_MODE_N64',
+    'CS_MODE_MIPS3',
+    'CS_MODE_MIPS32R6',
+    'CS_MODE_MIPSGP64',
     'CS_MODE_V9',
 
     'CS_OPT_SYNTAX',
@@ -76,8 +80,8 @@
 # Capstone C interface
 
 # API version
-CS_API_MAJOR = 2
-CS_API_MINOR = 2
+CS_API_MAJOR = 3
+CS_API_MINOR = 0
 
 # architectures
 CS_ARCH_ARM = 0
@@ -98,8 +102,12 @@
 CS_MODE_32 = (1 << 2)          # 32-bit mode (for X86, Mips)
 CS_MODE_64 = (1 << 3)          # 64-bit mode (for X86, Mips)
 CS_MODE_THUMB = (1 << 4)       # ARM's Thumb mode, including Thumb-2
+CS_MODE_MCLASS = (1 << 5)      # ARM's Cortex-M series
 CS_MODE_MICRO = (1 << 4)       # MicroMips mode (MIPS architecture)
 CS_MODE_N64 = (1 << 5)         # Nintendo-64 mode (MIPS architecture)
+CS_MODE_MIPS3 = 1 << 6         # Mips III ISA
+CS_MODE_MIPS32R6 = 1 << 7      # Mips32r6 ISA
+CS_MODE_MIPSGP64 = 1 << 8      # General Purpose Registers are 64-bit wide (MIPS arch)
 CS_MODE_V9 = (1 << 4)          # Nintendo-64 mode (MIPS architecture)
 CS_MODE_BIG_ENDIAN = (1 << 31) # big-endian mode
 
@@ -123,7 +131,7 @@
 
 # Capstone error type
 CS_ERR_OK = 0      # No error: everything was fine
-CS_ERR_MEM = 1     # Out-Of-Memory error: cs_open(), cs_disasm_ex()
+CS_ERR_MEM = 1     # Out-Of-Memory error: cs_open(), cs_disasm()
 CS_ERR_ARCH = 2    # Unsupported architecture: cs_open()
 CS_ERR_HANDLE = 3  # Invalid handle: cs_op_count(), cs_op_index()
 CS_ERR_CSH = 4     # Invalid csh argument: cs_close(), cs_errno(), cs_option()
@@ -242,12 +250,13 @@
     getattr(lib, fname).argtypes = argtypes
 
 _setup_prototype(_cs, "cs_open", ctypes.c_int, ctypes.c_uint, ctypes.c_uint, ctypes.POINTER(ctypes.c_size_t))
-_setup_prototype(_cs, "cs_disasm_ex", ctypes.c_size_t, ctypes.c_size_t, ctypes.POINTER(ctypes.c_char), ctypes.c_size_t, \
+_setup_prototype(_cs, "cs_disasm", ctypes.c_size_t, ctypes.c_size_t, ctypes.POINTER(ctypes.c_char), ctypes.c_size_t, \
         ctypes.c_uint64, ctypes.c_size_t, ctypes.POINTER(ctypes.POINTER(_cs_insn)))
 _setup_prototype(_cs, "cs_free", None, ctypes.c_void_p, ctypes.c_size_t)
 _setup_prototype(_cs, "cs_close", ctypes.c_int, ctypes.POINTER(ctypes.c_size_t))
 _setup_prototype(_cs, "cs_reg_name", ctypes.c_char_p, ctypes.c_size_t, ctypes.c_uint)
 _setup_prototype(_cs, "cs_insn_name", ctypes.c_char_p, ctypes.c_size_t, ctypes.c_uint)
+_setup_prototype(_cs, "cs_group_name", ctypes.c_char_p, ctypes.c_size_t, ctypes.c_uint)
 _setup_prototype(_cs, "cs_op_count", ctypes.c_int, ctypes.c_size_t, ctypes.POINTER(_cs_insn), ctypes.c_uint)
 _setup_prototype(_cs, "cs_op_index", ctypes.c_int, ctypes.c_size_t, ctypes.POINTER(_cs_insn), ctypes.c_uint, ctypes.c_uint)
 _setup_prototype(_cs, "cs_errno", ctypes.c_int, ctypes.c_size_t)
@@ -307,7 +316,7 @@
         raise CsError(status)
 
     all_insn = ctypes.POINTER(_cs_insn)()
-    res = _cs.cs_disasm_ex(csh, code, len(code), offset, count, ctypes.byref(all_insn))
+    res = _cs.cs_disasm(csh, code, len(code), offset, count, ctypes.byref(all_insn))
     if res > 0:
         for i in range(res):
             yield CsInsn(_dummy_cs(csh, arch), all_insn[i])
@@ -347,7 +356,7 @@
         raise CsError(status)
 
     all_insn = ctypes.POINTER(_cs_insn)()
-    res = _cs.cs_disasm_ex(csh, code, len(code), offset, count, ctypes.byref(all_insn))
+    res = _cs.cs_disasm(csh, code, len(code), offset, count, ctypes.byref(all_insn))
     if res > 0:
         for i in range(res):
             insn = all_insn[i]
@@ -469,15 +478,16 @@
     def __gen_detail(self):
         arch = self._cs.arch
         if arch == CS_ARCH_ARM:
-            (self.cc, self.update_flags, self.writeback, self.operands) = \
+            (self.usermode, self.vector_size, self.vector_data, self.cps_mode, self.cps_flag, self.cc, self.update_flags, self.writeback, self.operands) = \
                 arm.get_arch_info(self._detail.arch.arm)
         elif arch == CS_ARCH_ARM64:
             (self.cc, self.update_flags, self.writeback, self.operands) = \
                 arm64.get_arch_info(self._detail.arch.arm64)
         elif arch == CS_ARCH_X86:
-            (self.prefix, self.segment, self.opcode, self.op_size, self.addr_size, \
-                self.disp_size, self.imm_size, self.modrm, self.sib, self.disp, \
-                self.sib_index, self.sib_scale, self.sib_base, self.operands) = x86.get_arch_info(self._detail.arch.x86)
+            (self.prefix, self.opcode, self.rex, self.addr_size, \
+                self.modrm, self.sib, self.disp, \
+                self.sib_index, self.sib_scale, self.sib_base, self.sse_cc, \
+                self.avx_cc, self.avx_sae, self.avx_rm, self.operands) = x86.get_arch_info(self._detail.arch.x86)
         elif arch == CS_ARCH_MIPS:
                 self.operands = mips.get_arch_info(self._detail.arch.mips)
         elif arch == CS_ARCH_PPC:
@@ -523,7 +533,7 @@
 
         return _cs.cs_reg_name(self._cs.csh, reg_id).decode('ascii')
 
-    # get the instruction string
+    # get the instruction name
     def insn_name(self):
         if self._cs._diet:
             # Diet engine cannot provide instruction name
@@ -534,6 +544,21 @@
 
         return _cs.cs_insn_name(self._cs.csh, self.id).decode('ascii')
 
+    # get the group name
+    def group_name(self, group_id):
+        if self._raw.id == 0:
+            raise CsError(CS_ERR_SKIPDATA)
+
+        if self._cs._diet:
+            # Diet engine cannot provide register name
+            raise CsError(CS_ERR_DIET)
+
+        if group_id == 0:
+            return "(invalid)"
+
+        return _cs.cs_group_name(self._cs.csh, group_id).decode('ascii')
+
+
     # verify if this insn belong to group with id as @group_id
     def group(self, group_id):
         if self._raw.id == 0:
@@ -751,7 +776,7 @@
             print(code)
             code = code.encode()
             print(code)'''
-        res = _cs.cs_disasm_ex(self.csh, code, len(code), offset, count, ctypes.byref(all_insn))
+        res = _cs.cs_disasm(self.csh, code, len(code), offset, count, ctypes.byref(all_insn))
         if res > 0:
             for i in range(res):
                 yield CsInsn(self, all_insn[i])
@@ -773,7 +798,7 @@
             raise CsError(CS_ERR_DIET)
 
         all_insn = ctypes.POINTER(_cs_insn)()
-        res = _cs.cs_disasm_ex(self.csh, code, len(code), offset, count, ctypes.byref(all_insn))
+        res = _cs.cs_disasm(self.csh, code, len(code), offset, count, ctypes.byref(all_insn))
         if res > 0:
             for i in range(res):
                 insn = all_insn[i]
diff --git a/bindings/python/capstone/arm.py b/bindings/python/capstone/arm.py
index b1863c9..f161047 100644
--- a/bindings/python/capstone/arm.py
+++ b/bindings/python/capstone/arm.py
@@ -24,10 +24,12 @@
         ('imm', ctypes.c_int32),
         ('fp', ctypes.c_double),
         ('mem', ArmOpMem),
+        ('setend', ctypes.c_int),
     )
 
 class ArmOp(ctypes.Structure):
     _fields_ = (
+        ('vector_index', ctypes.c_int),
         ('shift', ArmOpShift),
         ('type', ctypes.c_uint),
         ('value', ArmOpValue),
@@ -49,9 +51,18 @@
     def mem(self):
         return self.value.mem
 
+    @property
+    def setend(self):
+        return self.value.setend
+
 
 class CsArm(ctypes.Structure):
     _fields_ = (
+        ('usermode', ctypes.c_bool),
+        ('vector_size', ctypes.c_int),
+        ('vector_data', ctypes.c_int),
+        ('cps_mode', ctypes.c_int),
+        ('cps_flag', ctypes.c_int),
         ('cc', ctypes.c_uint),
         ('update_flags', ctypes.c_bool),
         ('writeback', ctypes.c_bool),
@@ -60,5 +71,5 @@
     )
 
 def get_arch_info(a):
-    return (a.cc, a.update_flags, a.writeback, copy.deepcopy(a.operands[:a.op_count]))
+    return (a.usermode, a.vector_size, a.vector_data, a.cps_mode, a.cps_flag, a.cc, a.update_flags, a.writeback, copy.deepcopy(a.operands[:a.op_count]))
 
diff --git a/bindings/python/capstone/arm64.py b/bindings/python/capstone/arm64.py
index 4bad042..86ef46c 100644
--- a/bindings/python/capstone/arm64.py
+++ b/bindings/python/capstone/arm64.py
@@ -23,10 +23,17 @@
         ('imm', ctypes.c_int32),
         ('fp', ctypes.c_double),
         ('mem', Arm64OpMem),
+        ('pstate', ctypes.c_int),
+        ('sys', ctypes.c_uint),
+        ('prefetch', ctypes.c_int),
+        ('barrier', ctypes.c_int),
     )
 
 class Arm64Op(ctypes.Structure):
     _fields_ = (
+        ('vector_index', ctypes.c_int),
+        ('vas', ctypes.c_int),
+        ('vess', ctypes.c_int),
         ('shift', Arm64OpShift),
         ('ext', ctypes.c_uint),
         ('type', ctypes.c_uint),
@@ -49,6 +56,23 @@
     def mem(self):
         return self.value.mem
 
+    @property
+    def pstate(self):
+        return self.value.pstate
+
+    @property
+    def sys(self):
+        return self.value.sys
+
+    @property
+    def prefetch(self):
+        return self.value.prefetch
+
+    @property
+    def barrier(self):
+        return self.value.barrier
+
+
 
 class CsArm64(ctypes.Structure):
     _fields_ = (
diff --git a/bindings/python/capstone/arm64_const.py b/bindings/python/capstone/arm64_const.py
index 914b75a..c2f47e3 100644
--- a/bindings/python/capstone/arm64_const.py
+++ b/bindings/python/capstone/arm64_const.py
@@ -41,6 +41,161 @@
 ARM64_CC_AL = 15
 ARM64_CC_NV = 16
 
+# System registers
+
+# System registers for MRS
+
+ARM64_SYSREG_INVALID = 0
+ARM64_SYSREG_MDCCSR_EL0 = 0x9808
+ARM64_SYSREG_DBGDTRRX_EL0 = 0x9828
+ARM64_SYSREG_MDRAR_EL1 = 0x8080
+ARM64_SYSREG_OSLSR_EL1 = 0x808c
+ARM64_SYSREG_DBGAUTHSTATUS_EL1 = 0x83f6
+ARM64_SYSREG_PMCEID0_EL0 = 0xdce6
+ARM64_SYSREG_PMCEID1_EL0 = 0xdce7
+ARM64_SYSREG_MIDR_EL1 = 0xc000
+ARM64_SYSREG_CCSIDR_EL1 = 0xc800
+ARM64_SYSREG_CLIDR_EL1 = 0xc801
+ARM64_SYSREG_CTR_EL0 = 0xd801
+ARM64_SYSREG_MPIDR_EL1 = 0xc005
+ARM64_SYSREG_REVIDR_EL1 = 0xc006
+ARM64_SYSREG_AIDR_EL1 = 0xc807
+ARM64_SYSREG_DCZID_EL0 = 0xd807
+ARM64_SYSREG_ID_PFR0_EL1 = 0xc008
+ARM64_SYSREG_ID_PFR1_EL1 = 0xc009
+ARM64_SYSREG_ID_DFR0_EL1 = 0xc00a
+ARM64_SYSREG_ID_AFR0_EL1 = 0xc00b
+ARM64_SYSREG_ID_MMFR0_EL1 = 0xc00c
+ARM64_SYSREG_ID_MMFR1_EL1 = 0xc00d
+ARM64_SYSREG_ID_MMFR2_EL1 = 0xc00e
+ARM64_SYSREG_ID_MMFR3_EL1 = 0xc00f
+ARM64_SYSREG_ID_ISAR0_EL1 = 0xc010
+ARM64_SYSREG_ID_ISAR1_EL1 = 0xc011
+ARM64_SYSREG_ID_ISAR2_EL1 = 0xc012
+ARM64_SYSREG_ID_ISAR3_EL1 = 0xc013
+ARM64_SYSREG_ID_ISAR4_EL1 = 0xc014
+ARM64_SYSREG_ID_ISAR5_EL1 = 0xc015
+ARM64_SYSREG_ID_A64PFR0_EL1 = 0xc020
+ARM64_SYSREG_ID_A64PFR1_EL1 = 0xc021
+ARM64_SYSREG_ID_A64DFR0_EL1 = 0xc028
+ARM64_SYSREG_ID_A64DFR1_EL1 = 0xc029
+ARM64_SYSREG_ID_A64AFR0_EL1 = 0xc02c
+ARM64_SYSREG_ID_A64AFR1_EL1 = 0xc02d
+ARM64_SYSREG_ID_A64ISAR0_EL1 = 0xc030
+ARM64_SYSREG_ID_A64ISAR1_EL1 = 0xc031
+ARM64_SYSREG_ID_A64MMFR0_EL1 = 0xc038
+ARM64_SYSREG_ID_A64MMFR1_EL1 = 0xc039
+ARM64_SYSREG_MVFR0_EL1 = 0xc018
+ARM64_SYSREG_MVFR1_EL1 = 0xc019
+ARM64_SYSREG_MVFR2_EL1 = 0xc01a
+ARM64_SYSREG_RVBAR_EL1 = 0xc601
+ARM64_SYSREG_RVBAR_EL2 = 0xe601
+ARM64_SYSREG_RVBAR_EL3 = 0xf601
+ARM64_SYSREG_ISR_EL1 = 0xc608
+ARM64_SYSREG_CNTPCT_EL0 = 0xdf01
+ARM64_SYSREG_CNTVCT_EL0 = 0xdf02
+ARM64_SYSREG_TRCSTATR = 0x8818
+ARM64_SYSREG_TRCIDR8 = 0x8806
+ARM64_SYSREG_TRCIDR9 = 0x880e
+ARM64_SYSREG_TRCIDR10 = 0x8816
+ARM64_SYSREG_TRCIDR11 = 0x881e
+ARM64_SYSREG_TRCIDR12 = 0x8826
+ARM64_SYSREG_TRCIDR13 = 0x882e
+ARM64_SYSREG_TRCIDR0 = 0x8847
+ARM64_SYSREG_TRCIDR1 = 0x884f
+ARM64_SYSREG_TRCIDR2 = 0x8857
+ARM64_SYSREG_TRCIDR3 = 0x885f
+ARM64_SYSREG_TRCIDR4 = 0x8867
+ARM64_SYSREG_TRCIDR5 = 0x886f
+ARM64_SYSREG_TRCIDR6 = 0x8877
+ARM64_SYSREG_TRCIDR7 = 0x887f
+ARM64_SYSREG_TRCOSLSR = 0x888c
+ARM64_SYSREG_TRCPDSR = 0x88ac
+ARM64_SYSREG_TRCDEVAFF0 = 0x8bd6
+ARM64_SYSREG_TRCDEVAFF1 = 0x8bde
+ARM64_SYSREG_TRCLSR = 0x8bee
+ARM64_SYSREG_TRCAUTHSTATUS = 0x8bf6
+ARM64_SYSREG_TRCDEVARCH = 0x8bfe
+ARM64_SYSREG_TRCDEVID = 0x8b97
+ARM64_SYSREG_TRCDEVTYPE = 0x8b9f
+ARM64_SYSREG_TRCPIDR4 = 0x8ba7
+ARM64_SYSREG_TRCPIDR5 = 0x8baf
+ARM64_SYSREG_TRCPIDR6 = 0x8bb7
+ARM64_SYSREG_TRCPIDR7 = 0x8bbf
+ARM64_SYSREG_TRCPIDR0 = 0x8bc7
+ARM64_SYSREG_TRCPIDR1 = 0x8bcf
+ARM64_SYSREG_TRCPIDR2 = 0x8bd7
+ARM64_SYSREG_TRCPIDR3 = 0x8bdf
+ARM64_SYSREG_TRCCIDR0 = 0x8be7
+ARM64_SYSREG_TRCCIDR1 = 0x8bef
+ARM64_SYSREG_TRCCIDR2 = 0x8bf7
+ARM64_SYSREG_TRCCIDR3 = 0x8bff
+ARM64_SYSREG_ICC_IAR1_EL1 = 0xc660
+ARM64_SYSREG_ICC_IAR0_EL1 = 0xc640
+ARM64_SYSREG_ICC_HPPIR1_EL1 = 0xc662
+ARM64_SYSREG_ICC_HPPIR0_EL1 = 0xc642
+ARM64_SYSREG_ICC_RPR_EL1 = 0xc65b
+ARM64_SYSREG_ICH_VTR_EL2 = 0xe659
+ARM64_SYSREG_ICH_EISR_EL2 = 0xe65b
+ARM64_SYSREG_ICH_ELSR_EL2 = 0xe65d//1110011001011101
+
+# System registers for MSR
+ARM64_SYSREG_DBGDTRTX_EL0 = 0x9828
+ARM64_SYSREG_OSLAR_EL1 = 0x8084
+ARM64_SYSREG_PMSWINC_EL0 = 0xdce4
+ARM64_SYSREG_TRCOSLAR = 0x8884
+ARM64_SYSREG_TRCLAR = 0x8be6
+ARM64_SYSREG_ICC_EOIR1_EL1 = 0xc661
+ARM64_SYSREG_ICC_EOIR0_EL1 = 0xc641
+ARM64_SYSREG_ICC_DIR_EL1 = 0xc659
+ARM64_SYSREG_ICC_SGI1R_EL1 = 0xc65d
+ARM64_SYSREG_ICC_ASGI1R_EL1 = 0xc65e
+ARM64_SYSREG_ICC_SGI0R_EL1 = 0xc65f//1100011001011111
+
+# System PState Field (MSR instruction)
+
+ARM64_PSTATE_INVALID = 0
+ARM64_PSTATE_SPSEL = 0x05
+ARM64_PSTATE_DAIFSET = 0x1e
+ARM64_PSTATE_DAIFCLR = 0x1f
+
+# Vector arrangement specifier (for FloatingPoint/Advanced SIMD insn)
+
+ARM64_VAS_INVALID = 0
+ARM64_VAS_8B = 1
+ARM64_VAS_16B = 2
+ARM64_VAS_4H = 3
+ARM64_VAS_8H = 4
+ARM64_VAS_2S = 5
+ARM64_VAS_4S = 6
+ARM64_VAS_1D = 7
+ARM64_VAS_2D = 8
+ARM64_VAS_1Q = 9
+
+# Vector element size specifier
+
+ARM64_VESS_INVALID = 0
+ARM64_VESS_B = 1
+ARM64_VESS_H = 2
+ARM64_VESS_S = 3
+ARM64_VESS_D = 4
+
+# Memory barrier operands
+
+ARM64_BARRIER_INVALID = 0
+ARM64_BARRIER_OSHLD = 0x1
+ARM64_BARRIER_OSHST = 0x2
+ARM64_BARRIER_OSH = 0x3
+ARM64_BARRIER_NSHLD = 0x5
+ARM64_BARRIER_NSHST = 0x6
+ARM64_BARRIER_NSH = 0x7
+ARM64_BARRIER_ISHLD = 0x9
+ARM64_BARRIER_ISHST = 0xa
+ARM64_BARRIER_ISH = 0xb
+ARM64_BARRIER_LD = 0xd
+ARM64_BARRIER_ST = 0xe
+ARM64_BARRIER_SY = 0xf
+
 # Operand type for instruction's operands
 
 ARM64_OP_INVALID = 0
@@ -49,238 +204,367 @@
 ARM64_OP_IMM = 3
 ARM64_OP_FP = 4
 ARM64_OP_MEM = 5
+ARM64_OP_REG_MRS = 6
+ARM64_OP_REG_MSR = 7
+ARM64_OP_PSTATE = 8
+ARM64_OP_SYS = 9
+ARM64_OP_PREFETCH = 10
+ARM64_OP_BARRIER = 11
+
+# TLBI operations
+
+ARM64_TLBI_INVALID = 0
+ARM64_TLBI_VMALLE1IS = 1
+ARM64_TLBI_VAE1IS = 2
+ARM64_TLBI_ASIDE1IS = 3
+ARM64_TLBI_VAAE1IS = 4
+ARM64_TLBI_VALE1IS = 5
+ARM64_TLBI_VAALE1IS = 6
+ARM64_TLBI_ALLE2IS = 7
+ARM64_TLBI_VAE2IS = 8
+ARM64_TLBI_ALLE1IS = 9
+ARM64_TLBI_VALE2IS = 10
+ARM64_TLBI_VMALLS12E1IS = 11
+ARM64_TLBI_ALLE3IS = 12
+ARM64_TLBI_VAE3IS = 13
+ARM64_TLBI_VALE3IS = 14
+ARM64_TLBI_IPAS2E1IS = 15
+ARM64_TLBI_IPAS2LE1IS = 16
+ARM64_TLBI_IPAS2E1 = 17
+ARM64_TLBI_IPAS2LE1 = 18
+ARM64_TLBI_VMALLE1 = 19
+ARM64_TLBI_VAE1 = 20
+ARM64_TLBI_ASIDE1 = 21
+ARM64_TLBI_VAAE1 = 22
+ARM64_TLBI_VALE1 = 23
+ARM64_TLBI_VAALE1 = 24
+ARM64_TLBI_ALLE2 = 25
+ARM64_TLBI_VAE2 = 26
+ARM64_TLBI_ALLE1 = 27
+ARM64_TLBI_VALE2 = 28
+ARM64_TLBI_VMALLS12E1 = 29
+ARM64_TLBI_ALLE3 = 30
+ARM64_TLBI_VAE3 = 31
+ARM64_TLBI_VALE3 = 32
+
+# AT operations
+ARM64_AT_S1E1R = 33
+ARM64_AT_S1E1W = 34
+ARM64_AT_S1E0R = 35
+ARM64_AT_S1E0W = 36
+ARM64_AT_S1E2R = 37
+ARM64_AT_S1E2W = 38
+ARM64_AT_S12E1R = 39
+ARM64_AT_S12E1W = 40
+ARM64_AT_S12E0R = 41
+ARM64_AT_S12E0W = 42
+ARM64_AT_S1E3R = 43
+ARM64_AT_S1E3W = 44
+
+# DC operations
+
+ARM64_DC_INVALID = 0
+ARM64_DC_ZVA = 1
+ARM64_DC_IVAC = 2
+ARM64_DC_ISW = 3
+ARM64_DC_CVAC = 4
+ARM64_DC_CSW = 5
+ARM64_DC_CVAU = 6
+ARM64_DC_CIVAC = 7
+ARM64_DC_CISW = 8
+
+# IC operations
+
+ARM64_IC_INVALID = 0
+ARM64_IC_IALLUIS = 1
+ARM64_IC_IALLU = 2
+ARM64_IC_IVAU = 3
+
+# Prefetch operations (PRFM)
+
+ARM64_PRFM_INVALID = 0
+ARM64_PRFM_PLDL1KEEP = 0x00+1
+ARM64_PRFM_PLDL1STRM = 0x01+1
+ARM64_PRFM_PLDL2KEEP = 0x02+1
+ARM64_PRFM_PLDL2STRM = 0x03+1
+ARM64_PRFM_PLDL3KEEP = 0x04+1
+ARM64_PRFM_PLDL3STRM = 0x05+1
+ARM64_PRFM_PLIL1KEEP = 0x08+1
+ARM64_PRFM_PLIL1STRM = 0x09+1
+ARM64_PRFM_PLIL2KEEP = 0x0a+1
+ARM64_PRFM_PLIL2STRM = 0x0b+1
+ARM64_PRFM_PLIL3KEEP = 0x0c+1
+ARM64_PRFM_PLIL3STRM = 0x0d+1
+ARM64_PRFM_PSTL1KEEP = 0x10+1
+ARM64_PRFM_PSTL1STRM = 0x11+1
+ARM64_PRFM_PSTL2KEEP = 0x12+1
+ARM64_PRFM_PSTL2STRM = 0x13+1
+ARM64_PRFM_PSTL3KEEP = 0x14+1
+ARM64_PRFM_PSTL3STRM = 0x15+1
 
 # ARM64 registers
 
 ARM64_REG_INVALID = 0
-ARM64_REG_NZCV = 1
-ARM64_REG_WSP = 2
-ARM64_REG_WZR = 3
+ARM64_REG_X29 = 1
+ARM64_REG_X30 = 2
+ARM64_REG_NZCV = 3
 ARM64_REG_SP = 4
-ARM64_REG_XZR = 5
-ARM64_REG_B0 = 6
-ARM64_REG_B1 = 7
-ARM64_REG_B2 = 8
-ARM64_REG_B3 = 9
-ARM64_REG_B4 = 10
-ARM64_REG_B5 = 11
-ARM64_REG_B6 = 12
-ARM64_REG_B7 = 13
-ARM64_REG_B8 = 14
-ARM64_REG_B9 = 15
-ARM64_REG_B10 = 16
-ARM64_REG_B11 = 17
-ARM64_REG_B12 = 18
-ARM64_REG_B13 = 19
-ARM64_REG_B14 = 20
-ARM64_REG_B15 = 21
-ARM64_REG_B16 = 22
-ARM64_REG_B17 = 23
-ARM64_REG_B18 = 24
-ARM64_REG_B19 = 25
-ARM64_REG_B20 = 26
-ARM64_REG_B21 = 27
-ARM64_REG_B22 = 28
-ARM64_REG_B23 = 29
-ARM64_REG_B24 = 30
-ARM64_REG_B25 = 31
-ARM64_REG_B26 = 32
-ARM64_REG_B27 = 33
-ARM64_REG_B28 = 34
-ARM64_REG_B29 = 35
-ARM64_REG_B30 = 36
-ARM64_REG_B31 = 37
-ARM64_REG_D0 = 38
-ARM64_REG_D1 = 39
-ARM64_REG_D2 = 40
-ARM64_REG_D3 = 41
-ARM64_REG_D4 = 42
-ARM64_REG_D5 = 43
-ARM64_REG_D6 = 44
-ARM64_REG_D7 = 45
-ARM64_REG_D8 = 46
-ARM64_REG_D9 = 47
-ARM64_REG_D10 = 48
-ARM64_REG_D11 = 49
-ARM64_REG_D12 = 50
-ARM64_REG_D13 = 51
-ARM64_REG_D14 = 52
-ARM64_REG_D15 = 53
-ARM64_REG_D16 = 54
-ARM64_REG_D17 = 55
-ARM64_REG_D18 = 56
-ARM64_REG_D19 = 57
-ARM64_REG_D20 = 58
-ARM64_REG_D21 = 59
-ARM64_REG_D22 = 60
-ARM64_REG_D23 = 61
-ARM64_REG_D24 = 62
-ARM64_REG_D25 = 63
-ARM64_REG_D26 = 64
-ARM64_REG_D27 = 65
-ARM64_REG_D28 = 66
-ARM64_REG_D29 = 67
-ARM64_REG_D30 = 68
-ARM64_REG_D31 = 69
-ARM64_REG_H0 = 70
-ARM64_REG_H1 = 71
-ARM64_REG_H2 = 72
-ARM64_REG_H3 = 73
-ARM64_REG_H4 = 74
-ARM64_REG_H5 = 75
-ARM64_REG_H6 = 76
-ARM64_REG_H7 = 77
-ARM64_REG_H8 = 78
-ARM64_REG_H9 = 79
-ARM64_REG_H10 = 80
-ARM64_REG_H11 = 81
-ARM64_REG_H12 = 82
-ARM64_REG_H13 = 83
-ARM64_REG_H14 = 84
-ARM64_REG_H15 = 85
-ARM64_REG_H16 = 86
-ARM64_REG_H17 = 87
-ARM64_REG_H18 = 88
-ARM64_REG_H19 = 89
-ARM64_REG_H20 = 90
-ARM64_REG_H21 = 91
-ARM64_REG_H22 = 92
-ARM64_REG_H23 = 93
-ARM64_REG_H24 = 94
-ARM64_REG_H25 = 95
-ARM64_REG_H26 = 96
-ARM64_REG_H27 = 97
-ARM64_REG_H28 = 98
-ARM64_REG_H29 = 99
-ARM64_REG_H30 = 100
-ARM64_REG_H31 = 101
-ARM64_REG_Q0 = 102
-ARM64_REG_Q1 = 103
-ARM64_REG_Q2 = 104
-ARM64_REG_Q3 = 105
-ARM64_REG_Q4 = 106
-ARM64_REG_Q5 = 107
-ARM64_REG_Q6 = 108
-ARM64_REG_Q7 = 109
-ARM64_REG_Q8 = 110
-ARM64_REG_Q9 = 111
-ARM64_REG_Q10 = 112
-ARM64_REG_Q11 = 113
-ARM64_REG_Q12 = 114
-ARM64_REG_Q13 = 115
-ARM64_REG_Q14 = 116
-ARM64_REG_Q15 = 117
-ARM64_REG_Q16 = 118
-ARM64_REG_Q17 = 119
-ARM64_REG_Q18 = 120
-ARM64_REG_Q19 = 121
-ARM64_REG_Q20 = 122
-ARM64_REG_Q21 = 123
-ARM64_REG_Q22 = 124
-ARM64_REG_Q23 = 125
-ARM64_REG_Q24 = 126
-ARM64_REG_Q25 = 127
-ARM64_REG_Q26 = 128
-ARM64_REG_Q27 = 129
-ARM64_REG_Q28 = 130
-ARM64_REG_Q29 = 131
-ARM64_REG_Q30 = 132
-ARM64_REG_Q31 = 133
-ARM64_REG_S0 = 134
-ARM64_REG_S1 = 135
-ARM64_REG_S2 = 136
-ARM64_REG_S3 = 137
-ARM64_REG_S4 = 138
-ARM64_REG_S5 = 139
-ARM64_REG_S6 = 140
-ARM64_REG_S7 = 141
-ARM64_REG_S8 = 142
-ARM64_REG_S9 = 143
-ARM64_REG_S10 = 144
-ARM64_REG_S11 = 145
-ARM64_REG_S12 = 146
-ARM64_REG_S13 = 147
-ARM64_REG_S14 = 148
-ARM64_REG_S15 = 149
-ARM64_REG_S16 = 150
-ARM64_REG_S17 = 151
-ARM64_REG_S18 = 152
-ARM64_REG_S19 = 153
-ARM64_REG_S20 = 154
-ARM64_REG_S21 = 155
-ARM64_REG_S22 = 156
-ARM64_REG_S23 = 157
-ARM64_REG_S24 = 158
-ARM64_REG_S25 = 159
-ARM64_REG_S26 = 160
-ARM64_REG_S27 = 161
-ARM64_REG_S28 = 162
-ARM64_REG_S29 = 163
-ARM64_REG_S30 = 164
-ARM64_REG_S31 = 165
-ARM64_REG_W0 = 166
-ARM64_REG_W1 = 167
-ARM64_REG_W2 = 168
-ARM64_REG_W3 = 169
-ARM64_REG_W4 = 170
-ARM64_REG_W5 = 171
-ARM64_REG_W6 = 172
-ARM64_REG_W7 = 173
-ARM64_REG_W8 = 174
-ARM64_REG_W9 = 175
-ARM64_REG_W10 = 176
-ARM64_REG_W11 = 177
-ARM64_REG_W12 = 178
-ARM64_REG_W13 = 179
-ARM64_REG_W14 = 180
-ARM64_REG_W15 = 181
-ARM64_REG_W16 = 182
-ARM64_REG_W17 = 183
-ARM64_REG_W18 = 184
-ARM64_REG_W19 = 185
-ARM64_REG_W20 = 186
-ARM64_REG_W21 = 187
-ARM64_REG_W22 = 188
-ARM64_REG_W23 = 189
-ARM64_REG_W24 = 190
-ARM64_REG_W25 = 191
-ARM64_REG_W26 = 192
-ARM64_REG_W27 = 193
-ARM64_REG_W28 = 194
-ARM64_REG_W29 = 195
-ARM64_REG_W30 = 196
-ARM64_REG_X0 = 197
-ARM64_REG_X1 = 198
-ARM64_REG_X2 = 199
-ARM64_REG_X3 = 200
-ARM64_REG_X4 = 201
-ARM64_REG_X5 = 202
-ARM64_REG_X6 = 203
-ARM64_REG_X7 = 204
-ARM64_REG_X8 = 205
-ARM64_REG_X9 = 206
-ARM64_REG_X10 = 207
-ARM64_REG_X11 = 208
-ARM64_REG_X12 = 209
-ARM64_REG_X13 = 210
-ARM64_REG_X14 = 211
-ARM64_REG_X15 = 212
-ARM64_REG_X16 = 213
-ARM64_REG_X17 = 214
-ARM64_REG_X18 = 215
-ARM64_REG_X19 = 216
-ARM64_REG_X20 = 217
-ARM64_REG_X21 = 218
-ARM64_REG_X22 = 219
-ARM64_REG_X23 = 220
-ARM64_REG_X24 = 221
-ARM64_REG_X25 = 222
-ARM64_REG_X26 = 223
-ARM64_REG_X27 = 224
-ARM64_REG_X28 = 225
-ARM64_REG_X29 = 226
-ARM64_REG_X30 = 227
-ARM64_REG_MAX = 228
+ARM64_REG_WSP = 5
+ARM64_REG_WZR = 6
+ARM64_REG_XZR = 7
+ARM64_REG_B0 = 8
+ARM64_REG_B1 = 9
+ARM64_REG_B2 = 10
+ARM64_REG_B3 = 11
+ARM64_REG_B4 = 12
+ARM64_REG_B5 = 13
+ARM64_REG_B6 = 14
+ARM64_REG_B7 = 15
+ARM64_REG_B8 = 16
+ARM64_REG_B9 = 17
+ARM64_REG_B10 = 18
+ARM64_REG_B11 = 19
+ARM64_REG_B12 = 20
+ARM64_REG_B13 = 21
+ARM64_REG_B14 = 22
+ARM64_REG_B15 = 23
+ARM64_REG_B16 = 24
+ARM64_REG_B17 = 25
+ARM64_REG_B18 = 26
+ARM64_REG_B19 = 27
+ARM64_REG_B20 = 28
+ARM64_REG_B21 = 29
+ARM64_REG_B22 = 30
+ARM64_REG_B23 = 31
+ARM64_REG_B24 = 32
+ARM64_REG_B25 = 33
+ARM64_REG_B26 = 34
+ARM64_REG_B27 = 35
+ARM64_REG_B28 = 36
+ARM64_REG_B29 = 37
+ARM64_REG_B30 = 38
+ARM64_REG_B31 = 39
+ARM64_REG_D0 = 40
+ARM64_REG_D1 = 41
+ARM64_REG_D2 = 42
+ARM64_REG_D3 = 43
+ARM64_REG_D4 = 44
+ARM64_REG_D5 = 45
+ARM64_REG_D6 = 46
+ARM64_REG_D7 = 47
+ARM64_REG_D8 = 48
+ARM64_REG_D9 = 49
+ARM64_REG_D10 = 50
+ARM64_REG_D11 = 51
+ARM64_REG_D12 = 52
+ARM64_REG_D13 = 53
+ARM64_REG_D14 = 54
+ARM64_REG_D15 = 55
+ARM64_REG_D16 = 56
+ARM64_REG_D17 = 57
+ARM64_REG_D18 = 58
+ARM64_REG_D19 = 59
+ARM64_REG_D20 = 60
+ARM64_REG_D21 = 61
+ARM64_REG_D22 = 62
+ARM64_REG_D23 = 63
+ARM64_REG_D24 = 64
+ARM64_REG_D25 = 65
+ARM64_REG_D26 = 66
+ARM64_REG_D27 = 67
+ARM64_REG_D28 = 68
+ARM64_REG_D29 = 69
+ARM64_REG_D30 = 70
+ARM64_REG_D31 = 71
+ARM64_REG_H0 = 72
+ARM64_REG_H1 = 73
+ARM64_REG_H2 = 74
+ARM64_REG_H3 = 75
+ARM64_REG_H4 = 76
+ARM64_REG_H5 = 77
+ARM64_REG_H6 = 78
+ARM64_REG_H7 = 79
+ARM64_REG_H8 = 80
+ARM64_REG_H9 = 81
+ARM64_REG_H10 = 82
+ARM64_REG_H11 = 83
+ARM64_REG_H12 = 84
+ARM64_REG_H13 = 85
+ARM64_REG_H14 = 86
+ARM64_REG_H15 = 87
+ARM64_REG_H16 = 88
+ARM64_REG_H17 = 89
+ARM64_REG_H18 = 90
+ARM64_REG_H19 = 91
+ARM64_REG_H20 = 92
+ARM64_REG_H21 = 93
+ARM64_REG_H22 = 94
+ARM64_REG_H23 = 95
+ARM64_REG_H24 = 96
+ARM64_REG_H25 = 97
+ARM64_REG_H26 = 98
+ARM64_REG_H27 = 99
+ARM64_REG_H28 = 100
+ARM64_REG_H29 = 101
+ARM64_REG_H30 = 102
+ARM64_REG_H31 = 103
+ARM64_REG_Q0 = 104
+ARM64_REG_Q1 = 105
+ARM64_REG_Q2 = 106
+ARM64_REG_Q3 = 107
+ARM64_REG_Q4 = 108
+ARM64_REG_Q5 = 109
+ARM64_REG_Q6 = 110
+ARM64_REG_Q7 = 111
+ARM64_REG_Q8 = 112
+ARM64_REG_Q9 = 113
+ARM64_REG_Q10 = 114
+ARM64_REG_Q11 = 115
+ARM64_REG_Q12 = 116
+ARM64_REG_Q13 = 117
+ARM64_REG_Q14 = 118
+ARM64_REG_Q15 = 119
+ARM64_REG_Q16 = 120
+ARM64_REG_Q17 = 121
+ARM64_REG_Q18 = 122
+ARM64_REG_Q19 = 123
+ARM64_REG_Q20 = 124
+ARM64_REG_Q21 = 125
+ARM64_REG_Q22 = 126
+ARM64_REG_Q23 = 127
+ARM64_REG_Q24 = 128
+ARM64_REG_Q25 = 129
+ARM64_REG_Q26 = 130
+ARM64_REG_Q27 = 131
+ARM64_REG_Q28 = 132
+ARM64_REG_Q29 = 133
+ARM64_REG_Q30 = 134
+ARM64_REG_Q31 = 135
+ARM64_REG_S0 = 136
+ARM64_REG_S1 = 137
+ARM64_REG_S2 = 138
+ARM64_REG_S3 = 139
+ARM64_REG_S4 = 140
+ARM64_REG_S5 = 141
+ARM64_REG_S6 = 142
+ARM64_REG_S7 = 143
+ARM64_REG_S8 = 144
+ARM64_REG_S9 = 145
+ARM64_REG_S10 = 146
+ARM64_REG_S11 = 147
+ARM64_REG_S12 = 148
+ARM64_REG_S13 = 149
+ARM64_REG_S14 = 150
+ARM64_REG_S15 = 151
+ARM64_REG_S16 = 152
+ARM64_REG_S17 = 153
+ARM64_REG_S18 = 154
+ARM64_REG_S19 = 155
+ARM64_REG_S20 = 156
+ARM64_REG_S21 = 157
+ARM64_REG_S22 = 158
+ARM64_REG_S23 = 159
+ARM64_REG_S24 = 160
+ARM64_REG_S25 = 161
+ARM64_REG_S26 = 162
+ARM64_REG_S27 = 163
+ARM64_REG_S28 = 164
+ARM64_REG_S29 = 165
+ARM64_REG_S30 = 166
+ARM64_REG_S31 = 167
+ARM64_REG_W0 = 168
+ARM64_REG_W1 = 169
+ARM64_REG_W2 = 170
+ARM64_REG_W3 = 171
+ARM64_REG_W4 = 172
+ARM64_REG_W5 = 173
+ARM64_REG_W6 = 174
+ARM64_REG_W7 = 175
+ARM64_REG_W8 = 176
+ARM64_REG_W9 = 177
+ARM64_REG_W10 = 178
+ARM64_REG_W11 = 179
+ARM64_REG_W12 = 180
+ARM64_REG_W13 = 181
+ARM64_REG_W14 = 182
+ARM64_REG_W15 = 183
+ARM64_REG_W16 = 184
+ARM64_REG_W17 = 185
+ARM64_REG_W18 = 186
+ARM64_REG_W19 = 187
+ARM64_REG_W20 = 188
+ARM64_REG_W21 = 189
+ARM64_REG_W22 = 190
+ARM64_REG_W23 = 191
+ARM64_REG_W24 = 192
+ARM64_REG_W25 = 193
+ARM64_REG_W26 = 194
+ARM64_REG_W27 = 195
+ARM64_REG_W28 = 196
+ARM64_REG_W29 = 197
+ARM64_REG_W30 = 198
+ARM64_REG_X0 = 199
+ARM64_REG_X1 = 200
+ARM64_REG_X2 = 201
+ARM64_REG_X3 = 202
+ARM64_REG_X4 = 203
+ARM64_REG_X5 = 204
+ARM64_REG_X6 = 205
+ARM64_REG_X7 = 206
+ARM64_REG_X8 = 207
+ARM64_REG_X9 = 208
+ARM64_REG_X10 = 209
+ARM64_REG_X11 = 210
+ARM64_REG_X12 = 211
+ARM64_REG_X13 = 212
+ARM64_REG_X14 = 213
+ARM64_REG_X15 = 214
+ARM64_REG_X16 = 215
+ARM64_REG_X17 = 216
+ARM64_REG_X18 = 217
+ARM64_REG_X19 = 218
+ARM64_REG_X20 = 219
+ARM64_REG_X21 = 220
+ARM64_REG_X22 = 221
+ARM64_REG_X23 = 222
+ARM64_REG_X24 = 223
+ARM64_REG_X25 = 224
+ARM64_REG_X26 = 225
+ARM64_REG_X27 = 226
+ARM64_REG_X28 = 227
+ARM64_REG_V0 = 228
+ARM64_REG_V1 = 229
+ARM64_REG_V2 = 230
+ARM64_REG_V3 = 231
+ARM64_REG_V4 = 232
+ARM64_REG_V5 = 233
+ARM64_REG_V6 = 234
+ARM64_REG_V7 = 235
+ARM64_REG_V8 = 236
+ARM64_REG_V9 = 237
+ARM64_REG_V10 = 238
+ARM64_REG_V11 = 239
+ARM64_REG_V12 = 240
+ARM64_REG_V13 = 241
+ARM64_REG_V14 = 242
+ARM64_REG_V15 = 243
+ARM64_REG_V16 = 244
+ARM64_REG_V17 = 245
+ARM64_REG_V18 = 246
+ARM64_REG_V19 = 247
+ARM64_REG_V20 = 248
+ARM64_REG_V21 = 249
+ARM64_REG_V22 = 250
+ARM64_REG_V23 = 251
+ARM64_REG_V24 = 252
+ARM64_REG_V25 = 253
+ARM64_REG_V26 = 254
+ARM64_REG_V27 = 255
+ARM64_REG_V28 = 256
+ARM64_REG_V29 = 257
+ARM64_REG_V30 = 258
+ARM64_REG_V31 = 259
+ARM64_REG_MAX = 260
 
 # alias registers
 ARM64_REG_IP1 = ARM64_REG_X16
@@ -293,450 +577,456 @@
 ARM64_INS_INVALID = 0
 ARM64_INS_ABS = 1
 ARM64_INS_ADC = 2
-ARM64_INS_ADDHN2 = 3
-ARM64_INS_ADDHN = 4
+ARM64_INS_ADDHN = 3
+ARM64_INS_ADDHN2 = 4
 ARM64_INS_ADDP = 5
-ARM64_INS_ADDV = 6
-ARM64_INS_ADD = 7
-ARM64_INS_CMN = 8
+ARM64_INS_ADD = 6
+ARM64_INS_ADDV = 7
+ARM64_INS_ADR = 8
 ARM64_INS_ADRP = 9
-ARM64_INS_ADR = 10
-ARM64_INS_AESD = 11
-ARM64_INS_AESE = 12
-ARM64_INS_AESIMC = 13
-ARM64_INS_AESMC = 14
-ARM64_INS_AND = 15
-ARM64_INS_ASR = 16
-ARM64_INS_AT = 17
-ARM64_INS_BFI = 18
-ARM64_INS_BFM = 19
-ARM64_INS_BFXIL = 20
-ARM64_INS_BIC = 21
-ARM64_INS_BIF = 22
-ARM64_INS_BIT = 23
-ARM64_INS_BLR = 24
-ARM64_INS_BL = 25
-ARM64_INS_BRK = 26
-ARM64_INS_BR = 27
-ARM64_INS_BSL = 28
-ARM64_INS_B = 29
-ARM64_INS_CBNZ = 30
-ARM64_INS_CBZ = 31
-ARM64_INS_CCMN = 32
-ARM64_INS_CCMP = 33
-ARM64_INS_CLREX = 34
-ARM64_INS_CLS = 35
-ARM64_INS_CLZ = 36
-ARM64_INS_CMEQ = 37
-ARM64_INS_CMGE = 38
-ARM64_INS_CMGT = 39
-ARM64_INS_CMHI = 40
-ARM64_INS_CMHS = 41
-ARM64_INS_CMLE = 42
-ARM64_INS_CMLT = 43
-ARM64_INS_CMP = 44
-ARM64_INS_CMTST = 45
-ARM64_INS_CNT = 46
-ARM64_INS_CRC32B = 47
-ARM64_INS_CRC32CB = 48
-ARM64_INS_CRC32CH = 49
-ARM64_INS_CRC32CW = 50
-ARM64_INS_CRC32CX = 51
-ARM64_INS_CRC32H = 52
-ARM64_INS_CRC32W = 53
-ARM64_INS_CRC32X = 54
-ARM64_INS_CSEL = 55
-ARM64_INS_CSINC = 56
-ARM64_INS_CSINV = 57
-ARM64_INS_CSNEG = 58
-ARM64_INS_DCPS1 = 59
-ARM64_INS_DCPS2 = 60
-ARM64_INS_DCPS3 = 61
-ARM64_INS_DC = 62
-ARM64_INS_DMB = 63
-ARM64_INS_DRPS = 64
-ARM64_INS_DSB = 65
-ARM64_INS_DUP = 66
-ARM64_INS_EON = 67
-ARM64_INS_EOR = 68
-ARM64_INS_ERET = 69
-ARM64_INS_EXTR = 70
-ARM64_INS_EXT = 71
-ARM64_INS_FABD = 72
-ARM64_INS_FABS = 73
-ARM64_INS_FACGE = 74
-ARM64_INS_FACGT = 75
-ARM64_INS_FADDP = 76
-ARM64_INS_FADD = 77
-ARM64_INS_FCCMPE = 78
-ARM64_INS_FCCMP = 79
-ARM64_INS_FCMEQ = 80
-ARM64_INS_FCMGE = 81
-ARM64_INS_FCMGT = 82
-ARM64_INS_FCMLE = 83
-ARM64_INS_FCMLT = 84
-ARM64_INS_FCMP = 85
-ARM64_INS_FCMPE = 86
-ARM64_INS_FCSEL = 87
-ARM64_INS_FCVTAS = 88
-ARM64_INS_FCVTAU = 89
-ARM64_INS_FCVTL = 90
-ARM64_INS_FCVTL2 = 91
-ARM64_INS_FCVTMS = 92
-ARM64_INS_FCVTMU = 93
-ARM64_INS_FCVTN = 94
-ARM64_INS_FCVTN2 = 95
-ARM64_INS_FCVTNS = 96
-ARM64_INS_FCVTNU = 97
-ARM64_INS_FCVTPS = 98
-ARM64_INS_FCVTPU = 99
-ARM64_INS_FCVTXN = 100
-ARM64_INS_FCVTXN2 = 101
-ARM64_INS_FCVTZS = 102
-ARM64_INS_FCVTZU = 103
-ARM64_INS_FCVT = 104
-ARM64_INS_FDIV = 105
-ARM64_INS_FMADD = 106
-ARM64_INS_FMAXNMP = 107
-ARM64_INS_FMAXNMV = 108
-ARM64_INS_FMAXNM = 109
-ARM64_INS_FMAXP = 110
-ARM64_INS_FMAXV = 111
-ARM64_INS_FMAX = 112
-ARM64_INS_FMINNMP = 113
-ARM64_INS_FMINNMV = 114
-ARM64_INS_FMINNM = 115
-ARM64_INS_FMINP = 116
-ARM64_INS_FMINV = 117
-ARM64_INS_FMIN = 118
-ARM64_INS_FMLA = 119
-ARM64_INS_FMLS = 120
-ARM64_INS_FMOV = 121
-ARM64_INS_FMSUB = 122
-ARM64_INS_FMULX = 123
-ARM64_INS_FMUL = 124
-ARM64_INS_FNEG = 125
-ARM64_INS_FNMADD = 126
-ARM64_INS_FNMSUB = 127
-ARM64_INS_FNMUL = 128
-ARM64_INS_FRECPE = 129
-ARM64_INS_FRECPS = 130
-ARM64_INS_FRECPX = 131
-ARM64_INS_FRINTA = 132
-ARM64_INS_FRINTI = 133
-ARM64_INS_FRINTM = 134
-ARM64_INS_FRINTN = 135
-ARM64_INS_FRINTP = 136
-ARM64_INS_FRINTX = 137
-ARM64_INS_FRINTZ = 138
-ARM64_INS_FRSQRTE = 139
-ARM64_INS_FRSQRTS = 140
-ARM64_INS_FSQRT = 141
-ARM64_INS_FSUB = 142
-ARM64_INS_HINT = 143
-ARM64_INS_HLT = 144
-ARM64_INS_HVC = 145
-ARM64_INS_IC = 146
-ARM64_INS_INS = 147
-ARM64_INS_ISB = 148
-ARM64_INS_LD1 = 149
-ARM64_INS_LD1R = 150
-ARM64_INS_LD2 = 151
-ARM64_INS_LD2R = 152
-ARM64_INS_LD3 = 153
-ARM64_INS_LD3R = 154
-ARM64_INS_LD4 = 155
-ARM64_INS_LD4R = 156
-ARM64_INS_LDARB = 157
-ARM64_INS_LDAR = 158
-ARM64_INS_LDARH = 159
-ARM64_INS_LDAXP = 160
-ARM64_INS_LDAXRB = 161
-ARM64_INS_LDAXR = 162
-ARM64_INS_LDAXRH = 163
-ARM64_INS_LDPSW = 164
-ARM64_INS_LDRSB = 165
-ARM64_INS_LDURSB = 166
-ARM64_INS_LDRSH = 167
-ARM64_INS_LDURSH = 168
-ARM64_INS_LDRSW = 169
-ARM64_INS_LDR = 170
-ARM64_INS_LDTRSB = 171
-ARM64_INS_LDTRSH = 172
-ARM64_INS_LDTRSW = 173
-ARM64_INS_LDURSW = 174
-ARM64_INS_LDXP = 175
-ARM64_INS_LDXRB = 176
-ARM64_INS_LDXR = 177
-ARM64_INS_LDXRH = 178
-ARM64_INS_LDRH = 179
-ARM64_INS_LDURH = 180
-ARM64_INS_STRH = 181
-ARM64_INS_STURH = 182
-ARM64_INS_LDTRH = 183
-ARM64_INS_STTRH = 184
-ARM64_INS_LDUR = 185
-ARM64_INS_STR = 186
-ARM64_INS_STUR = 187
-ARM64_INS_LDTR = 188
-ARM64_INS_STTR = 189
-ARM64_INS_LDRB = 190
-ARM64_INS_LDURB = 191
-ARM64_INS_STRB = 192
-ARM64_INS_STURB = 193
-ARM64_INS_LDTRB = 194
-ARM64_INS_STTRB = 195
-ARM64_INS_LDP = 196
-ARM64_INS_LDNP = 197
-ARM64_INS_STNP = 198
-ARM64_INS_STP = 199
-ARM64_INS_LSL = 200
-ARM64_INS_LSR = 201
-ARM64_INS_MADD = 202
-ARM64_INS_MLA = 203
-ARM64_INS_MLS = 204
-ARM64_INS_MOVI = 205
-ARM64_INS_MOVK = 206
-ARM64_INS_MOVN = 207
-ARM64_INS_MOVZ = 208
-ARM64_INS_MRS = 209
-ARM64_INS_MSR = 210
-ARM64_INS_MSUB = 211
-ARM64_INS_MUL = 212
-ARM64_INS_MVNI = 213
-ARM64_INS_MVN = 214
-ARM64_INS_NEG = 215
-ARM64_INS_NOT = 216
-ARM64_INS_ORN = 217
-ARM64_INS_ORR = 218
-ARM64_INS_PMULL2 = 219
-ARM64_INS_PMULL = 220
-ARM64_INS_PMUL = 221
-ARM64_INS_PRFM = 222
-ARM64_INS_PRFUM = 223
-ARM64_INS_SQRSHRUN2 = 224
-ARM64_INS_SQRSHRUN = 225
-ARM64_INS_SQSHRUN2 = 226
-ARM64_INS_SQSHRUN = 227
-ARM64_INS_RADDHN2 = 228
-ARM64_INS_RADDHN = 229
-ARM64_INS_RBIT = 230
-ARM64_INS_RET = 231
-ARM64_INS_REV16 = 232
-ARM64_INS_REV32 = 233
-ARM64_INS_REV64 = 234
-ARM64_INS_REV = 235
-ARM64_INS_ROR = 236
-ARM64_INS_RSHRN2 = 237
-ARM64_INS_RSHRN = 238
-ARM64_INS_RSUBHN2 = 239
-ARM64_INS_RSUBHN = 240
-ARM64_INS_SABAL2 = 241
-ARM64_INS_SABAL = 242
-ARM64_INS_SABA = 243
-ARM64_INS_SABDL2 = 244
-ARM64_INS_SABDL = 245
-ARM64_INS_SABD = 246
-ARM64_INS_SADALP = 247
-ARM64_INS_SADDL2 = 248
-ARM64_INS_SADDLP = 249
-ARM64_INS_SADDLV = 250
-ARM64_INS_SADDL = 251
-ARM64_INS_SADDW2 = 252
-ARM64_INS_SADDW = 253
-ARM64_INS_SBC = 254
-ARM64_INS_SBFIZ = 255
-ARM64_INS_SBFM = 256
-ARM64_INS_SBFX = 257
-ARM64_INS_SCVTF = 258
-ARM64_INS_SDIV = 259
-ARM64_INS_SHA1C = 260
-ARM64_INS_SHA1H = 261
-ARM64_INS_SHA1M = 262
-ARM64_INS_SHA1P = 263
-ARM64_INS_SHA1SU0 = 264
-ARM64_INS_SHA1SU1 = 265
-ARM64_INS_SHA256H = 266
-ARM64_INS_SHA256H2 = 267
-ARM64_INS_SHA256SU0 = 268
-ARM64_INS_SHA256SU1 = 269
-ARM64_INS_SHADD = 270
-ARM64_INS_SHLL2 = 271
-ARM64_INS_SHLL = 272
-ARM64_INS_SHL = 273
-ARM64_INS_SHRN2 = 274
-ARM64_INS_SHRN = 275
-ARM64_INS_SHSUB = 276
-ARM64_INS_SLI = 277
-ARM64_INS_SMADDL = 278
-ARM64_INS_SMAXP = 279
-ARM64_INS_SMAXV = 280
-ARM64_INS_SMAX = 281
-ARM64_INS_SMC = 282
-ARM64_INS_SMINP = 283
-ARM64_INS_SMINV = 284
-ARM64_INS_SMIN = 285
-ARM64_INS_SMLAL2 = 286
-ARM64_INS_SMLAL = 287
-ARM64_INS_SMLSL2 = 288
-ARM64_INS_SMLSL = 289
-ARM64_INS_SMOV = 290
-ARM64_INS_SMSUBL = 291
-ARM64_INS_SMULH = 292
-ARM64_INS_SMULL2 = 293
-ARM64_INS_SMULL = 294
-ARM64_INS_SQABS = 295
-ARM64_INS_SQADD = 296
-ARM64_INS_SQDMLAL2 = 297
-ARM64_INS_SQDMLAL = 298
-ARM64_INS_SQDMLSL2 = 299
-ARM64_INS_SQDMLSL = 300
-ARM64_INS_SQDMULH = 301
-ARM64_INS_SQDMULL2 = 302
-ARM64_INS_SQDMULL = 303
-ARM64_INS_SQNEG = 304
-ARM64_INS_SQRDMULH = 305
-ARM64_INS_SQRSHL = 306
-ARM64_INS_SQRSHRN = 307
-ARM64_INS_SQRSHRN2 = 308
-ARM64_INS_SQSHLU = 309
-ARM64_INS_SQSHL = 310
-ARM64_INS_SQSHRN = 311
-ARM64_INS_SQSHRN2 = 312
-ARM64_INS_SQSUB = 313
-ARM64_INS_SQXTN = 314
-ARM64_INS_SQXTN2 = 315
-ARM64_INS_SQXTUN = 316
-ARM64_INS_SQXTUN2 = 317
-ARM64_INS_SRHADD = 318
-ARM64_INS_SRI = 319
-ARM64_INS_SRSHL = 320
-ARM64_INS_SRSHR = 321
-ARM64_INS_SRSRA = 322
-ARM64_INS_SSHLL2 = 323
-ARM64_INS_SSHLL = 324
-ARM64_INS_SSHL = 325
-ARM64_INS_SSHR = 326
-ARM64_INS_SSRA = 327
-ARM64_INS_SSUBL2 = 328
-ARM64_INS_SSUBL = 329
-ARM64_INS_SSUBW2 = 330
-ARM64_INS_SSUBW = 331
-ARM64_INS_ST1 = 332
-ARM64_INS_ST2 = 333
-ARM64_INS_ST3 = 334
-ARM64_INS_ST4 = 335
-ARM64_INS_STLRB = 336
-ARM64_INS_STLR = 337
-ARM64_INS_STLRH = 338
-ARM64_INS_STLXP = 339
-ARM64_INS_STLXRB = 340
-ARM64_INS_STLXR = 341
-ARM64_INS_STLXRH = 342
-ARM64_INS_STXP = 343
-ARM64_INS_STXRB = 344
-ARM64_INS_STXR = 345
-ARM64_INS_STXRH = 346
-ARM64_INS_SUBHN2 = 347
-ARM64_INS_SUBHN = 348
-ARM64_INS_SUB = 349
-ARM64_INS_SUQADD = 350
-ARM64_INS_SVC = 351
-ARM64_INS_SXTB = 352
-ARM64_INS_SXTH = 353
-ARM64_INS_SXTW = 354
-ARM64_INS_SYSL = 355
-ARM64_INS_SYS = 356
-ARM64_INS_TBL = 357
-ARM64_INS_TBNZ = 358
-ARM64_INS_TBX = 359
-ARM64_INS_TBZ = 360
-ARM64_INS_TLBI = 361
-ARM64_INS_TRN1 = 362
-ARM64_INS_TRN2 = 363
-ARM64_INS_TST = 364
-ARM64_INS_UABAL2 = 365
-ARM64_INS_UABAL = 366
-ARM64_INS_UABA = 367
-ARM64_INS_UABDL2 = 368
-ARM64_INS_UABDL = 369
-ARM64_INS_UABD = 370
-ARM64_INS_UADALP = 371
-ARM64_INS_UADDL2 = 372
-ARM64_INS_UADDLP = 373
-ARM64_INS_UADDLV = 374
-ARM64_INS_UADDL = 375
-ARM64_INS_UADDW2 = 376
-ARM64_INS_UADDW = 377
-ARM64_INS_UBFIZ = 378
-ARM64_INS_UBFM = 379
-ARM64_INS_UBFX = 380
-ARM64_INS_UCVTF = 381
-ARM64_INS_UDIV = 382
-ARM64_INS_UHADD = 383
-ARM64_INS_UHSUB = 384
-ARM64_INS_UMADDL = 385
-ARM64_INS_UMAXP = 386
-ARM64_INS_UMAXV = 387
-ARM64_INS_UMAX = 388
-ARM64_INS_UMINP = 389
-ARM64_INS_UMINV = 390
-ARM64_INS_UMIN = 391
-ARM64_INS_UMLAL2 = 392
-ARM64_INS_UMLAL = 393
-ARM64_INS_UMLSL2 = 394
-ARM64_INS_UMLSL = 395
-ARM64_INS_UMOV = 396
-ARM64_INS_UMSUBL = 397
-ARM64_INS_UMULH = 398
-ARM64_INS_UMULL2 = 399
-ARM64_INS_UMULL = 400
-ARM64_INS_UQADD = 401
-ARM64_INS_UQRSHL = 402
-ARM64_INS_UQRSHRN = 403
-ARM64_INS_UQRSHRN2 = 404
-ARM64_INS_UQSHL = 405
-ARM64_INS_UQSHRN = 406
-ARM64_INS_UQSHRN2 = 407
-ARM64_INS_UQSUB = 408
-ARM64_INS_UQXTN = 409
-ARM64_INS_UQXTN2 = 410
-ARM64_INS_URECPE = 411
-ARM64_INS_URHADD = 412
-ARM64_INS_URSHL = 413
-ARM64_INS_URSHR = 414
-ARM64_INS_URSQRTE = 415
-ARM64_INS_URSRA = 416
-ARM64_INS_USHLL2 = 417
-ARM64_INS_USHLL = 418
-ARM64_INS_USHL = 419
-ARM64_INS_USHR = 420
-ARM64_INS_USQADD = 421
-ARM64_INS_USRA = 422
-ARM64_INS_USUBL2 = 423
-ARM64_INS_USUBL = 424
-ARM64_INS_USUBW2 = 425
-ARM64_INS_USUBW = 426
-ARM64_INS_UXTB = 427
-ARM64_INS_UXTH = 428
-ARM64_INS_UZP1 = 429
-ARM64_INS_UZP2 = 430
-ARM64_INS_XTN = 431
-ARM64_INS_XTN2 = 432
-ARM64_INS_ZIP1 = 433
-ARM64_INS_ZIP2 = 434
-ARM64_INS_MNEG = 435
-ARM64_INS_UMNEGL = 436
-ARM64_INS_SMNEGL = 437
-ARM64_INS_MOV = 438
-ARM64_INS_NOP = 439
-ARM64_INS_YIELD = 440
-ARM64_INS_WFE = 441
-ARM64_INS_WFI = 442
-ARM64_INS_SEV = 443
-ARM64_INS_SEVL = 444
-ARM64_INS_NGC = 445
-ARM64_INS_MAX = 446
+ARM64_INS_AESD = 10
+ARM64_INS_AESE = 11
+ARM64_INS_AESIMC = 12
+ARM64_INS_AESMC = 13
+ARM64_INS_AND = 14
+ARM64_INS_ASR = 15
+ARM64_INS_B = 16
+ARM64_INS_BFM = 17
+ARM64_INS_BIC = 18
+ARM64_INS_BIF = 19
+ARM64_INS_BIT = 20
+ARM64_INS_BL = 21
+ARM64_INS_BLR = 22
+ARM64_INS_BR = 23
+ARM64_INS_BRK = 24
+ARM64_INS_BSL = 25
+ARM64_INS_CBNZ = 26
+ARM64_INS_CBZ = 27
+ARM64_INS_CCMN = 28
+ARM64_INS_CCMP = 29
+ARM64_INS_CLREX = 30
+ARM64_INS_CLS = 31
+ARM64_INS_CLZ = 32
+ARM64_INS_CMEQ = 33
+ARM64_INS_CMGE = 34
+ARM64_INS_CMGT = 35
+ARM64_INS_CMHI = 36
+ARM64_INS_CMHS = 37
+ARM64_INS_CMLE = 38
+ARM64_INS_CMLT = 39
+ARM64_INS_CMTST = 40
+ARM64_INS_CNT = 41
+ARM64_INS_MOV = 42
+ARM64_INS_CRC32B = 43
+ARM64_INS_CRC32CB = 44
+ARM64_INS_CRC32CH = 45
+ARM64_INS_CRC32CW = 46
+ARM64_INS_CRC32CX = 47
+ARM64_INS_CRC32H = 48
+ARM64_INS_CRC32W = 49
+ARM64_INS_CRC32X = 50
+ARM64_INS_CSEL = 51
+ARM64_INS_CSINC = 52
+ARM64_INS_CSINV = 53
+ARM64_INS_CSNEG = 54
+ARM64_INS_DCPS1 = 55
+ARM64_INS_DCPS2 = 56
+ARM64_INS_DCPS3 = 57
+ARM64_INS_DMB = 58
+ARM64_INS_DRPS = 59
+ARM64_INS_DSB = 60
+ARM64_INS_DUP = 61
+ARM64_INS_EON = 62
+ARM64_INS_EOR = 63
+ARM64_INS_ERET = 64
+ARM64_INS_EXTR = 65
+ARM64_INS_EXT = 66
+ARM64_INS_FABD = 67
+ARM64_INS_FABS = 68
+ARM64_INS_FACGE = 69
+ARM64_INS_FACGT = 70
+ARM64_INS_FADD = 71
+ARM64_INS_FADDP = 72
+ARM64_INS_FCCMP = 73
+ARM64_INS_FCCMPE = 74
+ARM64_INS_FCMEQ = 75
+ARM64_INS_FCMGE = 76
+ARM64_INS_FCMGT = 77
+ARM64_INS_FCMLE = 78
+ARM64_INS_FCMLT = 79
+ARM64_INS_FCMP = 80
+ARM64_INS_FCMPE = 81
+ARM64_INS_FCSEL = 82
+ARM64_INS_FCVTAS = 83
+ARM64_INS_FCVTAU = 84
+ARM64_INS_FCVT = 85
+ARM64_INS_FCVTL = 86
+ARM64_INS_FCVTL2 = 87
+ARM64_INS_FCVTMS = 88
+ARM64_INS_FCVTMU = 89
+ARM64_INS_FCVTNS = 90
+ARM64_INS_FCVTNU = 91
+ARM64_INS_FCVTN = 92
+ARM64_INS_FCVTN2 = 93
+ARM64_INS_FCVTPS = 94
+ARM64_INS_FCVTPU = 95
+ARM64_INS_FCVTXN = 96
+ARM64_INS_FCVTXN2 = 97
+ARM64_INS_FCVTZS = 98
+ARM64_INS_FCVTZU = 99
+ARM64_INS_FDIV = 100
+ARM64_INS_FMADD = 101
+ARM64_INS_FMAX = 102
+ARM64_INS_FMAXNM = 103
+ARM64_INS_FMAXNMP = 104
+ARM64_INS_FMAXNMV = 105
+ARM64_INS_FMAXP = 106
+ARM64_INS_FMAXV = 107
+ARM64_INS_FMIN = 108
+ARM64_INS_FMINNM = 109
+ARM64_INS_FMINNMP = 110
+ARM64_INS_FMINNMV = 111
+ARM64_INS_FMINP = 112
+ARM64_INS_FMINV = 113
+ARM64_INS_FMLA = 114
+ARM64_INS_FMLS = 115
+ARM64_INS_FMOV = 116
+ARM64_INS_FMSUB = 117
+ARM64_INS_FMUL = 118
+ARM64_INS_FMULX = 119
+ARM64_INS_FNEG = 120
+ARM64_INS_FNMADD = 121
+ARM64_INS_FNMSUB = 122
+ARM64_INS_FNMUL = 123
+ARM64_INS_FRECPE = 124
+ARM64_INS_FRECPS = 125
+ARM64_INS_FRECPX = 126
+ARM64_INS_FRINTA = 127
+ARM64_INS_FRINTI = 128
+ARM64_INS_FRINTM = 129
+ARM64_INS_FRINTN = 130
+ARM64_INS_FRINTP = 131
+ARM64_INS_FRINTX = 132
+ARM64_INS_FRINTZ = 133
+ARM64_INS_FRSQRTE = 134
+ARM64_INS_FRSQRTS = 135
+ARM64_INS_FSQRT = 136
+ARM64_INS_FSUB = 137
+ARM64_INS_HINT = 138
+ARM64_INS_HLT = 139
+ARM64_INS_HVC = 140
+ARM64_INS_INS = 141
+ARM64_INS_ISB = 142
+ARM64_INS_LD1 = 143
+ARM64_INS_LD1R = 144
+ARM64_INS_LD2R = 145
+ARM64_INS_LD2 = 146
+ARM64_INS_LD3R = 147
+ARM64_INS_LD3 = 148
+ARM64_INS_LD4 = 149
+ARM64_INS_LD4R = 150
+ARM64_INS_LDARB = 151
+ARM64_INS_LDARH = 152
+ARM64_INS_LDAR = 153
+ARM64_INS_LDAXP = 154
+ARM64_INS_LDAXRB = 155
+ARM64_INS_LDAXRH = 156
+ARM64_INS_LDAXR = 157
+ARM64_INS_LDNP = 158
+ARM64_INS_LDP = 159
+ARM64_INS_LDPSW = 160
+ARM64_INS_LDRB = 161
+ARM64_INS_LDR = 162
+ARM64_INS_LDRH = 163
+ARM64_INS_LDRSB = 164
+ARM64_INS_LDRSH = 165
+ARM64_INS_LDRSW = 166
+ARM64_INS_LDTRB = 167
+ARM64_INS_LDTRH = 168
+ARM64_INS_LDTRSB = 169
+ARM64_INS_LDTRSH = 170
+ARM64_INS_LDTRSW = 171
+ARM64_INS_LDTR = 172
+ARM64_INS_LDURB = 173
+ARM64_INS_LDUR = 174
+ARM64_INS_LDURH = 175
+ARM64_INS_LDURSB = 176
+ARM64_INS_LDURSH = 177
+ARM64_INS_LDURSW = 178
+ARM64_INS_LDXP = 179
+ARM64_INS_LDXRB = 180
+ARM64_INS_LDXRH = 181
+ARM64_INS_LDXR = 182
+ARM64_INS_LSL = 183
+ARM64_INS_LSR = 184
+ARM64_INS_MADD = 185
+ARM64_INS_MLA = 186
+ARM64_INS_MLS = 187
+ARM64_INS_MOVI = 188
+ARM64_INS_MOVK = 189
+ARM64_INS_MOVN = 190
+ARM64_INS_MOVZ = 191
+ARM64_INS_MRS = 192
+ARM64_INS_MSR = 193
+ARM64_INS_MSUB = 194
+ARM64_INS_MUL = 195
+ARM64_INS_MVNI = 196
+ARM64_INS_NEG = 197
+ARM64_INS_NOT = 198
+ARM64_INS_ORN = 199
+ARM64_INS_ORR = 200
+ARM64_INS_PMULL2 = 201
+ARM64_INS_PMULL = 202
+ARM64_INS_PMUL = 203
+ARM64_INS_PRFM = 204
+ARM64_INS_PRFUM = 205
+ARM64_INS_RADDHN = 206
+ARM64_INS_RADDHN2 = 207
+ARM64_INS_RBIT = 208
+ARM64_INS_RET = 209
+ARM64_INS_REV16 = 210
+ARM64_INS_REV32 = 211
+ARM64_INS_REV64 = 212
+ARM64_INS_REV = 213
+ARM64_INS_ROR = 214
+ARM64_INS_RSHRN2 = 215
+ARM64_INS_RSHRN = 216
+ARM64_INS_RSUBHN = 217
+ARM64_INS_RSUBHN2 = 218
+ARM64_INS_SABAL2 = 219
+ARM64_INS_SABAL = 220
+ARM64_INS_SABA = 221
+ARM64_INS_SABDL2 = 222
+ARM64_INS_SABDL = 223
+ARM64_INS_SABD = 224
+ARM64_INS_SADALP = 225
+ARM64_INS_SADDLP = 226
+ARM64_INS_SADDLV = 227
+ARM64_INS_SADDL2 = 228
+ARM64_INS_SADDL = 229
+ARM64_INS_SADDW2 = 230
+ARM64_INS_SADDW = 231
+ARM64_INS_SBC = 232
+ARM64_INS_SBFM = 233
+ARM64_INS_SCVTF = 234
+ARM64_INS_SDIV = 235
+ARM64_INS_SHA1C = 236
+ARM64_INS_SHA1H = 237
+ARM64_INS_SHA1M = 238
+ARM64_INS_SHA1P = 239
+ARM64_INS_SHA1SU0 = 240
+ARM64_INS_SHA1SU1 = 241
+ARM64_INS_SHA256H2 = 242
+ARM64_INS_SHA256H = 243
+ARM64_INS_SHA256SU0 = 244
+ARM64_INS_SHA256SU1 = 245
+ARM64_INS_SHADD = 246
+ARM64_INS_SHLL2 = 247
+ARM64_INS_SHLL = 248
+ARM64_INS_SHL = 249
+ARM64_INS_SHRN2 = 250
+ARM64_INS_SHRN = 251
+ARM64_INS_SHSUB = 252
+ARM64_INS_SLI = 253
+ARM64_INS_SMADDL = 254
+ARM64_INS_SMAXP = 255
+ARM64_INS_SMAXV = 256
+ARM64_INS_SMAX = 257
+ARM64_INS_SMC = 258
+ARM64_INS_SMINP = 259
+ARM64_INS_SMINV = 260
+ARM64_INS_SMIN = 261
+ARM64_INS_SMLAL2 = 262
+ARM64_INS_SMLAL = 263
+ARM64_INS_SMLSL2 = 264
+ARM64_INS_SMLSL = 265
+ARM64_INS_SMOV = 266
+ARM64_INS_SMSUBL = 267
+ARM64_INS_SMULH = 268
+ARM64_INS_SMULL2 = 269
+ARM64_INS_SMULL = 270
+ARM64_INS_SQABS = 271
+ARM64_INS_SQADD = 272
+ARM64_INS_SQDMLAL = 273
+ARM64_INS_SQDMLAL2 = 274
+ARM64_INS_SQDMLSL = 275
+ARM64_INS_SQDMLSL2 = 276
+ARM64_INS_SQDMULH = 277
+ARM64_INS_SQDMULL = 278
+ARM64_INS_SQDMULL2 = 279
+ARM64_INS_SQNEG = 280
+ARM64_INS_SQRDMULH = 281
+ARM64_INS_SQRSHL = 282
+ARM64_INS_SQRSHRN = 283
+ARM64_INS_SQRSHRN2 = 284
+ARM64_INS_SQRSHRUN = 285
+ARM64_INS_SQRSHRUN2 = 286
+ARM64_INS_SQSHLU = 287
+ARM64_INS_SQSHL = 288
+ARM64_INS_SQSHRN = 289
+ARM64_INS_SQSHRN2 = 290
+ARM64_INS_SQSHRUN = 291
+ARM64_INS_SQSHRUN2 = 292
+ARM64_INS_SQSUB = 293
+ARM64_INS_SQXTN2 = 294
+ARM64_INS_SQXTN = 295
+ARM64_INS_SQXTUN2 = 296
+ARM64_INS_SQXTUN = 297
+ARM64_INS_SRHADD = 298
+ARM64_INS_SRI = 299
+ARM64_INS_SRSHL = 300
+ARM64_INS_SRSHR = 301
+ARM64_INS_SRSRA = 302
+ARM64_INS_SSHLL2 = 303
+ARM64_INS_SSHLL = 304
+ARM64_INS_SSHL = 305
+ARM64_INS_SSHR = 306
+ARM64_INS_SSRA = 307
+ARM64_INS_SSUBL2 = 308
+ARM64_INS_SSUBL = 309
+ARM64_INS_SSUBW2 = 310
+ARM64_INS_SSUBW = 311
+ARM64_INS_ST1 = 312
+ARM64_INS_ST2 = 313
+ARM64_INS_ST3 = 314
+ARM64_INS_ST4 = 315
+ARM64_INS_STLRB = 316
+ARM64_INS_STLRH = 317
+ARM64_INS_STLR = 318
+ARM64_INS_STLXP = 319
+ARM64_INS_STLXRB = 320
+ARM64_INS_STLXRH = 321
+ARM64_INS_STLXR = 322
+ARM64_INS_STNP = 323
+ARM64_INS_STP = 324
+ARM64_INS_STRB = 325
+ARM64_INS_STR = 326
+ARM64_INS_STRH = 327
+ARM64_INS_STTRB = 328
+ARM64_INS_STTRH = 329
+ARM64_INS_STTR = 330
+ARM64_INS_STURB = 331
+ARM64_INS_STUR = 332
+ARM64_INS_STURH = 333
+ARM64_INS_STXP = 334
+ARM64_INS_STXRB = 335
+ARM64_INS_STXRH = 336
+ARM64_INS_STXR = 337
+ARM64_INS_SUBHN = 338
+ARM64_INS_SUBHN2 = 339
+ARM64_INS_SUB = 340
+ARM64_INS_SUQADD = 341
+ARM64_INS_SVC = 342
+ARM64_INS_SYSL = 343
+ARM64_INS_SYS = 344
+ARM64_INS_TBL = 345
+ARM64_INS_TBNZ = 346
+ARM64_INS_TBX = 347
+ARM64_INS_TBZ = 348
+ARM64_INS_TRN1 = 349
+ARM64_INS_TRN2 = 350
+ARM64_INS_UABAL2 = 351
+ARM64_INS_UABAL = 352
+ARM64_INS_UABA = 353
+ARM64_INS_UABDL2 = 354
+ARM64_INS_UABDL = 355
+ARM64_INS_UABD = 356
+ARM64_INS_UADALP = 357
+ARM64_INS_UADDLP = 358
+ARM64_INS_UADDLV = 359
+ARM64_INS_UADDL2 = 360
+ARM64_INS_UADDL = 361
+ARM64_INS_UADDW2 = 362
+ARM64_INS_UADDW = 363
+ARM64_INS_UBFM = 364
+ARM64_INS_UCVTF = 365
+ARM64_INS_UDIV = 366
+ARM64_INS_UHADD = 367
+ARM64_INS_UHSUB = 368
+ARM64_INS_UMADDL = 369
+ARM64_INS_UMAXP = 370
+ARM64_INS_UMAXV = 371
+ARM64_INS_UMAX = 372
+ARM64_INS_UMINP = 373
+ARM64_INS_UMINV = 374
+ARM64_INS_UMIN = 375
+ARM64_INS_UMLAL2 = 376
+ARM64_INS_UMLAL = 377
+ARM64_INS_UMLSL2 = 378
+ARM64_INS_UMLSL = 379
+ARM64_INS_UMOV = 380
+ARM64_INS_UMSUBL = 381
+ARM64_INS_UMULH = 382
+ARM64_INS_UMULL2 = 383
+ARM64_INS_UMULL = 384
+ARM64_INS_UQADD = 385
+ARM64_INS_UQRSHL = 386
+ARM64_INS_UQRSHRN = 387
+ARM64_INS_UQRSHRN2 = 388
+ARM64_INS_UQSHL = 389
+ARM64_INS_UQSHRN = 390
+ARM64_INS_UQSHRN2 = 391
+ARM64_INS_UQSUB = 392
+ARM64_INS_UQXTN2 = 393
+ARM64_INS_UQXTN = 394
+ARM64_INS_URECPE = 395
+ARM64_INS_URHADD = 396
+ARM64_INS_URSHL = 397
+ARM64_INS_URSHR = 398
+ARM64_INS_URSQRTE = 399
+ARM64_INS_URSRA = 400
+ARM64_INS_USHLL2 = 401
+ARM64_INS_USHLL = 402
+ARM64_INS_USHL = 403
+ARM64_INS_USHR = 404
+ARM64_INS_USQADD = 405
+ARM64_INS_USRA = 406
+ARM64_INS_USUBL2 = 407
+ARM64_INS_USUBL = 408
+ARM64_INS_USUBW2 = 409
+ARM64_INS_USUBW = 410
+ARM64_INS_UZP1 = 411
+ARM64_INS_UZP2 = 412
+ARM64_INS_XTN2 = 413
+ARM64_INS_XTN = 414
+ARM64_INS_ZIP1 = 415
+ARM64_INS_ZIP2 = 416
+ARM64_INS_MNEG = 417
+ARM64_INS_UMNEGL = 418
+ARM64_INS_SMNEGL = 419
+ARM64_INS_NOP = 420
+ARM64_INS_YIELD = 421
+ARM64_INS_WFE = 422
+ARM64_INS_WFI = 423
+ARM64_INS_SEV = 424
+ARM64_INS_SEVL = 425
+ARM64_INS_NGC = 426
+ARM64_INS_SBFIZ = 427
+ARM64_INS_UBFIZ = 428
+ARM64_INS_SBFX = 429
+ARM64_INS_UBFX = 430
+ARM64_INS_BFI = 431
+ARM64_INS_BFXIL = 432
+ARM64_INS_CMN = 433
+ARM64_INS_MVN = 434
+ARM64_INS_TST = 435
+ARM64_INS_CSET = 436
+ARM64_INS_CINC = 437
+ARM64_INS_CSETM = 438
+ARM64_INS_CINV = 439
+ARM64_INS_CNEG = 440
+ARM64_INS_SXTB = 441
+ARM64_INS_SXTH = 442
+ARM64_INS_SXTW = 443
+ARM64_INS_CMP = 444
+ARM64_INS_UXTB = 445
+ARM64_INS_UXTH = 446
+ARM64_INS_UXTW = 447
+ARM64_INS_IC = 448
+ARM64_INS_DC = 449
+ARM64_INS_AT = 450
+ARM64_INS_TLBI = 451
+ARM64_INS_MAX = 452
 
 # Group of ARM64 instructions
 
@@ -744,5 +1034,6 @@
 ARM64_GRP_CRYPTO = 1
 ARM64_GRP_FPARMV8 = 2
 ARM64_GRP_NEON = 3
-ARM64_GRP_JUMP = 4
-ARM64_GRP_MAX = 5
+ARM64_GRP_CRC = 4
+ARM64_GRP_JUMP = 5
+ARM64_GRP_MAX = 6
diff --git a/bindings/python/capstone/arm_const.py b/bindings/python/capstone/arm_const.py
index 562a288..4edd64e 100644
--- a/bindings/python/capstone/arm_const.py
+++ b/bindings/python/capstone/arm_const.py
@@ -33,6 +33,41 @@
 ARM_CC_LE = 14
 ARM_CC_AL = 15
 
+# Special registers for MSR
+
+ARM_SYSREG_INVALID = 0
+ARM_SYSREG_SPSR_C = 1
+ARM_SYSREG_SPSR_X = 2
+ARM_SYSREG_SPSR_S = 4
+ARM_SYSREG_SPSR_F = 8
+ARM_SYSREG_CPSR_C = 16
+ARM_SYSREG_CPSR_X = 32
+ARM_SYSREG_CPSR_S = 64
+ARM_SYSREG_CPSR_F = 128
+ARM_SYSREG_APSR = 256
+ARM_SYSREG_APSR_G = 257
+ARM_SYSREG_APSR_NZCVQ = 258
+ARM_SYSREG_APSR_NZCVQG = 259
+ARM_SYSREG_IAPSR = 260
+ARM_SYSREG_IAPSR_G = 261
+ARM_SYSREG_IAPSR_NZCVQG = 262
+ARM_SYSREG_EAPSR = 263
+ARM_SYSREG_EAPSR_G = 264
+ARM_SYSREG_EAPSR_NZCVQG = 265
+ARM_SYSREG_XPSR = 266
+ARM_SYSREG_XPSR_G = 267
+ARM_SYSREG_XPSR_NZCVQG = 268
+ARM_SYSREG_IPSR = 269
+ARM_SYSREG_EPSR = 270
+ARM_SYSREG_IEPSR = 271
+ARM_SYSREG_MSP = 272
+ARM_SYSREG_PSP = 273
+ARM_SYSREG_PRIMASK = 274
+ARM_SYSREG_BASEPRI = 275
+ARM_SYSREG_BASEPRI_MAX = 276
+ARM_SYSREG_FAULTMASK = 277
+ARM_SYSREG_CONTROL = 278
+
 # Operand type for instruction's operands
 
 ARM_OP_INVALID = 0
@@ -42,6 +77,67 @@
 ARM_OP_IMM = 4
 ARM_OP_FP = 5
 ARM_OP_MEM = 6
+ARM_OP_SETEND = 7
+ARM_OP_SYSREG = 8
+
+# Operand type for SETEND instruction
+
+ARM_SETEND_INVALID = 0
+ARM_SETEND_BE = 1
+ARM_SETEND_LE = 2
+
+ARM_CPSMODE_INVALID = 0
+ARM_CPSMODE_IE = 2
+ARM_CPSMODE_ID = 3
+
+# Operand type for SETEND instruction
+
+ARM_CPSFLAG_INVALID = 0
+ARM_CPSFLAG_F = 1
+ARM_CPSFLAG_I = 2
+ARM_CPSFLAG_A = 4
+ARM_CPSFLAG_NONE = 16
+
+# Data type for elements of vector instructions.
+
+ARM_VECTORDATA_INVALID = 0
+ARM_VECTORDATA_I8 = 1
+ARM_VECTORDATA_I16 = 2
+ARM_VECTORDATA_I32 = 3
+ARM_VECTORDATA_I64 = 4
+ARM_VECTORDATA_S8 = 5
+ARM_VECTORDATA_S16 = 6
+ARM_VECTORDATA_S32 = 7
+ARM_VECTORDATA_S64 = 8
+ARM_VECTORDATA_U8 = 9
+ARM_VECTORDATA_U16 = 10
+ARM_VECTORDATA_U32 = 11
+ARM_VECTORDATA_U64 = 12
+ARM_VECTORDATA_P8 = 13
+ARM_VECTORDATA_F32 = 14
+ARM_VECTORDATA_F64 = 15
+ARM_VECTORDATA_F16F64 = 16
+ARM_VECTORDATA_F64F16 = 17
+ARM_VECTORDATA_F32F16 = 18
+ARM_VECTORDATA_F16F32 = 19
+ARM_VECTORDATA_F64F32 = 20
+ARM_VECTORDATA_F32F64 = 21
+ARM_VECTORDATA_S32F32 = 22
+ARM_VECTORDATA_U32F32 = 23
+ARM_VECTORDATA_F32S32 = 24
+ARM_VECTORDATA_F32U32 = 25
+ARM_VECTORDATA_F64S16 = 26
+ARM_VECTORDATA_F32S16 = 27
+ARM_VECTORDATA_F64S32 = 28
+ARM_VECTORDATA_S16F64 = 29
+ARM_VECTORDATA_S16F32 = 30
+ARM_VECTORDATA_S32F64 = 31
+ARM_VECTORDATA_U16F64 = 32
+ARM_VECTORDATA_U16F32 = 33
+ARM_VECTORDATA_U32F64 = 34
+ARM_VECTORDATA_F64U16 = 35
+ARM_VECTORDATA_F32U16 = 36
+ARM_VECTORDATA_F64U32 = 37
 
 # ARM registers
 
@@ -399,203 +495,212 @@
 ARM_INS_UADD8 = 227
 ARM_INS_UASX = 228
 ARM_INS_UBFX = 229
-ARM_INS_UDIV = 230
-ARM_INS_UHADD16 = 231
-ARM_INS_UHADD8 = 232
-ARM_INS_UHASX = 233
-ARM_INS_UHSAX = 234
-ARM_INS_UHSUB16 = 235
-ARM_INS_UHSUB8 = 236
-ARM_INS_UMAAL = 237
-ARM_INS_UMLAL = 238
-ARM_INS_UMULL = 239
-ARM_INS_UQADD16 = 240
-ARM_INS_UQADD8 = 241
-ARM_INS_UQASX = 242
-ARM_INS_UQSAX = 243
-ARM_INS_UQSUB16 = 244
-ARM_INS_UQSUB8 = 245
-ARM_INS_USAD8 = 246
-ARM_INS_USADA8 = 247
-ARM_INS_USAT = 248
-ARM_INS_USAT16 = 249
-ARM_INS_USAX = 250
-ARM_INS_USUB16 = 251
-ARM_INS_USUB8 = 252
-ARM_INS_UXTAB = 253
-ARM_INS_UXTAB16 = 254
-ARM_INS_UXTAH = 255
-ARM_INS_UXTB = 256
-ARM_INS_UXTB16 = 257
-ARM_INS_UXTH = 258
-ARM_INS_VABAL = 259
-ARM_INS_VABA = 260
-ARM_INS_VABDL = 261
-ARM_INS_VABD = 262
-ARM_INS_VABS = 263
-ARM_INS_VACGE = 264
-ARM_INS_VACGT = 265
-ARM_INS_VADD = 266
-ARM_INS_VADDHN = 267
-ARM_INS_VADDL = 268
-ARM_INS_VADDW = 269
-ARM_INS_VAND = 270
-ARM_INS_VBIC = 271
-ARM_INS_VBIF = 272
-ARM_INS_VBIT = 273
-ARM_INS_VBSL = 274
-ARM_INS_VCEQ = 275
-ARM_INS_VCGE = 276
-ARM_INS_VCGT = 277
-ARM_INS_VCLE = 278
-ARM_INS_VCLS = 279
-ARM_INS_VCLT = 280
-ARM_INS_VCLZ = 281
-ARM_INS_VCMP = 282
-ARM_INS_VCMPE = 283
-ARM_INS_VCNT = 284
-ARM_INS_VCVTA = 285
-ARM_INS_VCVTB = 286
-ARM_INS_VCVT = 287
-ARM_INS_VCVTM = 288
-ARM_INS_VCVTN = 289
-ARM_INS_VCVTP = 290
-ARM_INS_VCVTT = 291
-ARM_INS_VDIV = 292
-ARM_INS_VDUP = 293
-ARM_INS_VEOR = 294
-ARM_INS_VEXT = 295
-ARM_INS_VFMA = 296
-ARM_INS_VFMS = 297
-ARM_INS_VFNMA = 298
-ARM_INS_VFNMS = 299
-ARM_INS_VHADD = 300
-ARM_INS_VHSUB = 301
-ARM_INS_VLD1 = 302
-ARM_INS_VLD2 = 303
-ARM_INS_VLD3 = 304
-ARM_INS_VLD4 = 305
-ARM_INS_VLDMDB = 306
-ARM_INS_VLDMIA = 307
-ARM_INS_VLDR = 308
-ARM_INS_VMAXNM = 309
-ARM_INS_VMAX = 310
-ARM_INS_VMINNM = 311
-ARM_INS_VMIN = 312
-ARM_INS_VMLA = 313
-ARM_INS_VMLAL = 314
-ARM_INS_VMLS = 315
-ARM_INS_VMLSL = 316
-ARM_INS_VMOVL = 317
-ARM_INS_VMOVN = 318
-ARM_INS_VMSR = 319
-ARM_INS_VMUL = 320
-ARM_INS_VMULL = 321
-ARM_INS_VMVN = 322
-ARM_INS_VNEG = 323
-ARM_INS_VNMLA = 324
-ARM_INS_VNMLS = 325
-ARM_INS_VNMUL = 326
-ARM_INS_VORN = 327
-ARM_INS_VORR = 328
-ARM_INS_VPADAL = 329
-ARM_INS_VPADDL = 330
-ARM_INS_VPADD = 331
-ARM_INS_VPMAX = 332
-ARM_INS_VPMIN = 333
-ARM_INS_VQABS = 334
-ARM_INS_VQADD = 335
-ARM_INS_VQDMLAL = 336
-ARM_INS_VQDMLSL = 337
-ARM_INS_VQDMULH = 338
-ARM_INS_VQDMULL = 339
-ARM_INS_VQMOVUN = 340
-ARM_INS_VQMOVN = 341
-ARM_INS_VQNEG = 342
-ARM_INS_VQRDMULH = 343
-ARM_INS_VQRSHL = 344
-ARM_INS_VQRSHRN = 345
-ARM_INS_VQRSHRUN = 346
-ARM_INS_VQSHL = 347
-ARM_INS_VQSHLU = 348
-ARM_INS_VQSHRN = 349
-ARM_INS_VQSHRUN = 350
-ARM_INS_VQSUB = 351
-ARM_INS_VRADDHN = 352
-ARM_INS_VRECPE = 353
-ARM_INS_VRECPS = 354
-ARM_INS_VREV16 = 355
-ARM_INS_VREV32 = 356
-ARM_INS_VREV64 = 357
-ARM_INS_VRHADD = 358
-ARM_INS_VRINTA = 359
-ARM_INS_VRINTM = 360
-ARM_INS_VRINTN = 361
-ARM_INS_VRINTP = 362
-ARM_INS_VRINTR = 363
-ARM_INS_VRINTX = 364
-ARM_INS_VRINTZ = 365
-ARM_INS_VRSHL = 366
-ARM_INS_VRSHRN = 367
-ARM_INS_VRSHR = 368
-ARM_INS_VRSQRTE = 369
-ARM_INS_VRSQRTS = 370
-ARM_INS_VRSRA = 371
-ARM_INS_VRSUBHN = 372
-ARM_INS_VSELEQ = 373
-ARM_INS_VSELGE = 374
-ARM_INS_VSELGT = 375
-ARM_INS_VSELVS = 376
-ARM_INS_VSHLL = 377
-ARM_INS_VSHL = 378
-ARM_INS_VSHRN = 379
-ARM_INS_VSHR = 380
-ARM_INS_VSLI = 381
-ARM_INS_VSQRT = 382
-ARM_INS_VSRA = 383
-ARM_INS_VSRI = 384
-ARM_INS_VST1 = 385
-ARM_INS_VST2 = 386
-ARM_INS_VST3 = 387
-ARM_INS_VST4 = 388
-ARM_INS_VSTMDB = 389
-ARM_INS_VSTMIA = 390
-ARM_INS_VSTR = 391
-ARM_INS_VSUB = 392
-ARM_INS_VSUBHN = 393
-ARM_INS_VSUBL = 394
-ARM_INS_VSUBW = 395
-ARM_INS_VSWP = 396
-ARM_INS_VTBL = 397
-ARM_INS_VTBX = 398
-ARM_INS_VCVTR = 399
-ARM_INS_VTRN = 400
-ARM_INS_VTST = 401
-ARM_INS_VUZP = 402
-ARM_INS_VZIP = 403
-ARM_INS_ADDW = 404
-ARM_INS_ASR = 405
-ARM_INS_DCPS1 = 406
-ARM_INS_DCPS2 = 407
-ARM_INS_DCPS3 = 408
-ARM_INS_IT = 409
-ARM_INS_LSL = 410
-ARM_INS_LSR = 411
-ARM_INS_ASRS = 412
-ARM_INS_LSRS = 413
-ARM_INS_ORN = 414
-ARM_INS_ROR = 415
-ARM_INS_RRX = 416
-ARM_INS_SUBS = 417
-ARM_INS_SUBW = 418
-ARM_INS_TBB = 419
-ARM_INS_TBH = 420
-ARM_INS_CBNZ = 421
-ARM_INS_CBZ = 422
-ARM_INS_MOVS = 423
-ARM_INS_POP = 424
-ARM_INS_PUSH = 425
-ARM_INS_MAX = 426
+ARM_INS_UDF = 230
+ARM_INS_UDIV = 231
+ARM_INS_UHADD16 = 232
+ARM_INS_UHADD8 = 233
+ARM_INS_UHASX = 234
+ARM_INS_UHSAX = 235
+ARM_INS_UHSUB16 = 236
+ARM_INS_UHSUB8 = 237
+ARM_INS_UMAAL = 238
+ARM_INS_UMLAL = 239
+ARM_INS_UMULL = 240
+ARM_INS_UQADD16 = 241
+ARM_INS_UQADD8 = 242
+ARM_INS_UQASX = 243
+ARM_INS_UQSAX = 244
+ARM_INS_UQSUB16 = 245
+ARM_INS_UQSUB8 = 246
+ARM_INS_USAD8 = 247
+ARM_INS_USADA8 = 248
+ARM_INS_USAT = 249
+ARM_INS_USAT16 = 250
+ARM_INS_USAX = 251
+ARM_INS_USUB16 = 252
+ARM_INS_USUB8 = 253
+ARM_INS_UXTAB = 254
+ARM_INS_UXTAB16 = 255
+ARM_INS_UXTAH = 256
+ARM_INS_UXTB = 257
+ARM_INS_UXTB16 = 258
+ARM_INS_UXTH = 259
+ARM_INS_VABAL = 260
+ARM_INS_VABA = 261
+ARM_INS_VABDL = 262
+ARM_INS_VABD = 263
+ARM_INS_VABS = 264
+ARM_INS_VACGE = 265
+ARM_INS_VACGT = 266
+ARM_INS_VADD = 267
+ARM_INS_VADDHN = 268
+ARM_INS_VADDL = 269
+ARM_INS_VADDW = 270
+ARM_INS_VAND = 271
+ARM_INS_VBIC = 272
+ARM_INS_VBIF = 273
+ARM_INS_VBIT = 274
+ARM_INS_VBSL = 275
+ARM_INS_VCEQ = 276
+ARM_INS_VCGE = 277
+ARM_INS_VCGT = 278
+ARM_INS_VCLE = 279
+ARM_INS_VCLS = 280
+ARM_INS_VCLT = 281
+ARM_INS_VCLZ = 282
+ARM_INS_VCMP = 283
+ARM_INS_VCMPE = 284
+ARM_INS_VCNT = 285
+ARM_INS_VCVTA = 286
+ARM_INS_VCVTB = 287
+ARM_INS_VCVT = 288
+ARM_INS_VCVTM = 289
+ARM_INS_VCVTN = 290
+ARM_INS_VCVTP = 291
+ARM_INS_VCVTT = 292
+ARM_INS_VDIV = 293
+ARM_INS_VDUP = 294
+ARM_INS_VEOR = 295
+ARM_INS_VEXT = 296
+ARM_INS_VFMA = 297
+ARM_INS_VFMS = 298
+ARM_INS_VFNMA = 299
+ARM_INS_VFNMS = 300
+ARM_INS_VHADD = 301
+ARM_INS_VHSUB = 302
+ARM_INS_VLD1 = 303
+ARM_INS_VLD2 = 304
+ARM_INS_VLD3 = 305
+ARM_INS_VLD4 = 306
+ARM_INS_VLDMDB = 307
+ARM_INS_VLDMIA = 308
+ARM_INS_VLDR = 309
+ARM_INS_VMAXNM = 310
+ARM_INS_VMAX = 311
+ARM_INS_VMINNM = 312
+ARM_INS_VMIN = 313
+ARM_INS_VMLA = 314
+ARM_INS_VMLAL = 315
+ARM_INS_VMLS = 316
+ARM_INS_VMLSL = 317
+ARM_INS_VMOVL = 318
+ARM_INS_VMOVN = 319
+ARM_INS_VMSR = 320
+ARM_INS_VMUL = 321
+ARM_INS_VMULL = 322
+ARM_INS_VMVN = 323
+ARM_INS_VNEG = 324
+ARM_INS_VNMLA = 325
+ARM_INS_VNMLS = 326
+ARM_INS_VNMUL = 327
+ARM_INS_VORN = 328
+ARM_INS_VORR = 329
+ARM_INS_VPADAL = 330
+ARM_INS_VPADDL = 331
+ARM_INS_VPADD = 332
+ARM_INS_VPMAX = 333
+ARM_INS_VPMIN = 334
+ARM_INS_VQABS = 335
+ARM_INS_VQADD = 336
+ARM_INS_VQDMLAL = 337
+ARM_INS_VQDMLSL = 338
+ARM_INS_VQDMULH = 339
+ARM_INS_VQDMULL = 340
+ARM_INS_VQMOVUN = 341
+ARM_INS_VQMOVN = 342
+ARM_INS_VQNEG = 343
+ARM_INS_VQRDMULH = 344
+ARM_INS_VQRSHL = 345
+ARM_INS_VQRSHRN = 346
+ARM_INS_VQRSHRUN = 347
+ARM_INS_VQSHL = 348
+ARM_INS_VQSHLU = 349
+ARM_INS_VQSHRN = 350
+ARM_INS_VQSHRUN = 351
+ARM_INS_VQSUB = 352
+ARM_INS_VRADDHN = 353
+ARM_INS_VRECPE = 354
+ARM_INS_VRECPS = 355
+ARM_INS_VREV16 = 356
+ARM_INS_VREV32 = 357
+ARM_INS_VREV64 = 358
+ARM_INS_VRHADD = 359
+ARM_INS_VRINTA = 360
+ARM_INS_VRINTM = 361
+ARM_INS_VRINTN = 362
+ARM_INS_VRINTP = 363
+ARM_INS_VRINTR = 364
+ARM_INS_VRINTX = 365
+ARM_INS_VRINTZ = 366
+ARM_INS_VRSHL = 367
+ARM_INS_VRSHRN = 368
+ARM_INS_VRSHR = 369
+ARM_INS_VRSQRTE = 370
+ARM_INS_VRSQRTS = 371
+ARM_INS_VRSRA = 372
+ARM_INS_VRSUBHN = 373
+ARM_INS_VSELEQ = 374
+ARM_INS_VSELGE = 375
+ARM_INS_VSELGT = 376
+ARM_INS_VSELVS = 377
+ARM_INS_VSHLL = 378
+ARM_INS_VSHL = 379
+ARM_INS_VSHRN = 380
+ARM_INS_VSHR = 381
+ARM_INS_VSLI = 382
+ARM_INS_VSQRT = 383
+ARM_INS_VSRA = 384
+ARM_INS_VSRI = 385
+ARM_INS_VST1 = 386
+ARM_INS_VST2 = 387
+ARM_INS_VST3 = 388
+ARM_INS_VST4 = 389
+ARM_INS_VSTMDB = 390
+ARM_INS_VSTMIA = 391
+ARM_INS_VSTR = 392
+ARM_INS_VSUB = 393
+ARM_INS_VSUBHN = 394
+ARM_INS_VSUBL = 395
+ARM_INS_VSUBW = 396
+ARM_INS_VSWP = 397
+ARM_INS_VTBL = 398
+ARM_INS_VTBX = 399
+ARM_INS_VCVTR = 400
+ARM_INS_VTRN = 401
+ARM_INS_VTST = 402
+ARM_INS_VUZP = 403
+ARM_INS_VZIP = 404
+ARM_INS_ADDW = 405
+ARM_INS_ASR = 406
+ARM_INS_DCPS1 = 407
+ARM_INS_DCPS2 = 408
+ARM_INS_DCPS3 = 409
+ARM_INS_IT = 410
+ARM_INS_LSL = 411
+ARM_INS_LSR = 412
+ARM_INS_ASRS = 413
+ARM_INS_LSRS = 414
+ARM_INS_ORN = 415
+ARM_INS_ROR = 416
+ARM_INS_RRX = 417
+ARM_INS_SUBS = 418
+ARM_INS_SUBW = 419
+ARM_INS_TBB = 420
+ARM_INS_TBH = 421
+ARM_INS_CBNZ = 422
+ARM_INS_CBZ = 423
+ARM_INS_MOVS = 424
+ARM_INS_POP = 425
+ARM_INS_PUSH = 426
+ARM_INS_NOP = 427
+ARM_INS_YIELD = 428
+ARM_INS_WFE = 429
+ARM_INS_WFI = 430
+ARM_INS_SEV = 431
+ARM_INS_SEVL = 432
+ARM_INS_VPUSH = 433
+ARM_INS_VPOP = 434
+ARM_INS_MAX = 435
 
 # Group of ARM instructions
 
diff --git a/bindings/python/capstone/mips_const.py b/bindings/python/capstone/mips_const.py
index 023936f..2f59a6e 100644
--- a/bindings/python/capstone/mips_const.py
+++ b/bindings/python/capstone/mips_const.py
@@ -132,7 +132,13 @@
 MIPS_REG_HI = 120
 MIPS_REG_LO = 121
 MIPS_REG_PC = 122
-MIPS_REG_MAX = 123
+MIPS_REG_P0 = 123
+MIPS_REG_P1 = 124
+MIPS_REG_P2 = 125
+MIPS_REG_MPL0 = 126
+MIPS_REG_MPL1 = 127
+MIPS_REG_MPL2 = 128
+MIPS_REG_MAX = 129
 MIPS_REG_ZERO = MIPS_REG_0
 MIPS_REG_AT = MIPS_REG_1
 MIPS_REG_V0 = MIPS_REG_2
@@ -180,462 +186,563 @@
 MIPS_INS_INVALID = 0
 MIPS_INS_ABSQ_S = 1
 MIPS_INS_ADD = 2
-MIPS_INS_ADDQH = 3
-MIPS_INS_ADDQH_R = 4
-MIPS_INS_ADDQ = 5
-MIPS_INS_ADDQ_S = 6
-MIPS_INS_ADDSC = 7
-MIPS_INS_ADDS_A = 8
-MIPS_INS_ADDS_S = 9
-MIPS_INS_ADDS_U = 10
-MIPS_INS_ADDUH = 11
-MIPS_INS_ADDUH_R = 12
-MIPS_INS_ADDU = 13
-MIPS_INS_ADDU_S = 14
-MIPS_INS_ADDVI = 15
-MIPS_INS_ADDV = 16
-MIPS_INS_ADDWC = 17
-MIPS_INS_ADD_A = 18
-MIPS_INS_ADDI = 19
-MIPS_INS_ADDIU = 20
-MIPS_INS_AND = 21
-MIPS_INS_ANDI = 22
-MIPS_INS_APPEND = 23
-MIPS_INS_ASUB_S = 24
-MIPS_INS_ASUB_U = 25
-MIPS_INS_AVER_S = 26
-MIPS_INS_AVER_U = 27
-MIPS_INS_AVE_S = 28
-MIPS_INS_AVE_U = 29
-MIPS_INS_BALIGN = 30
-MIPS_INS_BC1F = 31
-MIPS_INS_BC1T = 32
-MIPS_INS_BCLRI = 33
-MIPS_INS_BCLR = 34
-MIPS_INS_BEQ = 35
-MIPS_INS_BGEZ = 36
-MIPS_INS_BGEZAL = 37
-MIPS_INS_BGTZ = 38
-MIPS_INS_BINSLI = 39
-MIPS_INS_BINSL = 40
-MIPS_INS_BINSRI = 41
-MIPS_INS_BINSR = 42
-MIPS_INS_BITREV = 43
-MIPS_INS_BLEZ = 44
-MIPS_INS_BLTZ = 45
-MIPS_INS_BLTZAL = 46
-MIPS_INS_BMNZI = 47
-MIPS_INS_BMNZ = 48
-MIPS_INS_BMZI = 49
-MIPS_INS_BMZ = 50
-MIPS_INS_BNE = 51
-MIPS_INS_BNEGI = 52
-MIPS_INS_BNEG = 53
-MIPS_INS_BNZ = 54
-MIPS_INS_BPOSGE32 = 55
-MIPS_INS_BREAK = 56
-MIPS_INS_BSELI = 57
-MIPS_INS_BSEL = 58
-MIPS_INS_BSETI = 59
-MIPS_INS_BSET = 60
-MIPS_INS_BZ = 61
-MIPS_INS_BEQZ = 62
-MIPS_INS_B = 63
-MIPS_INS_BNEZ = 64
-MIPS_INS_BTEQZ = 65
-MIPS_INS_BTNEZ = 66
-MIPS_INS_CEIL = 67
-MIPS_INS_CEQI = 68
-MIPS_INS_CEQ = 69
-MIPS_INS_CFC1 = 70
-MIPS_INS_CFCMSA = 71
-MIPS_INS_CLEI_S = 72
-MIPS_INS_CLEI_U = 73
-MIPS_INS_CLE_S = 74
-MIPS_INS_CLE_U = 75
-MIPS_INS_CLO = 76
-MIPS_INS_CLTI_S = 77
-MIPS_INS_CLTI_U = 78
-MIPS_INS_CLT_S = 79
-MIPS_INS_CLT_U = 80
-MIPS_INS_CLZ = 81
-MIPS_INS_CMPGDU = 82
-MIPS_INS_CMPGU = 83
-MIPS_INS_CMPU = 84
-MIPS_INS_CMP = 85
-MIPS_INS_COPY_S = 86
-MIPS_INS_COPY_U = 87
-MIPS_INS_CTC1 = 88
-MIPS_INS_CTCMSA = 89
-MIPS_INS_CVT = 90
-MIPS_INS_C = 91
-MIPS_INS_CMPI = 92
-MIPS_INS_DADD = 93
-MIPS_INS_DADDI = 94
-MIPS_INS_DADDIU = 95
-MIPS_INS_DADDU = 96
-MIPS_INS_DCLO = 97
-MIPS_INS_DCLZ = 98
-MIPS_INS_DERET = 99
-MIPS_INS_DEXT = 100
-MIPS_INS_DEXTM = 101
-MIPS_INS_DEXTU = 102
-MIPS_INS_DI = 103
-MIPS_INS_DINS = 104
-MIPS_INS_DINSM = 105
-MIPS_INS_DINSU = 106
-MIPS_INS_DIV_S = 107
-MIPS_INS_DIV_U = 108
-MIPS_INS_DLSA = 109
-MIPS_INS_DMFC0 = 110
-MIPS_INS_DMFC1 = 111
-MIPS_INS_DMFC2 = 112
-MIPS_INS_DMTC0 = 113
-MIPS_INS_DMTC1 = 114
-MIPS_INS_DMTC2 = 115
-MIPS_INS_DMULT = 116
-MIPS_INS_DMULTU = 117
-MIPS_INS_DOTP_S = 118
-MIPS_INS_DOTP_U = 119
-MIPS_INS_DPADD_S = 120
-MIPS_INS_DPADD_U = 121
-MIPS_INS_DPAQX_SA = 122
-MIPS_INS_DPAQX_S = 123
-MIPS_INS_DPAQ_SA = 124
-MIPS_INS_DPAQ_S = 125
-MIPS_INS_DPAU = 126
-MIPS_INS_DPAX = 127
-MIPS_INS_DPA = 128
-MIPS_INS_DPSQX_SA = 129
-MIPS_INS_DPSQX_S = 130
-MIPS_INS_DPSQ_SA = 131
-MIPS_INS_DPSQ_S = 132
-MIPS_INS_DPSUB_S = 133
-MIPS_INS_DPSUB_U = 134
-MIPS_INS_DPSU = 135
-MIPS_INS_DPSX = 136
-MIPS_INS_DPS = 137
-MIPS_INS_DROTR = 138
-MIPS_INS_DROTR32 = 139
-MIPS_INS_DROTRV = 140
-MIPS_INS_DSBH = 141
+MIPS_INS_ADDIUPC = 3
+MIPS_INS_ADDQH = 4
+MIPS_INS_ADDQH_R = 5
+MIPS_INS_ADDQ = 6
+MIPS_INS_ADDQ_S = 7
+MIPS_INS_ADDSC = 8
+MIPS_INS_ADDS_A = 9
+MIPS_INS_ADDS_S = 10
+MIPS_INS_ADDS_U = 11
+MIPS_INS_ADDUH = 12
+MIPS_INS_ADDUH_R = 13
+MIPS_INS_ADDU = 14
+MIPS_INS_ADDU_S = 15
+MIPS_INS_ADDVI = 16
+MIPS_INS_ADDV = 17
+MIPS_INS_ADDWC = 18
+MIPS_INS_ADD_A = 19
+MIPS_INS_ADDI = 20
+MIPS_INS_ADDIU = 21
+MIPS_INS_ALIGN = 22
+MIPS_INS_ALUIPC = 23
+MIPS_INS_AND = 24
+MIPS_INS_ANDI = 25
+MIPS_INS_APPEND = 26
+MIPS_INS_ASUB_S = 27
+MIPS_INS_ASUB_U = 28
+MIPS_INS_AUI = 29
+MIPS_INS_AUIPC = 30
+MIPS_INS_AVER_S = 31
+MIPS_INS_AVER_U = 32
+MIPS_INS_AVE_S = 33
+MIPS_INS_AVE_U = 34
+MIPS_INS_BADDU = 35
+MIPS_INS_BAL = 36
+MIPS_INS_BALC = 37
+MIPS_INS_BALIGN = 38
+MIPS_INS_BC = 39
+MIPS_INS_BC1EQZ = 40
+MIPS_INS_BC1F = 41
+MIPS_INS_BC1NEZ = 42
+MIPS_INS_BC1T = 43
+MIPS_INS_BC2EQZ = 44
+MIPS_INS_BC2NEZ = 45
+MIPS_INS_BCLRI = 46
+MIPS_INS_BCLR = 47
+MIPS_INS_BEQ = 48
+MIPS_INS_BEQC = 49
+MIPS_INS_BEQZALC = 50
+MIPS_INS_BEQZC = 51
+MIPS_INS_BGEC = 52
+MIPS_INS_BGEUC = 53
+MIPS_INS_BGEZ = 54
+MIPS_INS_BGEZAL = 55
+MIPS_INS_BGEZALC = 56
+MIPS_INS_BGEZC = 57
+MIPS_INS_BGTZ = 58
+MIPS_INS_BGTZALC = 59
+MIPS_INS_BGTZC = 60
+MIPS_INS_BINSLI = 61
+MIPS_INS_BINSL = 62
+MIPS_INS_BINSRI = 63
+MIPS_INS_BINSR = 64
+MIPS_INS_BITREV = 65
+MIPS_INS_BITSWAP = 66
+MIPS_INS_BLEZ = 67
+MIPS_INS_BLEZALC = 68
+MIPS_INS_BLEZC = 69
+MIPS_INS_BLTC = 70
+MIPS_INS_BLTUC = 71
+MIPS_INS_BLTZ = 72
+MIPS_INS_BLTZAL = 73
+MIPS_INS_BLTZALC = 74
+MIPS_INS_BLTZC = 75
+MIPS_INS_BMNZI = 76
+MIPS_INS_BMNZ = 77
+MIPS_INS_BMZI = 78
+MIPS_INS_BMZ = 79
+MIPS_INS_BNE = 80
+MIPS_INS_BNEC = 81
+MIPS_INS_BNEGI = 82
+MIPS_INS_BNEG = 83
+MIPS_INS_BNEZALC = 84
+MIPS_INS_BNEZC = 85
+MIPS_INS_BNVC = 86
+MIPS_INS_BNZ = 87
+MIPS_INS_BOVC = 88
+MIPS_INS_BPOSGE32 = 89
+MIPS_INS_BREAK = 90
+MIPS_INS_BSELI = 91
+MIPS_INS_BSEL = 92
+MIPS_INS_BSETI = 93
+MIPS_INS_BSET = 94
+MIPS_INS_BZ = 95
+MIPS_INS_BEQZ = 96
+MIPS_INS_B = 97
+MIPS_INS_BNEZ = 98
+MIPS_INS_BTEQZ = 99
+MIPS_INS_BTNEZ = 100
+MIPS_INS_CACHE = 101
+MIPS_INS_CEIL = 102
+MIPS_INS_CEQI = 103
+MIPS_INS_CEQ = 104
+MIPS_INS_CFC1 = 105
+MIPS_INS_CFCMSA = 106
+MIPS_INS_CINS = 107
+MIPS_INS_CINS32 = 108
+MIPS_INS_CLASS = 109
+MIPS_INS_CLEI_S = 110
+MIPS_INS_CLEI_U = 111
+MIPS_INS_CLE_S = 112
+MIPS_INS_CLE_U = 113
+MIPS_INS_CLO = 114
+MIPS_INS_CLTI_S = 115
+MIPS_INS_CLTI_U = 116
+MIPS_INS_CLT_S = 117
+MIPS_INS_CLT_U = 118
+MIPS_INS_CLZ = 119
+MIPS_INS_CMPGDU = 120
+MIPS_INS_CMPGU = 121
+MIPS_INS_CMPU = 122
+MIPS_INS_CMP = 123
+MIPS_INS_COPY_S = 124
+MIPS_INS_COPY_U = 125
+MIPS_INS_CTC1 = 126
+MIPS_INS_CTCMSA = 127
+MIPS_INS_CVT = 128
+MIPS_INS_C = 129
+MIPS_INS_CMPI = 130
+MIPS_INS_DADD = 131
+MIPS_INS_DADDI = 132
+MIPS_INS_DADDIU = 133
+MIPS_INS_DADDU = 134
+MIPS_INS_DAHI = 135
+MIPS_INS_DALIGN = 136
+MIPS_INS_DATI = 137
+MIPS_INS_DAUI = 138
+MIPS_INS_DBITSWAP = 139
+MIPS_INS_DCLO = 140
+MIPS_INS_DCLZ = 141
 MIPS_INS_DDIV = 142
-MIPS_INS_DSHD = 143
-MIPS_INS_DSLL = 144
-MIPS_INS_DSLL32 = 145
-MIPS_INS_DSLLV = 146
-MIPS_INS_DSRA = 147
-MIPS_INS_DSRA32 = 148
-MIPS_INS_DSRAV = 149
-MIPS_INS_DSRL = 150
-MIPS_INS_DSRL32 = 151
-MIPS_INS_DSRLV = 152
-MIPS_INS_DSUBU = 153
-MIPS_INS_DDIVU = 154
-MIPS_INS_DIV = 155
-MIPS_INS_DIVU = 156
-MIPS_INS_EI = 157
-MIPS_INS_ERET = 158
-MIPS_INS_EXT = 159
-MIPS_INS_EXTP = 160
-MIPS_INS_EXTPDP = 161
-MIPS_INS_EXTPDPV = 162
-MIPS_INS_EXTPV = 163
-MIPS_INS_EXTRV_RS = 164
-MIPS_INS_EXTRV_R = 165
-MIPS_INS_EXTRV_S = 166
-MIPS_INS_EXTRV = 167
-MIPS_INS_EXTR_RS = 168
-MIPS_INS_EXTR_R = 169
-MIPS_INS_EXTR_S = 170
-MIPS_INS_EXTR = 171
-MIPS_INS_ABS = 172
-MIPS_INS_FADD = 173
-MIPS_INS_FCAF = 174
-MIPS_INS_FCEQ = 175
-MIPS_INS_FCLASS = 176
-MIPS_INS_FCLE = 177
-MIPS_INS_FCLT = 178
-MIPS_INS_FCNE = 179
-MIPS_INS_FCOR = 180
-MIPS_INS_FCUEQ = 181
-MIPS_INS_FCULE = 182
-MIPS_INS_FCULT = 183
-MIPS_INS_FCUNE = 184
-MIPS_INS_FCUN = 185
-MIPS_INS_FDIV = 186
-MIPS_INS_FEXDO = 187
-MIPS_INS_FEXP2 = 188
-MIPS_INS_FEXUPL = 189
-MIPS_INS_FEXUPR = 190
-MIPS_INS_FFINT_S = 191
-MIPS_INS_FFINT_U = 192
-MIPS_INS_FFQL = 193
-MIPS_INS_FFQR = 194
-MIPS_INS_FILL = 195
-MIPS_INS_FLOG2 = 196
-MIPS_INS_FLOOR = 197
-MIPS_INS_FMADD = 198
-MIPS_INS_FMAX_A = 199
-MIPS_INS_FMAX = 200
-MIPS_INS_FMIN_A = 201
-MIPS_INS_FMIN = 202
-MIPS_INS_MOV = 203
-MIPS_INS_FMSUB = 204
-MIPS_INS_FMUL = 205
-MIPS_INS_MUL = 206
-MIPS_INS_NEG = 207
-MIPS_INS_FRCP = 208
-MIPS_INS_FRINT = 209
-MIPS_INS_FRSQRT = 210
-MIPS_INS_FSAF = 211
-MIPS_INS_FSEQ = 212
-MIPS_INS_FSLE = 213
-MIPS_INS_FSLT = 214
-MIPS_INS_FSNE = 215
-MIPS_INS_FSOR = 216
-MIPS_INS_FSQRT = 217
-MIPS_INS_SQRT = 218
-MIPS_INS_FSUB = 219
-MIPS_INS_SUB = 220
-MIPS_INS_FSUEQ = 221
-MIPS_INS_FSULE = 222
-MIPS_INS_FSULT = 223
-MIPS_INS_FSUNE = 224
-MIPS_INS_FSUN = 225
-MIPS_INS_FTINT_S = 226
-MIPS_INS_FTINT_U = 227
-MIPS_INS_FTQ = 228
-MIPS_INS_FTRUNC_S = 229
-MIPS_INS_FTRUNC_U = 230
-MIPS_INS_HADD_S = 231
-MIPS_INS_HADD_U = 232
-MIPS_INS_HSUB_S = 233
-MIPS_INS_HSUB_U = 234
-MIPS_INS_ILVEV = 235
-MIPS_INS_ILVL = 236
-MIPS_INS_ILVOD = 237
-MIPS_INS_ILVR = 238
-MIPS_INS_INS = 239
-MIPS_INS_INSERT = 240
-MIPS_INS_INSV = 241
-MIPS_INS_INSVE = 242
-MIPS_INS_J = 243
-MIPS_INS_JAL = 244
-MIPS_INS_JALR = 245
-MIPS_INS_JR = 246
-MIPS_INS_JRC = 247
-MIPS_INS_JALRC = 248
-MIPS_INS_LB = 249
-MIPS_INS_LBUX = 250
-MIPS_INS_LBU = 251
-MIPS_INS_LD = 252
-MIPS_INS_LDC1 = 253
-MIPS_INS_LDC2 = 254
-MIPS_INS_LDI = 255
-MIPS_INS_LDL = 256
-MIPS_INS_LDR = 257
-MIPS_INS_LDXC1 = 258
-MIPS_INS_LH = 259
-MIPS_INS_LHX = 260
-MIPS_INS_LHU = 261
-MIPS_INS_LL = 262
-MIPS_INS_LLD = 263
-MIPS_INS_LSA = 264
-MIPS_INS_LUXC1 = 265
-MIPS_INS_LUI = 266
-MIPS_INS_LW = 267
-MIPS_INS_LWC1 = 268
-MIPS_INS_LWC2 = 269
-MIPS_INS_LWL = 270
-MIPS_INS_LWR = 271
-MIPS_INS_LWU = 272
-MIPS_INS_LWX = 273
-MIPS_INS_LWXC1 = 274
-MIPS_INS_LI = 275
-MIPS_INS_MADD = 276
-MIPS_INS_MADDR_Q = 277
-MIPS_INS_MADDU = 278
-MIPS_INS_MADDV = 279
-MIPS_INS_MADD_Q = 280
-MIPS_INS_MAQ_SA = 281
-MIPS_INS_MAQ_S = 282
-MIPS_INS_MAXI_S = 283
-MIPS_INS_MAXI_U = 284
-MIPS_INS_MAX_A = 285
-MIPS_INS_MAX_S = 286
-MIPS_INS_MAX_U = 287
-MIPS_INS_MFC0 = 288
-MIPS_INS_MFC1 = 289
-MIPS_INS_MFC2 = 290
-MIPS_INS_MFHC1 = 291
-MIPS_INS_MFHI = 292
-MIPS_INS_MFLO = 293
-MIPS_INS_MINI_S = 294
-MIPS_INS_MINI_U = 295
-MIPS_INS_MIN_A = 296
-MIPS_INS_MIN_S = 297
-MIPS_INS_MIN_U = 298
-MIPS_INS_MODSUB = 299
-MIPS_INS_MOD_S = 300
-MIPS_INS_MOD_U = 301
-MIPS_INS_MOVE = 302
-MIPS_INS_MOVF = 303
-MIPS_INS_MOVN = 304
-MIPS_INS_MOVT = 305
-MIPS_INS_MOVZ = 306
-MIPS_INS_MSUB = 307
-MIPS_INS_MSUBR_Q = 308
-MIPS_INS_MSUBU = 309
-MIPS_INS_MSUBV = 310
-MIPS_INS_MSUB_Q = 311
-MIPS_INS_MTC0 = 312
-MIPS_INS_MTC1 = 313
-MIPS_INS_MTC2 = 314
-MIPS_INS_MTHC1 = 315
-MIPS_INS_MTHI = 316
-MIPS_INS_MTHLIP = 317
-MIPS_INS_MTLO = 318
-MIPS_INS_MULEQ_S = 319
-MIPS_INS_MULEU_S = 320
-MIPS_INS_MULQ_RS = 321
-MIPS_INS_MULQ_S = 322
-MIPS_INS_MULR_Q = 323
-MIPS_INS_MULSAQ_S = 324
-MIPS_INS_MULSA = 325
-MIPS_INS_MULT = 326
-MIPS_INS_MULTU = 327
-MIPS_INS_MULV = 328
-MIPS_INS_MUL_Q = 329
-MIPS_INS_MUL_S = 330
-MIPS_INS_NLOC = 331
-MIPS_INS_NLZC = 332
-MIPS_INS_NMADD = 333
-MIPS_INS_NMSUB = 334
-MIPS_INS_NOR = 335
-MIPS_INS_NORI = 336
-MIPS_INS_NOT = 337
-MIPS_INS_OR = 338
-MIPS_INS_ORI = 339
-MIPS_INS_PACKRL = 340
-MIPS_INS_PCKEV = 341
-MIPS_INS_PCKOD = 342
-MIPS_INS_PCNT = 343
-MIPS_INS_PICK = 344
-MIPS_INS_PRECEQU = 345
-MIPS_INS_PRECEQ = 346
-MIPS_INS_PRECEU = 347
-MIPS_INS_PRECRQU_S = 348
-MIPS_INS_PRECRQ = 349
-MIPS_INS_PRECRQ_RS = 350
-MIPS_INS_PRECR = 351
-MIPS_INS_PRECR_SRA = 352
-MIPS_INS_PRECR_SRA_R = 353
-MIPS_INS_PREPEND = 354
-MIPS_INS_RADDU = 355
-MIPS_INS_RDDSP = 356
-MIPS_INS_RDHWR = 357
-MIPS_INS_REPLV = 358
-MIPS_INS_REPL = 359
-MIPS_INS_ROTR = 360
-MIPS_INS_ROTRV = 361
-MIPS_INS_ROUND = 362
-MIPS_INS_SAT_S = 363
-MIPS_INS_SAT_U = 364
-MIPS_INS_SB = 365
-MIPS_INS_SC = 366
-MIPS_INS_SCD = 367
-MIPS_INS_SD = 368
-MIPS_INS_SDC1 = 369
-MIPS_INS_SDC2 = 370
-MIPS_INS_SDL = 371
-MIPS_INS_SDR = 372
-MIPS_INS_SDXC1 = 373
-MIPS_INS_SEB = 374
-MIPS_INS_SEH = 375
-MIPS_INS_SH = 376
-MIPS_INS_SHF = 377
-MIPS_INS_SHILO = 378
-MIPS_INS_SHILOV = 379
-MIPS_INS_SHLLV = 380
-MIPS_INS_SHLLV_S = 381
-MIPS_INS_SHLL = 382
-MIPS_INS_SHLL_S = 383
-MIPS_INS_SHRAV = 384
-MIPS_INS_SHRAV_R = 385
-MIPS_INS_SHRA = 386
-MIPS_INS_SHRA_R = 387
-MIPS_INS_SHRLV = 388
-MIPS_INS_SHRL = 389
-MIPS_INS_SLDI = 390
-MIPS_INS_SLD = 391
-MIPS_INS_SLL = 392
-MIPS_INS_SLLI = 393
-MIPS_INS_SLLV = 394
-MIPS_INS_SLT = 395
-MIPS_INS_SLTI = 396
-MIPS_INS_SLTIU = 397
-MIPS_INS_SLTU = 398
-MIPS_INS_SPLATI = 399
-MIPS_INS_SPLAT = 400
-MIPS_INS_SRA = 401
-MIPS_INS_SRAI = 402
-MIPS_INS_SRARI = 403
-MIPS_INS_SRAR = 404
-MIPS_INS_SRAV = 405
-MIPS_INS_SRL = 406
-MIPS_INS_SRLI = 407
-MIPS_INS_SRLRI = 408
-MIPS_INS_SRLR = 409
-MIPS_INS_SRLV = 410
-MIPS_INS_ST = 411
-MIPS_INS_SUBQH = 412
-MIPS_INS_SUBQH_R = 413
-MIPS_INS_SUBQ = 414
-MIPS_INS_SUBQ_S = 415
-MIPS_INS_SUBSUS_U = 416
-MIPS_INS_SUBSUU_S = 417
-MIPS_INS_SUBS_S = 418
-MIPS_INS_SUBS_U = 419
-MIPS_INS_SUBUH = 420
-MIPS_INS_SUBUH_R = 421
-MIPS_INS_SUBU = 422
-MIPS_INS_SUBU_S = 423
-MIPS_INS_SUBVI = 424
-MIPS_INS_SUBV = 425
-MIPS_INS_SUXC1 = 426
-MIPS_INS_SW = 427
-MIPS_INS_SWC1 = 428
-MIPS_INS_SWC2 = 429
-MIPS_INS_SWL = 430
-MIPS_INS_SWR = 431
-MIPS_INS_SWXC1 = 432
-MIPS_INS_SYNC = 433
-MIPS_INS_SYSCALL = 434
-MIPS_INS_TEQ = 435
-MIPS_INS_TEQI = 436
-MIPS_INS_TGE = 437
-MIPS_INS_TGEI = 438
-MIPS_INS_TGEIU = 439
-MIPS_INS_TGEU = 440
-MIPS_INS_TLT = 441
-MIPS_INS_TLTI = 442
-MIPS_INS_TLTIU = 443
-MIPS_INS_TLTU = 444
-MIPS_INS_TNE = 445
-MIPS_INS_TNEI = 446
-MIPS_INS_TRUNC = 447
-MIPS_INS_VSHF = 448
-MIPS_INS_WAIT = 449
-MIPS_INS_WRDSP = 450
-MIPS_INS_WSBH = 451
-MIPS_INS_XOR = 452
-MIPS_INS_XORI = 453
+MIPS_INS_DDIVU = 143
+MIPS_INS_DERET = 144
+MIPS_INS_DEXT = 145
+MIPS_INS_DEXTM = 146
+MIPS_INS_DEXTU = 147
+MIPS_INS_DI = 148
+MIPS_INS_DINS = 149
+MIPS_INS_DINSM = 150
+MIPS_INS_DINSU = 151
+MIPS_INS_DIV = 152
+MIPS_INS_DIVU = 153
+MIPS_INS_DIV_S = 154
+MIPS_INS_DIV_U = 155
+MIPS_INS_DLSA = 156
+MIPS_INS_DMFC0 = 157
+MIPS_INS_DMFC1 = 158
+MIPS_INS_DMFC2 = 159
+MIPS_INS_DMOD = 160
+MIPS_INS_DMODU = 161
+MIPS_INS_DMTC0 = 162
+MIPS_INS_DMTC1 = 163
+MIPS_INS_DMTC2 = 164
+MIPS_INS_DMUH = 165
+MIPS_INS_DMUHU = 166
+MIPS_INS_DMUL = 167
+MIPS_INS_DMULT = 168
+MIPS_INS_DMULTU = 169
+MIPS_INS_DMULU = 170
+MIPS_INS_DOTP_S = 171
+MIPS_INS_DOTP_U = 172
+MIPS_INS_DPADD_S = 173
+MIPS_INS_DPADD_U = 174
+MIPS_INS_DPAQX_SA = 175
+MIPS_INS_DPAQX_S = 176
+MIPS_INS_DPAQ_SA = 177
+MIPS_INS_DPAQ_S = 178
+MIPS_INS_DPAU = 179
+MIPS_INS_DPAX = 180
+MIPS_INS_DPA = 181
+MIPS_INS_DPOP = 182
+MIPS_INS_DPSQX_SA = 183
+MIPS_INS_DPSQX_S = 184
+MIPS_INS_DPSQ_SA = 185
+MIPS_INS_DPSQ_S = 186
+MIPS_INS_DPSUB_S = 187
+MIPS_INS_DPSUB_U = 188
+MIPS_INS_DPSU = 189
+MIPS_INS_DPSX = 190
+MIPS_INS_DPS = 191
+MIPS_INS_DROTR = 192
+MIPS_INS_DROTR32 = 193
+MIPS_INS_DROTRV = 194
+MIPS_INS_DSBH = 195
+MIPS_INS_DSHD = 196
+MIPS_INS_DSLL = 197
+MIPS_INS_DSLL32 = 198
+MIPS_INS_DSLLV = 199
+MIPS_INS_DSRA = 200
+MIPS_INS_DSRA32 = 201
+MIPS_INS_DSRAV = 202
+MIPS_INS_DSRL = 203
+MIPS_INS_DSRL32 = 204
+MIPS_INS_DSRLV = 205
+MIPS_INS_DSUB = 206
+MIPS_INS_DSUBU = 207
+MIPS_INS_EHB = 208
+MIPS_INS_EI = 209
+MIPS_INS_ERET = 210
+MIPS_INS_EXT = 211
+MIPS_INS_EXTP = 212
+MIPS_INS_EXTPDP = 213
+MIPS_INS_EXTPDPV = 214
+MIPS_INS_EXTPV = 215
+MIPS_INS_EXTRV_RS = 216
+MIPS_INS_EXTRV_R = 217
+MIPS_INS_EXTRV_S = 218
+MIPS_INS_EXTRV = 219
+MIPS_INS_EXTR_RS = 220
+MIPS_INS_EXTR_R = 221
+MIPS_INS_EXTR_S = 222
+MIPS_INS_EXTR = 223
+MIPS_INS_EXTS = 224
+MIPS_INS_EXTS32 = 225
+MIPS_INS_ABS = 226
+MIPS_INS_FADD = 227
+MIPS_INS_FCAF = 228
+MIPS_INS_FCEQ = 229
+MIPS_INS_FCLASS = 230
+MIPS_INS_FCLE = 231
+MIPS_INS_FCLT = 232
+MIPS_INS_FCNE = 233
+MIPS_INS_FCOR = 234
+MIPS_INS_FCUEQ = 235
+MIPS_INS_FCULE = 236
+MIPS_INS_FCULT = 237
+MIPS_INS_FCUNE = 238
+MIPS_INS_FCUN = 239
+MIPS_INS_FDIV = 240
+MIPS_INS_FEXDO = 241
+MIPS_INS_FEXP2 = 242
+MIPS_INS_FEXUPL = 243
+MIPS_INS_FEXUPR = 244
+MIPS_INS_FFINT_S = 245
+MIPS_INS_FFINT_U = 246
+MIPS_INS_FFQL = 247
+MIPS_INS_FFQR = 248
+MIPS_INS_FILL = 249
+MIPS_INS_FLOG2 = 250
+MIPS_INS_FLOOR = 251
+MIPS_INS_FMADD = 252
+MIPS_INS_FMAX_A = 253
+MIPS_INS_FMAX = 254
+MIPS_INS_FMIN_A = 255
+MIPS_INS_FMIN = 256
+MIPS_INS_MOV = 257
+MIPS_INS_FMSUB = 258
+MIPS_INS_FMUL = 259
+MIPS_INS_MUL = 260
+MIPS_INS_NEG = 261
+MIPS_INS_FRCP = 262
+MIPS_INS_FRINT = 263
+MIPS_INS_FRSQRT = 264
+MIPS_INS_FSAF = 265
+MIPS_INS_FSEQ = 266
+MIPS_INS_FSLE = 267
+MIPS_INS_FSLT = 268
+MIPS_INS_FSNE = 269
+MIPS_INS_FSOR = 270
+MIPS_INS_FSQRT = 271
+MIPS_INS_SQRT = 272
+MIPS_INS_FSUB = 273
+MIPS_INS_SUB = 274
+MIPS_INS_FSUEQ = 275
+MIPS_INS_FSULE = 276
+MIPS_INS_FSULT = 277
+MIPS_INS_FSUNE = 278
+MIPS_INS_FSUN = 279
+MIPS_INS_FTINT_S = 280
+MIPS_INS_FTINT_U = 281
+MIPS_INS_FTQ = 282
+MIPS_INS_FTRUNC_S = 283
+MIPS_INS_FTRUNC_U = 284
+MIPS_INS_HADD_S = 285
+MIPS_INS_HADD_U = 286
+MIPS_INS_HSUB_S = 287
+MIPS_INS_HSUB_U = 288
+MIPS_INS_ILVEV = 289
+MIPS_INS_ILVL = 290
+MIPS_INS_ILVOD = 291
+MIPS_INS_ILVR = 292
+MIPS_INS_INS = 293
+MIPS_INS_INSERT = 294
+MIPS_INS_INSV = 295
+MIPS_INS_INSVE = 296
+MIPS_INS_J = 297
+MIPS_INS_JAL = 298
+MIPS_INS_JALR = 299
+MIPS_INS_JALX = 300
+MIPS_INS_JIALC = 301
+MIPS_INS_JIC = 302
+MIPS_INS_JR = 303
+MIPS_INS_JRC = 304
+MIPS_INS_JALRC = 305
+MIPS_INS_LB = 306
+MIPS_INS_LBUX = 307
+MIPS_INS_LBU = 308
+MIPS_INS_LD = 309
+MIPS_INS_LDC1 = 310
+MIPS_INS_LDC2 = 311
+MIPS_INS_LDC3 = 312
+MIPS_INS_LDI = 313
+MIPS_INS_LDL = 314
+MIPS_INS_LDPC = 315
+MIPS_INS_LDR = 316
+MIPS_INS_LDXC1 = 317
+MIPS_INS_LH = 318
+MIPS_INS_LHX = 319
+MIPS_INS_LHU = 320
+MIPS_INS_LL = 321
+MIPS_INS_LLD = 322
+MIPS_INS_LSA = 323
+MIPS_INS_LUXC1 = 324
+MIPS_INS_LUI = 325
+MIPS_INS_LW = 326
+MIPS_INS_LWC1 = 327
+MIPS_INS_LWC2 = 328
+MIPS_INS_LWC3 = 329
+MIPS_INS_LWL = 330
+MIPS_INS_LWPC = 331
+MIPS_INS_LWR = 332
+MIPS_INS_LWUPC = 333
+MIPS_INS_LWU = 334
+MIPS_INS_LWX = 335
+MIPS_INS_LWXC1 = 336
+MIPS_INS_LI = 337
+MIPS_INS_MADD = 338
+MIPS_INS_MADDF = 339
+MIPS_INS_MADDR_Q = 340
+MIPS_INS_MADDU = 341
+MIPS_INS_MADDV = 342
+MIPS_INS_MADD_Q = 343
+MIPS_INS_MAQ_SA = 344
+MIPS_INS_MAQ_S = 345
+MIPS_INS_MAXA = 346
+MIPS_INS_MAXI_S = 347
+MIPS_INS_MAXI_U = 348
+MIPS_INS_MAX_A = 349
+MIPS_INS_MAX = 350
+MIPS_INS_MAX_S = 351
+MIPS_INS_MAX_U = 352
+MIPS_INS_MFC0 = 353
+MIPS_INS_MFC1 = 354
+MIPS_INS_MFC2 = 355
+MIPS_INS_MFHC1 = 356
+MIPS_INS_MFHI = 357
+MIPS_INS_MFLO = 358
+MIPS_INS_MINA = 359
+MIPS_INS_MINI_S = 360
+MIPS_INS_MINI_U = 361
+MIPS_INS_MIN_A = 362
+MIPS_INS_MIN = 363
+MIPS_INS_MIN_S = 364
+MIPS_INS_MIN_U = 365
+MIPS_INS_MOD = 366
+MIPS_INS_MODSUB = 367
+MIPS_INS_MODU = 368
+MIPS_INS_MOD_S = 369
+MIPS_INS_MOD_U = 370
+MIPS_INS_MOVE = 371
+MIPS_INS_MOVF = 372
+MIPS_INS_MOVN = 373
+MIPS_INS_MOVT = 374
+MIPS_INS_MOVZ = 375
+MIPS_INS_MSUB = 376
+MIPS_INS_MSUBF = 377
+MIPS_INS_MSUBR_Q = 378
+MIPS_INS_MSUBU = 379
+MIPS_INS_MSUBV = 380
+MIPS_INS_MSUB_Q = 381
+MIPS_INS_MTC0 = 382
+MIPS_INS_MTC1 = 383
+MIPS_INS_MTC2 = 384
+MIPS_INS_MTHC1 = 385
+MIPS_INS_MTHI = 386
+MIPS_INS_MTHLIP = 387
+MIPS_INS_MTLO = 388
+MIPS_INS_MTM0 = 389
+MIPS_INS_MTM1 = 390
+MIPS_INS_MTM2 = 391
+MIPS_INS_MTP0 = 392
+MIPS_INS_MTP1 = 393
+MIPS_INS_MTP2 = 394
+MIPS_INS_MUH = 395
+MIPS_INS_MUHU = 396
+MIPS_INS_MULEQ_S = 397
+MIPS_INS_MULEU_S = 398
+MIPS_INS_MULQ_RS = 399
+MIPS_INS_MULQ_S = 400
+MIPS_INS_MULR_Q = 401
+MIPS_INS_MULSAQ_S = 402
+MIPS_INS_MULSA = 403
+MIPS_INS_MULT = 404
+MIPS_INS_MULTU = 405
+MIPS_INS_MULU = 406
+MIPS_INS_MULV = 407
+MIPS_INS_MUL_Q = 408
+MIPS_INS_MUL_S = 409
+MIPS_INS_NLOC = 410
+MIPS_INS_NLZC = 411
+MIPS_INS_NMADD = 412
+MIPS_INS_NMSUB = 413
+MIPS_INS_NOR = 414
+MIPS_INS_NORI = 415
+MIPS_INS_NOT = 416
+MIPS_INS_OR = 417
+MIPS_INS_ORI = 418
+MIPS_INS_PACKRL = 419
+MIPS_INS_PAUSE = 420
+MIPS_INS_PCKEV = 421
+MIPS_INS_PCKOD = 422
+MIPS_INS_PCNT = 423
+MIPS_INS_PICK = 424
+MIPS_INS_POP = 425
+MIPS_INS_PRECEQU = 426
+MIPS_INS_PRECEQ = 427
+MIPS_INS_PRECEU = 428
+MIPS_INS_PRECRQU_S = 429
+MIPS_INS_PRECRQ = 430
+MIPS_INS_PRECRQ_RS = 431
+MIPS_INS_PRECR = 432
+MIPS_INS_PRECR_SRA = 433
+MIPS_INS_PRECR_SRA_R = 434
+MIPS_INS_PREF = 435
+MIPS_INS_PREPEND = 436
+MIPS_INS_RADDU = 437
+MIPS_INS_RDDSP = 438
+MIPS_INS_RDHWR = 439
+MIPS_INS_REPLV = 440
+MIPS_INS_REPL = 441
+MIPS_INS_RINT = 442
+MIPS_INS_ROTR = 443
+MIPS_INS_ROTRV = 444
+MIPS_INS_ROUND = 445
+MIPS_INS_SAT_S = 446
+MIPS_INS_SAT_U = 447
+MIPS_INS_SB = 448
+MIPS_INS_SC = 449
+MIPS_INS_SCD = 450
+MIPS_INS_SD = 451
+MIPS_INS_SDBBP = 452
+MIPS_INS_SDC1 = 453
+MIPS_INS_SDC2 = 454
+MIPS_INS_SDC3 = 455
+MIPS_INS_SDL = 456
+MIPS_INS_SDR = 457
+MIPS_INS_SDXC1 = 458
+MIPS_INS_SEB = 459
+MIPS_INS_SEH = 460
+MIPS_INS_SELEQZ = 461
+MIPS_INS_SELNEZ = 462
+MIPS_INS_SEL = 463
+MIPS_INS_SEQ = 464
+MIPS_INS_SEQI = 465
+MIPS_INS_SH = 466
+MIPS_INS_SHF = 467
+MIPS_INS_SHILO = 468
+MIPS_INS_SHILOV = 469
+MIPS_INS_SHLLV = 470
+MIPS_INS_SHLLV_S = 471
+MIPS_INS_SHLL = 472
+MIPS_INS_SHLL_S = 473
+MIPS_INS_SHRAV = 474
+MIPS_INS_SHRAV_R = 475
+MIPS_INS_SHRA = 476
+MIPS_INS_SHRA_R = 477
+MIPS_INS_SHRLV = 478
+MIPS_INS_SHRL = 479
+MIPS_INS_SLDI = 480
+MIPS_INS_SLD = 481
+MIPS_INS_SLL = 482
+MIPS_INS_SLLI = 483
+MIPS_INS_SLLV = 484
+MIPS_INS_SLT = 485
+MIPS_INS_SLTI = 486
+MIPS_INS_SLTIU = 487
+MIPS_INS_SLTU = 488
+MIPS_INS_SNE = 489
+MIPS_INS_SNEI = 490
+MIPS_INS_SPLATI = 491
+MIPS_INS_SPLAT = 492
+MIPS_INS_SRA = 493
+MIPS_INS_SRAI = 494
+MIPS_INS_SRARI = 495
+MIPS_INS_SRAR = 496
+MIPS_INS_SRAV = 497
+MIPS_INS_SRL = 498
+MIPS_INS_SRLI = 499
+MIPS_INS_SRLRI = 500
+MIPS_INS_SRLR = 501
+MIPS_INS_SRLV = 502
+MIPS_INS_SSNOP = 503
+MIPS_INS_ST = 504
+MIPS_INS_SUBQH = 505
+MIPS_INS_SUBQH_R = 506
+MIPS_INS_SUBQ = 507
+MIPS_INS_SUBQ_S = 508
+MIPS_INS_SUBSUS_U = 509
+MIPS_INS_SUBSUU_S = 510
+MIPS_INS_SUBS_S = 511
+MIPS_INS_SUBS_U = 512
+MIPS_INS_SUBUH = 513
+MIPS_INS_SUBUH_R = 514
+MIPS_INS_SUBU = 515
+MIPS_INS_SUBU_S = 516
+MIPS_INS_SUBVI = 517
+MIPS_INS_SUBV = 518
+MIPS_INS_SUXC1 = 519
+MIPS_INS_SW = 520
+MIPS_INS_SWC1 = 521
+MIPS_INS_SWC2 = 522
+MIPS_INS_SWC3 = 523
+MIPS_INS_SWL = 524
+MIPS_INS_SWR = 525
+MIPS_INS_SWXC1 = 526
+MIPS_INS_SYNC = 527
+MIPS_INS_SYSCALL = 528
+MIPS_INS_TEQ = 529
+MIPS_INS_TEQI = 530
+MIPS_INS_TGE = 531
+MIPS_INS_TGEI = 532
+MIPS_INS_TGEIU = 533
+MIPS_INS_TGEU = 534
+MIPS_INS_TLBP = 535
+MIPS_INS_TLBR = 536
+MIPS_INS_TLBWI = 537
+MIPS_INS_TLBWR = 538
+MIPS_INS_TLT = 539
+MIPS_INS_TLTI = 540
+MIPS_INS_TLTIU = 541
+MIPS_INS_TLTU = 542
+MIPS_INS_TNE = 543
+MIPS_INS_TNEI = 544
+MIPS_INS_TRUNC = 545
+MIPS_INS_V3MULU = 546
+MIPS_INS_VMM0 = 547
+MIPS_INS_VMULU = 548
+MIPS_INS_VSHF = 549
+MIPS_INS_WAIT = 550
+MIPS_INS_WRDSP = 551
+MIPS_INS_WSBH = 552
+MIPS_INS_XOR = 553
+MIPS_INS_XORI = 554
 
 # some alias instructions
-MIPS_INS_NOP = 454
-MIPS_INS_NEGU = 455
-MIPS_INS_MAX = 456
+MIPS_INS_NOP = 555
+MIPS_INS_NEGU = 556
+MIPS_INS_MAXIMUM = 557
 
 # Group of MIPS instructions
 
@@ -658,5 +765,20 @@
 MIPS_GRP_NOTFP64BIT = 16
 MIPS_GRP_NOTINMICROMIPS = 17
 MIPS_GRP_NOTNACL = 18
-MIPS_GRP_JUMP = 19
-MIPS_GRP_MAX = 20
+MIPS_GRP_NOTMIPS32R6 = 19
+MIPS_GRP_NOTMIPS64R6 = 20
+MIPS_GRP_CNMIPS = 21
+MIPS_GRP_MIPS32 = 22
+MIPS_GRP_MIPS32R6 = 23
+MIPS_GRP_MIPS64R6 = 24
+MIPS_GRP_MIPS2 = 25
+MIPS_GRP_MIPS3 = 26
+MIPS_GRP_MIPS3_32 = 27
+MIPS_GRP_MIPS3_32R2 = 28
+MIPS_GRP_MIPS4_32 = 29
+MIPS_GRP_MIPS4_32R2 = 30
+MIPS_GRP_MIPS5_32R2 = 31
+MIPS_GRP_GP32BIT = 32
+MIPS_GRP_GP64BIT = 33
+MIPS_GRP_JUMP = 34
+MIPS_GRP_MAX = 35
diff --git a/bindings/python/capstone/ppc_const.py b/bindings/python/capstone/ppc_const.py
index 37649d9..07dc580 100644
--- a/bindings/python/capstone/ppc_const.py
+++ b/bindings/python/capstone/ppc_const.py
@@ -1,6 +1,8 @@
 # For Capstone Engine. AUTO-GENERATED FILE, DO NOT EDIT [ppc_const.py]
 
 # PPC branch codes for some branch instructions
+
+PPC_BC_INVALID = 0
 PPC_BC_LT = (0<<5)|12
 PPC_BC_LE = (1<<5)|4
 PPC_BC_EQ = (2<<5)|12
@@ -28,7 +30,7 @@
 
 # PPC branch hint for some branch instructions
 
-PPC_BH_NO = 0
+PPC_BH_INVALID = 0
 PPC_BH_PLUS = 1
 PPC_BH_MINUS = 2
 
@@ -43,142 +45,183 @@
 
 PPC_REG_INVALID = 0
 PPC_REG_CARRY = 1
-PPC_REG_CR0 = 2
-PPC_REG_CR1 = 3
-PPC_REG_CR2 = 4
-PPC_REG_CR3 = 5
-PPC_REG_CR4 = 6
-PPC_REG_CR5 = 7
-PPC_REG_CR6 = 8
-PPC_REG_CR7 = 9
-PPC_REG_CR8 = 10
-PPC_REG_CR9 = 11
-PPC_REG_CR10 = 12
-PPC_REG_CR11 = 13
-PPC_REG_CR12 = 14
-PPC_REG_CR13 = 15
-PPC_REG_CR14 = 16
-PPC_REG_CR15 = 17
-PPC_REG_CR16 = 18
-PPC_REG_CR17 = 19
-PPC_REG_CR18 = 20
-PPC_REG_CR19 = 21
-PPC_REG_CR20 = 22
-PPC_REG_CR21 = 23
-PPC_REG_CR22 = 24
-PPC_REG_CR23 = 25
-PPC_REG_CR24 = 26
-PPC_REG_CR25 = 27
-PPC_REG_CR26 = 28
-PPC_REG_CR27 = 29
-PPC_REG_CR28 = 30
-PPC_REG_CR29 = 31
-PPC_REG_CR30 = 32
-PPC_REG_CR31 = 33
-PPC_REG_CTR = 34
-PPC_REG_F0 = 35
-PPC_REG_F1 = 36
-PPC_REG_F2 = 37
-PPC_REG_F3 = 38
-PPC_REG_F4 = 39
-PPC_REG_F5 = 40
-PPC_REG_F6 = 41
-PPC_REG_F7 = 42
-PPC_REG_F8 = 43
-PPC_REG_F9 = 44
-PPC_REG_F10 = 45
-PPC_REG_F11 = 46
-PPC_REG_F12 = 47
-PPC_REG_F13 = 48
-PPC_REG_F14 = 49
-PPC_REG_F15 = 50
-PPC_REG_F16 = 51
-PPC_REG_F17 = 52
-PPC_REG_F18 = 53
-PPC_REG_F19 = 54
-PPC_REG_F20 = 55
-PPC_REG_F21 = 56
-PPC_REG_F22 = 57
-PPC_REG_F23 = 58
-PPC_REG_F24 = 59
-PPC_REG_F25 = 60
-PPC_REG_F26 = 61
-PPC_REG_F27 = 62
-PPC_REG_F28 = 63
-PPC_REG_F29 = 64
-PPC_REG_F30 = 65
-PPC_REG_F31 = 66
-PPC_REG_LR = 67
-PPC_REG_R0 = 68
-PPC_REG_R1 = 69
-PPC_REG_R2 = 70
-PPC_REG_R3 = 71
-PPC_REG_R4 = 72
-PPC_REG_R5 = 73
-PPC_REG_R6 = 74
-PPC_REG_R7 = 75
-PPC_REG_R8 = 76
-PPC_REG_R9 = 77
-PPC_REG_R10 = 78
-PPC_REG_R11 = 79
-PPC_REG_R12 = 80
-PPC_REG_R13 = 81
-PPC_REG_R14 = 82
-PPC_REG_R15 = 83
-PPC_REG_R16 = 84
-PPC_REG_R17 = 85
-PPC_REG_R18 = 86
-PPC_REG_R19 = 87
-PPC_REG_R20 = 88
-PPC_REG_R21 = 89
-PPC_REG_R22 = 90
-PPC_REG_R23 = 91
-PPC_REG_R24 = 92
-PPC_REG_R25 = 93
-PPC_REG_R26 = 94
-PPC_REG_R27 = 95
-PPC_REG_R28 = 96
-PPC_REG_R29 = 97
-PPC_REG_R30 = 98
-PPC_REG_R31 = 99
-PPC_REG_V0 = 100
-PPC_REG_V1 = 101
-PPC_REG_V2 = 102
-PPC_REG_V3 = 103
-PPC_REG_V4 = 104
-PPC_REG_V5 = 105
-PPC_REG_V6 = 106
-PPC_REG_V7 = 107
-PPC_REG_V8 = 108
-PPC_REG_V9 = 109
-PPC_REG_V10 = 110
-PPC_REG_V11 = 111
-PPC_REG_V12 = 112
-PPC_REG_V13 = 113
-PPC_REG_V14 = 114
-PPC_REG_V15 = 115
-PPC_REG_V16 = 116
-PPC_REG_V17 = 117
-PPC_REG_V18 = 118
-PPC_REG_V19 = 119
-PPC_REG_V20 = 120
-PPC_REG_V21 = 121
-PPC_REG_V22 = 122
-PPC_REG_V23 = 123
-PPC_REG_V24 = 124
-PPC_REG_V25 = 125
-PPC_REG_V26 = 126
-PPC_REG_V27 = 127
-PPC_REG_V28 = 128
-PPC_REG_V29 = 129
-PPC_REG_V30 = 130
-PPC_REG_V31 = 131
-PPC_REG_VRSAVE = 132
-PPC_REG_RM = 133
-PPC_REG_CTR8 = 134
-PPC_REG_LR8 = 135
-PPC_REG_CR1EQ = 136
-PPC_REG_MAX = 137
+PPC_REG_CC = 2
+PPC_REG_CR0 = 3
+PPC_REG_CR1 = 4
+PPC_REG_CR2 = 5
+PPC_REG_CR3 = 6
+PPC_REG_CR4 = 7
+PPC_REG_CR5 = 8
+PPC_REG_CR6 = 9
+PPC_REG_CR7 = 10
+PPC_REG_CTR = 11
+PPC_REG_F0 = 12
+PPC_REG_F1 = 13
+PPC_REG_F2 = 14
+PPC_REG_F3 = 15
+PPC_REG_F4 = 16
+PPC_REG_F5 = 17
+PPC_REG_F6 = 18
+PPC_REG_F7 = 19
+PPC_REG_F8 = 20
+PPC_REG_F9 = 21
+PPC_REG_F10 = 22
+PPC_REG_F11 = 23
+PPC_REG_F12 = 24
+PPC_REG_F13 = 25
+PPC_REG_F14 = 26
+PPC_REG_F15 = 27
+PPC_REG_F16 = 28
+PPC_REG_F17 = 29
+PPC_REG_F18 = 30
+PPC_REG_F19 = 31
+PPC_REG_F20 = 32
+PPC_REG_F21 = 33
+PPC_REG_F22 = 34
+PPC_REG_F23 = 35
+PPC_REG_F24 = 36
+PPC_REG_F25 = 37
+PPC_REG_F26 = 38
+PPC_REG_F27 = 39
+PPC_REG_F28 = 40
+PPC_REG_F29 = 41
+PPC_REG_F30 = 42
+PPC_REG_F31 = 43
+PPC_REG_LR = 44
+PPC_REG_R0 = 45
+PPC_REG_R1 = 46
+PPC_REG_R2 = 47
+PPC_REG_R3 = 48
+PPC_REG_R4 = 49
+PPC_REG_R5 = 50
+PPC_REG_R6 = 51
+PPC_REG_R7 = 52
+PPC_REG_R8 = 53
+PPC_REG_R9 = 54
+PPC_REG_R10 = 55
+PPC_REG_R11 = 56
+PPC_REG_R12 = 57
+PPC_REG_R13 = 58
+PPC_REG_R14 = 59
+PPC_REG_R15 = 60
+PPC_REG_R16 = 61
+PPC_REG_R17 = 62
+PPC_REG_R18 = 63
+PPC_REG_R19 = 64
+PPC_REG_R20 = 65
+PPC_REG_R21 = 66
+PPC_REG_R22 = 67
+PPC_REG_R23 = 68
+PPC_REG_R24 = 69
+PPC_REG_R25 = 70
+PPC_REG_R26 = 71
+PPC_REG_R27 = 72
+PPC_REG_R28 = 73
+PPC_REG_R29 = 74
+PPC_REG_R30 = 75
+PPC_REG_R31 = 76
+PPC_REG_V0 = 77
+PPC_REG_V1 = 78
+PPC_REG_V2 = 79
+PPC_REG_V3 = 80
+PPC_REG_V4 = 81
+PPC_REG_V5 = 82
+PPC_REG_V6 = 83
+PPC_REG_V7 = 84
+PPC_REG_V8 = 85
+PPC_REG_V9 = 86
+PPC_REG_V10 = 87
+PPC_REG_V11 = 88
+PPC_REG_V12 = 89
+PPC_REG_V13 = 90
+PPC_REG_V14 = 91
+PPC_REG_V15 = 92
+PPC_REG_V16 = 93
+PPC_REG_V17 = 94
+PPC_REG_V18 = 95
+PPC_REG_V19 = 96
+PPC_REG_V20 = 97
+PPC_REG_V21 = 98
+PPC_REG_V22 = 99
+PPC_REG_V23 = 100
+PPC_REG_V24 = 101
+PPC_REG_V25 = 102
+PPC_REG_V26 = 103
+PPC_REG_V27 = 104
+PPC_REG_V28 = 105
+PPC_REG_V29 = 106
+PPC_REG_V30 = 107
+PPC_REG_V31 = 108
+PPC_REG_VRSAVE = 109
+PPC_REG_VS0 = 110
+PPC_REG_VS1 = 111
+PPC_REG_VS2 = 112
+PPC_REG_VS3 = 113
+PPC_REG_VS4 = 114
+PPC_REG_VS5 = 115
+PPC_REG_VS6 = 116
+PPC_REG_VS7 = 117
+PPC_REG_VS8 = 118
+PPC_REG_VS9 = 119
+PPC_REG_VS10 = 120
+PPC_REG_VS11 = 121
+PPC_REG_VS12 = 122
+PPC_REG_VS13 = 123
+PPC_REG_VS14 = 124
+PPC_REG_VS15 = 125
+PPC_REG_VS16 = 126
+PPC_REG_VS17 = 127
+PPC_REG_VS18 = 128
+PPC_REG_VS19 = 129
+PPC_REG_VS20 = 130
+PPC_REG_VS21 = 131
+PPC_REG_VS22 = 132
+PPC_REG_VS23 = 133
+PPC_REG_VS24 = 134
+PPC_REG_VS25 = 135
+PPC_REG_VS26 = 136
+PPC_REG_VS27 = 137
+PPC_REG_VS28 = 138
+PPC_REG_VS29 = 139
+PPC_REG_VS30 = 140
+PPC_REG_VS31 = 141
+PPC_REG_VS32 = 142
+PPC_REG_VS33 = 143
+PPC_REG_VS34 = 144
+PPC_REG_VS35 = 145
+PPC_REG_VS36 = 146
+PPC_REG_VS37 = 147
+PPC_REG_VS38 = 148
+PPC_REG_VS39 = 149
+PPC_REG_VS40 = 150
+PPC_REG_VS41 = 151
+PPC_REG_VS42 = 152
+PPC_REG_VS43 = 153
+PPC_REG_VS44 = 154
+PPC_REG_VS45 = 155
+PPC_REG_VS46 = 156
+PPC_REG_VS47 = 157
+PPC_REG_VS48 = 158
+PPC_REG_VS49 = 159
+PPC_REG_VS50 = 160
+PPC_REG_VS51 = 161
+PPC_REG_VS52 = 162
+PPC_REG_VS53 = 163
+PPC_REG_VS54 = 164
+PPC_REG_VS55 = 165
+PPC_REG_VS56 = 166
+PPC_REG_VS57 = 167
+PPC_REG_VS58 = 168
+PPC_REG_VS59 = 169
+PPC_REG_VS60 = 170
+PPC_REG_VS61 = 171
+PPC_REG_VS62 = 172
+PPC_REG_VS63 = 173
+PPC_REG_RM = 174
+PPC_REG_CTR8 = 175
+PPC_REG_LR8 = 176
+PPC_REG_CR1EQ = 177
+PPC_REG_MAX = 178
 
 # PPC instruction
 
@@ -197,428 +240,935 @@
 PPC_INS_ANDI = 12
 PPC_INS_B = 13
 PPC_INS_BA = 14
-PPC_INS_BCL = 15
-PPC_INS_BCTR = 16
-PPC_INS_BCTRL = 17
-PPC_INS_BDNZ = 18
-PPC_INS_BDNZA = 19
-PPC_INS_BDNZL = 20
-PPC_INS_BDNZLA = 21
-PPC_INS_BDNZLR = 22
-PPC_INS_BDNZLRL = 23
-PPC_INS_BDZ = 24
-PPC_INS_BDZA = 25
-PPC_INS_BDZL = 26
-PPC_INS_BDZLA = 27
-PPC_INS_BDZLR = 28
-PPC_INS_BDZLRL = 29
-PPC_INS_BL = 30
-PPC_INS_BLA = 31
-PPC_INS_BLR = 32
-PPC_INS_BLRL = 33
-PPC_INS_CMPD = 34
-PPC_INS_CMPDI = 35
-PPC_INS_CMPLD = 36
-PPC_INS_CMPLDI = 37
-PPC_INS_CMPLW = 38
-PPC_INS_CMPLWI = 39
-PPC_INS_CMPW = 40
-PPC_INS_CMPWI = 41
-PPC_INS_CNTLZD = 42
-PPC_INS_CNTLZW = 43
-PPC_INS_CREQV = 44
-PPC_INS_CRXOR = 45
-PPC_INS_CRAND = 46
-PPC_INS_CRANDC = 47
-PPC_INS_CRNAND = 48
-PPC_INS_CRNOR = 49
-PPC_INS_CROR = 50
-PPC_INS_CRORC = 51
-PPC_INS_DCBA = 52
-PPC_INS_DCBF = 53
-PPC_INS_DCBI = 54
-PPC_INS_DCBST = 55
-PPC_INS_DCBT = 56
-PPC_INS_DCBTST = 57
-PPC_INS_DCBZ = 58
-PPC_INS_DCBZL = 59
-PPC_INS_DIVD = 60
-PPC_INS_DIVDU = 61
-PPC_INS_DIVW = 62
-PPC_INS_DIVWU = 63
-PPC_INS_DSS = 64
-PPC_INS_DSSALL = 65
-PPC_INS_DST = 66
-PPC_INS_DSTST = 67
-PPC_INS_DSTSTT = 68
-PPC_INS_DSTT = 69
-PPC_INS_EIEIO = 70
-PPC_INS_EQV = 71
-PPC_INS_EXTSB = 72
-PPC_INS_EXTSH = 73
-PPC_INS_EXTSW = 74
-PPC_INS_FABS = 75
-PPC_INS_FADD = 76
-PPC_INS_FADDS = 77
-PPC_INS_FCFID = 78
-PPC_INS_FCFIDS = 79
-PPC_INS_FCFIDU = 80
-PPC_INS_FCFIDUS = 81
-PPC_INS_FCMPU = 82
-PPC_INS_FCPSGN = 83
-PPC_INS_FCTID = 84
-PPC_INS_FCTIDUZ = 85
-PPC_INS_FCTIDZ = 86
-PPC_INS_FCTIW = 87
-PPC_INS_FCTIWUZ = 88
-PPC_INS_FCTIWZ = 89
-PPC_INS_FDIV = 90
-PPC_INS_FDIVS = 91
-PPC_INS_FMADD = 92
-PPC_INS_FMADDS = 93
-PPC_INS_FMR = 94
-PPC_INS_FMSUB = 95
-PPC_INS_FMSUBS = 96
-PPC_INS_FMUL = 97
-PPC_INS_FMULS = 98
-PPC_INS_FNABS = 99
-PPC_INS_FNEG = 100
-PPC_INS_FNMADD = 101
-PPC_INS_FNMADDS = 102
-PPC_INS_FNMSUB = 103
-PPC_INS_FNMSUBS = 104
-PPC_INS_FRE = 105
-PPC_INS_FRES = 106
-PPC_INS_FRIM = 107
-PPC_INS_FRIN = 108
-PPC_INS_FRIP = 109
-PPC_INS_FRIZ = 110
-PPC_INS_FRSP = 111
-PPC_INS_FRSQRTE = 112
-PPC_INS_FRSQRTES = 113
-PPC_INS_FSEL = 114
-PPC_INS_FSQRT = 115
-PPC_INS_FSQRTS = 116
-PPC_INS_FSUB = 117
-PPC_INS_FSUBS = 118
-PPC_INS_ICBI = 119
-PPC_INS_ISEL = 120
-PPC_INS_ISYNC = 121
-PPC_INS_LA = 122
-PPC_INS_LBZ = 123
-PPC_INS_LBZU = 124
-PPC_INS_LBZUX = 125
-PPC_INS_LBZX = 126
-PPC_INS_LD = 127
-PPC_INS_LDARX = 128
-PPC_INS_LDBRX = 129
-PPC_INS_LDU = 130
-PPC_INS_LDUX = 131
-PPC_INS_LDX = 132
-PPC_INS_LFD = 133
-PPC_INS_LFDU = 134
-PPC_INS_LFDUX = 135
-PPC_INS_LFDX = 136
-PPC_INS_LFIWAX = 137
-PPC_INS_LFIWZX = 138
-PPC_INS_LFS = 139
-PPC_INS_LFSU = 140
-PPC_INS_LFSUX = 141
-PPC_INS_LFSX = 142
-PPC_INS_LHA = 143
-PPC_INS_LHAU = 144
-PPC_INS_LHAUX = 145
-PPC_INS_LHAX = 146
-PPC_INS_LHBRX = 147
-PPC_INS_LHZ = 148
-PPC_INS_LHZU = 149
-PPC_INS_LHZUX = 150
-PPC_INS_LHZX = 151
-PPC_INS_LI = 152
-PPC_INS_LIS = 153
-PPC_INS_LMW = 154
-PPC_INS_LVEBX = 155
-PPC_INS_LVEHX = 156
-PPC_INS_LVEWX = 157
-PPC_INS_LVSL = 158
-PPC_INS_LVSR = 159
-PPC_INS_LVX = 160
-PPC_INS_LVXL = 161
-PPC_INS_LWA = 162
-PPC_INS_LWARX = 163
-PPC_INS_LWAUX = 164
-PPC_INS_LWAX = 165
-PPC_INS_LWBRX = 166
-PPC_INS_LWZ = 167
-PPC_INS_LWZU = 168
-PPC_INS_LWZUX = 169
-PPC_INS_LWZX = 170
-PPC_INS_MCRF = 171
-PPC_INS_MFCR = 172
-PPC_INS_MFCTR = 173
-PPC_INS_MFFS = 174
-PPC_INS_MFLR = 175
-PPC_INS_MFMSR = 176
-PPC_INS_MFOCRF = 177
-PPC_INS_MFSPR = 178
-PPC_INS_MFTB = 179
-PPC_INS_MFVSCR = 180
-PPC_INS_MSYNC = 181
-PPC_INS_MTCRF = 182
-PPC_INS_MTCTR = 183
-PPC_INS_MTFSB0 = 184
-PPC_INS_MTFSB1 = 185
-PPC_INS_MTFSF = 186
-PPC_INS_MTLR = 187
-PPC_INS_MTMSR = 188
-PPC_INS_MTMSRD = 189
-PPC_INS_MTOCRF = 190
-PPC_INS_MTSPR = 191
-PPC_INS_MTVSCR = 192
-PPC_INS_MULHD = 193
-PPC_INS_MULHDU = 194
-PPC_INS_MULHW = 195
-PPC_INS_MULHWU = 196
-PPC_INS_MULLD = 197
-PPC_INS_MULLI = 198
-PPC_INS_MULLW = 199
-PPC_INS_NAND = 200
-PPC_INS_NEG = 201
-PPC_INS_NOP = 202
-PPC_INS_ORI = 203
-PPC_INS_NOR = 204
-PPC_INS_OR = 205
-PPC_INS_ORC = 206
-PPC_INS_ORIS = 207
-PPC_INS_POPCNTD = 208
-PPC_INS_POPCNTW = 209
-PPC_INS_RLDCL = 210
-PPC_INS_RLDCR = 211
-PPC_INS_RLDIC = 212
-PPC_INS_RLDICL = 213
-PPC_INS_RLDICR = 214
-PPC_INS_RLDIMI = 215
-PPC_INS_RLWIMI = 216
-PPC_INS_RLWINM = 217
-PPC_INS_RLWNM = 218
-PPC_INS_SC = 219
-PPC_INS_SLBIA = 220
-PPC_INS_SLBIE = 221
-PPC_INS_SLBMFEE = 222
-PPC_INS_SLBMTE = 223
-PPC_INS_SLD = 224
-PPC_INS_SLW = 225
-PPC_INS_SRAD = 226
-PPC_INS_SRADI = 227
-PPC_INS_SRAW = 228
-PPC_INS_SRAWI = 229
-PPC_INS_SRD = 230
-PPC_INS_SRW = 231
-PPC_INS_STB = 232
-PPC_INS_STBU = 233
-PPC_INS_STBUX = 234
-PPC_INS_STBX = 235
-PPC_INS_STD = 236
-PPC_INS_STDBRX = 237
-PPC_INS_STDCX = 238
-PPC_INS_STDU = 239
-PPC_INS_STDUX = 240
-PPC_INS_STDX = 241
-PPC_INS_STFD = 242
-PPC_INS_STFDU = 243
-PPC_INS_STFDUX = 244
-PPC_INS_STFDX = 245
-PPC_INS_STFIWX = 246
-PPC_INS_STFS = 247
-PPC_INS_STFSU = 248
-PPC_INS_STFSUX = 249
-PPC_INS_STFSX = 250
-PPC_INS_STH = 251
-PPC_INS_STHBRX = 252
-PPC_INS_STHU = 253
-PPC_INS_STHUX = 254
-PPC_INS_STHX = 255
-PPC_INS_STMW = 256
-PPC_INS_STVEBX = 257
-PPC_INS_STVEHX = 258
-PPC_INS_STVEWX = 259
-PPC_INS_STVX = 260
-PPC_INS_STVXL = 261
-PPC_INS_STW = 262
-PPC_INS_STWBRX = 263
-PPC_INS_STWCX = 264
-PPC_INS_STWU = 265
-PPC_INS_STWUX = 266
-PPC_INS_STWX = 267
-PPC_INS_SUBF = 268
-PPC_INS_SUBFC = 269
-PPC_INS_SUBFE = 270
-PPC_INS_SUBFIC = 271
-PPC_INS_SUBFME = 272
-PPC_INS_SUBFZE = 273
-PPC_INS_SYNC = 274
-PPC_INS_TD = 275
-PPC_INS_TDI = 276
-PPC_INS_TLBIE = 277
-PPC_INS_TLBIEL = 278
-PPC_INS_TLBSYNC = 279
-PPC_INS_TRAP = 280
-PPC_INS_TW = 281
-PPC_INS_TWI = 282
-PPC_INS_VADDCUW = 283
-PPC_INS_VADDFP = 284
-PPC_INS_VADDSBS = 285
-PPC_INS_VADDSHS = 286
-PPC_INS_VADDSWS = 287
-PPC_INS_VADDUBM = 288
-PPC_INS_VADDUBS = 289
-PPC_INS_VADDUHM = 290
-PPC_INS_VADDUHS = 291
-PPC_INS_VADDUWM = 292
-PPC_INS_VADDUWS = 293
-PPC_INS_VAND = 294
-PPC_INS_VANDC = 295
-PPC_INS_VAVGSB = 296
-PPC_INS_VAVGSH = 297
-PPC_INS_VAVGSW = 298
-PPC_INS_VAVGUB = 299
-PPC_INS_VAVGUH = 300
-PPC_INS_VAVGUW = 301
-PPC_INS_VCFSX = 302
-PPC_INS_VCFUX = 303
-PPC_INS_VCMPBFP = 304
-PPC_INS_VCMPEQFP = 305
-PPC_INS_VCMPEQUB = 306
-PPC_INS_VCMPEQUH = 307
-PPC_INS_VCMPEQUW = 308
-PPC_INS_VCMPGEFP = 309
-PPC_INS_VCMPGTFP = 310
-PPC_INS_VCMPGTSB = 311
-PPC_INS_VCMPGTSH = 312
-PPC_INS_VCMPGTSW = 313
-PPC_INS_VCMPGTUB = 314
-PPC_INS_VCMPGTUH = 315
-PPC_INS_VCMPGTUW = 316
-PPC_INS_VCTSXS = 317
-PPC_INS_VCTUXS = 318
-PPC_INS_VEXPTEFP = 319
-PPC_INS_VLOGEFP = 320
-PPC_INS_VMADDFP = 321
-PPC_INS_VMAXFP = 322
-PPC_INS_VMAXSB = 323
-PPC_INS_VMAXSH = 324
-PPC_INS_VMAXSW = 325
-PPC_INS_VMAXUB = 326
-PPC_INS_VMAXUH = 327
-PPC_INS_VMAXUW = 328
-PPC_INS_VMHADDSHS = 329
-PPC_INS_VMHRADDSHS = 330
-PPC_INS_VMINFP = 331
-PPC_INS_VMINSB = 332
-PPC_INS_VMINSH = 333
-PPC_INS_VMINSW = 334
-PPC_INS_VMINUB = 335
-PPC_INS_VMINUH = 336
-PPC_INS_VMINUW = 337
-PPC_INS_VMLADDUHM = 338
-PPC_INS_VMRGHB = 339
-PPC_INS_VMRGHH = 340
-PPC_INS_VMRGHW = 341
-PPC_INS_VMRGLB = 342
-PPC_INS_VMRGLH = 343
-PPC_INS_VMRGLW = 344
-PPC_INS_VMSUMMBM = 345
-PPC_INS_VMSUMSHM = 346
-PPC_INS_VMSUMSHS = 347
-PPC_INS_VMSUMUBM = 348
-PPC_INS_VMSUMUHM = 349
-PPC_INS_VMSUMUHS = 350
-PPC_INS_VMULESB = 351
-PPC_INS_VMULESH = 352
-PPC_INS_VMULEUB = 353
-PPC_INS_VMULEUH = 354
-PPC_INS_VMULOSB = 355
-PPC_INS_VMULOSH = 356
-PPC_INS_VMULOUB = 357
-PPC_INS_VMULOUH = 358
-PPC_INS_VNMSUBFP = 359
-PPC_INS_VNOR = 360
-PPC_INS_VOR = 361
-PPC_INS_VPERM = 362
-PPC_INS_VPKPX = 363
-PPC_INS_VPKSHSS = 364
-PPC_INS_VPKSHUS = 365
-PPC_INS_VPKSWSS = 366
-PPC_INS_VPKSWUS = 367
-PPC_INS_VPKUHUM = 368
-PPC_INS_VPKUHUS = 369
-PPC_INS_VPKUWUM = 370
-PPC_INS_VPKUWUS = 371
-PPC_INS_VREFP = 372
-PPC_INS_VRFIM = 373
-PPC_INS_VRFIN = 374
-PPC_INS_VRFIP = 375
-PPC_INS_VRFIZ = 376
-PPC_INS_VRLB = 377
-PPC_INS_VRLH = 378
-PPC_INS_VRLW = 379
-PPC_INS_VRSQRTEFP = 380
-PPC_INS_VSEL = 381
-PPC_INS_VSL = 382
-PPC_INS_VSLB = 383
-PPC_INS_VSLDOI = 384
-PPC_INS_VSLH = 385
-PPC_INS_VSLO = 386
-PPC_INS_VSLW = 387
-PPC_INS_VSPLTB = 388
-PPC_INS_VSPLTH = 389
-PPC_INS_VSPLTISB = 390
-PPC_INS_VSPLTISH = 391
-PPC_INS_VSPLTISW = 392
-PPC_INS_VSPLTW = 393
-PPC_INS_VSR = 394
-PPC_INS_VSRAB = 395
-PPC_INS_VSRAH = 396
-PPC_INS_VSRAW = 397
-PPC_INS_VSRB = 398
-PPC_INS_VSRH = 399
-PPC_INS_VSRO = 400
-PPC_INS_VSRW = 401
-PPC_INS_VSUBCUW = 402
-PPC_INS_VSUBFP = 403
-PPC_INS_VSUBSBS = 404
-PPC_INS_VSUBSHS = 405
-PPC_INS_VSUBSWS = 406
-PPC_INS_VSUBUBM = 407
-PPC_INS_VSUBUBS = 408
-PPC_INS_VSUBUHM = 409
-PPC_INS_VSUBUHS = 410
-PPC_INS_VSUBUWM = 411
-PPC_INS_VSUBUWS = 412
-PPC_INS_VSUM2SWS = 413
-PPC_INS_VSUM4SBS = 414
-PPC_INS_VSUM4SHS = 415
-PPC_INS_VSUM4UBS = 416
-PPC_INS_VSUMSWS = 417
-PPC_INS_VUPKHPX = 418
-PPC_INS_VUPKHSB = 419
-PPC_INS_VUPKHSH = 420
-PPC_INS_VUPKLPX = 421
-PPC_INS_VUPKLSB = 422
-PPC_INS_VUPKLSH = 423
-PPC_INS_VXOR = 424
-PPC_INS_WAIT = 425
-PPC_INS_XOR = 426
-PPC_INS_XORI = 427
-PPC_INS_XORIS = 428
-PPC_INS_BC = 429
-PPC_INS_BCA = 430
-PPC_INS_BCCTR = 431
-PPC_INS_BCCTRL = 432
-PPC_INS_BCLA = 433
-PPC_INS_BCLR = 434
-PPC_INS_BCLRL = 435
-PPC_INS_MAX = 436
+PPC_INS_BC = 15
+PPC_INS_BCCTR = 16
+PPC_INS_BCCTRL = 17
+PPC_INS_BCL = 18
+PPC_INS_BCLR = 19
+PPC_INS_BCLRL = 20
+PPC_INS_BCTR = 21
+PPC_INS_BCTRL = 22
+PPC_INS_BDNZ = 23
+PPC_INS_BDNZA = 24
+PPC_INS_BDNZL = 25
+PPC_INS_BDNZLA = 26
+PPC_INS_BDNZLR = 27
+PPC_INS_BDNZLRL = 28
+PPC_INS_BDZ = 29
+PPC_INS_BDZA = 30
+PPC_INS_BDZL = 31
+PPC_INS_BDZLA = 32
+PPC_INS_BDZLR = 33
+PPC_INS_BDZLRL = 34
+PPC_INS_BL = 35
+PPC_INS_BLA = 36
+PPC_INS_BLR = 37
+PPC_INS_BLRL = 38
+PPC_INS_BRINC = 39
+PPC_INS_CMPD = 40
+PPC_INS_CMPDI = 41
+PPC_INS_CMPLD = 42
+PPC_INS_CMPLDI = 43
+PPC_INS_CMPLW = 44
+PPC_INS_CMPLWI = 45
+PPC_INS_CMPW = 46
+PPC_INS_CMPWI = 47
+PPC_INS_CNTLZD = 48
+PPC_INS_CNTLZW = 49
+PPC_INS_CREQV = 50
+PPC_INS_CRXOR = 51
+PPC_INS_CRAND = 52
+PPC_INS_CRANDC = 53
+PPC_INS_CRNAND = 54
+PPC_INS_CRNOR = 55
+PPC_INS_CROR = 56
+PPC_INS_CRORC = 57
+PPC_INS_DCBA = 58
+PPC_INS_DCBF = 59
+PPC_INS_DCBI = 60
+PPC_INS_DCBST = 61
+PPC_INS_DCBT = 62
+PPC_INS_DCBTST = 63
+PPC_INS_DCBZ = 64
+PPC_INS_DCBZL = 65
+PPC_INS_DCCCI = 66
+PPC_INS_DIVD = 67
+PPC_INS_DIVDU = 68
+PPC_INS_DIVW = 69
+PPC_INS_DIVWU = 70
+PPC_INS_DSS = 71
+PPC_INS_DSSALL = 72
+PPC_INS_DST = 73
+PPC_INS_DSTST = 74
+PPC_INS_DSTSTT = 75
+PPC_INS_DSTT = 76
+PPC_INS_EIEIO = 77
+PPC_INS_EQV = 78
+PPC_INS_EVABS = 79
+PPC_INS_EVADDIW = 80
+PPC_INS_EVADDSMIAAW = 81
+PPC_INS_EVADDSSIAAW = 82
+PPC_INS_EVADDUMIAAW = 83
+PPC_INS_EVADDUSIAAW = 84
+PPC_INS_EVADDW = 85
+PPC_INS_EVAND = 86
+PPC_INS_EVANDC = 87
+PPC_INS_EVCMPEQ = 88
+PPC_INS_EVCMPGTS = 89
+PPC_INS_EVCMPGTU = 90
+PPC_INS_EVCMPLTS = 91
+PPC_INS_EVCMPLTU = 92
+PPC_INS_EVCNTLSW = 93
+PPC_INS_EVCNTLZW = 94
+PPC_INS_EVDIVWS = 95
+PPC_INS_EVDIVWU = 96
+PPC_INS_EVEQV = 97
+PPC_INS_EVEXTSB = 98
+PPC_INS_EVEXTSH = 99
+PPC_INS_EVLDD = 100
+PPC_INS_EVLDDX = 101
+PPC_INS_EVLDH = 102
+PPC_INS_EVLDHX = 103
+PPC_INS_EVLDW = 104
+PPC_INS_EVLDWX = 105
+PPC_INS_EVLHHESPLAT = 106
+PPC_INS_EVLHHESPLATX = 107
+PPC_INS_EVLHHOSSPLAT = 108
+PPC_INS_EVLHHOSSPLATX = 109
+PPC_INS_EVLHHOUSPLAT = 110
+PPC_INS_EVLHHOUSPLATX = 111
+PPC_INS_EVLWHE = 112
+PPC_INS_EVLWHEX = 113
+PPC_INS_EVLWHOS = 114
+PPC_INS_EVLWHOSX = 115
+PPC_INS_EVLWHOU = 116
+PPC_INS_EVLWHOUX = 117
+PPC_INS_EVLWHSPLAT = 118
+PPC_INS_EVLWHSPLATX = 119
+PPC_INS_EVLWWSPLAT = 120
+PPC_INS_EVLWWSPLATX = 121
+PPC_INS_EVMERGEHI = 122
+PPC_INS_EVMERGEHILO = 123
+PPC_INS_EVMERGELO = 124
+PPC_INS_EVMERGELOHI = 125
+PPC_INS_EVMHEGSMFAA = 126
+PPC_INS_EVMHEGSMFAN = 127
+PPC_INS_EVMHEGSMIAA = 128
+PPC_INS_EVMHEGSMIAN = 129
+PPC_INS_EVMHEGUMIAA = 130
+PPC_INS_EVMHEGUMIAN = 131
+PPC_INS_EVMHESMF = 132
+PPC_INS_EVMHESMFA = 133
+PPC_INS_EVMHESMFAAW = 134
+PPC_INS_EVMHESMFANW = 135
+PPC_INS_EVMHESMI = 136
+PPC_INS_EVMHESMIA = 137
+PPC_INS_EVMHESMIAAW = 138
+PPC_INS_EVMHESMIANW = 139
+PPC_INS_EVMHESSF = 140
+PPC_INS_EVMHESSFA = 141
+PPC_INS_EVMHESSFAAW = 142
+PPC_INS_EVMHESSFANW = 143
+PPC_INS_EVMHESSIAAW = 144
+PPC_INS_EVMHESSIANW = 145
+PPC_INS_EVMHEUMI = 146
+PPC_INS_EVMHEUMIA = 147
+PPC_INS_EVMHEUMIAAW = 148
+PPC_INS_EVMHEUMIANW = 149
+PPC_INS_EVMHEUSIAAW = 150
+PPC_INS_EVMHEUSIANW = 151
+PPC_INS_EVMHOGSMFAA = 152
+PPC_INS_EVMHOGSMFAN = 153
+PPC_INS_EVMHOGSMIAA = 154
+PPC_INS_EVMHOGSMIAN = 155
+PPC_INS_EVMHOGUMIAA = 156
+PPC_INS_EVMHOGUMIAN = 157
+PPC_INS_EVMHOSMF = 158
+PPC_INS_EVMHOSMFA = 159
+PPC_INS_EVMHOSMFAAW = 160
+PPC_INS_EVMHOSMFANW = 161
+PPC_INS_EVMHOSMI = 162
+PPC_INS_EVMHOSMIA = 163
+PPC_INS_EVMHOSMIAAW = 164
+PPC_INS_EVMHOSMIANW = 165
+PPC_INS_EVMHOSSF = 166
+PPC_INS_EVMHOSSFA = 167
+PPC_INS_EVMHOSSFAAW = 168
+PPC_INS_EVMHOSSFANW = 169
+PPC_INS_EVMHOSSIAAW = 170
+PPC_INS_EVMHOSSIANW = 171
+PPC_INS_EVMHOUMI = 172
+PPC_INS_EVMHOUMIA = 173
+PPC_INS_EVMHOUMIAAW = 174
+PPC_INS_EVMHOUMIANW = 175
+PPC_INS_EVMHOUSIAAW = 176
+PPC_INS_EVMHOUSIANW = 177
+PPC_INS_EVMRA = 178
+PPC_INS_EVMWHSMF = 179
+PPC_INS_EVMWHSMFA = 180
+PPC_INS_EVMWHSMI = 181
+PPC_INS_EVMWHSMIA = 182
+PPC_INS_EVMWHSSF = 183
+PPC_INS_EVMWHSSFA = 184
+PPC_INS_EVMWHUMI = 185
+PPC_INS_EVMWHUMIA = 186
+PPC_INS_EVMWLSMIAAW = 187
+PPC_INS_EVMWLSMIANW = 188
+PPC_INS_EVMWLSSIAAW = 189
+PPC_INS_EVMWLSSIANW = 190
+PPC_INS_EVMWLUMI = 191
+PPC_INS_EVMWLUMIA = 192
+PPC_INS_EVMWLUMIAAW = 193
+PPC_INS_EVMWLUMIANW = 194
+PPC_INS_EVMWLUSIAAW = 195
+PPC_INS_EVMWLUSIANW = 196
+PPC_INS_EVMWSMF = 197
+PPC_INS_EVMWSMFA = 198
+PPC_INS_EVMWSMFAA = 199
+PPC_INS_EVMWSMFAN = 200
+PPC_INS_EVMWSMI = 201
+PPC_INS_EVMWSMIA = 202
+PPC_INS_EVMWSMIAA = 203
+PPC_INS_EVMWSMIAN = 204
+PPC_INS_EVMWSSF = 205
+PPC_INS_EVMWSSFA = 206
+PPC_INS_EVMWSSFAA = 207
+PPC_INS_EVMWSSFAN = 208
+PPC_INS_EVMWUMI = 209
+PPC_INS_EVMWUMIA = 210
+PPC_INS_EVMWUMIAA = 211
+PPC_INS_EVMWUMIAN = 212
+PPC_INS_EVNAND = 213
+PPC_INS_EVNEG = 214
+PPC_INS_EVNOR = 215
+PPC_INS_EVOR = 216
+PPC_INS_EVORC = 217
+PPC_INS_EVRLW = 218
+PPC_INS_EVRLWI = 219
+PPC_INS_EVRNDW = 220
+PPC_INS_EVSLW = 221
+PPC_INS_EVSLWI = 222
+PPC_INS_EVSPLATFI = 223
+PPC_INS_EVSPLATI = 224
+PPC_INS_EVSRWIS = 225
+PPC_INS_EVSRWIU = 226
+PPC_INS_EVSRWS = 227
+PPC_INS_EVSRWU = 228
+PPC_INS_EVSTDD = 229
+PPC_INS_EVSTDDX = 230
+PPC_INS_EVSTDH = 231
+PPC_INS_EVSTDHX = 232
+PPC_INS_EVSTDW = 233
+PPC_INS_EVSTDWX = 234
+PPC_INS_EVSTWHE = 235
+PPC_INS_EVSTWHEX = 236
+PPC_INS_EVSTWHO = 237
+PPC_INS_EVSTWHOX = 238
+PPC_INS_EVSTWWE = 239
+PPC_INS_EVSTWWEX = 240
+PPC_INS_EVSTWWO = 241
+PPC_INS_EVSTWWOX = 242
+PPC_INS_EVSUBFSMIAAW = 243
+PPC_INS_EVSUBFSSIAAW = 244
+PPC_INS_EVSUBFUMIAAW = 245
+PPC_INS_EVSUBFUSIAAW = 246
+PPC_INS_EVSUBFW = 247
+PPC_INS_EVSUBIFW = 248
+PPC_INS_EVXOR = 249
+PPC_INS_EXTSB = 250
+PPC_INS_EXTSH = 251
+PPC_INS_EXTSW = 252
+PPC_INS_FABS = 253
+PPC_INS_FADD = 254
+PPC_INS_FADDS = 255
+PPC_INS_FCFID = 256
+PPC_INS_FCFIDS = 257
+PPC_INS_FCFIDU = 258
+PPC_INS_FCFIDUS = 259
+PPC_INS_FCMPU = 260
+PPC_INS_FCPSGN = 261
+PPC_INS_FCTID = 262
+PPC_INS_FCTIDUZ = 263
+PPC_INS_FCTIDZ = 264
+PPC_INS_FCTIW = 265
+PPC_INS_FCTIWUZ = 266
+PPC_INS_FCTIWZ = 267
+PPC_INS_FDIV = 268
+PPC_INS_FDIVS = 269
+PPC_INS_FMADD = 270
+PPC_INS_FMADDS = 271
+PPC_INS_FMR = 272
+PPC_INS_FMSUB = 273
+PPC_INS_FMSUBS = 274
+PPC_INS_FMUL = 275
+PPC_INS_FMULS = 276
+PPC_INS_FNABS = 277
+PPC_INS_FNEG = 278
+PPC_INS_FNMADD = 279
+PPC_INS_FNMADDS = 280
+PPC_INS_FNMSUB = 281
+PPC_INS_FNMSUBS = 282
+PPC_INS_FRE = 283
+PPC_INS_FRES = 284
+PPC_INS_FRIM = 285
+PPC_INS_FRIN = 286
+PPC_INS_FRIP = 287
+PPC_INS_FRIZ = 288
+PPC_INS_FRSP = 289
+PPC_INS_FRSQRTE = 290
+PPC_INS_FRSQRTES = 291
+PPC_INS_FSEL = 292
+PPC_INS_FSQRT = 293
+PPC_INS_FSQRTS = 294
+PPC_INS_FSUB = 295
+PPC_INS_FSUBS = 296
+PPC_INS_ICBI = 297
+PPC_INS_ICCCI = 298
+PPC_INS_ISEL = 299
+PPC_INS_ISYNC = 300
+PPC_INS_LA = 301
+PPC_INS_LBZ = 302
+PPC_INS_LBZU = 303
+PPC_INS_LBZUX = 304
+PPC_INS_LBZX = 305
+PPC_INS_LD = 306
+PPC_INS_LDARX = 307
+PPC_INS_LDBRX = 308
+PPC_INS_LDU = 309
+PPC_INS_LDUX = 310
+PPC_INS_LDX = 311
+PPC_INS_LFD = 312
+PPC_INS_LFDU = 313
+PPC_INS_LFDUX = 314
+PPC_INS_LFDX = 315
+PPC_INS_LFIWAX = 316
+PPC_INS_LFIWZX = 317
+PPC_INS_LFS = 318
+PPC_INS_LFSU = 319
+PPC_INS_LFSUX = 320
+PPC_INS_LFSX = 321
+PPC_INS_LHA = 322
+PPC_INS_LHAU = 323
+PPC_INS_LHAUX = 324
+PPC_INS_LHAX = 325
+PPC_INS_LHBRX = 326
+PPC_INS_LHZ = 327
+PPC_INS_LHZU = 328
+PPC_INS_LHZUX = 329
+PPC_INS_LHZX = 330
+PPC_INS_LI = 331
+PPC_INS_LIS = 332
+PPC_INS_LMW = 333
+PPC_INS_LSWI = 334
+PPC_INS_LVEBX = 335
+PPC_INS_LVEHX = 336
+PPC_INS_LVEWX = 337
+PPC_INS_LVSL = 338
+PPC_INS_LVSR = 339
+PPC_INS_LVX = 340
+PPC_INS_LVXL = 341
+PPC_INS_LWA = 342
+PPC_INS_LWARX = 343
+PPC_INS_LWAUX = 344
+PPC_INS_LWAX = 345
+PPC_INS_LWBRX = 346
+PPC_INS_LWZ = 347
+PPC_INS_LWZU = 348
+PPC_INS_LWZUX = 349
+PPC_INS_LWZX = 350
+PPC_INS_LXSDX = 351
+PPC_INS_LXVD2X = 352
+PPC_INS_LXVDSX = 353
+PPC_INS_LXVW4X = 354
+PPC_INS_MBAR = 355
+PPC_INS_MCRF = 356
+PPC_INS_MFCR = 357
+PPC_INS_MFCTR = 358
+PPC_INS_MFDCR = 359
+PPC_INS_MFFS = 360
+PPC_INS_MFLR = 361
+PPC_INS_MFMSR = 362
+PPC_INS_MFOCRF = 363
+PPC_INS_MFSPR = 364
+PPC_INS_MFSR = 365
+PPC_INS_MFSRIN = 366
+PPC_INS_MFTB = 367
+PPC_INS_MFVSCR = 368
+PPC_INS_MSYNC = 369
+PPC_INS_MTCRF = 370
+PPC_INS_MTCTR = 371
+PPC_INS_MTDCR = 372
+PPC_INS_MTFSB0 = 373
+PPC_INS_MTFSB1 = 374
+PPC_INS_MTFSF = 375
+PPC_INS_MTLR = 376
+PPC_INS_MTMSR = 377
+PPC_INS_MTMSRD = 378
+PPC_INS_MTOCRF = 379
+PPC_INS_MTSPR = 380
+PPC_INS_MTSR = 381
+PPC_INS_MTSRIN = 382
+PPC_INS_MTVSCR = 383
+PPC_INS_MULHD = 384
+PPC_INS_MULHDU = 385
+PPC_INS_MULHW = 386
+PPC_INS_MULHWU = 387
+PPC_INS_MULLD = 388
+PPC_INS_MULLI = 389
+PPC_INS_MULLW = 390
+PPC_INS_NAND = 391
+PPC_INS_NEG = 392
+PPC_INS_NOP = 393
+PPC_INS_ORI = 394
+PPC_INS_NOR = 395
+PPC_INS_OR = 396
+PPC_INS_ORC = 397
+PPC_INS_ORIS = 398
+PPC_INS_POPCNTD = 399
+PPC_INS_POPCNTW = 400
+PPC_INS_RFCI = 401
+PPC_INS_RFDI = 402
+PPC_INS_RFI = 403
+PPC_INS_RFID = 404
+PPC_INS_RFMCI = 405
+PPC_INS_RLDCL = 406
+PPC_INS_RLDCR = 407
+PPC_INS_RLDIC = 408
+PPC_INS_RLDICL = 409
+PPC_INS_RLDICR = 410
+PPC_INS_RLDIMI = 411
+PPC_INS_RLWIMI = 412
+PPC_INS_RLWINM = 413
+PPC_INS_RLWNM = 414
+PPC_INS_SC = 415
+PPC_INS_SLBIA = 416
+PPC_INS_SLBIE = 417
+PPC_INS_SLBMFEE = 418
+PPC_INS_SLBMTE = 419
+PPC_INS_SLD = 420
+PPC_INS_SLW = 421
+PPC_INS_SRAD = 422
+PPC_INS_SRADI = 423
+PPC_INS_SRAW = 424
+PPC_INS_SRAWI = 425
+PPC_INS_SRD = 426
+PPC_INS_SRW = 427
+PPC_INS_STB = 428
+PPC_INS_STBU = 429
+PPC_INS_STBUX = 430
+PPC_INS_STBX = 431
+PPC_INS_STD = 432
+PPC_INS_STDBRX = 433
+PPC_INS_STDCX = 434
+PPC_INS_STDU = 435
+PPC_INS_STDUX = 436
+PPC_INS_STDX = 437
+PPC_INS_STFD = 438
+PPC_INS_STFDU = 439
+PPC_INS_STFDUX = 440
+PPC_INS_STFDX = 441
+PPC_INS_STFIWX = 442
+PPC_INS_STFS = 443
+PPC_INS_STFSU = 444
+PPC_INS_STFSUX = 445
+PPC_INS_STFSX = 446
+PPC_INS_STH = 447
+PPC_INS_STHBRX = 448
+PPC_INS_STHU = 449
+PPC_INS_STHUX = 450
+PPC_INS_STHX = 451
+PPC_INS_STMW = 452
+PPC_INS_STSWI = 453
+PPC_INS_STVEBX = 454
+PPC_INS_STVEHX = 455
+PPC_INS_STVEWX = 456
+PPC_INS_STVX = 457
+PPC_INS_STVXL = 458
+PPC_INS_STW = 459
+PPC_INS_STWBRX = 460
+PPC_INS_STWCX = 461
+PPC_INS_STWU = 462
+PPC_INS_STWUX = 463
+PPC_INS_STWX = 464
+PPC_INS_STXSDX = 465
+PPC_INS_STXVD2X = 466
+PPC_INS_STXVW4X = 467
+PPC_INS_SUBF = 468
+PPC_INS_SUBFC = 469
+PPC_INS_SUBFE = 470
+PPC_INS_SUBFIC = 471
+PPC_INS_SUBFME = 472
+PPC_INS_SUBFZE = 473
+PPC_INS_SYNC = 474
+PPC_INS_TD = 475
+PPC_INS_TDI = 476
+PPC_INS_TLBIA = 477
+PPC_INS_TLBIE = 478
+PPC_INS_TLBIEL = 479
+PPC_INS_TLBIVAX = 480
+PPC_INS_TLBLD = 481
+PPC_INS_TLBLI = 482
+PPC_INS_TLBRE = 483
+PPC_INS_TLBSX = 484
+PPC_INS_TLBSYNC = 485
+PPC_INS_TLBWE = 486
+PPC_INS_TRAP = 487
+PPC_INS_TW = 488
+PPC_INS_TWI = 489
+PPC_INS_VADDCUW = 490
+PPC_INS_VADDFP = 491
+PPC_INS_VADDSBS = 492
+PPC_INS_VADDSHS = 493
+PPC_INS_VADDSWS = 494
+PPC_INS_VADDUBM = 495
+PPC_INS_VADDUBS = 496
+PPC_INS_VADDUHM = 497
+PPC_INS_VADDUHS = 498
+PPC_INS_VADDUWM = 499
+PPC_INS_VADDUWS = 500
+PPC_INS_VAND = 501
+PPC_INS_VANDC = 502
+PPC_INS_VAVGSB = 503
+PPC_INS_VAVGSH = 504
+PPC_INS_VAVGSW = 505
+PPC_INS_VAVGUB = 506
+PPC_INS_VAVGUH = 507
+PPC_INS_VAVGUW = 508
+PPC_INS_VCFSX = 509
+PPC_INS_VCFUX = 510
+PPC_INS_VCMPBFP = 511
+PPC_INS_VCMPEQFP = 512
+PPC_INS_VCMPEQUB = 513
+PPC_INS_VCMPEQUH = 514
+PPC_INS_VCMPEQUW = 515
+PPC_INS_VCMPGEFP = 516
+PPC_INS_VCMPGTFP = 517
+PPC_INS_VCMPGTSB = 518
+PPC_INS_VCMPGTSH = 519
+PPC_INS_VCMPGTSW = 520
+PPC_INS_VCMPGTUB = 521
+PPC_INS_VCMPGTUH = 522
+PPC_INS_VCMPGTUW = 523
+PPC_INS_VCTSXS = 524
+PPC_INS_VCTUXS = 525
+PPC_INS_VEXPTEFP = 526
+PPC_INS_VLOGEFP = 527
+PPC_INS_VMADDFP = 528
+PPC_INS_VMAXFP = 529
+PPC_INS_VMAXSB = 530
+PPC_INS_VMAXSH = 531
+PPC_INS_VMAXSW = 532
+PPC_INS_VMAXUB = 533
+PPC_INS_VMAXUH = 534
+PPC_INS_VMAXUW = 535
+PPC_INS_VMHADDSHS = 536
+PPC_INS_VMHRADDSHS = 537
+PPC_INS_VMINFP = 538
+PPC_INS_VMINSB = 539
+PPC_INS_VMINSH = 540
+PPC_INS_VMINSW = 541
+PPC_INS_VMINUB = 542
+PPC_INS_VMINUH = 543
+PPC_INS_VMINUW = 544
+PPC_INS_VMLADDUHM = 545
+PPC_INS_VMRGHB = 546
+PPC_INS_VMRGHH = 547
+PPC_INS_VMRGHW = 548
+PPC_INS_VMRGLB = 549
+PPC_INS_VMRGLH = 550
+PPC_INS_VMRGLW = 551
+PPC_INS_VMSUMMBM = 552
+PPC_INS_VMSUMSHM = 553
+PPC_INS_VMSUMSHS = 554
+PPC_INS_VMSUMUBM = 555
+PPC_INS_VMSUMUHM = 556
+PPC_INS_VMSUMUHS = 557
+PPC_INS_VMULESB = 558
+PPC_INS_VMULESH = 559
+PPC_INS_VMULEUB = 560
+PPC_INS_VMULEUH = 561
+PPC_INS_VMULOSB = 562
+PPC_INS_VMULOSH = 563
+PPC_INS_VMULOUB = 564
+PPC_INS_VMULOUH = 565
+PPC_INS_VNMSUBFP = 566
+PPC_INS_VNOR = 567
+PPC_INS_VOR = 568
+PPC_INS_VPERM = 569
+PPC_INS_VPKPX = 570
+PPC_INS_VPKSHSS = 571
+PPC_INS_VPKSHUS = 572
+PPC_INS_VPKSWSS = 573
+PPC_INS_VPKSWUS = 574
+PPC_INS_VPKUHUM = 575
+PPC_INS_VPKUHUS = 576
+PPC_INS_VPKUWUM = 577
+PPC_INS_VPKUWUS = 578
+PPC_INS_VREFP = 579
+PPC_INS_VRFIM = 580
+PPC_INS_VRFIN = 581
+PPC_INS_VRFIP = 582
+PPC_INS_VRFIZ = 583
+PPC_INS_VRLB = 584
+PPC_INS_VRLH = 585
+PPC_INS_VRLW = 586
+PPC_INS_VRSQRTEFP = 587
+PPC_INS_VSEL = 588
+PPC_INS_VSL = 589
+PPC_INS_VSLB = 590
+PPC_INS_VSLDOI = 591
+PPC_INS_VSLH = 592
+PPC_INS_VSLO = 593
+PPC_INS_VSLW = 594
+PPC_INS_VSPLTB = 595
+PPC_INS_VSPLTH = 596
+PPC_INS_VSPLTISB = 597
+PPC_INS_VSPLTISH = 598
+PPC_INS_VSPLTISW = 599
+PPC_INS_VSPLTW = 600
+PPC_INS_VSR = 601
+PPC_INS_VSRAB = 602
+PPC_INS_VSRAH = 603
+PPC_INS_VSRAW = 604
+PPC_INS_VSRB = 605
+PPC_INS_VSRH = 606
+PPC_INS_VSRO = 607
+PPC_INS_VSRW = 608
+PPC_INS_VSUBCUW = 609
+PPC_INS_VSUBFP = 610
+PPC_INS_VSUBSBS = 611
+PPC_INS_VSUBSHS = 612
+PPC_INS_VSUBSWS = 613
+PPC_INS_VSUBUBM = 614
+PPC_INS_VSUBUBS = 615
+PPC_INS_VSUBUHM = 616
+PPC_INS_VSUBUHS = 617
+PPC_INS_VSUBUWM = 618
+PPC_INS_VSUBUWS = 619
+PPC_INS_VSUM2SWS = 620
+PPC_INS_VSUM4SBS = 621
+PPC_INS_VSUM4SHS = 622
+PPC_INS_VSUM4UBS = 623
+PPC_INS_VSUMSWS = 624
+PPC_INS_VUPKHPX = 625
+PPC_INS_VUPKHSB = 626
+PPC_INS_VUPKHSH = 627
+PPC_INS_VUPKLPX = 628
+PPC_INS_VUPKLSB = 629
+PPC_INS_VUPKLSH = 630
+PPC_INS_VXOR = 631
+PPC_INS_WAIT = 632
+PPC_INS_WRTEE = 633
+PPC_INS_WRTEEI = 634
+PPC_INS_XOR = 635
+PPC_INS_XORI = 636
+PPC_INS_XORIS = 637
+PPC_INS_XSABSDP = 638
+PPC_INS_XSADDDP = 639
+PPC_INS_XSCMPODP = 640
+PPC_INS_XSCMPUDP = 641
+PPC_INS_XSCPSGNDP = 642
+PPC_INS_XSCVDPSP = 643
+PPC_INS_XSCVDPSXDS = 644
+PPC_INS_XSCVDPSXWS = 645
+PPC_INS_XSCVDPUXDS = 646
+PPC_INS_XSCVDPUXWS = 647
+PPC_INS_XSCVSPDP = 648
+PPC_INS_XSCVSXDDP = 649
+PPC_INS_XSCVUXDDP = 650
+PPC_INS_XSDIVDP = 651
+PPC_INS_XSMADDADP = 652
+PPC_INS_XSMADDMDP = 653
+PPC_INS_XSMAXDP = 654
+PPC_INS_XSMINDP = 655
+PPC_INS_XSMSUBADP = 656
+PPC_INS_XSMSUBMDP = 657
+PPC_INS_XSMULDP = 658
+PPC_INS_XSNABSDP = 659
+PPC_INS_XSNEGDP = 660
+PPC_INS_XSNMADDADP = 661
+PPC_INS_XSNMADDMDP = 662
+PPC_INS_XSNMSUBADP = 663
+PPC_INS_XSNMSUBMDP = 664
+PPC_INS_XSRDPI = 665
+PPC_INS_XSRDPIC = 666
+PPC_INS_XSRDPIM = 667
+PPC_INS_XSRDPIP = 668
+PPC_INS_XSRDPIZ = 669
+PPC_INS_XSREDP = 670
+PPC_INS_XSRSQRTEDP = 671
+PPC_INS_XSSQRTDP = 672
+PPC_INS_XSSUBDP = 673
+PPC_INS_XSTDIVDP = 674
+PPC_INS_XSTSQRTDP = 675
+PPC_INS_XVABSDP = 676
+PPC_INS_XVABSSP = 677
+PPC_INS_XVADDDP = 678
+PPC_INS_XVADDSP = 679
+PPC_INS_XVCMPEQDP = 680
+PPC_INS_XVCMPEQSP = 681
+PPC_INS_XVCMPGEDP = 682
+PPC_INS_XVCMPGESP = 683
+PPC_INS_XVCMPGTDP = 684
+PPC_INS_XVCMPGTSP = 685
+PPC_INS_XVCPSGNDP = 686
+PPC_INS_XVCPSGNSP = 687
+PPC_INS_XVCVDPSP = 688
+PPC_INS_XVCVDPSXDS = 689
+PPC_INS_XVCVDPSXWS = 690
+PPC_INS_XVCVDPUXDS = 691
+PPC_INS_XVCVDPUXWS = 692
+PPC_INS_XVCVSPDP = 693
+PPC_INS_XVCVSPSXDS = 694
+PPC_INS_XVCVSPSXWS = 695
+PPC_INS_XVCVSPUXDS = 696
+PPC_INS_XVCVSPUXWS = 697
+PPC_INS_XVCVSXDDP = 698
+PPC_INS_XVCVSXDSP = 699
+PPC_INS_XVCVSXWDP = 700
+PPC_INS_XVCVSXWSP = 701
+PPC_INS_XVCVUXDDP = 702
+PPC_INS_XVCVUXDSP = 703
+PPC_INS_XVCVUXWDP = 704
+PPC_INS_XVCVUXWSP = 705
+PPC_INS_XVDIVDP = 706
+PPC_INS_XVDIVSP = 707
+PPC_INS_XVMADDADP = 708
+PPC_INS_XVMADDASP = 709
+PPC_INS_XVMADDMDP = 710
+PPC_INS_XVMADDMSP = 711
+PPC_INS_XVMAXDP = 712
+PPC_INS_XVMAXSP = 713
+PPC_INS_XVMINDP = 714
+PPC_INS_XVMINSP = 715
+PPC_INS_XVMSUBADP = 716
+PPC_INS_XVMSUBASP = 717
+PPC_INS_XVMSUBMDP = 718
+PPC_INS_XVMSUBMSP = 719
+PPC_INS_XVMULDP = 720
+PPC_INS_XVMULSP = 721
+PPC_INS_XVNABSDP = 722
+PPC_INS_XVNABSSP = 723
+PPC_INS_XVNEGDP = 724
+PPC_INS_XVNEGSP = 725
+PPC_INS_XVNMADDADP = 726
+PPC_INS_XVNMADDASP = 727
+PPC_INS_XVNMADDMDP = 728
+PPC_INS_XVNMADDMSP = 729
+PPC_INS_XVNMSUBADP = 730
+PPC_INS_XVNMSUBASP = 731
+PPC_INS_XVNMSUBMDP = 732
+PPC_INS_XVNMSUBMSP = 733
+PPC_INS_XVRDPI = 734
+PPC_INS_XVRDPIC = 735
+PPC_INS_XVRDPIM = 736
+PPC_INS_XVRDPIP = 737
+PPC_INS_XVRDPIZ = 738
+PPC_INS_XVREDP = 739
+PPC_INS_XVRESP = 740
+PPC_INS_XVRSPI = 741
+PPC_INS_XVRSPIC = 742
+PPC_INS_XVRSPIM = 743
+PPC_INS_XVRSPIP = 744
+PPC_INS_XVRSPIZ = 745
+PPC_INS_XVRSQRTEDP = 746
+PPC_INS_XVRSQRTESP = 747
+PPC_INS_XVSQRTDP = 748
+PPC_INS_XVSQRTSP = 749
+PPC_INS_XVSUBDP = 750
+PPC_INS_XVSUBSP = 751
+PPC_INS_XVTDIVDP = 752
+PPC_INS_XVTDIVSP = 753
+PPC_INS_XVTSQRTDP = 754
+PPC_INS_XVTSQRTSP = 755
+PPC_INS_XXLAND = 756
+PPC_INS_XXLANDC = 757
+PPC_INS_XXLNOR = 758
+PPC_INS_XXLOR = 759
+PPC_INS_XXLXOR = 760
+PPC_INS_XXMRGHW = 761
+PPC_INS_XXMRGLW = 762
+PPC_INS_XXPERMDI = 763
+PPC_INS_XXSEL = 764
+PPC_INS_XXSLDWI = 765
+PPC_INS_XXSPLTW = 766
+PPC_INS_BCA = 767
+PPC_INS_BCLA = 768
+PPC_INS_SLWI = 769
+PPC_INS_SRWI = 770
+PPC_INS_SLDI = 771
+PPC_INS_BTA = 772
+PPC_INS_CRSET = 773
+PPC_INS_CRNOT = 774
+PPC_INS_CRMOVE = 775
+PPC_INS_CRCLR = 776
+PPC_INS_MFBR0 = 777
+PPC_INS_MFBR1 = 778
+PPC_INS_MFBR2 = 779
+PPC_INS_MFBR3 = 780
+PPC_INS_MFBR4 = 781
+PPC_INS_MFBR5 = 782
+PPC_INS_MFBR6 = 783
+PPC_INS_MFBR7 = 784
+PPC_INS_MFXER = 785
+PPC_INS_MFRTCU = 786
+PPC_INS_MFRTCL = 787
+PPC_INS_MFDSCR = 788
+PPC_INS_MFDSISR = 789
+PPC_INS_MFDAR = 790
+PPC_INS_MFSRR2 = 791
+PPC_INS_MFSRR3 = 792
+PPC_INS_MFCFAR = 793
+PPC_INS_MFAMR = 794
+PPC_INS_MFPID = 795
+PPC_INS_MFTBLO = 796
+PPC_INS_MFTBHI = 797
+PPC_INS_MFDBATU = 798
+PPC_INS_MFDBATL = 799
+PPC_INS_MFIBATU = 800
+PPC_INS_MFIBATL = 801
+PPC_INS_MFDCCR = 802
+PPC_INS_MFICCR = 803
+PPC_INS_MFDEAR = 804
+PPC_INS_MFESR = 805
+PPC_INS_MFSPEFSCR = 806
+PPC_INS_MFTCR = 807
+PPC_INS_MFASR = 808
+PPC_INS_MFPVR = 809
+PPC_INS_MFTBU = 810
+PPC_INS_MTCR = 811
+PPC_INS_MTBR0 = 812
+PPC_INS_MTBR1 = 813
+PPC_INS_MTBR2 = 814
+PPC_INS_MTBR3 = 815
+PPC_INS_MTBR4 = 816
+PPC_INS_MTBR5 = 817
+PPC_INS_MTBR6 = 818
+PPC_INS_MTBR7 = 819
+PPC_INS_MTXER = 820
+PPC_INS_MTDSCR = 821
+PPC_INS_MTDSISR = 822
+PPC_INS_MTDAR = 823
+PPC_INS_MTSRR2 = 824
+PPC_INS_MTSRR3 = 825
+PPC_INS_MTCFAR = 826
+PPC_INS_MTAMR = 827
+PPC_INS_MTPID = 828
+PPC_INS_MTTBL = 829
+PPC_INS_MTTBU = 830
+PPC_INS_MTTBLO = 831
+PPC_INS_MTTBHI = 832
+PPC_INS_MTDBATU = 833
+PPC_INS_MTDBATL = 834
+PPC_INS_MTIBATU = 835
+PPC_INS_MTIBATL = 836
+PPC_INS_MTDCCR = 837
+PPC_INS_MTICCR = 838
+PPC_INS_MTDEAR = 839
+PPC_INS_MTESR = 840
+PPC_INS_MTSPEFSCR = 841
+PPC_INS_MTTCR = 842
+PPC_INS_NOT = 843
+PPC_INS_MR = 844
+PPC_INS_ROTLD = 845
+PPC_INS_ROTLDI = 846
+PPC_INS_CLRLDI = 847
+PPC_INS_ROTLWI = 848
+PPC_INS_CLRLWI = 849
+PPC_INS_ROTLW = 850
+PPC_INS_SUB = 851
+PPC_INS_SUBC = 852
+PPC_INS_LWSYNC = 853
+PPC_INS_PTESYNC = 854
+PPC_INS_TDLT = 855
+PPC_INS_TDEQ = 856
+PPC_INS_TDGT = 857
+PPC_INS_TDNE = 858
+PPC_INS_TDLLT = 859
+PPC_INS_TDLGT = 860
+PPC_INS_TDU = 861
+PPC_INS_TDLTI = 862
+PPC_INS_TDEQI = 863
+PPC_INS_TDGTI = 864
+PPC_INS_TDNEI = 865
+PPC_INS_TDLLTI = 866
+PPC_INS_TDLGTI = 867
+PPC_INS_TDUI = 868
+PPC_INS_TLBREHI = 869
+PPC_INS_TLBRELO = 870
+PPC_INS_TLBWEHI = 871
+PPC_INS_TLBWELO = 872
+PPC_INS_TWLT = 873
+PPC_INS_TWEQ = 874
+PPC_INS_TWGT = 875
+PPC_INS_TWNE = 876
+PPC_INS_TWLLT = 877
+PPC_INS_TWLGT = 878
+PPC_INS_TWU = 879
+PPC_INS_TWLTI = 880
+PPC_INS_TWEQI = 881
+PPC_INS_TWGTI = 882
+PPC_INS_TWNEI = 883
+PPC_INS_TWLLTI = 884
+PPC_INS_TWLGTI = 885
+PPC_INS_TWUI = 886
+PPC_INS_WAITRSV = 887
+PPC_INS_WAITIMPL = 888
+PPC_INS_XNOP = 889
+PPC_INS_XVMOVDP = 890
+PPC_INS_XVMOVSP = 891
+PPC_INS_XXSPLTD = 892
+PPC_INS_XXMRGHD = 893
+PPC_INS_XXMRGLD = 894
+PPC_INS_XXSWAPD = 895
+PPC_INS_BT = 896
+PPC_INS_BF = 897
+PPC_INS_BDNZT = 898
+PPC_INS_BDNZF = 899
+PPC_INS_BDZF = 900
+PPC_INS_BDZT = 901
+PPC_INS_BFA = 902
+PPC_INS_BDNZTA = 903
+PPC_INS_BDNZFA = 904
+PPC_INS_BDZTA = 905
+PPC_INS_BDZFA = 906
+PPC_INS_BTCTR = 907
+PPC_INS_BFCTR = 908
+PPC_INS_BTCTRL = 909
+PPC_INS_BFCTRL = 910
+PPC_INS_BTL = 911
+PPC_INS_BFL = 912
+PPC_INS_BDNZTL = 913
+PPC_INS_BDNZFL = 914
+PPC_INS_BDZTL = 915
+PPC_INS_BDZFL = 916
+PPC_INS_BTLA = 917
+PPC_INS_BFLA = 918
+PPC_INS_BDNZTLA = 919
+PPC_INS_BDNZFLA = 920
+PPC_INS_BDZTLA = 921
+PPC_INS_BDZFLA = 922
+PPC_INS_BTLR = 923
+PPC_INS_BFLR = 924
+PPC_INS_BDNZTLR = 925
+PPC_INS_BDZTLR = 926
+PPC_INS_BDZFLR = 927
+PPC_INS_BTLRL = 928
+PPC_INS_BFLRL = 929
+PPC_INS_BDNZTLRL = 930
+PPC_INS_BDNZFLRL = 931
+PPC_INS_BDZTLRL = 932
+PPC_INS_BDZFLRL = 933
+PPC_INS_B_CC = 934
+PPC_INS_BL_CC = 935
+PPC_INS_BLA_CC = 936
+PPC_INS_BLR_CC = 937
+PPC_INS_BLRL_CC = 938
+PPC_INS_BA_CC = 939
+PPC_INS_BCTR_CC = 940
+PPC_INS_BCTRL_CC = 941
+PPC_INS_BNE = 942
+PPC_INS_MAX = 943
 
 # Group of PPC instructions
 
@@ -628,5 +1178,10 @@
 PPC_GRP_MODE64 = 3
 PPC_GRP_BOOKE = 4
 PPC_GRP_NOTBOOKE = 5
-PPC_GRP_JUMP = 6
-PPC_GRP_MAX = 7
+PPC_GRP_SPE = 6
+PPC_GRP_VSX = 7
+PPC_GRP_E500 = 8
+PPC_GRP_PPC4XX = 9
+PPC_GRP_PPC6XX = 10
+PPC_GRP_JUMP = 11
+PPC_GRP_MAX = 12
diff --git a/bindings/python/capstone/sparc_const.py b/bindings/python/capstone/sparc_const.py
index 1c39e2c..e3529b5 100644
--- a/bindings/python/capstone/sparc_const.py
+++ b/bindings/python/capstone/sparc_const.py
@@ -143,7 +143,8 @@
 SPARC_REG_O7 = 84
 SPARC_REG_SP = 85
 SPARC_REG_Y = 86
-SPARC_REG_MAX = 87
+SPARC_REG_XCC = 87
+SPARC_REG_MAX = 88
 SPARC_REG_O6 = SPARC_REG_SP
 SPARC_REG_I6 = SPARC_REG_FP
 
@@ -165,269 +166,269 @@
 SPARC_INS_ARRAY16 = 13
 SPARC_INS_ARRAY32 = 14
 SPARC_INS_ARRAY8 = 15
-SPARC_INS_BA = 16
-SPARC_INS_B = 17
-SPARC_INS_JMP = 18
-SPARC_INS_BMASK = 19
-SPARC_INS_FB = 20
-SPARC_INS_BRGEZ = 21
-SPARC_INS_BRGZ = 22
-SPARC_INS_BRLEZ = 23
-SPARC_INS_BRLZ = 24
-SPARC_INS_BRNZ = 25
-SPARC_INS_BRZ = 26
-SPARC_INS_BSHUFFLE = 27
-SPARC_INS_CALL = 28
-SPARC_INS_CASX = 29
-SPARC_INS_CAS = 30
-SPARC_INS_CMASK16 = 31
-SPARC_INS_CMASK32 = 32
-SPARC_INS_CMASK8 = 33
-SPARC_INS_CMP = 34
-SPARC_INS_EDGE16 = 35
-SPARC_INS_EDGE16L = 36
-SPARC_INS_EDGE16LN = 37
-SPARC_INS_EDGE16N = 38
-SPARC_INS_EDGE32 = 39
-SPARC_INS_EDGE32L = 40
-SPARC_INS_EDGE32LN = 41
-SPARC_INS_EDGE32N = 42
-SPARC_INS_EDGE8 = 43
-SPARC_INS_EDGE8L = 44
-SPARC_INS_EDGE8LN = 45
-SPARC_INS_EDGE8N = 46
-SPARC_INS_FABSD = 47
-SPARC_INS_FABSQ = 48
-SPARC_INS_FABSS = 49
-SPARC_INS_FADDD = 50
-SPARC_INS_FADDQ = 51
-SPARC_INS_FADDS = 52
-SPARC_INS_FALIGNDATA = 53
-SPARC_INS_FAND = 54
-SPARC_INS_FANDNOT1 = 55
-SPARC_INS_FANDNOT1S = 56
-SPARC_INS_FANDNOT2 = 57
-SPARC_INS_FANDNOT2S = 58
-SPARC_INS_FANDS = 59
-SPARC_INS_FCHKSM16 = 60
-SPARC_INS_FCMPD = 61
-SPARC_INS_FCMPEQ16 = 62
-SPARC_INS_FCMPEQ32 = 63
-SPARC_INS_FCMPGT16 = 64
-SPARC_INS_FCMPGT32 = 65
-SPARC_INS_FCMPLE16 = 66
-SPARC_INS_FCMPLE32 = 67
-SPARC_INS_FCMPNE16 = 68
-SPARC_INS_FCMPNE32 = 69
-SPARC_INS_FCMPQ = 70
-SPARC_INS_FCMPS = 71
-SPARC_INS_FDIVD = 72
-SPARC_INS_FDIVQ = 73
-SPARC_INS_FDIVS = 74
-SPARC_INS_FDMULQ = 75
-SPARC_INS_FDTOI = 76
-SPARC_INS_FDTOQ = 77
-SPARC_INS_FDTOS = 78
-SPARC_INS_FDTOX = 79
-SPARC_INS_FEXPAND = 80
-SPARC_INS_FHADDD = 81
-SPARC_INS_FHADDS = 82
-SPARC_INS_FHSUBD = 83
-SPARC_INS_FHSUBS = 84
-SPARC_INS_FITOD = 85
-SPARC_INS_FITOQ = 86
-SPARC_INS_FITOS = 87
-SPARC_INS_FLCMPD = 88
-SPARC_INS_FLCMPS = 89
-SPARC_INS_FLUSHW = 90
-SPARC_INS_FMEAN16 = 91
-SPARC_INS_FMOVD = 92
-SPARC_INS_FMOVQ = 93
-SPARC_INS_FMOVRDGEZ = 94
-SPARC_INS_FMOVRQGEZ = 95
-SPARC_INS_FMOVRSGEZ = 96
-SPARC_INS_FMOVRDGZ = 97
-SPARC_INS_FMOVRQGZ = 98
-SPARC_INS_FMOVRSGZ = 99
-SPARC_INS_FMOVRDLEZ = 100
-SPARC_INS_FMOVRQLEZ = 101
-SPARC_INS_FMOVRSLEZ = 102
-SPARC_INS_FMOVRDLZ = 103
-SPARC_INS_FMOVRQLZ = 104
-SPARC_INS_FMOVRSLZ = 105
-SPARC_INS_FMOVRDNZ = 106
-SPARC_INS_FMOVRQNZ = 107
-SPARC_INS_FMOVRSNZ = 108
-SPARC_INS_FMOVRDZ = 109
-SPARC_INS_FMOVRQZ = 110
-SPARC_INS_FMOVRSZ = 111
-SPARC_INS_FMOVS = 112
-SPARC_INS_FMUL8SUX16 = 113
-SPARC_INS_FMUL8ULX16 = 114
-SPARC_INS_FMUL8X16 = 115
-SPARC_INS_FMUL8X16AL = 116
-SPARC_INS_FMUL8X16AU = 117
-SPARC_INS_FMULD = 118
-SPARC_INS_FMULD8SUX16 = 119
-SPARC_INS_FMULD8ULX16 = 120
-SPARC_INS_FMULQ = 121
-SPARC_INS_FMULS = 122
-SPARC_INS_FNADDD = 123
-SPARC_INS_FNADDS = 124
-SPARC_INS_FNAND = 125
-SPARC_INS_FNANDS = 126
-SPARC_INS_FNEGD = 127
-SPARC_INS_FNEGQ = 128
-SPARC_INS_FNEGS = 129
-SPARC_INS_FNHADDD = 130
-SPARC_INS_FNHADDS = 131
-SPARC_INS_FNOR = 132
-SPARC_INS_FNORS = 133
-SPARC_INS_FNOT1 = 134
-SPARC_INS_FNOT1S = 135
-SPARC_INS_FNOT2 = 136
-SPARC_INS_FNOT2S = 137
-SPARC_INS_FONE = 138
-SPARC_INS_FONES = 139
-SPARC_INS_FOR = 140
-SPARC_INS_FORNOT1 = 141
-SPARC_INS_FORNOT1S = 142
-SPARC_INS_FORNOT2 = 143
-SPARC_INS_FORNOT2S = 144
-SPARC_INS_FORS = 145
-SPARC_INS_FPACK16 = 146
-SPARC_INS_FPACK32 = 147
-SPARC_INS_FPACKFIX = 148
-SPARC_INS_FPADD16 = 149
-SPARC_INS_FPADD16S = 150
-SPARC_INS_FPADD32 = 151
-SPARC_INS_FPADD32S = 152
-SPARC_INS_FPADD64 = 153
-SPARC_INS_FPMERGE = 154
-SPARC_INS_FPSUB16 = 155
-SPARC_INS_FPSUB16S = 156
-SPARC_INS_FPSUB32 = 157
-SPARC_INS_FPSUB32S = 158
-SPARC_INS_FQTOD = 159
-SPARC_INS_FQTOI = 160
-SPARC_INS_FQTOS = 161
-SPARC_INS_FQTOX = 162
-SPARC_INS_FSLAS16 = 163
-SPARC_INS_FSLAS32 = 164
-SPARC_INS_FSLL16 = 165
-SPARC_INS_FSLL32 = 166
-SPARC_INS_FSMULD = 167
-SPARC_INS_FSQRTD = 168
-SPARC_INS_FSQRTQ = 169
-SPARC_INS_FSQRTS = 170
-SPARC_INS_FSRA16 = 171
-SPARC_INS_FSRA32 = 172
-SPARC_INS_FSRC1 = 173
-SPARC_INS_FSRC1S = 174
-SPARC_INS_FSRC2 = 175
-SPARC_INS_FSRC2S = 176
-SPARC_INS_FSRL16 = 177
-SPARC_INS_FSRL32 = 178
-SPARC_INS_FSTOD = 179
-SPARC_INS_FSTOI = 180
-SPARC_INS_FSTOQ = 181
-SPARC_INS_FSTOX = 182
-SPARC_INS_FSUBD = 183
-SPARC_INS_FSUBQ = 184
-SPARC_INS_FSUBS = 185
-SPARC_INS_FXNOR = 186
-SPARC_INS_FXNORS = 187
-SPARC_INS_FXOR = 188
-SPARC_INS_FXORS = 189
-SPARC_INS_FXTOD = 190
-SPARC_INS_FXTOQ = 191
-SPARC_INS_FXTOS = 192
-SPARC_INS_FZERO = 193
-SPARC_INS_FZEROS = 194
-SPARC_INS_JMPL = 195
-SPARC_INS_LDD = 196
-SPARC_INS_LD = 197
-SPARC_INS_LDQ = 198
-SPARC_INS_LDSB = 199
-SPARC_INS_LDSH = 200
-SPARC_INS_LDSW = 201
-SPARC_INS_LDUB = 202
-SPARC_INS_LDUH = 203
-SPARC_INS_LDX = 204
-SPARC_INS_LZCNT = 205
-SPARC_INS_MEMBAR = 206
-SPARC_INS_MOVDTOX = 207
-SPARC_INS_MOV = 208
-SPARC_INS_MOVRGEZ = 209
-SPARC_INS_MOVRGZ = 210
-SPARC_INS_MOVRLEZ = 211
-SPARC_INS_MOVRLZ = 212
-SPARC_INS_MOVRNZ = 213
-SPARC_INS_MOVRZ = 214
-SPARC_INS_MOVSTOSW = 215
-SPARC_INS_MOVSTOUW = 216
-SPARC_INS_MULX = 217
-SPARC_INS_NOP = 218
-SPARC_INS_ORCC = 219
-SPARC_INS_ORNCC = 220
-SPARC_INS_ORN = 221
-SPARC_INS_OR = 222
-SPARC_INS_PDIST = 223
-SPARC_INS_PDISTN = 224
-SPARC_INS_POPC = 225
-SPARC_INS_RD = 226
-SPARC_INS_RESTORE = 227
-SPARC_INS_RETT = 228
-SPARC_INS_SAVE = 229
-SPARC_INS_SDIVCC = 230
-SPARC_INS_SDIVX = 231
-SPARC_INS_SDIV = 232
-SPARC_INS_SETHI = 233
-SPARC_INS_SHUTDOWN = 234
-SPARC_INS_SIAM = 235
-SPARC_INS_SLLX = 236
-SPARC_INS_SLL = 237
-SPARC_INS_SMULCC = 238
-SPARC_INS_SMUL = 239
-SPARC_INS_SRAX = 240
-SPARC_INS_SRA = 241
-SPARC_INS_SRLX = 242
-SPARC_INS_SRL = 243
-SPARC_INS_STBAR = 244
-SPARC_INS_STB = 245
-SPARC_INS_STD = 246
-SPARC_INS_ST = 247
-SPARC_INS_STH = 248
-SPARC_INS_STQ = 249
-SPARC_INS_STX = 250
-SPARC_INS_SUBCC = 251
-SPARC_INS_SUBX = 252
-SPARC_INS_SUBXCC = 253
-SPARC_INS_SUB = 254
-SPARC_INS_SWAP = 255
-SPARC_INS_TA = 256
-SPARC_INS_TADDCCTV = 257
-SPARC_INS_TADDCC = 258
-SPARC_INS_T = 259
-SPARC_INS_TSUBCCTV = 260
-SPARC_INS_TSUBCC = 261
-SPARC_INS_UDIVCC = 262
-SPARC_INS_UDIVX = 263
-SPARC_INS_UDIV = 264
-SPARC_INS_UMULCC = 265
-SPARC_INS_UMULXHI = 266
-SPARC_INS_UMUL = 267
-SPARC_INS_UNIMP = 268
-SPARC_INS_FCMPED = 269
-SPARC_INS_FCMPEQ = 270
-SPARC_INS_FCMPES = 271
-SPARC_INS_WR = 272
-SPARC_INS_XMULX = 273
-SPARC_INS_XMULXHI = 274
-SPARC_INS_XNORCC = 275
-SPARC_INS_XNOR = 276
-SPARC_INS_XORCC = 277
-SPARC_INS_XOR = 278
+SPARC_INS_B = 16
+SPARC_INS_JMP = 17
+SPARC_INS_BMASK = 18
+SPARC_INS_FB = 19
+SPARC_INS_BRGEZ = 20
+SPARC_INS_BRGZ = 21
+SPARC_INS_BRLEZ = 22
+SPARC_INS_BRLZ = 23
+SPARC_INS_BRNZ = 24
+SPARC_INS_BRZ = 25
+SPARC_INS_BSHUFFLE = 26
+SPARC_INS_CALL = 27
+SPARC_INS_CASX = 28
+SPARC_INS_CAS = 29
+SPARC_INS_CMASK16 = 30
+SPARC_INS_CMASK32 = 31
+SPARC_INS_CMASK8 = 32
+SPARC_INS_CMP = 33
+SPARC_INS_EDGE16 = 34
+SPARC_INS_EDGE16L = 35
+SPARC_INS_EDGE16LN = 36
+SPARC_INS_EDGE16N = 37
+SPARC_INS_EDGE32 = 38
+SPARC_INS_EDGE32L = 39
+SPARC_INS_EDGE32LN = 40
+SPARC_INS_EDGE32N = 41
+SPARC_INS_EDGE8 = 42
+SPARC_INS_EDGE8L = 43
+SPARC_INS_EDGE8LN = 44
+SPARC_INS_EDGE8N = 45
+SPARC_INS_FABSD = 46
+SPARC_INS_FABSQ = 47
+SPARC_INS_FABSS = 48
+SPARC_INS_FADDD = 49
+SPARC_INS_FADDQ = 50
+SPARC_INS_FADDS = 51
+SPARC_INS_FALIGNDATA = 52
+SPARC_INS_FAND = 53
+SPARC_INS_FANDNOT1 = 54
+SPARC_INS_FANDNOT1S = 55
+SPARC_INS_FANDNOT2 = 56
+SPARC_INS_FANDNOT2S = 57
+SPARC_INS_FANDS = 58
+SPARC_INS_FCHKSM16 = 59
+SPARC_INS_FCMPD = 60
+SPARC_INS_FCMPEQ16 = 61
+SPARC_INS_FCMPEQ32 = 62
+SPARC_INS_FCMPGT16 = 63
+SPARC_INS_FCMPGT32 = 64
+SPARC_INS_FCMPLE16 = 65
+SPARC_INS_FCMPLE32 = 66
+SPARC_INS_FCMPNE16 = 67
+SPARC_INS_FCMPNE32 = 68
+SPARC_INS_FCMPQ = 69
+SPARC_INS_FCMPS = 70
+SPARC_INS_FDIVD = 71
+SPARC_INS_FDIVQ = 72
+SPARC_INS_FDIVS = 73
+SPARC_INS_FDMULQ = 74
+SPARC_INS_FDTOI = 75
+SPARC_INS_FDTOQ = 76
+SPARC_INS_FDTOS = 77
+SPARC_INS_FDTOX = 78
+SPARC_INS_FEXPAND = 79
+SPARC_INS_FHADDD = 80
+SPARC_INS_FHADDS = 81
+SPARC_INS_FHSUBD = 82
+SPARC_INS_FHSUBS = 83
+SPARC_INS_FITOD = 84
+SPARC_INS_FITOQ = 85
+SPARC_INS_FITOS = 86
+SPARC_INS_FLCMPD = 87
+SPARC_INS_FLCMPS = 88
+SPARC_INS_FLUSHW = 89
+SPARC_INS_FMEAN16 = 90
+SPARC_INS_FMOVD = 91
+SPARC_INS_FMOVQ = 92
+SPARC_INS_FMOVRDGEZ = 93
+SPARC_INS_FMOVRQGEZ = 94
+SPARC_INS_FMOVRSGEZ = 95
+SPARC_INS_FMOVRDGZ = 96
+SPARC_INS_FMOVRQGZ = 97
+SPARC_INS_FMOVRSGZ = 98
+SPARC_INS_FMOVRDLEZ = 99
+SPARC_INS_FMOVRQLEZ = 100
+SPARC_INS_FMOVRSLEZ = 101
+SPARC_INS_FMOVRDLZ = 102
+SPARC_INS_FMOVRQLZ = 103
+SPARC_INS_FMOVRSLZ = 104
+SPARC_INS_FMOVRDNZ = 105
+SPARC_INS_FMOVRQNZ = 106
+SPARC_INS_FMOVRSNZ = 107
+SPARC_INS_FMOVRDZ = 108
+SPARC_INS_FMOVRQZ = 109
+SPARC_INS_FMOVRSZ = 110
+SPARC_INS_FMOVS = 111
+SPARC_INS_FMUL8SUX16 = 112
+SPARC_INS_FMUL8ULX16 = 113
+SPARC_INS_FMUL8X16 = 114
+SPARC_INS_FMUL8X16AL = 115
+SPARC_INS_FMUL8X16AU = 116
+SPARC_INS_FMULD = 117
+SPARC_INS_FMULD8SUX16 = 118
+SPARC_INS_FMULD8ULX16 = 119
+SPARC_INS_FMULQ = 120
+SPARC_INS_FMULS = 121
+SPARC_INS_FNADDD = 122
+SPARC_INS_FNADDS = 123
+SPARC_INS_FNAND = 124
+SPARC_INS_FNANDS = 125
+SPARC_INS_FNEGD = 126
+SPARC_INS_FNEGQ = 127
+SPARC_INS_FNEGS = 128
+SPARC_INS_FNHADDD = 129
+SPARC_INS_FNHADDS = 130
+SPARC_INS_FNOR = 131
+SPARC_INS_FNORS = 132
+SPARC_INS_FNOT1 = 133
+SPARC_INS_FNOT1S = 134
+SPARC_INS_FNOT2 = 135
+SPARC_INS_FNOT2S = 136
+SPARC_INS_FONE = 137
+SPARC_INS_FONES = 138
+SPARC_INS_FOR = 139
+SPARC_INS_FORNOT1 = 140
+SPARC_INS_FORNOT1S = 141
+SPARC_INS_FORNOT2 = 142
+SPARC_INS_FORNOT2S = 143
+SPARC_INS_FORS = 144
+SPARC_INS_FPACK16 = 145
+SPARC_INS_FPACK32 = 146
+SPARC_INS_FPACKFIX = 147
+SPARC_INS_FPADD16 = 148
+SPARC_INS_FPADD16S = 149
+SPARC_INS_FPADD32 = 150
+SPARC_INS_FPADD32S = 151
+SPARC_INS_FPADD64 = 152
+SPARC_INS_FPMERGE = 153
+SPARC_INS_FPSUB16 = 154
+SPARC_INS_FPSUB16S = 155
+SPARC_INS_FPSUB32 = 156
+SPARC_INS_FPSUB32S = 157
+SPARC_INS_FQTOD = 158
+SPARC_INS_FQTOI = 159
+SPARC_INS_FQTOS = 160
+SPARC_INS_FQTOX = 161
+SPARC_INS_FSLAS16 = 162
+SPARC_INS_FSLAS32 = 163
+SPARC_INS_FSLL16 = 164
+SPARC_INS_FSLL32 = 165
+SPARC_INS_FSMULD = 166
+SPARC_INS_FSQRTD = 167
+SPARC_INS_FSQRTQ = 168
+SPARC_INS_FSQRTS = 169
+SPARC_INS_FSRA16 = 170
+SPARC_INS_FSRA32 = 171
+SPARC_INS_FSRC1 = 172
+SPARC_INS_FSRC1S = 173
+SPARC_INS_FSRC2 = 174
+SPARC_INS_FSRC2S = 175
+SPARC_INS_FSRL16 = 176
+SPARC_INS_FSRL32 = 177
+SPARC_INS_FSTOD = 178
+SPARC_INS_FSTOI = 179
+SPARC_INS_FSTOQ = 180
+SPARC_INS_FSTOX = 181
+SPARC_INS_FSUBD = 182
+SPARC_INS_FSUBQ = 183
+SPARC_INS_FSUBS = 184
+SPARC_INS_FXNOR = 185
+SPARC_INS_FXNORS = 186
+SPARC_INS_FXOR = 187
+SPARC_INS_FXORS = 188
+SPARC_INS_FXTOD = 189
+SPARC_INS_FXTOQ = 190
+SPARC_INS_FXTOS = 191
+SPARC_INS_FZERO = 192
+SPARC_INS_FZEROS = 193
+SPARC_INS_JMPL = 194
+SPARC_INS_LDD = 195
+SPARC_INS_LD = 196
+SPARC_INS_LDQ = 197
+SPARC_INS_LDSB = 198
+SPARC_INS_LDSH = 199
+SPARC_INS_LDSW = 200
+SPARC_INS_LDUB = 201
+SPARC_INS_LDUH = 202
+SPARC_INS_LDX = 203
+SPARC_INS_LZCNT = 204
+SPARC_INS_MEMBAR = 205
+SPARC_INS_MOVDTOX = 206
+SPARC_INS_MOV = 207
+SPARC_INS_MOVRGEZ = 208
+SPARC_INS_MOVRGZ = 209
+SPARC_INS_MOVRLEZ = 210
+SPARC_INS_MOVRLZ = 211
+SPARC_INS_MOVRNZ = 212
+SPARC_INS_MOVRZ = 213
+SPARC_INS_MOVSTOSW = 214
+SPARC_INS_MOVSTOUW = 215
+SPARC_INS_MULX = 216
+SPARC_INS_NOP = 217
+SPARC_INS_ORCC = 218
+SPARC_INS_ORNCC = 219
+SPARC_INS_ORN = 220
+SPARC_INS_OR = 221
+SPARC_INS_PDIST = 222
+SPARC_INS_PDISTN = 223
+SPARC_INS_POPC = 224
+SPARC_INS_RD = 225
+SPARC_INS_RESTORE = 226
+SPARC_INS_RETT = 227
+SPARC_INS_SAVE = 228
+SPARC_INS_SDIVCC = 229
+SPARC_INS_SDIVX = 230
+SPARC_INS_SDIV = 231
+SPARC_INS_SETHI = 232
+SPARC_INS_SHUTDOWN = 233
+SPARC_INS_SIAM = 234
+SPARC_INS_SLLX = 235
+SPARC_INS_SLL = 236
+SPARC_INS_SMULCC = 237
+SPARC_INS_SMUL = 238
+SPARC_INS_SRAX = 239
+SPARC_INS_SRA = 240
+SPARC_INS_SRLX = 241
+SPARC_INS_SRL = 242
+SPARC_INS_STBAR = 243
+SPARC_INS_STB = 244
+SPARC_INS_STD = 245
+SPARC_INS_ST = 246
+SPARC_INS_STH = 247
+SPARC_INS_STQ = 248
+SPARC_INS_STX = 249
+SPARC_INS_SUBCC = 250
+SPARC_INS_SUBX = 251
+SPARC_INS_SUBXCC = 252
+SPARC_INS_SUB = 253
+SPARC_INS_SWAP = 254
+SPARC_INS_TADDCCTV = 255
+SPARC_INS_TADDCC = 256
+SPARC_INS_T = 257
+SPARC_INS_TSUBCCTV = 258
+SPARC_INS_TSUBCC = 259
+SPARC_INS_UDIVCC = 260
+SPARC_INS_UDIVX = 261
+SPARC_INS_UDIV = 262
+SPARC_INS_UMULCC = 263
+SPARC_INS_UMULXHI = 264
+SPARC_INS_UMUL = 265
+SPARC_INS_UNIMP = 266
+SPARC_INS_FCMPED = 267
+SPARC_INS_FCMPEQ = 268
+SPARC_INS_FCMPES = 269
+SPARC_INS_WR = 270
+SPARC_INS_XMULX = 271
+SPARC_INS_XMULXHI = 272
+SPARC_INS_XNORCC = 273
+SPARC_INS_XNOR = 274
+SPARC_INS_XORCC = 275
+SPARC_INS_XOR = 276
+SPARC_INS_RET = 277
+SPARC_INS_RETL = 278
 SPARC_INS_MAX = 279
 
 # Group of SPARC instructions
diff --git a/bindings/python/capstone/sysz_const.py b/bindings/python/capstone/sysz_const.py
index c0d30e3..1d81013 100644
--- a/bindings/python/capstone/sysz_const.py
+++ b/bindings/python/capstone/sysz_const.py
@@ -496,265 +496,268 @@
 SYSZ_INS_LDGR = 426
 SYSZ_INS_LDR = 427
 SYSZ_INS_LDXBR = 428
-SYSZ_INS_LDY = 429
-SYSZ_INS_LE = 430
-SYSZ_INS_LEDBR = 431
-SYSZ_INS_LER = 432
-SYSZ_INS_LEXBR = 433
-SYSZ_INS_LEY = 434
-SYSZ_INS_LFH = 435
-SYSZ_INS_LG = 436
-SYSZ_INS_LGB = 437
-SYSZ_INS_LGBR = 438
-SYSZ_INS_LGDR = 439
-SYSZ_INS_LGF = 440
-SYSZ_INS_LGFI = 441
-SYSZ_INS_LGFR = 442
-SYSZ_INS_LGFRL = 443
-SYSZ_INS_LGH = 444
-SYSZ_INS_LGHI = 445
-SYSZ_INS_LGHR = 446
-SYSZ_INS_LGHRL = 447
-SYSZ_INS_LGR = 448
-SYSZ_INS_LGRL = 449
-SYSZ_INS_LH = 450
-SYSZ_INS_LHH = 451
-SYSZ_INS_LHI = 452
-SYSZ_INS_LHR = 453
-SYSZ_INS_LHRL = 454
-SYSZ_INS_LHY = 455
-SYSZ_INS_LLC = 456
-SYSZ_INS_LLCH = 457
-SYSZ_INS_LLCR = 458
-SYSZ_INS_LLGC = 459
-SYSZ_INS_LLGCR = 460
-SYSZ_INS_LLGF = 461
-SYSZ_INS_LLGFR = 462
-SYSZ_INS_LLGFRL = 463
-SYSZ_INS_LLGH = 464
-SYSZ_INS_LLGHR = 465
-SYSZ_INS_LLGHRL = 466
-SYSZ_INS_LLH = 467
-SYSZ_INS_LLHH = 468
-SYSZ_INS_LLHR = 469
-SYSZ_INS_LLHRL = 470
-SYSZ_INS_LLIHF = 471
-SYSZ_INS_LLIHH = 472
-SYSZ_INS_LLIHL = 473
-SYSZ_INS_LLILF = 474
-SYSZ_INS_LLILH = 475
-SYSZ_INS_LLILL = 476
-SYSZ_INS_LMG = 477
-SYSZ_INS_LNDBR = 478
-SYSZ_INS_LNEBR = 479
-SYSZ_INS_LNGFR = 480
-SYSZ_INS_LNGR = 481
-SYSZ_INS_LNR = 482
-SYSZ_INS_LNXBR = 483
-SYSZ_INS_LPDBR = 484
-SYSZ_INS_LPEBR = 485
-SYSZ_INS_LPGFR = 486
-SYSZ_INS_LPGR = 487
-SYSZ_INS_LPR = 488
-SYSZ_INS_LPXBR = 489
-SYSZ_INS_LR = 490
-SYSZ_INS_LRL = 491
-SYSZ_INS_LRV = 492
-SYSZ_INS_LRVG = 493
-SYSZ_INS_LRVGR = 494
-SYSZ_INS_LRVR = 495
-SYSZ_INS_LT = 496
-SYSZ_INS_LTDBR = 497
-SYSZ_INS_LTEBR = 498
-SYSZ_INS_LTG = 499
-SYSZ_INS_LTGF = 500
-SYSZ_INS_LTGFR = 501
-SYSZ_INS_LTGR = 502
-SYSZ_INS_LTR = 503
-SYSZ_INS_LTXBR = 504
-SYSZ_INS_LXDB = 505
-SYSZ_INS_LXDBR = 506
-SYSZ_INS_LXEB = 507
-SYSZ_INS_LXEBR = 508
-SYSZ_INS_LXR = 509
-SYSZ_INS_LY = 510
-SYSZ_INS_LZDR = 511
-SYSZ_INS_LZER = 512
-SYSZ_INS_LZXR = 513
-SYSZ_INS_MADB = 514
-SYSZ_INS_MADBR = 515
-SYSZ_INS_MAEB = 516
-SYSZ_INS_MAEBR = 517
-SYSZ_INS_MDB = 518
-SYSZ_INS_MDBR = 519
-SYSZ_INS_MDEB = 520
-SYSZ_INS_MDEBR = 521
-SYSZ_INS_MEEB = 522
-SYSZ_INS_MEEBR = 523
-SYSZ_INS_MGHI = 524
-SYSZ_INS_MH = 525
-SYSZ_INS_MHI = 526
-SYSZ_INS_MHY = 527
-SYSZ_INS_MLG = 528
-SYSZ_INS_MLGR = 529
-SYSZ_INS_MS = 530
-SYSZ_INS_MSDB = 531
-SYSZ_INS_MSDBR = 532
-SYSZ_INS_MSEB = 533
-SYSZ_INS_MSEBR = 534
-SYSZ_INS_MSFI = 535
-SYSZ_INS_MSG = 536
-SYSZ_INS_MSGF = 537
-SYSZ_INS_MSGFI = 538
-SYSZ_INS_MSGFR = 539
-SYSZ_INS_MSGR = 540
-SYSZ_INS_MSR = 541
-SYSZ_INS_MSY = 542
-SYSZ_INS_MVC = 543
-SYSZ_INS_MVGHI = 544
-SYSZ_INS_MVHHI = 545
-SYSZ_INS_MVHI = 546
-SYSZ_INS_MVI = 547
-SYSZ_INS_MVIY = 548
-SYSZ_INS_MVST = 549
-SYSZ_INS_MXBR = 550
-SYSZ_INS_MXDB = 551
-SYSZ_INS_MXDBR = 552
-SYSZ_INS_N = 553
-SYSZ_INS_NC = 554
-SYSZ_INS_NG = 555
-SYSZ_INS_NGR = 556
-SYSZ_INS_NGRK = 557
-SYSZ_INS_NI = 558
-SYSZ_INS_NIHF = 559
-SYSZ_INS_NIHH = 560
-SYSZ_INS_NIHL = 561
-SYSZ_INS_NILF = 562
-SYSZ_INS_NILH = 563
-SYSZ_INS_NILL = 564
-SYSZ_INS_NIY = 565
-SYSZ_INS_NR = 566
-SYSZ_INS_NRK = 567
-SYSZ_INS_NY = 568
-SYSZ_INS_O = 569
-SYSZ_INS_OC = 570
-SYSZ_INS_OG = 571
-SYSZ_INS_OGR = 572
-SYSZ_INS_OGRK = 573
-SYSZ_INS_OI = 574
-SYSZ_INS_OIHF = 575
-SYSZ_INS_OIHH = 576
-SYSZ_INS_OIHL = 577
-SYSZ_INS_OILF = 578
-SYSZ_INS_OILH = 579
-SYSZ_INS_OILL = 580
-SYSZ_INS_OIY = 581
-SYSZ_INS_OR = 582
-SYSZ_INS_ORK = 583
-SYSZ_INS_OY = 584
-SYSZ_INS_PFD = 585
-SYSZ_INS_PFDRL = 586
-SYSZ_INS_RISBG = 587
-SYSZ_INS_RISBHG = 588
-SYSZ_INS_RISBLG = 589
-SYSZ_INS_RLL = 590
-SYSZ_INS_RLLG = 591
-SYSZ_INS_RNSBG = 592
-SYSZ_INS_ROSBG = 593
-SYSZ_INS_RXSBG = 594
-SYSZ_INS_S = 595
-SYSZ_INS_SDB = 596
-SYSZ_INS_SDBR = 597
-SYSZ_INS_SEB = 598
-SYSZ_INS_SEBR = 599
-SYSZ_INS_SG = 600
-SYSZ_INS_SGF = 601
-SYSZ_INS_SGFR = 602
-SYSZ_INS_SGR = 603
-SYSZ_INS_SGRK = 604
-SYSZ_INS_SH = 605
-SYSZ_INS_SHY = 606
-SYSZ_INS_SL = 607
-SYSZ_INS_SLB = 608
-SYSZ_INS_SLBG = 609
-SYSZ_INS_SLBR = 610
-SYSZ_INS_SLFI = 611
-SYSZ_INS_SLG = 612
-SYSZ_INS_SLBGR = 613
-SYSZ_INS_SLGF = 614
-SYSZ_INS_SLGFI = 615
-SYSZ_INS_SLGFR = 616
-SYSZ_INS_SLGR = 617
-SYSZ_INS_SLGRK = 618
-SYSZ_INS_SLL = 619
-SYSZ_INS_SLLG = 620
-SYSZ_INS_SLLK = 621
-SYSZ_INS_SLR = 622
-SYSZ_INS_SLRK = 623
-SYSZ_INS_SLY = 624
-SYSZ_INS_SQDB = 625
-SYSZ_INS_SQDBR = 626
-SYSZ_INS_SQEB = 627
-SYSZ_INS_SQEBR = 628
-SYSZ_INS_SQXBR = 629
-SYSZ_INS_SR = 630
-SYSZ_INS_SRA = 631
-SYSZ_INS_SRAG = 632
-SYSZ_INS_SRAK = 633
-SYSZ_INS_SRK = 634
-SYSZ_INS_SRL = 635
-SYSZ_INS_SRLG = 636
-SYSZ_INS_SRLK = 637
-SYSZ_INS_SRST = 638
-SYSZ_INS_ST = 639
-SYSZ_INS_STC = 640
-SYSZ_INS_STCH = 641
-SYSZ_INS_STCY = 642
-SYSZ_INS_STD = 643
-SYSZ_INS_STDY = 644
-SYSZ_INS_STE = 645
-SYSZ_INS_STEY = 646
-SYSZ_INS_STFH = 647
-SYSZ_INS_STG = 648
-SYSZ_INS_STGRL = 649
-SYSZ_INS_STH = 650
-SYSZ_INS_STHH = 651
-SYSZ_INS_STHRL = 652
-SYSZ_INS_STHY = 653
-SYSZ_INS_STMG = 654
-SYSZ_INS_STRL = 655
-SYSZ_INS_STRV = 656
-SYSZ_INS_STRVG = 657
-SYSZ_INS_STY = 658
-SYSZ_INS_SXBR = 659
-SYSZ_INS_SY = 660
-SYSZ_INS_TM = 661
-SYSZ_INS_TMHH = 662
-SYSZ_INS_TMHL = 663
-SYSZ_INS_TMLH = 664
-SYSZ_INS_TMLL = 665
-SYSZ_INS_TMY = 666
-SYSZ_INS_X = 667
-SYSZ_INS_XC = 668
-SYSZ_INS_XG = 669
-SYSZ_INS_XGR = 670
-SYSZ_INS_XGRK = 671
-SYSZ_INS_XI = 672
-SYSZ_INS_XIHF = 673
-SYSZ_INS_XILF = 674
-SYSZ_INS_XIY = 675
-SYSZ_INS_XR = 676
-SYSZ_INS_XRK = 677
-SYSZ_INS_XY = 678
-SYSZ_INS_MAX = 679
+SYSZ_INS_LDXBRA = 429
+SYSZ_INS_LDY = 430
+SYSZ_INS_LE = 431
+SYSZ_INS_LEDBR = 432
+SYSZ_INS_LEDBRA = 433
+SYSZ_INS_LER = 434
+SYSZ_INS_LEXBR = 435
+SYSZ_INS_LEXBRA = 436
+SYSZ_INS_LEY = 437
+SYSZ_INS_LFH = 438
+SYSZ_INS_LG = 439
+SYSZ_INS_LGB = 440
+SYSZ_INS_LGBR = 441
+SYSZ_INS_LGDR = 442
+SYSZ_INS_LGF = 443
+SYSZ_INS_LGFI = 444
+SYSZ_INS_LGFR = 445
+SYSZ_INS_LGFRL = 446
+SYSZ_INS_LGH = 447
+SYSZ_INS_LGHI = 448
+SYSZ_INS_LGHR = 449
+SYSZ_INS_LGHRL = 450
+SYSZ_INS_LGR = 451
+SYSZ_INS_LGRL = 452
+SYSZ_INS_LH = 453
+SYSZ_INS_LHH = 454
+SYSZ_INS_LHI = 455
+SYSZ_INS_LHR = 456
+SYSZ_INS_LHRL = 457
+SYSZ_INS_LHY = 458
+SYSZ_INS_LLC = 459
+SYSZ_INS_LLCH = 460
+SYSZ_INS_LLCR = 461
+SYSZ_INS_LLGC = 462
+SYSZ_INS_LLGCR = 463
+SYSZ_INS_LLGF = 464
+SYSZ_INS_LLGFR = 465
+SYSZ_INS_LLGFRL = 466
+SYSZ_INS_LLGH = 467
+SYSZ_INS_LLGHR = 468
+SYSZ_INS_LLGHRL = 469
+SYSZ_INS_LLH = 470
+SYSZ_INS_LLHH = 471
+SYSZ_INS_LLHR = 472
+SYSZ_INS_LLHRL = 473
+SYSZ_INS_LLIHF = 474
+SYSZ_INS_LLIHH = 475
+SYSZ_INS_LLIHL = 476
+SYSZ_INS_LLILF = 477
+SYSZ_INS_LLILH = 478
+SYSZ_INS_LLILL = 479
+SYSZ_INS_LMG = 480
+SYSZ_INS_LNDBR = 481
+SYSZ_INS_LNEBR = 482
+SYSZ_INS_LNGFR = 483
+SYSZ_INS_LNGR = 484
+SYSZ_INS_LNR = 485
+SYSZ_INS_LNXBR = 486
+SYSZ_INS_LPDBR = 487
+SYSZ_INS_LPEBR = 488
+SYSZ_INS_LPGFR = 489
+SYSZ_INS_LPGR = 490
+SYSZ_INS_LPR = 491
+SYSZ_INS_LPXBR = 492
+SYSZ_INS_LR = 493
+SYSZ_INS_LRL = 494
+SYSZ_INS_LRV = 495
+SYSZ_INS_LRVG = 496
+SYSZ_INS_LRVGR = 497
+SYSZ_INS_LRVR = 498
+SYSZ_INS_LT = 499
+SYSZ_INS_LTDBR = 500
+SYSZ_INS_LTEBR = 501
+SYSZ_INS_LTG = 502
+SYSZ_INS_LTGF = 503
+SYSZ_INS_LTGFR = 504
+SYSZ_INS_LTGR = 505
+SYSZ_INS_LTR = 506
+SYSZ_INS_LTXBR = 507
+SYSZ_INS_LXDB = 508
+SYSZ_INS_LXDBR = 509
+SYSZ_INS_LXEB = 510
+SYSZ_INS_LXEBR = 511
+SYSZ_INS_LXR = 512
+SYSZ_INS_LY = 513
+SYSZ_INS_LZDR = 514
+SYSZ_INS_LZER = 515
+SYSZ_INS_LZXR = 516
+SYSZ_INS_MADB = 517
+SYSZ_INS_MADBR = 518
+SYSZ_INS_MAEB = 519
+SYSZ_INS_MAEBR = 520
+SYSZ_INS_MDB = 521
+SYSZ_INS_MDBR = 522
+SYSZ_INS_MDEB = 523
+SYSZ_INS_MDEBR = 524
+SYSZ_INS_MEEB = 525
+SYSZ_INS_MEEBR = 526
+SYSZ_INS_MGHI = 527
+SYSZ_INS_MH = 528
+SYSZ_INS_MHI = 529
+SYSZ_INS_MHY = 530
+SYSZ_INS_MLG = 531
+SYSZ_INS_MLGR = 532
+SYSZ_INS_MS = 533
+SYSZ_INS_MSDB = 534
+SYSZ_INS_MSDBR = 535
+SYSZ_INS_MSEB = 536
+SYSZ_INS_MSEBR = 537
+SYSZ_INS_MSFI = 538
+SYSZ_INS_MSG = 539
+SYSZ_INS_MSGF = 540
+SYSZ_INS_MSGFI = 541
+SYSZ_INS_MSGFR = 542
+SYSZ_INS_MSGR = 543
+SYSZ_INS_MSR = 544
+SYSZ_INS_MSY = 545
+SYSZ_INS_MVC = 546
+SYSZ_INS_MVGHI = 547
+SYSZ_INS_MVHHI = 548
+SYSZ_INS_MVHI = 549
+SYSZ_INS_MVI = 550
+SYSZ_INS_MVIY = 551
+SYSZ_INS_MVST = 552
+SYSZ_INS_MXBR = 553
+SYSZ_INS_MXDB = 554
+SYSZ_INS_MXDBR = 555
+SYSZ_INS_N = 556
+SYSZ_INS_NC = 557
+SYSZ_INS_NG = 558
+SYSZ_INS_NGR = 559
+SYSZ_INS_NGRK = 560
+SYSZ_INS_NI = 561
+SYSZ_INS_NIHF = 562
+SYSZ_INS_NIHH = 563
+SYSZ_INS_NIHL = 564
+SYSZ_INS_NILF = 565
+SYSZ_INS_NILH = 566
+SYSZ_INS_NILL = 567
+SYSZ_INS_NIY = 568
+SYSZ_INS_NR = 569
+SYSZ_INS_NRK = 570
+SYSZ_INS_NY = 571
+SYSZ_INS_O = 572
+SYSZ_INS_OC = 573
+SYSZ_INS_OG = 574
+SYSZ_INS_OGR = 575
+SYSZ_INS_OGRK = 576
+SYSZ_INS_OI = 577
+SYSZ_INS_OIHF = 578
+SYSZ_INS_OIHH = 579
+SYSZ_INS_OIHL = 580
+SYSZ_INS_OILF = 581
+SYSZ_INS_OILH = 582
+SYSZ_INS_OILL = 583
+SYSZ_INS_OIY = 584
+SYSZ_INS_OR = 585
+SYSZ_INS_ORK = 586
+SYSZ_INS_OY = 587
+SYSZ_INS_PFD = 588
+SYSZ_INS_PFDRL = 589
+SYSZ_INS_RISBG = 590
+SYSZ_INS_RISBHG = 591
+SYSZ_INS_RISBLG = 592
+SYSZ_INS_RLL = 593
+SYSZ_INS_RLLG = 594
+SYSZ_INS_RNSBG = 595
+SYSZ_INS_ROSBG = 596
+SYSZ_INS_RXSBG = 597
+SYSZ_INS_S = 598
+SYSZ_INS_SDB = 599
+SYSZ_INS_SDBR = 600
+SYSZ_INS_SEB = 601
+SYSZ_INS_SEBR = 602
+SYSZ_INS_SG = 603
+SYSZ_INS_SGF = 604
+SYSZ_INS_SGFR = 605
+SYSZ_INS_SGR = 606
+SYSZ_INS_SGRK = 607
+SYSZ_INS_SH = 608
+SYSZ_INS_SHY = 609
+SYSZ_INS_SL = 610
+SYSZ_INS_SLB = 611
+SYSZ_INS_SLBG = 612
+SYSZ_INS_SLBR = 613
+SYSZ_INS_SLFI = 614
+SYSZ_INS_SLG = 615
+SYSZ_INS_SLBGR = 616
+SYSZ_INS_SLGF = 617
+SYSZ_INS_SLGFI = 618
+SYSZ_INS_SLGFR = 619
+SYSZ_INS_SLGR = 620
+SYSZ_INS_SLGRK = 621
+SYSZ_INS_SLL = 622
+SYSZ_INS_SLLG = 623
+SYSZ_INS_SLLK = 624
+SYSZ_INS_SLR = 625
+SYSZ_INS_SLRK = 626
+SYSZ_INS_SLY = 627
+SYSZ_INS_SQDB = 628
+SYSZ_INS_SQDBR = 629
+SYSZ_INS_SQEB = 630
+SYSZ_INS_SQEBR = 631
+SYSZ_INS_SQXBR = 632
+SYSZ_INS_SR = 633
+SYSZ_INS_SRA = 634
+SYSZ_INS_SRAG = 635
+SYSZ_INS_SRAK = 636
+SYSZ_INS_SRK = 637
+SYSZ_INS_SRL = 638
+SYSZ_INS_SRLG = 639
+SYSZ_INS_SRLK = 640
+SYSZ_INS_SRST = 641
+SYSZ_INS_ST = 642
+SYSZ_INS_STC = 643
+SYSZ_INS_STCH = 644
+SYSZ_INS_STCY = 645
+SYSZ_INS_STD = 646
+SYSZ_INS_STDY = 647
+SYSZ_INS_STE = 648
+SYSZ_INS_STEY = 649
+SYSZ_INS_STFH = 650
+SYSZ_INS_STG = 651
+SYSZ_INS_STGRL = 652
+SYSZ_INS_STH = 653
+SYSZ_INS_STHH = 654
+SYSZ_INS_STHRL = 655
+SYSZ_INS_STHY = 656
+SYSZ_INS_STMG = 657
+SYSZ_INS_STRL = 658
+SYSZ_INS_STRV = 659
+SYSZ_INS_STRVG = 660
+SYSZ_INS_STY = 661
+SYSZ_INS_SXBR = 662
+SYSZ_INS_SY = 663
+SYSZ_INS_TM = 664
+SYSZ_INS_TMHH = 665
+SYSZ_INS_TMHL = 666
+SYSZ_INS_TMLH = 667
+SYSZ_INS_TMLL = 668
+SYSZ_INS_TMY = 669
+SYSZ_INS_X = 670
+SYSZ_INS_XC = 671
+SYSZ_INS_XG = 672
+SYSZ_INS_XGR = 673
+SYSZ_INS_XGRK = 674
+SYSZ_INS_XI = 675
+SYSZ_INS_XIHF = 676
+SYSZ_INS_XILF = 677
+SYSZ_INS_XIY = 678
+SYSZ_INS_XR = 679
+SYSZ_INS_XRK = 680
+SYSZ_INS_XY = 681
+SYSZ_INS_MAX = 682
 
 # Group of SystemZ instructions
 
 SYSZ_GRP_INVALID = 0
-SYSZ_GRP_FEATUREDISTINCTOPS = 1
-SYSZ_GRP_FEATUREFPEXTENSION = 2
-SYSZ_GRP_FEATUREHIGHWORD = 3
-SYSZ_GRP_FEATUREINTERLOCKEDACCESS1 = 4
-SYSZ_GRP_FEATURELOADSTOREONCOND = 5
+SYSZ_GRP_DISTINCTOPS = 1
+SYSZ_GRP_FPEXTENSION = 2
+SYSZ_GRP_HIGHWORD = 3
+SYSZ_GRP_INTERLOCKEDACCESS1 = 4
+SYSZ_GRP_LOADSTOREONCOND = 5
 SYSZ_GRP_JUMP = 6
 SYSZ_GRP_MAX = 7
diff --git a/bindings/python/capstone/x86.py b/bindings/python/capstone/x86.py
index 4adcee1..97c7898 100644
--- a/bindings/python/capstone/x86.py
+++ b/bindings/python/capstone/x86.py
@@ -6,6 +6,7 @@
 # define the API
 class X86OpMem(ctypes.Structure):
     _fields_ = (
+        ('segment', ctypes.c_uint),
         ('base', ctypes.c_uint),
         ('index', ctypes.c_uint),
         ('scale', ctypes.c_int),
@@ -24,6 +25,9 @@
     _fields_ = (
         ('type', ctypes.c_uint),
         ('value', X86OpValue),
+        ('size', ctypes.c_uint8),
+        ('avx_bcast', ctypes.c_uint),
+        ('avx_zero_opmask', ctypes.c_uint8),
     )
 
     @property
@@ -45,25 +49,27 @@
 
 class CsX86(ctypes.Structure):
     _fields_ = (
-        ('prefix', ctypes.c_uint8 * 5),
-        ('segment', ctypes.c_uint),
-        ('opcode', ctypes.c_uint8 * 3),
-        ('op_size', ctypes.c_uint8),
+        ('prefix', ctypes.c_uint8 * 4),
+        ('opcode', ctypes.c_uint8 * 4),
+        ('rex', ctypes.c_uint8),
         ('addr_size', ctypes.c_uint8),
-        ('disp_size', ctypes.c_uint8),
-        ('imm_size', ctypes.c_uint8),
         ('modrm', ctypes.c_uint8),
         ('sib', ctypes.c_uint8),
         ('disp', ctypes.c_int32),
         ('sib_index', ctypes.c_uint),
         ('sib_scale', ctypes.c_int8),
         ('sib_base', ctypes.c_uint),
+        ('sse_cc', ctypes.c_uint),
+        ('avx_cc', ctypes.c_uint),
+        ('avx_sae', ctypes.c_bool),
+        ('avx_rm', ctypes.c_uint),
         ('op_count', ctypes.c_uint8),
         ('operands', X86Op * 8),
     )
 
 def get_arch_info(a):
-    return (a.prefix[:], a.segment, a.opcode[:], a.op_size, a.addr_size, a.disp_size, \
-            a.imm_size, a.modrm, a.sib, a.disp, a.sib_index, a.sib_scale, \
-            a.sib_base, copy.deepcopy(a.operands[:a.op_count]))
+    return (a.prefix[:], a.opcode[:], a.rex, a.addr_size, \
+            a.modrm, a.sib, a.disp, a.sib_index, a.sib_scale, \
+            a.sib_base, a.sse_cc, a.avx_cc, a.avx_sae, a.avx_rm, \
+            copy.deepcopy(a.operands[:a.op_count]))
 
diff --git a/bindings/python/capstone/x86_const.py b/bindings/python/capstone/x86_const.py
index 25dd5fb..fd9fe94 100644
--- a/bindings/python/capstone/x86_const.py
+++ b/bindings/python/capstone/x86_const.py
@@ -83,159 +83,160 @@
 X86_REG_FP4 = 78
 X86_REG_FP5 = 79
 X86_REG_FP6 = 80
-X86_REG_K0 = 81
-X86_REG_K1 = 82
-X86_REG_K2 = 83
-X86_REG_K3 = 84
-X86_REG_K4 = 85
-X86_REG_K5 = 86
-X86_REG_K6 = 87
-X86_REG_K7 = 88
-X86_REG_MM0 = 89
-X86_REG_MM1 = 90
-X86_REG_MM2 = 91
-X86_REG_MM3 = 92
-X86_REG_MM4 = 93
-X86_REG_MM5 = 94
-X86_REG_MM6 = 95
-X86_REG_MM7 = 96
-X86_REG_R8 = 97
-X86_REG_R9 = 98
-X86_REG_R10 = 99
-X86_REG_R11 = 100
-X86_REG_R12 = 101
-X86_REG_R13 = 102
-X86_REG_R14 = 103
-X86_REG_R15 = 104
-X86_REG_ST0 = 105
-X86_REG_ST1 = 106
-X86_REG_ST2 = 107
-X86_REG_ST3 = 108
-X86_REG_ST4 = 109
-X86_REG_ST5 = 110
-X86_REG_ST6 = 111
-X86_REG_ST7 = 112
-X86_REG_XMM0 = 113
-X86_REG_XMM1 = 114
-X86_REG_XMM2 = 115
-X86_REG_XMM3 = 116
-X86_REG_XMM4 = 117
-X86_REG_XMM5 = 118
-X86_REG_XMM6 = 119
-X86_REG_XMM7 = 120
-X86_REG_XMM8 = 121
-X86_REG_XMM9 = 122
-X86_REG_XMM10 = 123
-X86_REG_XMM11 = 124
-X86_REG_XMM12 = 125
-X86_REG_XMM13 = 126
-X86_REG_XMM14 = 127
-X86_REG_XMM15 = 128
-X86_REG_XMM16 = 129
-X86_REG_XMM17 = 130
-X86_REG_XMM18 = 131
-X86_REG_XMM19 = 132
-X86_REG_XMM20 = 133
-X86_REG_XMM21 = 134
-X86_REG_XMM22 = 135
-X86_REG_XMM23 = 136
-X86_REG_XMM24 = 137
-X86_REG_XMM25 = 138
-X86_REG_XMM26 = 139
-X86_REG_XMM27 = 140
-X86_REG_XMM28 = 141
-X86_REG_XMM29 = 142
-X86_REG_XMM30 = 143
-X86_REG_XMM31 = 144
-X86_REG_YMM0 = 145
-X86_REG_YMM1 = 146
-X86_REG_YMM2 = 147
-X86_REG_YMM3 = 148
-X86_REG_YMM4 = 149
-X86_REG_YMM5 = 150
-X86_REG_YMM6 = 151
-X86_REG_YMM7 = 152
-X86_REG_YMM8 = 153
-X86_REG_YMM9 = 154
-X86_REG_YMM10 = 155
-X86_REG_YMM11 = 156
-X86_REG_YMM12 = 157
-X86_REG_YMM13 = 158
-X86_REG_YMM14 = 159
-X86_REG_YMM15 = 160
-X86_REG_YMM16 = 161
-X86_REG_YMM17 = 162
-X86_REG_YMM18 = 163
-X86_REG_YMM19 = 164
-X86_REG_YMM20 = 165
-X86_REG_YMM21 = 166
-X86_REG_YMM22 = 167
-X86_REG_YMM23 = 168
-X86_REG_YMM24 = 169
-X86_REG_YMM25 = 170
-X86_REG_YMM26 = 171
-X86_REG_YMM27 = 172
-X86_REG_YMM28 = 173
-X86_REG_YMM29 = 174
-X86_REG_YMM30 = 175
-X86_REG_YMM31 = 176
-X86_REG_ZMM0 = 177
-X86_REG_ZMM1 = 178
-X86_REG_ZMM2 = 179
-X86_REG_ZMM3 = 180
-X86_REG_ZMM4 = 181
-X86_REG_ZMM5 = 182
-X86_REG_ZMM6 = 183
-X86_REG_ZMM7 = 184
-X86_REG_ZMM8 = 185
-X86_REG_ZMM9 = 186
-X86_REG_ZMM10 = 187
-X86_REG_ZMM11 = 188
-X86_REG_ZMM12 = 189
-X86_REG_ZMM13 = 190
-X86_REG_ZMM14 = 191
-X86_REG_ZMM15 = 192
-X86_REG_ZMM16 = 193
-X86_REG_ZMM17 = 194
-X86_REG_ZMM18 = 195
-X86_REG_ZMM19 = 196
-X86_REG_ZMM20 = 197
-X86_REG_ZMM21 = 198
-X86_REG_ZMM22 = 199
-X86_REG_ZMM23 = 200
-X86_REG_ZMM24 = 201
-X86_REG_ZMM25 = 202
-X86_REG_ZMM26 = 203
-X86_REG_ZMM27 = 204
-X86_REG_ZMM28 = 205
-X86_REG_ZMM29 = 206
-X86_REG_ZMM30 = 207
-X86_REG_ZMM31 = 208
-X86_REG_R8B = 209
-X86_REG_R9B = 210
-X86_REG_R10B = 211
-X86_REG_R11B = 212
-X86_REG_R12B = 213
-X86_REG_R13B = 214
-X86_REG_R14B = 215
-X86_REG_R15B = 216
-X86_REG_R8D = 217
-X86_REG_R9D = 218
-X86_REG_R10D = 219
-X86_REG_R11D = 220
-X86_REG_R12D = 221
-X86_REG_R13D = 222
-X86_REG_R14D = 223
-X86_REG_R15D = 224
-X86_REG_R8W = 225
-X86_REG_R9W = 226
-X86_REG_R10W = 227
-X86_REG_R11W = 228
-X86_REG_R12W = 229
-X86_REG_R13W = 230
-X86_REG_R14W = 231
-X86_REG_R15W = 232
-X86_REG_MAX = 233
+X86_REG_FP7 = 81
+X86_REG_K0 = 82
+X86_REG_K1 = 83
+X86_REG_K2 = 84
+X86_REG_K3 = 85
+X86_REG_K4 = 86
+X86_REG_K5 = 87
+X86_REG_K6 = 88
+X86_REG_K7 = 89
+X86_REG_MM0 = 90
+X86_REG_MM1 = 91
+X86_REG_MM2 = 92
+X86_REG_MM3 = 93
+X86_REG_MM4 = 94
+X86_REG_MM5 = 95
+X86_REG_MM6 = 96
+X86_REG_MM7 = 97
+X86_REG_R8 = 98
+X86_REG_R9 = 99
+X86_REG_R10 = 100
+X86_REG_R11 = 101
+X86_REG_R12 = 102
+X86_REG_R13 = 103
+X86_REG_R14 = 104
+X86_REG_R15 = 105
+X86_REG_ST0 = 106
+X86_REG_ST1 = 107
+X86_REG_ST2 = 108
+X86_REG_ST3 = 109
+X86_REG_ST4 = 110
+X86_REG_ST5 = 111
+X86_REG_ST6 = 112
+X86_REG_ST7 = 113
+X86_REG_XMM0 = 114
+X86_REG_XMM1 = 115
+X86_REG_XMM2 = 116
+X86_REG_XMM3 = 117
+X86_REG_XMM4 = 118
+X86_REG_XMM5 = 119
+X86_REG_XMM6 = 120
+X86_REG_XMM7 = 121
+X86_REG_XMM8 = 122
+X86_REG_XMM9 = 123
+X86_REG_XMM10 = 124
+X86_REG_XMM11 = 125
+X86_REG_XMM12 = 126
+X86_REG_XMM13 = 127
+X86_REG_XMM14 = 128
+X86_REG_XMM15 = 129
+X86_REG_XMM16 = 130
+X86_REG_XMM17 = 131
+X86_REG_XMM18 = 132
+X86_REG_XMM19 = 133
+X86_REG_XMM20 = 134
+X86_REG_XMM21 = 135
+X86_REG_XMM22 = 136
+X86_REG_XMM23 = 137
+X86_REG_XMM24 = 138
+X86_REG_XMM25 = 139
+X86_REG_XMM26 = 140
+X86_REG_XMM27 = 141
+X86_REG_XMM28 = 142
+X86_REG_XMM29 = 143
+X86_REG_XMM30 = 144
+X86_REG_XMM31 = 145
+X86_REG_YMM0 = 146
+X86_REG_YMM1 = 147
+X86_REG_YMM2 = 148
+X86_REG_YMM3 = 149
+X86_REG_YMM4 = 150
+X86_REG_YMM5 = 151
+X86_REG_YMM6 = 152
+X86_REG_YMM7 = 153
+X86_REG_YMM8 = 154
+X86_REG_YMM9 = 155
+X86_REG_YMM10 = 156
+X86_REG_YMM11 = 157
+X86_REG_YMM12 = 158
+X86_REG_YMM13 = 159
+X86_REG_YMM14 = 160
+X86_REG_YMM15 = 161
+X86_REG_YMM16 = 162
+X86_REG_YMM17 = 163
+X86_REG_YMM18 = 164
+X86_REG_YMM19 = 165
+X86_REG_YMM20 = 166
+X86_REG_YMM21 = 167
+X86_REG_YMM22 = 168
+X86_REG_YMM23 = 169
+X86_REG_YMM24 = 170
+X86_REG_YMM25 = 171
+X86_REG_YMM26 = 172
+X86_REG_YMM27 = 173
+X86_REG_YMM28 = 174
+X86_REG_YMM29 = 175
+X86_REG_YMM30 = 176
+X86_REG_YMM31 = 177
+X86_REG_ZMM0 = 178
+X86_REG_ZMM1 = 179
+X86_REG_ZMM2 = 180
+X86_REG_ZMM3 = 181
+X86_REG_ZMM4 = 182
+X86_REG_ZMM5 = 183
+X86_REG_ZMM6 = 184
+X86_REG_ZMM7 = 185
+X86_REG_ZMM8 = 186
+X86_REG_ZMM9 = 187
+X86_REG_ZMM10 = 188
+X86_REG_ZMM11 = 189
+X86_REG_ZMM12 = 190
+X86_REG_ZMM13 = 191
+X86_REG_ZMM14 = 192
+X86_REG_ZMM15 = 193
+X86_REG_ZMM16 = 194
+X86_REG_ZMM17 = 195
+X86_REG_ZMM18 = 196
+X86_REG_ZMM19 = 197
+X86_REG_ZMM20 = 198
+X86_REG_ZMM21 = 199
+X86_REG_ZMM22 = 200
+X86_REG_ZMM23 = 201
+X86_REG_ZMM24 = 202
+X86_REG_ZMM25 = 203
+X86_REG_ZMM26 = 204
+X86_REG_ZMM27 = 205
+X86_REG_ZMM28 = 206
+X86_REG_ZMM29 = 207
+X86_REG_ZMM30 = 208
+X86_REG_ZMM31 = 209
+X86_REG_R8B = 210
+X86_REG_R9B = 211
+X86_REG_R10B = 212
+X86_REG_R11B = 213
+X86_REG_R12B = 214
+X86_REG_R13B = 215
+X86_REG_R14B = 216
+X86_REG_R15B = 217
+X86_REG_R8D = 218
+X86_REG_R9D = 219
+X86_REG_R10D = 220
+X86_REG_R11D = 221
+X86_REG_R12D = 222
+X86_REG_R13D = 223
+X86_REG_R14D = 224
+X86_REG_R15D = 225
+X86_REG_R8W = 226
+X86_REG_R9W = 227
+X86_REG_R10W = 228
+X86_REG_R11W = 229
+X86_REG_R12W = 230
+X86_REG_R13W = 231
+X86_REG_R14W = 232
+X86_REG_R15W = 233
+X86_REG_MAX = 234
 
 # Operand type for instruction's operands
 
@@ -245,6 +246,78 @@
 X86_OP_FP = 3
 X86_OP_MEM = 4
 
+# AVX broadcast type
+
+X86_AVX_BCAST_INVALID = 0
+X86_AVX_BCAST_2 = 1
+X86_AVX_BCAST_4 = 2
+X86_AVX_BCAST_8 = 3
+X86_AVX_BCAST_16 = 4
+
+# SSE Code Condition type
+
+X86_SSE_CC_INVALID = 0
+X86_SSE_CC_EQ = 1
+X86_SSE_CC_LT = 2
+X86_SSE_CC_LE = 3
+X86_SSE_CC_UNORD = 4
+X86_SSE_CC_NEQ = 5
+X86_SSE_CC_NLT = 6
+X86_SSE_CC_NLE = 7
+X86_SSE_CC_ORD = 8
+X86_SSE_CC_EQ_UQ = 9
+X86_SSE_CC_NGE = 10
+X86_SSE_CC_NGT = 11
+X86_SSE_CC_FALSE = 12
+X86_SSE_CC_NEQ_OQ = 13
+X86_SSE_CC_GE = 14
+X86_SSE_CC_GT = 15
+X86_SSE_CC_TRUE = 16
+
+# AVX Code Condition type
+
+X86_AVX_CC_INVALID = 0
+X86_AVX_CC_EQ = 1
+X86_AVX_CC_LT = 2
+X86_AVX_CC_LE = 3
+X86_AVX_CC_UNORD = 4
+X86_AVX_CC_NEQ = 5
+X86_AVX_CC_NLT = 6
+X86_AVX_CC_NLE = 7
+X86_AVX_CC_ORD = 8
+X86_AVX_CC_EQ_UQ = 9
+X86_AVX_CC_NGE = 10
+X86_AVX_CC_NGT = 11
+X86_AVX_CC_FALSE = 12
+X86_AVX_CC_NEQ_OQ = 13
+X86_AVX_CC_GE = 14
+X86_AVX_CC_GT = 15
+X86_AVX_CC_TRUE = 16
+X86_AVX_CC_EQ_OS = 17
+X86_AVX_CC_LT_OQ = 18
+X86_AVX_CC_LE_OQ = 19
+X86_AVX_CC_UNORD_S = 20
+X86_AVX_CC_NEQ_US = 21
+X86_AVX_CC_NLT_UQ = 22
+X86_AVX_CC_NLE_UQ = 23
+X86_AVX_CC_ORD_S = 24
+X86_AVX_CC_EQ_US = 25
+X86_AVX_CC_NGE_UQ = 26
+X86_AVX_CC_NGT_UQ = 27
+X86_AVX_CC_FALSE_OS = 28
+X86_AVX_CC_NEQ_OS = 29
+X86_AVX_CC_GE_OQ = 30
+X86_AVX_CC_GT_OQ = 31
+X86_AVX_CC_TRUE_US = 32
+
+# AVX static rounding mode type
+
+X86_AVX_RM_INVALID = 0
+X86_AVX_RM_RN = 1
+X86_AVX_RM_RD = 2
+X86_AVX_RM_RU = 3
+X86_AVX_RM_RZ = 4
+
 # X86 instructions
 
 X86_INS_INVALID = 0
@@ -343,11 +416,11 @@
 X86_INS_CMP = 93
 X86_INS_CMPPD = 94
 X86_INS_CMPPS = 95
-X86_INS_CMPSW = 96
+X86_INS_CMPSB = 96
 X86_INS_CMPSD = 97
 X86_INS_CMPSQ = 98
-X86_INS_CMPSB = 99
-X86_INS_CMPSS = 100
+X86_INS_CMPSS = 99
+X86_INS_CMPSW = 100
 X86_INS_CMPXCHG16B = 101
 X86_INS_CMPXCHG = 102
 X86_INS_CMPXCHG8B = 103
@@ -361,45 +434,45 @@
 X86_INS_CPUID = 111
 X86_INS_CQO = 112
 X86_INS_CRC32 = 113
-X86_INS_CS = 114
-X86_INS_CVTDQ2PD = 115
-X86_INS_CVTDQ2PS = 116
-X86_INS_CVTPD2DQ = 117
-X86_INS_CVTPD2PS = 118
-X86_INS_CVTPS2DQ = 119
-X86_INS_CVTPS2PD = 120
-X86_INS_CVTSD2SI = 121
-X86_INS_CVTSD2SS = 122
-X86_INS_CVTSI2SD = 123
-X86_INS_CVTSI2SS = 124
-X86_INS_CVTSS2SD = 125
-X86_INS_CVTSS2SI = 126
-X86_INS_CVTTPD2DQ = 127
-X86_INS_CVTTPS2DQ = 128
-X86_INS_CVTTSD2SI = 129
-X86_INS_CVTTSS2SI = 130
-X86_INS_CWD = 131
-X86_INS_CWDE = 132
-X86_INS_DAA = 133
-X86_INS_DAS = 134
-X86_INS_DATA16 = 135
-X86_INS_DEC = 136
-X86_INS_DIV = 137
-X86_INS_DIVPD = 138
-X86_INS_DIVPS = 139
-X86_INS_FDIVR = 140
-X86_INS_FIDIVR = 141
-X86_INS_FDIVRP = 142
-X86_INS_DIVSD = 143
-X86_INS_DIVSS = 144
-X86_INS_FDIV = 145
-X86_INS_FIDIV = 146
-X86_INS_FDIVP = 147
-X86_INS_DPPD = 148
-X86_INS_DPPS = 149
-X86_INS_DS = 150
-X86_INS_ENTER = 151
-X86_INS_ES = 152
+X86_INS_CVTDQ2PD = 114
+X86_INS_CVTDQ2PS = 115
+X86_INS_CVTPD2DQ = 116
+X86_INS_CVTPD2PS = 117
+X86_INS_CVTPS2DQ = 118
+X86_INS_CVTPS2PD = 119
+X86_INS_CVTSD2SI = 120
+X86_INS_CVTSD2SS = 121
+X86_INS_CVTSI2SD = 122
+X86_INS_CVTSI2SS = 123
+X86_INS_CVTSS2SD = 124
+X86_INS_CVTSS2SI = 125
+X86_INS_CVTTPD2DQ = 126
+X86_INS_CVTTPS2DQ = 127
+X86_INS_CVTTSD2SI = 128
+X86_INS_CVTTSS2SI = 129
+X86_INS_CWD = 130
+X86_INS_CWDE = 131
+X86_INS_DAA = 132
+X86_INS_DAS = 133
+X86_INS_DATA16 = 134
+X86_INS_DEC = 135
+X86_INS_DIV = 136
+X86_INS_DIVPD = 137
+X86_INS_DIVPS = 138
+X86_INS_FDIVR = 139
+X86_INS_FIDIVR = 140
+X86_INS_FDIVRP = 141
+X86_INS_DIVSD = 142
+X86_INS_DIVSS = 143
+X86_INS_FDIV = 144
+X86_INS_FIDIV = 145
+X86_INS_FDIVP = 146
+X86_INS_DPPD = 147
+X86_INS_DPPS = 148
+X86_INS_RET = 149
+X86_INS_ENCLS = 150
+X86_INS_ENCLU = 151
+X86_INS_ENTER = 152
 X86_INS_EXTRACTPS = 153
 X86_INS_EXTRQ = 154
 X86_INS_F2XM1 = 155
@@ -437,1077 +510,1115 @@
 X86_INS_FSETPM = 187
 X86_INS_FSINCOS = 188
 X86_INS_FNSTENV = 189
-X86_INS_FS = 190
-X86_INS_FXAM = 191
-X86_INS_FXRSTOR = 192
-X86_INS_FXRSTOR64 = 193
-X86_INS_FXSAVE = 194
-X86_INS_FXSAVE64 = 195
-X86_INS_FXTRACT = 196
-X86_INS_FYL2X = 197
-X86_INS_FYL2XP1 = 198
-X86_INS_MOVAPD = 199
-X86_INS_MOVAPS = 200
-X86_INS_ORPD = 201
-X86_INS_ORPS = 202
-X86_INS_VMOVAPD = 203
-X86_INS_VMOVAPS = 204
-X86_INS_XORPD = 205
-X86_INS_XORPS = 206
-X86_INS_GETSEC = 207
-X86_INS_GS = 208
-X86_INS_HADDPD = 209
-X86_INS_HADDPS = 210
-X86_INS_HLT = 211
-X86_INS_HSUBPD = 212
-X86_INS_HSUBPS = 213
-X86_INS_IDIV = 214
-X86_INS_FILD = 215
-X86_INS_IMUL = 216
-X86_INS_INSW = 217
-X86_INS_IN = 218
-X86_INS_INSD = 219
-X86_INS_INSB = 220
-X86_INS_INC = 221
-X86_INS_INSERTPS = 222
-X86_INS_INSERTQ = 223
-X86_INS_INT = 224
-X86_INS_INT1 = 225
-X86_INS_INT3 = 226
-X86_INS_INTO = 227
-X86_INS_INVD = 228
-X86_INS_INVEPT = 229
-X86_INS_INVLPG = 230
-X86_INS_INVLPGA = 231
-X86_INS_INVPCID = 232
-X86_INS_INVVPID = 233
-X86_INS_IRET = 234
-X86_INS_IRETD = 235
-X86_INS_IRETQ = 236
-X86_INS_FISTTP = 237
-X86_INS_FIST = 238
-X86_INS_FISTP = 239
-X86_INS_UCOMISD = 240
-X86_INS_UCOMISS = 241
-X86_INS_VCMP = 242
-X86_INS_VCOMISD = 243
-X86_INS_VCOMISS = 244
-X86_INS_VCVTSD2SS = 245
-X86_INS_VCVTSI2SD = 246
-X86_INS_VCVTSI2SS = 247
-X86_INS_VCVTSS2SD = 248
-X86_INS_VCVTTSD2SI = 249
-X86_INS_VCVTTSD2USI = 250
-X86_INS_VCVTTSS2SI = 251
-X86_INS_VCVTTSS2USI = 252
-X86_INS_VCVTUSI2SD = 253
-X86_INS_VCVTUSI2SS = 254
-X86_INS_VUCOMISD = 255
-X86_INS_VUCOMISS = 256
-X86_INS_JAE = 257
-X86_INS_JA = 258
-X86_INS_JBE = 259
-X86_INS_JB = 260
-X86_INS_JCXZ = 261
-X86_INS_JECXZ = 262
-X86_INS_JE = 263
-X86_INS_JGE = 264
-X86_INS_JG = 265
-X86_INS_JLE = 266
-X86_INS_JL = 267
-X86_INS_JMP = 268
-X86_INS_JNE = 269
-X86_INS_JNO = 270
-X86_INS_JNP = 271
-X86_INS_JNS = 272
-X86_INS_JO = 273
-X86_INS_JP = 274
-X86_INS_JRCXZ = 275
-X86_INS_JS = 276
-X86_INS_KANDNW = 277
-X86_INS_KANDW = 278
-X86_INS_KMOVW = 279
-X86_INS_KNOTW = 280
-X86_INS_KORTESTW = 281
-X86_INS_KORW = 282
-X86_INS_KSHIFTLW = 283
-X86_INS_KSHIFTRW = 284
-X86_INS_KUNPCKBW = 285
-X86_INS_KXNORW = 286
-X86_INS_KXORW = 287
-X86_INS_LAHF = 288
-X86_INS_LAR = 289
-X86_INS_LDDQU = 290
-X86_INS_LDMXCSR = 291
-X86_INS_LDS = 292
-X86_INS_FLDZ = 293
-X86_INS_FLD1 = 294
-X86_INS_FLD = 295
-X86_INS_LEA = 296
-X86_INS_LEAVE = 297
-X86_INS_LES = 298
-X86_INS_LFENCE = 299
-X86_INS_LFS = 300
-X86_INS_LGDT = 301
-X86_INS_LGS = 302
-X86_INS_LIDT = 303
-X86_INS_LLDT = 304
-X86_INS_LMSW = 305
-X86_INS_OR = 306
-X86_INS_LOCK = 307
-X86_INS_SUB = 308
-X86_INS_XOR = 309
-X86_INS_LODSB = 310
-X86_INS_LODSD = 311
-X86_INS_LODSQ = 312
-X86_INS_LODSW = 313
-X86_INS_LOOP = 314
-X86_INS_LOOPE = 315
-X86_INS_LOOPNE = 316
-X86_INS_RETF = 317
-X86_INS_RETFQ = 318
-X86_INS_LSL = 319
-X86_INS_LSS = 320
-X86_INS_LTR = 321
-X86_INS_XADD = 322
-X86_INS_LZCNT = 323
-X86_INS_MASKMOVDQU = 324
-X86_INS_MAXPD = 325
-X86_INS_MAXPS = 326
-X86_INS_MAXSD = 327
-X86_INS_MAXSS = 328
-X86_INS_MFENCE = 329
-X86_INS_MINPD = 330
-X86_INS_MINPS = 331
-X86_INS_MINSD = 332
-X86_INS_MINSS = 333
-X86_INS_CVTPD2PI = 334
-X86_INS_CVTPI2PD = 335
-X86_INS_CVTPI2PS = 336
-X86_INS_CVTPS2PI = 337
-X86_INS_CVTTPD2PI = 338
-X86_INS_CVTTPS2PI = 339
-X86_INS_EMMS = 340
-X86_INS_MASKMOVQ = 341
-X86_INS_MOVD = 342
-X86_INS_MOVDQ2Q = 343
-X86_INS_MOVNTQ = 344
-X86_INS_MOVQ2DQ = 345
-X86_INS_MOVQ = 346
-X86_INS_PABSB = 347
-X86_INS_PABSD = 348
-X86_INS_PABSW = 349
-X86_INS_PACKSSDW = 350
-X86_INS_PACKSSWB = 351
-X86_INS_PACKUSWB = 352
-X86_INS_PADDB = 353
-X86_INS_PADDD = 354
-X86_INS_PADDQ = 355
-X86_INS_PADDSB = 356
-X86_INS_PADDSW = 357
-X86_INS_PADDUSB = 358
-X86_INS_PADDUSW = 359
-X86_INS_PADDW = 360
-X86_INS_PALIGNR = 361
-X86_INS_PANDN = 362
-X86_INS_PAND = 363
-X86_INS_PAVGB = 364
-X86_INS_PAVGW = 365
-X86_INS_PCMPEQB = 366
-X86_INS_PCMPEQD = 367
-X86_INS_PCMPEQW = 368
-X86_INS_PCMPGTB = 369
-X86_INS_PCMPGTD = 370
-X86_INS_PCMPGTW = 371
-X86_INS_PEXTRW = 372
-X86_INS_PHADDSW = 373
-X86_INS_PHADDW = 374
-X86_INS_PHADDD = 375
-X86_INS_PHSUBD = 376
-X86_INS_PHSUBSW = 377
-X86_INS_PHSUBW = 378
-X86_INS_PINSRW = 379
-X86_INS_PMADDUBSW = 380
-X86_INS_PMADDWD = 381
-X86_INS_PMAXSW = 382
-X86_INS_PMAXUB = 383
-X86_INS_PMINSW = 384
-X86_INS_PMINUB = 385
-X86_INS_PMOVMSKB = 386
-X86_INS_PMULHRSW = 387
-X86_INS_PMULHUW = 388
-X86_INS_PMULHW = 389
-X86_INS_PMULLW = 390
-X86_INS_PMULUDQ = 391
-X86_INS_POR = 392
-X86_INS_PSADBW = 393
-X86_INS_PSHUFB = 394
-X86_INS_PSHUFW = 395
-X86_INS_PSIGNB = 396
-X86_INS_PSIGND = 397
-X86_INS_PSIGNW = 398
-X86_INS_PSLLD = 399
-X86_INS_PSLLQ = 400
-X86_INS_PSLLW = 401
-X86_INS_PSRAD = 402
-X86_INS_PSRAW = 403
-X86_INS_PSRLD = 404
-X86_INS_PSRLQ = 405
-X86_INS_PSRLW = 406
-X86_INS_PSUBB = 407
-X86_INS_PSUBD = 408
-X86_INS_PSUBQ = 409
-X86_INS_PSUBSB = 410
-X86_INS_PSUBSW = 411
-X86_INS_PSUBUSB = 412
-X86_INS_PSUBUSW = 413
-X86_INS_PSUBW = 414
-X86_INS_PUNPCKHBW = 415
-X86_INS_PUNPCKHDQ = 416
-X86_INS_PUNPCKHWD = 417
-X86_INS_PUNPCKLBW = 418
-X86_INS_PUNPCKLDQ = 419
-X86_INS_PUNPCKLWD = 420
-X86_INS_PXOR = 421
-X86_INS_MONITOR = 422
-X86_INS_MONTMUL = 423
-X86_INS_MOV = 424
-X86_INS_MOVABS = 425
-X86_INS_MOVBE = 426
-X86_INS_MOVDDUP = 427
-X86_INS_MOVDQA = 428
-X86_INS_MOVDQU = 429
-X86_INS_MOVHLPS = 430
-X86_INS_MOVHPD = 431
-X86_INS_MOVHPS = 432
-X86_INS_MOVLHPS = 433
-X86_INS_MOVLPD = 434
-X86_INS_MOVLPS = 435
-X86_INS_MOVMSKPD = 436
-X86_INS_MOVMSKPS = 437
-X86_INS_MOVNTDQA = 438
-X86_INS_MOVNTDQ = 439
-X86_INS_MOVNTI = 440
-X86_INS_MOVNTPD = 441
-X86_INS_MOVNTPS = 442
-X86_INS_MOVNTSD = 443
-X86_INS_MOVNTSS = 444
-X86_INS_MOVSB = 445
-X86_INS_MOVSD = 446
-X86_INS_MOVSHDUP = 447
-X86_INS_MOVSLDUP = 448
-X86_INS_MOVSQ = 449
-X86_INS_MOVSS = 450
-X86_INS_MOVSW = 451
-X86_INS_MOVSX = 452
-X86_INS_MOVSXD = 453
-X86_INS_MOVUPD = 454
-X86_INS_MOVUPS = 455
-X86_INS_MOVZX = 456
-X86_INS_MPSADBW = 457
-X86_INS_MUL = 458
-X86_INS_MULPD = 459
-X86_INS_MULPS = 460
-X86_INS_MULSD = 461
-X86_INS_MULSS = 462
-X86_INS_MULX = 463
-X86_INS_FMUL = 464
-X86_INS_FIMUL = 465
-X86_INS_FMULP = 466
-X86_INS_MWAIT = 467
-X86_INS_NEG = 468
-X86_INS_NOP = 469
-X86_INS_NOT = 470
-X86_INS_OUT = 471
-X86_INS_OUTSB = 472
-X86_INS_OUTSD = 473
-X86_INS_OUTSW = 474
-X86_INS_PACKUSDW = 475
-X86_INS_PAUSE = 476
-X86_INS_PAVGUSB = 477
-X86_INS_PBLENDVB = 478
-X86_INS_PBLENDW = 479
-X86_INS_PCLMULQDQ = 480
-X86_INS_PCMPEQQ = 481
-X86_INS_PCMPESTRI = 482
-X86_INS_PCMPESTRM = 483
-X86_INS_PCMPGTQ = 484
-X86_INS_PCMPISTRI = 485
-X86_INS_PCMPISTRM = 486
-X86_INS_PDEP = 487
-X86_INS_PEXT = 488
-X86_INS_PEXTRB = 489
-X86_INS_PEXTRD = 490
-X86_INS_PEXTRQ = 491
-X86_INS_PF2ID = 492
-X86_INS_PF2IW = 493
-X86_INS_PFACC = 494
-X86_INS_PFADD = 495
-X86_INS_PFCMPEQ = 496
-X86_INS_PFCMPGE = 497
-X86_INS_PFCMPGT = 498
-X86_INS_PFMAX = 499
-X86_INS_PFMIN = 500
-X86_INS_PFMUL = 501
-X86_INS_PFNACC = 502
-X86_INS_PFPNACC = 503
-X86_INS_PFRCPIT1 = 504
-X86_INS_PFRCPIT2 = 505
-X86_INS_PFRCP = 506
-X86_INS_PFRSQIT1 = 507
-X86_INS_PFRSQRT = 508
-X86_INS_PFSUBR = 509
-X86_INS_PFSUB = 510
-X86_INS_PHMINPOSUW = 511
-X86_INS_PI2FD = 512
-X86_INS_PI2FW = 513
-X86_INS_PINSRB = 514
-X86_INS_PINSRD = 515
-X86_INS_PINSRQ = 516
-X86_INS_PMAXSB = 517
-X86_INS_PMAXSD = 518
-X86_INS_PMAXUD = 519
-X86_INS_PMAXUW = 520
-X86_INS_PMINSB = 521
-X86_INS_PMINSD = 522
-X86_INS_PMINUD = 523
-X86_INS_PMINUW = 524
-X86_INS_PMOVSXBD = 525
-X86_INS_PMOVSXBQ = 526
-X86_INS_PMOVSXBW = 527
-X86_INS_PMOVSXDQ = 528
-X86_INS_PMOVSXWD = 529
-X86_INS_PMOVSXWQ = 530
-X86_INS_PMOVZXBD = 531
-X86_INS_PMOVZXBQ = 532
-X86_INS_PMOVZXBW = 533
-X86_INS_PMOVZXDQ = 534
-X86_INS_PMOVZXWD = 535
-X86_INS_PMOVZXWQ = 536
-X86_INS_PMULDQ = 537
-X86_INS_PMULHRW = 538
-X86_INS_PMULLD = 539
-X86_INS_POP = 540
-X86_INS_POPAW = 541
-X86_INS_POPAL = 542
-X86_INS_POPCNT = 543
-X86_INS_POPF = 544
-X86_INS_POPFD = 545
-X86_INS_POPFQ = 546
-X86_INS_PREFETCH = 547
-X86_INS_PREFETCHNTA = 548
-X86_INS_PREFETCHT0 = 549
-X86_INS_PREFETCHT1 = 550
-X86_INS_PREFETCHT2 = 551
-X86_INS_PREFETCHW = 552
-X86_INS_PSHUFD = 553
-X86_INS_PSHUFHW = 554
-X86_INS_PSHUFLW = 555
-X86_INS_PSLLDQ = 556
-X86_INS_PSRLDQ = 557
-X86_INS_PSWAPD = 558
-X86_INS_PTEST = 559
-X86_INS_PUNPCKHQDQ = 560
-X86_INS_PUNPCKLQDQ = 561
-X86_INS_PUSH = 562
-X86_INS_PUSHAW = 563
-X86_INS_PUSHAL = 564
-X86_INS_PUSHF = 565
-X86_INS_PUSHFD = 566
-X86_INS_PUSHFQ = 567
-X86_INS_RCL = 568
-X86_INS_RCPPS = 569
-X86_INS_RCPSS = 570
-X86_INS_RCR = 571
-X86_INS_RDFSBASE = 572
-X86_INS_RDGSBASE = 573
-X86_INS_RDMSR = 574
-X86_INS_RDPMC = 575
-X86_INS_RDRAND = 576
-X86_INS_RDSEED = 577
-X86_INS_RDTSC = 578
-X86_INS_RDTSCP = 579
-X86_INS_REPNE = 580
-X86_INS_REP = 581
-X86_INS_RET = 582
-X86_INS_REX64 = 583
-X86_INS_ROL = 584
-X86_INS_ROR = 585
-X86_INS_RORX = 586
-X86_INS_ROUNDPD = 587
-X86_INS_ROUNDPS = 588
-X86_INS_ROUNDSD = 589
-X86_INS_ROUNDSS = 590
-X86_INS_RSM = 591
-X86_INS_RSQRTPS = 592
-X86_INS_RSQRTSS = 593
-X86_INS_SAHF = 594
-X86_INS_SAL = 595
-X86_INS_SALC = 596
-X86_INS_SAR = 597
-X86_INS_SARX = 598
-X86_INS_SBB = 599
-X86_INS_SCASW = 600
-X86_INS_SCASD = 601
-X86_INS_SCASQ = 602
-X86_INS_SCASB = 603
-X86_INS_SETAE = 604
-X86_INS_SETA = 605
-X86_INS_SETBE = 606
-X86_INS_SETB = 607
-X86_INS_SETE = 608
-X86_INS_SETGE = 609
-X86_INS_SETG = 610
-X86_INS_SETLE = 611
-X86_INS_SETL = 612
-X86_INS_SETNE = 613
-X86_INS_SETNO = 614
-X86_INS_SETNP = 615
-X86_INS_SETNS = 616
-X86_INS_SETO = 617
-X86_INS_SETP = 618
-X86_INS_SETS = 619
-X86_INS_SFENCE = 620
-X86_INS_SGDT = 621
-X86_INS_SHA1MSG1 = 622
-X86_INS_SHA1MSG2 = 623
-X86_INS_SHA1NEXTE = 624
-X86_INS_SHA1RNDS4 = 625
-X86_INS_SHA256MSG1 = 626
-X86_INS_SHA256MSG2 = 627
-X86_INS_SHA256RNDS2 = 628
-X86_INS_SHL = 629
-X86_INS_SHLD = 630
-X86_INS_SHLX = 631
-X86_INS_SHR = 632
-X86_INS_SHRD = 633
-X86_INS_SHRX = 634
-X86_INS_SHUFPD = 635
-X86_INS_SHUFPS = 636
-X86_INS_SIDT = 637
-X86_INS_FSIN = 638
-X86_INS_SKINIT = 639
-X86_INS_SLDT = 640
-X86_INS_SMSW = 641
-X86_INS_SQRTPD = 642
-X86_INS_SQRTPS = 643
-X86_INS_SQRTSD = 644
-X86_INS_SQRTSS = 645
-X86_INS_FSQRT = 646
-X86_INS_SS = 647
-X86_INS_STAC = 648
-X86_INS_STC = 649
-X86_INS_STD = 650
-X86_INS_STGI = 651
-X86_INS_STI = 652
-X86_INS_STMXCSR = 653
-X86_INS_STOSB = 654
-X86_INS_STOSD = 655
-X86_INS_STOSQ = 656
-X86_INS_STOSW = 657
-X86_INS_STR = 658
-X86_INS_FST = 659
-X86_INS_FSTP = 660
-X86_INS_FSTPNCE = 661
-X86_INS_SUBPD = 662
-X86_INS_SUBPS = 663
-X86_INS_FSUBR = 664
-X86_INS_FISUBR = 665
-X86_INS_FSUBRP = 666
-X86_INS_SUBSD = 667
-X86_INS_SUBSS = 668
-X86_INS_FSUB = 669
-X86_INS_FISUB = 670
-X86_INS_FSUBP = 671
-X86_INS_SWAPGS = 672
-X86_INS_SYSCALL = 673
-X86_INS_SYSENTER = 674
-X86_INS_SYSEXIT = 675
-X86_INS_SYSRET = 676
-X86_INS_T1MSKC = 677
-X86_INS_TEST = 678
-X86_INS_UD2 = 679
-X86_INS_FTST = 680
-X86_INS_TZCNT = 681
-X86_INS_TZMSK = 682
-X86_INS_FUCOMPI = 683
-X86_INS_FUCOMI = 684
-X86_INS_FUCOMPP = 685
-X86_INS_FUCOMP = 686
-X86_INS_FUCOM = 687
-X86_INS_UD2B = 688
-X86_INS_UNPCKHPD = 689
-X86_INS_UNPCKHPS = 690
-X86_INS_UNPCKLPD = 691
-X86_INS_UNPCKLPS = 692
-X86_INS_VADDPD = 693
-X86_INS_VADDPS = 694
-X86_INS_VADDSD = 695
-X86_INS_VADDSS = 696
-X86_INS_VADDSUBPD = 697
-X86_INS_VADDSUBPS = 698
-X86_INS_VAESDECLAST = 699
-X86_INS_VAESDEC = 700
-X86_INS_VAESENCLAST = 701
-X86_INS_VAESENC = 702
-X86_INS_VAESIMC = 703
-X86_INS_VAESKEYGENASSIST = 704
-X86_INS_VALIGND = 705
-X86_INS_VALIGNQ = 706
-X86_INS_VANDNPD = 707
-X86_INS_VANDNPS = 708
-X86_INS_VANDPD = 709
-X86_INS_VANDPS = 710
-X86_INS_VBLENDMPD = 711
-X86_INS_VBLENDMPS = 712
-X86_INS_VBLENDPD = 713
-X86_INS_VBLENDPS = 714
-X86_INS_VBLENDVPD = 715
-X86_INS_VBLENDVPS = 716
-X86_INS_VBROADCASTF128 = 717
-X86_INS_VBROADCASTI128 = 718
-X86_INS_VBROADCASTSD = 719
-X86_INS_VBROADCASTSS = 720
-X86_INS_VCMPPD = 721
-X86_INS_VCMPPS = 722
-X86_INS_VCMPSD = 723
-X86_INS_VCMPSS = 724
-X86_INS_VCVTDQ2PD = 725
-X86_INS_VCVTDQ2PS = 726
-X86_INS_VCVTPD2DQX = 727
-X86_INS_VCVTPD2DQ = 728
-X86_INS_VCVTPD2PSX = 729
-X86_INS_VCVTPD2PS = 730
-X86_INS_VCVTPD2UDQ = 731
-X86_INS_VCVTPH2PS = 732
-X86_INS_VCVTPS2DQ = 733
-X86_INS_VCVTPS2PD = 734
-X86_INS_VCVTPS2PH = 735
-X86_INS_VCVTPS2UDQ = 736
-X86_INS_VCVTSD2SI = 737
-X86_INS_VCVTSD2USI = 738
-X86_INS_VCVTSS2SI = 739
-X86_INS_VCVTSS2USI = 740
-X86_INS_VCVTTPD2DQX = 741
-X86_INS_VCVTTPD2DQ = 742
-X86_INS_VCVTTPD2UDQ = 743
-X86_INS_VCVTTPS2DQ = 744
-X86_INS_VCVTTPS2UDQ = 745
-X86_INS_VCVTUDQ2PD = 746
-X86_INS_VCVTUDQ2PS = 747
-X86_INS_VDIVPD = 748
-X86_INS_VDIVPS = 749
-X86_INS_VDIVSD = 750
-X86_INS_VDIVSS = 751
-X86_INS_VDPPD = 752
-X86_INS_VDPPS = 753
-X86_INS_VERR = 754
-X86_INS_VERW = 755
-X86_INS_VEXTRACTF128 = 756
-X86_INS_VEXTRACTF32X4 = 757
-X86_INS_VEXTRACTF64X4 = 758
-X86_INS_VEXTRACTI128 = 759
-X86_INS_VEXTRACTI32X4 = 760
-X86_INS_VEXTRACTI64X4 = 761
-X86_INS_VEXTRACTPS = 762
-X86_INS_VFMADD132PD = 763
-X86_INS_VFMADD132PS = 764
-X86_INS_VFMADD213PD = 765
-X86_INS_VFMADD213PS = 766
-X86_INS_VFMADDPD = 767
-X86_INS_VFMADD231PD = 768
-X86_INS_VFMADDPS = 769
-X86_INS_VFMADD231PS = 770
-X86_INS_VFMADDSD = 771
-X86_INS_VFMADD213SD = 772
-X86_INS_VFMADD132SD = 773
-X86_INS_VFMADD231SD = 774
-X86_INS_VFMADDSS = 775
-X86_INS_VFMADD213SS = 776
-X86_INS_VFMADD132SS = 777
-X86_INS_VFMADD231SS = 778
-X86_INS_VFMADDSUB132PD = 779
-X86_INS_VFMADDSUB132PS = 780
-X86_INS_VFMADDSUB213PD = 781
-X86_INS_VFMADDSUB213PS = 782
-X86_INS_VFMADDSUBPD = 783
-X86_INS_VFMADDSUB231PD = 784
-X86_INS_VFMADDSUBPS = 785
-X86_INS_VFMADDSUB231PS = 786
-X86_INS_VFMSUB132PD = 787
-X86_INS_VFMSUB132PS = 788
-X86_INS_VFMSUB213PD = 789
-X86_INS_VFMSUB213PS = 790
-X86_INS_VFMSUBADD132PD = 791
-X86_INS_VFMSUBADD132PS = 792
-X86_INS_VFMSUBADD213PD = 793
-X86_INS_VFMSUBADD213PS = 794
-X86_INS_VFMSUBADDPD = 795
-X86_INS_VFMSUBADD231PD = 796
-X86_INS_VFMSUBADDPS = 797
-X86_INS_VFMSUBADD231PS = 798
-X86_INS_VFMSUBPD = 799
-X86_INS_VFMSUB231PD = 800
-X86_INS_VFMSUBPS = 801
-X86_INS_VFMSUB231PS = 802
-X86_INS_VFMSUBSD = 803
-X86_INS_VFMSUB213SD = 804
-X86_INS_VFMSUB132SD = 805
-X86_INS_VFMSUB231SD = 806
-X86_INS_VFMSUBSS = 807
-X86_INS_VFMSUB213SS = 808
-X86_INS_VFMSUB132SS = 809
-X86_INS_VFMSUB231SS = 810
-X86_INS_VFNMADD132PD = 811
-X86_INS_VFNMADD132PS = 812
-X86_INS_VFNMADD213PD = 813
-X86_INS_VFNMADD213PS = 814
-X86_INS_VFNMADDPD = 815
-X86_INS_VFNMADD231PD = 816
-X86_INS_VFNMADDPS = 817
-X86_INS_VFNMADD231PS = 818
-X86_INS_VFNMADDSD = 819
-X86_INS_VFNMADD213SD = 820
-X86_INS_VFNMADD132SD = 821
-X86_INS_VFNMADD231SD = 822
-X86_INS_VFNMADDSS = 823
-X86_INS_VFNMADD213SS = 824
-X86_INS_VFNMADD132SS = 825
-X86_INS_VFNMADD231SS = 826
-X86_INS_VFNMSUB132PD = 827
-X86_INS_VFNMSUB132PS = 828
-X86_INS_VFNMSUB213PD = 829
-X86_INS_VFNMSUB213PS = 830
-X86_INS_VFNMSUBPD = 831
-X86_INS_VFNMSUB231PD = 832
-X86_INS_VFNMSUBPS = 833
-X86_INS_VFNMSUB231PS = 834
-X86_INS_VFNMSUBSD = 835
-X86_INS_VFNMSUB213SD = 836
-X86_INS_VFNMSUB132SD = 837
-X86_INS_VFNMSUB231SD = 838
-X86_INS_VFNMSUBSS = 839
-X86_INS_VFNMSUB213SS = 840
-X86_INS_VFNMSUB132SS = 841
-X86_INS_VFNMSUB231SS = 842
-X86_INS_VFRCZPD = 843
-X86_INS_VFRCZPS = 844
-X86_INS_VFRCZSD = 845
-X86_INS_VFRCZSS = 846
-X86_INS_VORPD = 847
-X86_INS_VORPS = 848
-X86_INS_VXORPD = 849
-X86_INS_VXORPS = 850
-X86_INS_VGATHERDPD = 851
-X86_INS_VGATHERDPS = 852
-X86_INS_VGATHERQPD = 853
-X86_INS_VGATHERQPS = 854
-X86_INS_VHADDPD = 855
-X86_INS_VHADDPS = 856
-X86_INS_VHSUBPD = 857
-X86_INS_VHSUBPS = 858
-X86_INS_VINSERTF128 = 859
-X86_INS_VINSERTF32X4 = 860
-X86_INS_VINSERTF64X4 = 861
-X86_INS_VINSERTI128 = 862
-X86_INS_VINSERTI32X4 = 863
-X86_INS_VINSERTI64X4 = 864
-X86_INS_VINSERTPS = 865
-X86_INS_VLDDQU = 866
-X86_INS_VLDMXCSR = 867
-X86_INS_VMASKMOVDQU = 868
-X86_INS_VMASKMOVPD = 869
-X86_INS_VMASKMOVPS = 870
-X86_INS_VMAXPD = 871
-X86_INS_VMAXPS = 872
-X86_INS_VMAXSD = 873
-X86_INS_VMAXSS = 874
-X86_INS_VMCALL = 875
-X86_INS_VMCLEAR = 876
-X86_INS_VMFUNC = 877
-X86_INS_VMINPD = 878
-X86_INS_VMINPS = 879
-X86_INS_VMINSD = 880
-X86_INS_VMINSS = 881
-X86_INS_VMLAUNCH = 882
-X86_INS_VMLOAD = 883
-X86_INS_VMMCALL = 884
-X86_INS_VMOVQ = 885
-X86_INS_VMOVDDUP = 886
-X86_INS_VMOVD = 887
-X86_INS_VMOVDQA32 = 888
-X86_INS_VMOVDQA64 = 889
-X86_INS_VMOVDQA = 890
-X86_INS_VMOVDQU32 = 891
-X86_INS_VMOVDQU64 = 892
-X86_INS_VMOVDQU = 893
-X86_INS_VMOVHLPS = 894
-X86_INS_VMOVHPD = 895
-X86_INS_VMOVHPS = 896
-X86_INS_VMOVLHPS = 897
-X86_INS_VMOVLPD = 898
-X86_INS_VMOVLPS = 899
-X86_INS_VMOVMSKPD = 900
-X86_INS_VMOVMSKPS = 901
-X86_INS_VMOVNTDQA = 902
-X86_INS_VMOVNTDQ = 903
-X86_INS_VMOVNTPD = 904
-X86_INS_VMOVNTPS = 905
-X86_INS_VMOVSD = 906
-X86_INS_VMOVSHDUP = 907
-X86_INS_VMOVSLDUP = 908
-X86_INS_VMOVSS = 909
-X86_INS_VMOVUPD = 910
-X86_INS_VMOVUPS = 911
-X86_INS_VMPSADBW = 912
-X86_INS_VMPTRLD = 913
-X86_INS_VMPTRST = 914
-X86_INS_VMREAD = 915
-X86_INS_VMRESUME = 916
-X86_INS_VMRUN = 917
-X86_INS_VMSAVE = 918
-X86_INS_VMULPD = 919
-X86_INS_VMULPS = 920
-X86_INS_VMULSD = 921
-X86_INS_VMULSS = 922
-X86_INS_VMWRITE = 923
-X86_INS_VMXOFF = 924
-X86_INS_VMXON = 925
-X86_INS_VPABSB = 926
-X86_INS_VPABSD = 927
-X86_INS_VPABSQ = 928
-X86_INS_VPABSW = 929
-X86_INS_VPACKSSDW = 930
-X86_INS_VPACKSSWB = 931
-X86_INS_VPACKUSDW = 932
-X86_INS_VPACKUSWB = 933
-X86_INS_VPADDB = 934
-X86_INS_VPADDD = 935
-X86_INS_VPADDQ = 936
-X86_INS_VPADDSB = 937
-X86_INS_VPADDSW = 938
-X86_INS_VPADDUSB = 939
-X86_INS_VPADDUSW = 940
-X86_INS_VPADDW = 941
-X86_INS_VPALIGNR = 942
-X86_INS_VPANDD = 943
-X86_INS_VPANDND = 944
-X86_INS_VPANDNQ = 945
-X86_INS_VPANDN = 946
-X86_INS_VPANDQ = 947
-X86_INS_VPAND = 948
-X86_INS_VPAVGB = 949
-X86_INS_VPAVGW = 950
-X86_INS_VPBLENDD = 951
-X86_INS_VPBLENDMD = 952
-X86_INS_VPBLENDMQ = 953
-X86_INS_VPBLENDVB = 954
-X86_INS_VPBLENDW = 955
-X86_INS_VPBROADCASTB = 956
-X86_INS_VPBROADCASTD = 957
-X86_INS_VPBROADCASTMB2Q = 958
-X86_INS_VPBROADCASTMW2D = 959
-X86_INS_VPBROADCASTQ = 960
-X86_INS_VPBROADCASTW = 961
-X86_INS_VPCLMULQDQ = 962
-X86_INS_VPCMOV = 963
-X86_INS_VPCMP = 964
-X86_INS_VPCMPD = 965
-X86_INS_VPCMPEQB = 966
-X86_INS_VPCMPEQD = 967
-X86_INS_VPCMPEQQ = 968
-X86_INS_VPCMPEQW = 969
-X86_INS_VPCMPESTRI = 970
-X86_INS_VPCMPESTRM = 971
-X86_INS_VPCMPGTB = 972
-X86_INS_VPCMPGTD = 973
-X86_INS_VPCMPGTQ = 974
-X86_INS_VPCMPGTW = 975
-X86_INS_VPCMPISTRI = 976
-X86_INS_VPCMPISTRM = 977
-X86_INS_VPCMPQ = 978
-X86_INS_VPCMPUD = 979
-X86_INS_VPCMPUQ = 980
-X86_INS_VPCOMB = 981
-X86_INS_VPCOMD = 982
-X86_INS_VPCOMQ = 983
-X86_INS_VPCOMUB = 984
-X86_INS_VPCOMUD = 985
-X86_INS_VPCOMUQ = 986
-X86_INS_VPCOMUW = 987
-X86_INS_VPCOMW = 988
-X86_INS_VPCONFLICTD = 989
-X86_INS_VPCONFLICTQ = 990
-X86_INS_VPERM2F128 = 991
-X86_INS_VPERM2I128 = 992
-X86_INS_VPERMD = 993
-X86_INS_VPERMI2D = 994
-X86_INS_VPERMI2PD = 995
-X86_INS_VPERMI2PS = 996
-X86_INS_VPERMI2Q = 997
-X86_INS_VPERMIL2PD = 998
-X86_INS_VPERMIL2PS = 999
-X86_INS_VPERMILPD = 1000
-X86_INS_VPERMILPS = 1001
-X86_INS_VPERMPD = 1002
-X86_INS_VPERMPS = 1003
-X86_INS_VPERMQ = 1004
-X86_INS_VPERMT2D = 1005
-X86_INS_VPERMT2PD = 1006
-X86_INS_VPERMT2PS = 1007
-X86_INS_VPERMT2Q = 1008
-X86_INS_VPEXTRB = 1009
-X86_INS_VPEXTRD = 1010
-X86_INS_VPEXTRQ = 1011
-X86_INS_VPEXTRW = 1012
-X86_INS_VPGATHERDD = 1013
-X86_INS_VPGATHERDQ = 1014
-X86_INS_VPGATHERQD = 1015
-X86_INS_VPGATHERQQ = 1016
-X86_INS_VPHADDBD = 1017
-X86_INS_VPHADDBQ = 1018
-X86_INS_VPHADDBW = 1019
-X86_INS_VPHADDDQ = 1020
-X86_INS_VPHADDD = 1021
-X86_INS_VPHADDSW = 1022
-X86_INS_VPHADDUBD = 1023
-X86_INS_VPHADDUBQ = 1024
-X86_INS_VPHADDUBW = 1025
-X86_INS_VPHADDUDQ = 1026
-X86_INS_VPHADDUWD = 1027
-X86_INS_VPHADDUWQ = 1028
-X86_INS_VPHADDWD = 1029
-X86_INS_VPHADDWQ = 1030
-X86_INS_VPHADDW = 1031
-X86_INS_VPHMINPOSUW = 1032
-X86_INS_VPHSUBBW = 1033
-X86_INS_VPHSUBDQ = 1034
-X86_INS_VPHSUBD = 1035
-X86_INS_VPHSUBSW = 1036
-X86_INS_VPHSUBWD = 1037
-X86_INS_VPHSUBW = 1038
-X86_INS_VPINSRB = 1039
-X86_INS_VPINSRD = 1040
-X86_INS_VPINSRQ = 1041
-X86_INS_VPINSRW = 1042
-X86_INS_VPMACSDD = 1043
-X86_INS_VPMACSDQH = 1044
-X86_INS_VPMACSDQL = 1045
-X86_INS_VPMACSSDD = 1046
-X86_INS_VPMACSSDQH = 1047
-X86_INS_VPMACSSDQL = 1048
-X86_INS_VPMACSSWD = 1049
-X86_INS_VPMACSSWW = 1050
-X86_INS_VPMACSWD = 1051
-X86_INS_VPMACSWW = 1052
-X86_INS_VPMADCSSWD = 1053
-X86_INS_VPMADCSWD = 1054
-X86_INS_VPMADDUBSW = 1055
-X86_INS_VPMADDWD = 1056
-X86_INS_VPMASKMOVD = 1057
-X86_INS_VPMASKMOVQ = 1058
-X86_INS_VPMAXSB = 1059
-X86_INS_VPMAXSD = 1060
-X86_INS_VPMAXSQ = 1061
-X86_INS_VPMAXSW = 1062
-X86_INS_VPMAXUB = 1063
-X86_INS_VPMAXUD = 1064
-X86_INS_VPMAXUQ = 1065
-X86_INS_VPMAXUW = 1066
-X86_INS_VPMINSB = 1067
-X86_INS_VPMINSD = 1068
-X86_INS_VPMINSQ = 1069
-X86_INS_VPMINSW = 1070
-X86_INS_VPMINUB = 1071
-X86_INS_VPMINUD = 1072
-X86_INS_VPMINUQ = 1073
-X86_INS_VPMINUW = 1074
-X86_INS_VPMOVDB = 1075
-X86_INS_VPMOVDW = 1076
-X86_INS_VPMOVMSKB = 1077
-X86_INS_VPMOVQB = 1078
-X86_INS_VPMOVQD = 1079
-X86_INS_VPMOVQW = 1080
-X86_INS_VPMOVSDB = 1081
-X86_INS_VPMOVSDW = 1082
-X86_INS_VPMOVSQB = 1083
-X86_INS_VPMOVSQD = 1084
-X86_INS_VPMOVSQW = 1085
-X86_INS_VPMOVSXBD = 1086
-X86_INS_VPMOVSXBQ = 1087
-X86_INS_VPMOVSXBW = 1088
-X86_INS_VPMOVSXDQ = 1089
-X86_INS_VPMOVSXWD = 1090
-X86_INS_VPMOVSXWQ = 1091
-X86_INS_VPMOVUSDB = 1092
-X86_INS_VPMOVUSDW = 1093
-X86_INS_VPMOVUSQB = 1094
-X86_INS_VPMOVUSQD = 1095
-X86_INS_VPMOVUSQW = 1096
-X86_INS_VPMOVZXBD = 1097
-X86_INS_VPMOVZXBQ = 1098
-X86_INS_VPMOVZXBW = 1099
-X86_INS_VPMOVZXDQ = 1100
-X86_INS_VPMOVZXWD = 1101
-X86_INS_VPMOVZXWQ = 1102
-X86_INS_VPMULDQ = 1103
-X86_INS_VPMULHRSW = 1104
-X86_INS_VPMULHUW = 1105
-X86_INS_VPMULHW = 1106
-X86_INS_VPMULLD = 1107
-X86_INS_VPMULLW = 1108
-X86_INS_VPMULUDQ = 1109
-X86_INS_VPORD = 1110
-X86_INS_VPORQ = 1111
-X86_INS_VPOR = 1112
-X86_INS_VPPERM = 1113
-X86_INS_VPROTB = 1114
-X86_INS_VPROTD = 1115
-X86_INS_VPROTQ = 1116
-X86_INS_VPROTW = 1117
-X86_INS_VPSADBW = 1118
-X86_INS_VPSCATTERDD = 1119
-X86_INS_VPSCATTERDQ = 1120
-X86_INS_VPSCATTERQD = 1121
-X86_INS_VPSCATTERQQ = 1122
-X86_INS_VPSHAB = 1123
-X86_INS_VPSHAD = 1124
-X86_INS_VPSHAQ = 1125
-X86_INS_VPSHAW = 1126
-X86_INS_VPSHLB = 1127
-X86_INS_VPSHLD = 1128
-X86_INS_VPSHLQ = 1129
-X86_INS_VPSHLW = 1130
-X86_INS_VPSHUFB = 1131
-X86_INS_VPSHUFD = 1132
-X86_INS_VPSHUFHW = 1133
-X86_INS_VPSHUFLW = 1134
-X86_INS_VPSIGNB = 1135
-X86_INS_VPSIGND = 1136
-X86_INS_VPSIGNW = 1137
-X86_INS_VPSLLDQ = 1138
-X86_INS_VPSLLD = 1139
-X86_INS_VPSLLQ = 1140
-X86_INS_VPSLLVD = 1141
-X86_INS_VPSLLVQ = 1142
-X86_INS_VPSLLW = 1143
-X86_INS_VPSRAD = 1144
-X86_INS_VPSRAQ = 1145
-X86_INS_VPSRAVD = 1146
-X86_INS_VPSRAVQ = 1147
-X86_INS_VPSRAW = 1148
-X86_INS_VPSRLDQ = 1149
-X86_INS_VPSRLD = 1150
-X86_INS_VPSRLQ = 1151
-X86_INS_VPSRLVD = 1152
-X86_INS_VPSRLVQ = 1153
-X86_INS_VPSRLW = 1154
-X86_INS_VPSUBB = 1155
-X86_INS_VPSUBD = 1156
-X86_INS_VPSUBQ = 1157
-X86_INS_VPSUBSB = 1158
-X86_INS_VPSUBSW = 1159
-X86_INS_VPSUBUSB = 1160
-X86_INS_VPSUBUSW = 1161
-X86_INS_VPSUBW = 1162
-X86_INS_VPTESTMD = 1163
-X86_INS_VPTESTMQ = 1164
-X86_INS_VPTESTNMD = 1165
-X86_INS_VPTESTNMQ = 1166
-X86_INS_VPTEST = 1167
-X86_INS_VPUNPCKHBW = 1168
-X86_INS_VPUNPCKHDQ = 1169
-X86_INS_VPUNPCKHQDQ = 1170
-X86_INS_VPUNPCKHWD = 1171
-X86_INS_VPUNPCKLBW = 1172
-X86_INS_VPUNPCKLDQ = 1173
-X86_INS_VPUNPCKLQDQ = 1174
-X86_INS_VPUNPCKLWD = 1175
-X86_INS_VPXORD = 1176
-X86_INS_VPXORQ = 1177
-X86_INS_VPXOR = 1178
-X86_INS_VRCP14PD = 1179
-X86_INS_VRCP14PS = 1180
-X86_INS_VRCP14SD = 1181
-X86_INS_VRCP14SS = 1182
-X86_INS_VRCP28PD = 1183
-X86_INS_VRCP28PS = 1184
-X86_INS_VRCP28SD = 1185
-X86_INS_VRCP28SS = 1186
-X86_INS_VRCPPS = 1187
-X86_INS_VRCPSS = 1188
-X86_INS_VRNDSCALEPD = 1189
-X86_INS_VRNDSCALEPS = 1190
-X86_INS_VRNDSCALESD = 1191
-X86_INS_VRNDSCALESS = 1192
-X86_INS_VROUNDPD = 1193
-X86_INS_VROUNDPS = 1194
-X86_INS_VROUNDSD = 1195
-X86_INS_VROUNDSS = 1196
-X86_INS_VRSQRT14PD = 1197
-X86_INS_VRSQRT14PS = 1198
-X86_INS_VRSQRT14SD = 1199
-X86_INS_VRSQRT14SS = 1200
-X86_INS_VRSQRT28PD = 1201
-X86_INS_VRSQRT28PS = 1202
-X86_INS_VRSQRT28SD = 1203
-X86_INS_VRSQRT28SS = 1204
-X86_INS_VRSQRTPS = 1205
-X86_INS_VRSQRTSS = 1206
-X86_INS_VSCATTERDPD = 1207
-X86_INS_VSCATTERDPS = 1208
-X86_INS_VSCATTERQPD = 1209
-X86_INS_VSCATTERQPS = 1210
-X86_INS_VSHUFPD = 1211
-X86_INS_VSHUFPS = 1212
-X86_INS_VSQRTPD = 1213
-X86_INS_VSQRTPS = 1214
-X86_INS_VSQRTSD = 1215
-X86_INS_VSQRTSS = 1216
-X86_INS_VSTMXCSR = 1217
-X86_INS_VSUBPD = 1218
-X86_INS_VSUBPS = 1219
-X86_INS_VSUBSD = 1220
-X86_INS_VSUBSS = 1221
-X86_INS_VTESTPD = 1222
-X86_INS_VTESTPS = 1223
-X86_INS_VUNPCKHPD = 1224
-X86_INS_VUNPCKHPS = 1225
-X86_INS_VUNPCKLPD = 1226
-X86_INS_VUNPCKLPS = 1227
-X86_INS_VZEROALL = 1228
-X86_INS_VZEROUPPER = 1229
-X86_INS_WAIT = 1230
-X86_INS_WBINVD = 1231
-X86_INS_WRFSBASE = 1232
-X86_INS_WRGSBASE = 1233
-X86_INS_WRMSR = 1234
-X86_INS_XABORT = 1235
-X86_INS_XACQUIRE = 1236
-X86_INS_XBEGIN = 1237
-X86_INS_XCHG = 1238
-X86_INS_FXCH = 1239
-X86_INS_XCRYPTCBC = 1240
-X86_INS_XCRYPTCFB = 1241
-X86_INS_XCRYPTCTR = 1242
-X86_INS_XCRYPTECB = 1243
-X86_INS_XCRYPTOFB = 1244
-X86_INS_XEND = 1245
-X86_INS_XGETBV = 1246
-X86_INS_XLATB = 1247
-X86_INS_XRELEASE = 1248
-X86_INS_XRSTOR = 1249
-X86_INS_XRSTOR64 = 1250
-X86_INS_XSAVE = 1251
-X86_INS_XSAVE64 = 1252
-X86_INS_XSAVEOPT = 1253
-X86_INS_XSAVEOPT64 = 1254
-X86_INS_XSETBV = 1255
-X86_INS_XSHA1 = 1256
-X86_INS_XSHA256 = 1257
-X86_INS_XSTORE = 1258
-X86_INS_XTEST = 1259
-X86_INS_MAX = 1260
+X86_INS_FXAM = 190
+X86_INS_FXRSTOR = 191
+X86_INS_FXRSTOR64 = 192
+X86_INS_FXSAVE = 193
+X86_INS_FXSAVE64 = 194
+X86_INS_FXTRACT = 195
+X86_INS_FYL2X = 196
+X86_INS_FYL2XP1 = 197
+X86_INS_MOVAPD = 198
+X86_INS_MOVAPS = 199
+X86_INS_ORPD = 200
+X86_INS_ORPS = 201
+X86_INS_VMOVAPD = 202
+X86_INS_VMOVAPS = 203
+X86_INS_XORPD = 204
+X86_INS_XORPS = 205
+X86_INS_GETSEC = 206
+X86_INS_HADDPD = 207
+X86_INS_HADDPS = 208
+X86_INS_HLT = 209
+X86_INS_HSUBPD = 210
+X86_INS_HSUBPS = 211
+X86_INS_IDIV = 212
+X86_INS_FILD = 213
+X86_INS_IMUL = 214
+X86_INS_IN = 215
+X86_INS_INC = 216
+X86_INS_INSB = 217
+X86_INS_INSERTPS = 218
+X86_INS_INSERTQ = 219
+X86_INS_INSD = 220
+X86_INS_INSW = 221
+X86_INS_INT = 222
+X86_INS_INT1 = 223
+X86_INS_INT3 = 224
+X86_INS_INTO = 225
+X86_INS_INVD = 226
+X86_INS_INVEPT = 227
+X86_INS_INVLPG = 228
+X86_INS_INVLPGA = 229
+X86_INS_INVPCID = 230
+X86_INS_INVVPID = 231
+X86_INS_IRET = 232
+X86_INS_IRETD = 233
+X86_INS_IRETQ = 234
+X86_INS_FISTTP = 235
+X86_INS_FIST = 236
+X86_INS_FISTP = 237
+X86_INS_UCOMISD = 238
+X86_INS_UCOMISS = 239
+X86_INS_VCMP = 240
+X86_INS_VCOMISD = 241
+X86_INS_VCOMISS = 242
+X86_INS_VCVTSD2SS = 243
+X86_INS_VCVTSI2SD = 244
+X86_INS_VCVTSI2SS = 245
+X86_INS_VCVTSS2SD = 246
+X86_INS_VCVTTSD2SI = 247
+X86_INS_VCVTTSD2USI = 248
+X86_INS_VCVTTSS2SI = 249
+X86_INS_VCVTTSS2USI = 250
+X86_INS_VCVTUSI2SD = 251
+X86_INS_VCVTUSI2SS = 252
+X86_INS_VUCOMISD = 253
+X86_INS_VUCOMISS = 254
+X86_INS_JAE = 255
+X86_INS_JA = 256
+X86_INS_JBE = 257
+X86_INS_JB = 258
+X86_INS_JCXZ = 259
+X86_INS_JECXZ = 260
+X86_INS_JE = 261
+X86_INS_JGE = 262
+X86_INS_JG = 263
+X86_INS_JLE = 264
+X86_INS_JL = 265
+X86_INS_JMP = 266
+X86_INS_JNE = 267
+X86_INS_JNO = 268
+X86_INS_JNP = 269
+X86_INS_JNS = 270
+X86_INS_JO = 271
+X86_INS_JP = 272
+X86_INS_JRCXZ = 273
+X86_INS_JS = 274
+X86_INS_KANDB = 275
+X86_INS_KANDD = 276
+X86_INS_KANDNB = 277
+X86_INS_KANDND = 278
+X86_INS_KANDNQ = 279
+X86_INS_KANDNW = 280
+X86_INS_KANDQ = 281
+X86_INS_KANDW = 282
+X86_INS_KMOVB = 283
+X86_INS_KMOVD = 284
+X86_INS_KMOVQ = 285
+X86_INS_KMOVW = 286
+X86_INS_KNOTB = 287
+X86_INS_KNOTD = 288
+X86_INS_KNOTQ = 289
+X86_INS_KNOTW = 290
+X86_INS_KORB = 291
+X86_INS_KORD = 292
+X86_INS_KORQ = 293
+X86_INS_KORTESTW = 294
+X86_INS_KORW = 295
+X86_INS_KSHIFTLW = 296
+X86_INS_KSHIFTRW = 297
+X86_INS_KUNPCKBW = 298
+X86_INS_KXNORB = 299
+X86_INS_KXNORD = 300
+X86_INS_KXNORQ = 301
+X86_INS_KXNORW = 302
+X86_INS_KXORB = 303
+X86_INS_KXORD = 304
+X86_INS_KXORQ = 305
+X86_INS_KXORW = 306
+X86_INS_LAHF = 307
+X86_INS_LAR = 308
+X86_INS_LDDQU = 309
+X86_INS_LDMXCSR = 310
+X86_INS_LDS = 311
+X86_INS_FLDZ = 312
+X86_INS_FLD1 = 313
+X86_INS_FLD = 314
+X86_INS_LEA = 315
+X86_INS_LEAVE = 316
+X86_INS_LES = 317
+X86_INS_LFENCE = 318
+X86_INS_LFS = 319
+X86_INS_LGDT = 320
+X86_INS_LGS = 321
+X86_INS_LIDT = 322
+X86_INS_LLDT = 323
+X86_INS_LMSW = 324
+X86_INS_OR = 325
+X86_INS_LOCK = 326
+X86_INS_SUB = 327
+X86_INS_XOR = 328
+X86_INS_LODSB = 329
+X86_INS_LODSD = 330
+X86_INS_LODSQ = 331
+X86_INS_LODSW = 332
+X86_INS_LOOP = 333
+X86_INS_LOOPE = 334
+X86_INS_LOOPNE = 335
+X86_INS_RETF = 336
+X86_INS_RETFQ = 337
+X86_INS_LSL = 338
+X86_INS_LSS = 339
+X86_INS_LTR = 340
+X86_INS_XADD = 341
+X86_INS_LZCNT = 342
+X86_INS_MASKMOVDQU = 343
+X86_INS_MAXPD = 344
+X86_INS_MAXPS = 345
+X86_INS_MAXSD = 346
+X86_INS_MAXSS = 347
+X86_INS_MFENCE = 348
+X86_INS_MINPD = 349
+X86_INS_MINPS = 350
+X86_INS_MINSD = 351
+X86_INS_MINSS = 352
+X86_INS_CVTPD2PI = 353
+X86_INS_CVTPI2PD = 354
+X86_INS_CVTPI2PS = 355
+X86_INS_CVTPS2PI = 356
+X86_INS_CVTTPD2PI = 357
+X86_INS_CVTTPS2PI = 358
+X86_INS_EMMS = 359
+X86_INS_MASKMOVQ = 360
+X86_INS_MOVD = 361
+X86_INS_MOVDQ2Q = 362
+X86_INS_MOVNTQ = 363
+X86_INS_MOVQ2DQ = 364
+X86_INS_MOVQ = 365
+X86_INS_PABSB = 366
+X86_INS_PABSD = 367
+X86_INS_PABSW = 368
+X86_INS_PACKSSDW = 369
+X86_INS_PACKSSWB = 370
+X86_INS_PACKUSWB = 371
+X86_INS_PADDB = 372
+X86_INS_PADDD = 373
+X86_INS_PADDQ = 374
+X86_INS_PADDSB = 375
+X86_INS_PADDSW = 376
+X86_INS_PADDUSB = 377
+X86_INS_PADDUSW = 378
+X86_INS_PADDW = 379
+X86_INS_PALIGNR = 380
+X86_INS_PANDN = 381
+X86_INS_PAND = 382
+X86_INS_PAVGB = 383
+X86_INS_PAVGW = 384
+X86_INS_PCMPEQB = 385
+X86_INS_PCMPEQD = 386
+X86_INS_PCMPEQW = 387
+X86_INS_PCMPGTB = 388
+X86_INS_PCMPGTD = 389
+X86_INS_PCMPGTW = 390
+X86_INS_PEXTRW = 391
+X86_INS_PHADDSW = 392
+X86_INS_PHADDW = 393
+X86_INS_PHADDD = 394
+X86_INS_PHSUBD = 395
+X86_INS_PHSUBSW = 396
+X86_INS_PHSUBW = 397
+X86_INS_PINSRW = 398
+X86_INS_PMADDUBSW = 399
+X86_INS_PMADDWD = 400
+X86_INS_PMAXSW = 401
+X86_INS_PMAXUB = 402
+X86_INS_PMINSW = 403
+X86_INS_PMINUB = 404
+X86_INS_PMOVMSKB = 405
+X86_INS_PMULHRSW = 406
+X86_INS_PMULHUW = 407
+X86_INS_PMULHW = 408
+X86_INS_PMULLW = 409
+X86_INS_PMULUDQ = 410
+X86_INS_POR = 411
+X86_INS_PSADBW = 412
+X86_INS_PSHUFB = 413
+X86_INS_PSHUFW = 414
+X86_INS_PSIGNB = 415
+X86_INS_PSIGND = 416
+X86_INS_PSIGNW = 417
+X86_INS_PSLLD = 418
+X86_INS_PSLLQ = 419
+X86_INS_PSLLW = 420
+X86_INS_PSRAD = 421
+X86_INS_PSRAW = 422
+X86_INS_PSRLD = 423
+X86_INS_PSRLQ = 424
+X86_INS_PSRLW = 425
+X86_INS_PSUBB = 426
+X86_INS_PSUBD = 427
+X86_INS_PSUBQ = 428
+X86_INS_PSUBSB = 429
+X86_INS_PSUBSW = 430
+X86_INS_PSUBUSB = 431
+X86_INS_PSUBUSW = 432
+X86_INS_PSUBW = 433
+X86_INS_PUNPCKHBW = 434
+X86_INS_PUNPCKHDQ = 435
+X86_INS_PUNPCKHWD = 436
+X86_INS_PUNPCKLBW = 437
+X86_INS_PUNPCKLDQ = 438
+X86_INS_PUNPCKLWD = 439
+X86_INS_PXOR = 440
+X86_INS_MONITOR = 441
+X86_INS_MONTMUL = 442
+X86_INS_MOV = 443
+X86_INS_MOVABS = 444
+X86_INS_MOVBE = 445
+X86_INS_MOVDDUP = 446
+X86_INS_MOVDQA = 447
+X86_INS_MOVDQU = 448
+X86_INS_MOVHLPS = 449
+X86_INS_MOVHPD = 450
+X86_INS_MOVHPS = 451
+X86_INS_MOVLHPS = 452
+X86_INS_MOVLPD = 453
+X86_INS_MOVLPS = 454
+X86_INS_MOVMSKPD = 455
+X86_INS_MOVMSKPS = 456
+X86_INS_MOVNTDQA = 457
+X86_INS_MOVNTDQ = 458
+X86_INS_MOVNTI = 459
+X86_INS_MOVNTPD = 460
+X86_INS_MOVNTPS = 461
+X86_INS_MOVNTSD = 462
+X86_INS_MOVNTSS = 463
+X86_INS_MOVSB = 464
+X86_INS_MOVSD = 465
+X86_INS_MOVSHDUP = 466
+X86_INS_MOVSLDUP = 467
+X86_INS_MOVSQ = 468
+X86_INS_MOVSS = 469
+X86_INS_MOVSW = 470
+X86_INS_MOVSX = 471
+X86_INS_MOVSXD = 472
+X86_INS_MOVUPD = 473
+X86_INS_MOVUPS = 474
+X86_INS_MOVZX = 475
+X86_INS_MPSADBW = 476
+X86_INS_MUL = 477
+X86_INS_MULPD = 478
+X86_INS_MULPS = 479
+X86_INS_MULSD = 480
+X86_INS_MULSS = 481
+X86_INS_MULX = 482
+X86_INS_FMUL = 483
+X86_INS_FIMUL = 484
+X86_INS_FMULP = 485
+X86_INS_MWAIT = 486
+X86_INS_NEG = 487
+X86_INS_NOP = 488
+X86_INS_NOT = 489
+X86_INS_OUT = 490
+X86_INS_OUTSB = 491
+X86_INS_OUTSD = 492
+X86_INS_OUTSW = 493
+X86_INS_PACKUSDW = 494
+X86_INS_PAUSE = 495
+X86_INS_PAVGUSB = 496
+X86_INS_PBLENDVB = 497
+X86_INS_PBLENDW = 498
+X86_INS_PCLMULQDQ = 499
+X86_INS_PCMPEQQ = 500
+X86_INS_PCMPESTRI = 501
+X86_INS_PCMPESTRM = 502
+X86_INS_PCMPGTQ = 503
+X86_INS_PCMPISTRI = 504
+X86_INS_PCMPISTRM = 505
+X86_INS_PDEP = 506
+X86_INS_PEXT = 507
+X86_INS_PEXTRB = 508
+X86_INS_PEXTRD = 509
+X86_INS_PEXTRQ = 510
+X86_INS_PF2ID = 511
+X86_INS_PF2IW = 512
+X86_INS_PFACC = 513
+X86_INS_PFADD = 514
+X86_INS_PFCMPEQ = 515
+X86_INS_PFCMPGE = 516
+X86_INS_PFCMPGT = 517
+X86_INS_PFMAX = 518
+X86_INS_PFMIN = 519
+X86_INS_PFMUL = 520
+X86_INS_PFNACC = 521
+X86_INS_PFPNACC = 522
+X86_INS_PFRCPIT1 = 523
+X86_INS_PFRCPIT2 = 524
+X86_INS_PFRCP = 525
+X86_INS_PFRSQIT1 = 526
+X86_INS_PFRSQRT = 527
+X86_INS_PFSUBR = 528
+X86_INS_PFSUB = 529
+X86_INS_PHMINPOSUW = 530
+X86_INS_PI2FD = 531
+X86_INS_PI2FW = 532
+X86_INS_PINSRB = 533
+X86_INS_PINSRD = 534
+X86_INS_PINSRQ = 535
+X86_INS_PMAXSB = 536
+X86_INS_PMAXSD = 537
+X86_INS_PMAXUD = 538
+X86_INS_PMAXUW = 539
+X86_INS_PMINSB = 540
+X86_INS_PMINSD = 541
+X86_INS_PMINUD = 542
+X86_INS_PMINUW = 543
+X86_INS_PMOVSXBD = 544
+X86_INS_PMOVSXBQ = 545
+X86_INS_PMOVSXBW = 546
+X86_INS_PMOVSXDQ = 547
+X86_INS_PMOVSXWD = 548
+X86_INS_PMOVSXWQ = 549
+X86_INS_PMOVZXBD = 550
+X86_INS_PMOVZXBQ = 551
+X86_INS_PMOVZXBW = 552
+X86_INS_PMOVZXDQ = 553
+X86_INS_PMOVZXWD = 554
+X86_INS_PMOVZXWQ = 555
+X86_INS_PMULDQ = 556
+X86_INS_PMULHRW = 557
+X86_INS_PMULLD = 558
+X86_INS_POP = 559
+X86_INS_POPAW = 560
+X86_INS_POPAL = 561
+X86_INS_POPCNT = 562
+X86_INS_POPF = 563
+X86_INS_POPFD = 564
+X86_INS_POPFQ = 565
+X86_INS_PREFETCH = 566
+X86_INS_PREFETCHNTA = 567
+X86_INS_PREFETCHT0 = 568
+X86_INS_PREFETCHT1 = 569
+X86_INS_PREFETCHT2 = 570
+X86_INS_PREFETCHW = 571
+X86_INS_PSHUFD = 572
+X86_INS_PSHUFHW = 573
+X86_INS_PSHUFLW = 574
+X86_INS_PSLLDQ = 575
+X86_INS_PSRLDQ = 576
+X86_INS_PSWAPD = 577
+X86_INS_PTEST = 578
+X86_INS_PUNPCKHQDQ = 579
+X86_INS_PUNPCKLQDQ = 580
+X86_INS_PUSH = 581
+X86_INS_PUSHAW = 582
+X86_INS_PUSHAL = 583
+X86_INS_PUSHF = 584
+X86_INS_PUSHFD = 585
+X86_INS_PUSHFQ = 586
+X86_INS_RCL = 587
+X86_INS_RCPPS = 588
+X86_INS_RCPSS = 589
+X86_INS_RCR = 590
+X86_INS_RDFSBASE = 591
+X86_INS_RDGSBASE = 592
+X86_INS_RDMSR = 593
+X86_INS_RDPMC = 594
+X86_INS_RDRAND = 595
+X86_INS_RDSEED = 596
+X86_INS_RDTSC = 597
+X86_INS_RDTSCP = 598
+X86_INS_REPNE = 599
+X86_INS_REP = 600
+X86_INS_ROL = 601
+X86_INS_ROR = 602
+X86_INS_RORX = 603
+X86_INS_ROUNDPD = 604
+X86_INS_ROUNDPS = 605
+X86_INS_ROUNDSD = 606
+X86_INS_ROUNDSS = 607
+X86_INS_RSM = 608
+X86_INS_RSQRTPS = 609
+X86_INS_RSQRTSS = 610
+X86_INS_SAHF = 611
+X86_INS_SAL = 612
+X86_INS_SALC = 613
+X86_INS_SAR = 614
+X86_INS_SARX = 615
+X86_INS_SBB = 616
+X86_INS_SCASB = 617
+X86_INS_SCASD = 618
+X86_INS_SCASQ = 619
+X86_INS_SCASW = 620
+X86_INS_SETAE = 621
+X86_INS_SETA = 622
+X86_INS_SETBE = 623
+X86_INS_SETB = 624
+X86_INS_SETE = 625
+X86_INS_SETGE = 626
+X86_INS_SETG = 627
+X86_INS_SETLE = 628
+X86_INS_SETL = 629
+X86_INS_SETNE = 630
+X86_INS_SETNO = 631
+X86_INS_SETNP = 632
+X86_INS_SETNS = 633
+X86_INS_SETO = 634
+X86_INS_SETP = 635
+X86_INS_SETS = 636
+X86_INS_SFENCE = 637
+X86_INS_SGDT = 638
+X86_INS_SHA1MSG1 = 639
+X86_INS_SHA1MSG2 = 640
+X86_INS_SHA1NEXTE = 641
+X86_INS_SHA1RNDS4 = 642
+X86_INS_SHA256MSG1 = 643
+X86_INS_SHA256MSG2 = 644
+X86_INS_SHA256RNDS2 = 645
+X86_INS_SHL = 646
+X86_INS_SHLD = 647
+X86_INS_SHLX = 648
+X86_INS_SHR = 649
+X86_INS_SHRD = 650
+X86_INS_SHRX = 651
+X86_INS_SHUFPD = 652
+X86_INS_SHUFPS = 653
+X86_INS_SIDT = 654
+X86_INS_FSIN = 655
+X86_INS_SKINIT = 656
+X86_INS_SLDT = 657
+X86_INS_SMSW = 658
+X86_INS_SQRTPD = 659
+X86_INS_SQRTPS = 660
+X86_INS_SQRTSD = 661
+X86_INS_SQRTSS = 662
+X86_INS_FSQRT = 663
+X86_INS_STAC = 664
+X86_INS_STC = 665
+X86_INS_STD = 666
+X86_INS_STGI = 667
+X86_INS_STI = 668
+X86_INS_STMXCSR = 669
+X86_INS_STOSB = 670
+X86_INS_STOSD = 671
+X86_INS_STOSQ = 672
+X86_INS_STOSW = 673
+X86_INS_STR = 674
+X86_INS_FST = 675
+X86_INS_FSTP = 676
+X86_INS_FSTPNCE = 677
+X86_INS_SUBPD = 678
+X86_INS_SUBPS = 679
+X86_INS_FSUBR = 680
+X86_INS_FISUBR = 681
+X86_INS_FSUBRP = 682
+X86_INS_SUBSD = 683
+X86_INS_SUBSS = 684
+X86_INS_FSUB = 685
+X86_INS_FISUB = 686
+X86_INS_FSUBP = 687
+X86_INS_SWAPGS = 688
+X86_INS_SYSCALL = 689
+X86_INS_SYSENTER = 690
+X86_INS_SYSEXIT = 691
+X86_INS_SYSRET = 692
+X86_INS_T1MSKC = 693
+X86_INS_TEST = 694
+X86_INS_UD2 = 695
+X86_INS_FTST = 696
+X86_INS_TZCNT = 697
+X86_INS_TZMSK = 698
+X86_INS_FUCOMPI = 699
+X86_INS_FUCOMI = 700
+X86_INS_FUCOMPP = 701
+X86_INS_FUCOMP = 702
+X86_INS_FUCOM = 703
+X86_INS_UD2B = 704
+X86_INS_UNPCKHPD = 705
+X86_INS_UNPCKHPS = 706
+X86_INS_UNPCKLPD = 707
+X86_INS_UNPCKLPS = 708
+X86_INS_VADDPD = 709
+X86_INS_VADDPS = 710
+X86_INS_VADDSD = 711
+X86_INS_VADDSS = 712
+X86_INS_VADDSUBPD = 713
+X86_INS_VADDSUBPS = 714
+X86_INS_VAESDECLAST = 715
+X86_INS_VAESDEC = 716
+X86_INS_VAESENCLAST = 717
+X86_INS_VAESENC = 718
+X86_INS_VAESIMC = 719
+X86_INS_VAESKEYGENASSIST = 720
+X86_INS_VALIGND = 721
+X86_INS_VALIGNQ = 722
+X86_INS_VANDNPD = 723
+X86_INS_VANDNPS = 724
+X86_INS_VANDPD = 725
+X86_INS_VANDPS = 726
+X86_INS_VBLENDMPD = 727
+X86_INS_VBLENDMPS = 728
+X86_INS_VBLENDPD = 729
+X86_INS_VBLENDPS = 730
+X86_INS_VBLENDVPD = 731
+X86_INS_VBLENDVPS = 732
+X86_INS_VBROADCASTF128 = 733
+X86_INS_VBROADCASTI128 = 734
+X86_INS_VBROADCASTI32X4 = 735
+X86_INS_VBROADCASTI64X4 = 736
+X86_INS_VBROADCASTSD = 737
+X86_INS_VBROADCASTSS = 738
+X86_INS_VCMPPD = 739
+X86_INS_VCMPPS = 740
+X86_INS_VCMPSD = 741
+X86_INS_VCMPSS = 742
+X86_INS_VCVTDQ2PD = 743
+X86_INS_VCVTDQ2PS = 744
+X86_INS_VCVTPD2DQX = 745
+X86_INS_VCVTPD2DQ = 746
+X86_INS_VCVTPD2PSX = 747
+X86_INS_VCVTPD2PS = 748
+X86_INS_VCVTPD2UDQ = 749
+X86_INS_VCVTPH2PS = 750
+X86_INS_VCVTPS2DQ = 751
+X86_INS_VCVTPS2PD = 752
+X86_INS_VCVTPS2PH = 753
+X86_INS_VCVTPS2UDQ = 754
+X86_INS_VCVTSD2SI = 755
+X86_INS_VCVTSD2USI = 756
+X86_INS_VCVTSS2SI = 757
+X86_INS_VCVTSS2USI = 758
+X86_INS_VCVTTPD2DQX = 759
+X86_INS_VCVTTPD2DQ = 760
+X86_INS_VCVTTPD2UDQ = 761
+X86_INS_VCVTTPS2DQ = 762
+X86_INS_VCVTTPS2UDQ = 763
+X86_INS_VCVTUDQ2PD = 764
+X86_INS_VCVTUDQ2PS = 765
+X86_INS_VDIVPD = 766
+X86_INS_VDIVPS = 767
+X86_INS_VDIVSD = 768
+X86_INS_VDIVSS = 769
+X86_INS_VDPPD = 770
+X86_INS_VDPPS = 771
+X86_INS_VERR = 772
+X86_INS_VERW = 773
+X86_INS_VEXTRACTF128 = 774
+X86_INS_VEXTRACTF32X4 = 775
+X86_INS_VEXTRACTF64X4 = 776
+X86_INS_VEXTRACTI128 = 777
+X86_INS_VEXTRACTI32X4 = 778
+X86_INS_VEXTRACTI64X4 = 779
+X86_INS_VEXTRACTPS = 780
+X86_INS_VFMADD132PD = 781
+X86_INS_VFMADD132PS = 782
+X86_INS_VFMADD213PD = 783
+X86_INS_VFMADD213PS = 784
+X86_INS_VFMADDPD = 785
+X86_INS_VFMADD231PD = 786
+X86_INS_VFMADDPS = 787
+X86_INS_VFMADD231PS = 788
+X86_INS_VFMADDSD = 789
+X86_INS_VFMADD213SD = 790
+X86_INS_VFMADD132SD = 791
+X86_INS_VFMADD231SD = 792
+X86_INS_VFMADDSS = 793
+X86_INS_VFMADD213SS = 794
+X86_INS_VFMADD132SS = 795
+X86_INS_VFMADD231SS = 796
+X86_INS_VFMADDSUB132PD = 797
+X86_INS_VFMADDSUB132PS = 798
+X86_INS_VFMADDSUB213PD = 799
+X86_INS_VFMADDSUB213PS = 800
+X86_INS_VFMADDSUBPD = 801
+X86_INS_VFMADDSUB231PD = 802
+X86_INS_VFMADDSUBPS = 803
+X86_INS_VFMADDSUB231PS = 804
+X86_INS_VFMSUB132PD = 805
+X86_INS_VFMSUB132PS = 806
+X86_INS_VFMSUB213PD = 807
+X86_INS_VFMSUB213PS = 808
+X86_INS_VFMSUBADD132PD = 809
+X86_INS_VFMSUBADD132PS = 810
+X86_INS_VFMSUBADD213PD = 811
+X86_INS_VFMSUBADD213PS = 812
+X86_INS_VFMSUBADDPD = 813
+X86_INS_VFMSUBADD231PD = 814
+X86_INS_VFMSUBADDPS = 815
+X86_INS_VFMSUBADD231PS = 816
+X86_INS_VFMSUBPD = 817
+X86_INS_VFMSUB231PD = 818
+X86_INS_VFMSUBPS = 819
+X86_INS_VFMSUB231PS = 820
+X86_INS_VFMSUBSD = 821
+X86_INS_VFMSUB213SD = 822
+X86_INS_VFMSUB132SD = 823
+X86_INS_VFMSUB231SD = 824
+X86_INS_VFMSUBSS = 825
+X86_INS_VFMSUB213SS = 826
+X86_INS_VFMSUB132SS = 827
+X86_INS_VFMSUB231SS = 828
+X86_INS_VFNMADD132PD = 829
+X86_INS_VFNMADD132PS = 830
+X86_INS_VFNMADD213PD = 831
+X86_INS_VFNMADD213PS = 832
+X86_INS_VFNMADDPD = 833
+X86_INS_VFNMADD231PD = 834
+X86_INS_VFNMADDPS = 835
+X86_INS_VFNMADD231PS = 836
+X86_INS_VFNMADDSD = 837
+X86_INS_VFNMADD213SD = 838
+X86_INS_VFNMADD132SD = 839
+X86_INS_VFNMADD231SD = 840
+X86_INS_VFNMADDSS = 841
+X86_INS_VFNMADD213SS = 842
+X86_INS_VFNMADD132SS = 843
+X86_INS_VFNMADD231SS = 844
+X86_INS_VFNMSUB132PD = 845
+X86_INS_VFNMSUB132PS = 846
+X86_INS_VFNMSUB213PD = 847
+X86_INS_VFNMSUB213PS = 848
+X86_INS_VFNMSUBPD = 849
+X86_INS_VFNMSUB231PD = 850
+X86_INS_VFNMSUBPS = 851
+X86_INS_VFNMSUB231PS = 852
+X86_INS_VFNMSUBSD = 853
+X86_INS_VFNMSUB213SD = 854
+X86_INS_VFNMSUB132SD = 855
+X86_INS_VFNMSUB231SD = 856
+X86_INS_VFNMSUBSS = 857
+X86_INS_VFNMSUB213SS = 858
+X86_INS_VFNMSUB132SS = 859
+X86_INS_VFNMSUB231SS = 860
+X86_INS_VFRCZPD = 861
+X86_INS_VFRCZPS = 862
+X86_INS_VFRCZSD = 863
+X86_INS_VFRCZSS = 864
+X86_INS_VORPD = 865
+X86_INS_VORPS = 866
+X86_INS_VXORPD = 867
+X86_INS_VXORPS = 868
+X86_INS_VGATHERDPD = 869
+X86_INS_VGATHERDPS = 870
+X86_INS_VGATHERPF0DPD = 871
+X86_INS_VGATHERPF0DPS = 872
+X86_INS_VGATHERPF0QPD = 873
+X86_INS_VGATHERPF0QPS = 874
+X86_INS_VGATHERPF1DPD = 875
+X86_INS_VGATHERPF1DPS = 876
+X86_INS_VGATHERPF1QPD = 877
+X86_INS_VGATHERPF1QPS = 878
+X86_INS_VGATHERQPD = 879
+X86_INS_VGATHERQPS = 880
+X86_INS_VHADDPD = 881
+X86_INS_VHADDPS = 882
+X86_INS_VHSUBPD = 883
+X86_INS_VHSUBPS = 884
+X86_INS_VINSERTF128 = 885
+X86_INS_VINSERTF32X4 = 886
+X86_INS_VINSERTF64X4 = 887
+X86_INS_VINSERTI128 = 888
+X86_INS_VINSERTI32X4 = 889
+X86_INS_VINSERTI64X4 = 890
+X86_INS_VINSERTPS = 891
+X86_INS_VLDDQU = 892
+X86_INS_VLDMXCSR = 893
+X86_INS_VMASKMOVDQU = 894
+X86_INS_VMASKMOVPD = 895
+X86_INS_VMASKMOVPS = 896
+X86_INS_VMAXPD = 897
+X86_INS_VMAXPS = 898
+X86_INS_VMAXSD = 899
+X86_INS_VMAXSS = 900
+X86_INS_VMCALL = 901
+X86_INS_VMCLEAR = 902
+X86_INS_VMFUNC = 903
+X86_INS_VMINPD = 904
+X86_INS_VMINPS = 905
+X86_INS_VMINSD = 906
+X86_INS_VMINSS = 907
+X86_INS_VMLAUNCH = 908
+X86_INS_VMLOAD = 909
+X86_INS_VMMCALL = 910
+X86_INS_VMOVQ = 911
+X86_INS_VMOVDDUP = 912
+X86_INS_VMOVD = 913
+X86_INS_VMOVDQA32 = 914
+X86_INS_VMOVDQA64 = 915
+X86_INS_VMOVDQA = 916
+X86_INS_VMOVDQU16 = 917
+X86_INS_VMOVDQU32 = 918
+X86_INS_VMOVDQU64 = 919
+X86_INS_VMOVDQU8 = 920
+X86_INS_VMOVDQU = 921
+X86_INS_VMOVHLPS = 922
+X86_INS_VMOVHPD = 923
+X86_INS_VMOVHPS = 924
+X86_INS_VMOVLHPS = 925
+X86_INS_VMOVLPD = 926
+X86_INS_VMOVLPS = 927
+X86_INS_VMOVMSKPD = 928
+X86_INS_VMOVMSKPS = 929
+X86_INS_VMOVNTDQA = 930
+X86_INS_VMOVNTDQ = 931
+X86_INS_VMOVNTPD = 932
+X86_INS_VMOVNTPS = 933
+X86_INS_VMOVSD = 934
+X86_INS_VMOVSHDUP = 935
+X86_INS_VMOVSLDUP = 936
+X86_INS_VMOVSS = 937
+X86_INS_VMOVUPD = 938
+X86_INS_VMOVUPS = 939
+X86_INS_VMPSADBW = 940
+X86_INS_VMPTRLD = 941
+X86_INS_VMPTRST = 942
+X86_INS_VMREAD = 943
+X86_INS_VMRESUME = 944
+X86_INS_VMRUN = 945
+X86_INS_VMSAVE = 946
+X86_INS_VMULPD = 947
+X86_INS_VMULPS = 948
+X86_INS_VMULSD = 949
+X86_INS_VMULSS = 950
+X86_INS_VMWRITE = 951
+X86_INS_VMXOFF = 952
+X86_INS_VMXON = 953
+X86_INS_VPABSB = 954
+X86_INS_VPABSD = 955
+X86_INS_VPABSQ = 956
+X86_INS_VPABSW = 957
+X86_INS_VPACKSSDW = 958
+X86_INS_VPACKSSWB = 959
+X86_INS_VPACKUSDW = 960
+X86_INS_VPACKUSWB = 961
+X86_INS_VPADDB = 962
+X86_INS_VPADDD = 963
+X86_INS_VPADDQ = 964
+X86_INS_VPADDSB = 965
+X86_INS_VPADDSW = 966
+X86_INS_VPADDUSB = 967
+X86_INS_VPADDUSW = 968
+X86_INS_VPADDW = 969
+X86_INS_VPALIGNR = 970
+X86_INS_VPANDD = 971
+X86_INS_VPANDND = 972
+X86_INS_VPANDNQ = 973
+X86_INS_VPANDN = 974
+X86_INS_VPANDQ = 975
+X86_INS_VPAND = 976
+X86_INS_VPAVGB = 977
+X86_INS_VPAVGW = 978
+X86_INS_VPBLENDD = 979
+X86_INS_VPBLENDMD = 980
+X86_INS_VPBLENDMQ = 981
+X86_INS_VPBLENDVB = 982
+X86_INS_VPBLENDW = 983
+X86_INS_VPBROADCASTB = 984
+X86_INS_VPBROADCASTD = 985
+X86_INS_VPBROADCASTMB2Q = 986
+X86_INS_VPBROADCASTMW2D = 987
+X86_INS_VPBROADCASTQ = 988
+X86_INS_VPBROADCASTW = 989
+X86_INS_VPCLMULQDQ = 990
+X86_INS_VPCMOV = 991
+X86_INS_VPCMP = 992
+X86_INS_VPCMPD = 993
+X86_INS_VPCMPEQB = 994
+X86_INS_VPCMPEQD = 995
+X86_INS_VPCMPEQQ = 996
+X86_INS_VPCMPEQW = 997
+X86_INS_VPCMPESTRI = 998
+X86_INS_VPCMPESTRM = 999
+X86_INS_VPCMPGTB = 1000
+X86_INS_VPCMPGTD = 1001
+X86_INS_VPCMPGTQ = 1002
+X86_INS_VPCMPGTW = 1003
+X86_INS_VPCMPISTRI = 1004
+X86_INS_VPCMPISTRM = 1005
+X86_INS_VPCMPQ = 1006
+X86_INS_VPCMPUD = 1007
+X86_INS_VPCMPUQ = 1008
+X86_INS_VPCOMB = 1009
+X86_INS_VPCOMD = 1010
+X86_INS_VPCOMQ = 1011
+X86_INS_VPCOMUB = 1012
+X86_INS_VPCOMUD = 1013
+X86_INS_VPCOMUQ = 1014
+X86_INS_VPCOMUW = 1015
+X86_INS_VPCOMW = 1016
+X86_INS_VPCONFLICTD = 1017
+X86_INS_VPCONFLICTQ = 1018
+X86_INS_VPERM2F128 = 1019
+X86_INS_VPERM2I128 = 1020
+X86_INS_VPERMD = 1021
+X86_INS_VPERMI2D = 1022
+X86_INS_VPERMI2PD = 1023
+X86_INS_VPERMI2PS = 1024
+X86_INS_VPERMI2Q = 1025
+X86_INS_VPERMIL2PD = 1026
+X86_INS_VPERMIL2PS = 1027
+X86_INS_VPERMILPD = 1028
+X86_INS_VPERMILPS = 1029
+X86_INS_VPERMPD = 1030
+X86_INS_VPERMPS = 1031
+X86_INS_VPERMQ = 1032
+X86_INS_VPERMT2D = 1033
+X86_INS_VPERMT2PD = 1034
+X86_INS_VPERMT2PS = 1035
+X86_INS_VPERMT2Q = 1036
+X86_INS_VPEXTRB = 1037
+X86_INS_VPEXTRD = 1038
+X86_INS_VPEXTRQ = 1039
+X86_INS_VPEXTRW = 1040
+X86_INS_VPGATHERDD = 1041
+X86_INS_VPGATHERDQ = 1042
+X86_INS_VPGATHERQD = 1043
+X86_INS_VPGATHERQQ = 1044
+X86_INS_VPHADDBD = 1045
+X86_INS_VPHADDBQ = 1046
+X86_INS_VPHADDBW = 1047
+X86_INS_VPHADDDQ = 1048
+X86_INS_VPHADDD = 1049
+X86_INS_VPHADDSW = 1050
+X86_INS_VPHADDUBD = 1051
+X86_INS_VPHADDUBQ = 1052
+X86_INS_VPHADDUBW = 1053
+X86_INS_VPHADDUDQ = 1054
+X86_INS_VPHADDUWD = 1055
+X86_INS_VPHADDUWQ = 1056
+X86_INS_VPHADDWD = 1057
+X86_INS_VPHADDWQ = 1058
+X86_INS_VPHADDW = 1059
+X86_INS_VPHMINPOSUW = 1060
+X86_INS_VPHSUBBW = 1061
+X86_INS_VPHSUBDQ = 1062
+X86_INS_VPHSUBD = 1063
+X86_INS_VPHSUBSW = 1064
+X86_INS_VPHSUBWD = 1065
+X86_INS_VPHSUBW = 1066
+X86_INS_VPINSRB = 1067
+X86_INS_VPINSRD = 1068
+X86_INS_VPINSRQ = 1069
+X86_INS_VPINSRW = 1070
+X86_INS_VPLZCNTD = 1071
+X86_INS_VPLZCNTQ = 1072
+X86_INS_VPMACSDD = 1073
+X86_INS_VPMACSDQH = 1074
+X86_INS_VPMACSDQL = 1075
+X86_INS_VPMACSSDD = 1076
+X86_INS_VPMACSSDQH = 1077
+X86_INS_VPMACSSDQL = 1078
+X86_INS_VPMACSSWD = 1079
+X86_INS_VPMACSSWW = 1080
+X86_INS_VPMACSWD = 1081
+X86_INS_VPMACSWW = 1082
+X86_INS_VPMADCSSWD = 1083
+X86_INS_VPMADCSWD = 1084
+X86_INS_VPMADDUBSW = 1085
+X86_INS_VPMADDWD = 1086
+X86_INS_VPMASKMOVD = 1087
+X86_INS_VPMASKMOVQ = 1088
+X86_INS_VPMAXSB = 1089
+X86_INS_VPMAXSD = 1090
+X86_INS_VPMAXSQ = 1091
+X86_INS_VPMAXSW = 1092
+X86_INS_VPMAXUB = 1093
+X86_INS_VPMAXUD = 1094
+X86_INS_VPMAXUQ = 1095
+X86_INS_VPMAXUW = 1096
+X86_INS_VPMINSB = 1097
+X86_INS_VPMINSD = 1098
+X86_INS_VPMINSQ = 1099
+X86_INS_VPMINSW = 1100
+X86_INS_VPMINUB = 1101
+X86_INS_VPMINUD = 1102
+X86_INS_VPMINUQ = 1103
+X86_INS_VPMINUW = 1104
+X86_INS_VPMOVDB = 1105
+X86_INS_VPMOVDW = 1106
+X86_INS_VPMOVMSKB = 1107
+X86_INS_VPMOVQB = 1108
+X86_INS_VPMOVQD = 1109
+X86_INS_VPMOVQW = 1110
+X86_INS_VPMOVSDB = 1111
+X86_INS_VPMOVSDW = 1112
+X86_INS_VPMOVSQB = 1113
+X86_INS_VPMOVSQD = 1114
+X86_INS_VPMOVSQW = 1115
+X86_INS_VPMOVSXBD = 1116
+X86_INS_VPMOVSXBQ = 1117
+X86_INS_VPMOVSXBW = 1118
+X86_INS_VPMOVSXDQ = 1119
+X86_INS_VPMOVSXWD = 1120
+X86_INS_VPMOVSXWQ = 1121
+X86_INS_VPMOVUSDB = 1122
+X86_INS_VPMOVUSDW = 1123
+X86_INS_VPMOVUSQB = 1124
+X86_INS_VPMOVUSQD = 1125
+X86_INS_VPMOVUSQW = 1126
+X86_INS_VPMOVZXBD = 1127
+X86_INS_VPMOVZXBQ = 1128
+X86_INS_VPMOVZXBW = 1129
+X86_INS_VPMOVZXDQ = 1130
+X86_INS_VPMOVZXWD = 1131
+X86_INS_VPMOVZXWQ = 1132
+X86_INS_VPMULDQ = 1133
+X86_INS_VPMULHRSW = 1134
+X86_INS_VPMULHUW = 1135
+X86_INS_VPMULHW = 1136
+X86_INS_VPMULLD = 1137
+X86_INS_VPMULLW = 1138
+X86_INS_VPMULUDQ = 1139
+X86_INS_VPORD = 1140
+X86_INS_VPORQ = 1141
+X86_INS_VPOR = 1142
+X86_INS_VPPERM = 1143
+X86_INS_VPROTB = 1144
+X86_INS_VPROTD = 1145
+X86_INS_VPROTQ = 1146
+X86_INS_VPROTW = 1147
+X86_INS_VPSADBW = 1148
+X86_INS_VPSCATTERDD = 1149
+X86_INS_VPSCATTERDQ = 1150
+X86_INS_VPSCATTERQD = 1151
+X86_INS_VPSCATTERQQ = 1152
+X86_INS_VPSHAB = 1153
+X86_INS_VPSHAD = 1154
+X86_INS_VPSHAQ = 1155
+X86_INS_VPSHAW = 1156
+X86_INS_VPSHLB = 1157
+X86_INS_VPSHLD = 1158
+X86_INS_VPSHLQ = 1159
+X86_INS_VPSHLW = 1160
+X86_INS_VPSHUFB = 1161
+X86_INS_VPSHUFD = 1162
+X86_INS_VPSHUFHW = 1163
+X86_INS_VPSHUFLW = 1164
+X86_INS_VPSIGNB = 1165
+X86_INS_VPSIGND = 1166
+X86_INS_VPSIGNW = 1167
+X86_INS_VPSLLDQ = 1168
+X86_INS_VPSLLD = 1169
+X86_INS_VPSLLQ = 1170
+X86_INS_VPSLLVD = 1171
+X86_INS_VPSLLVQ = 1172
+X86_INS_VPSLLW = 1173
+X86_INS_VPSRAD = 1174
+X86_INS_VPSRAQ = 1175
+X86_INS_VPSRAVD = 1176
+X86_INS_VPSRAVQ = 1177
+X86_INS_VPSRAW = 1178
+X86_INS_VPSRLDQ = 1179
+X86_INS_VPSRLD = 1180
+X86_INS_VPSRLQ = 1181
+X86_INS_VPSRLVD = 1182
+X86_INS_VPSRLVQ = 1183
+X86_INS_VPSRLW = 1184
+X86_INS_VPSUBB = 1185
+X86_INS_VPSUBD = 1186
+X86_INS_VPSUBQ = 1187
+X86_INS_VPSUBSB = 1188
+X86_INS_VPSUBSW = 1189
+X86_INS_VPSUBUSB = 1190
+X86_INS_VPSUBUSW = 1191
+X86_INS_VPSUBW = 1192
+X86_INS_VPTESTMD = 1193
+X86_INS_VPTESTMQ = 1194
+X86_INS_VPTESTNMD = 1195
+X86_INS_VPTESTNMQ = 1196
+X86_INS_VPTEST = 1197
+X86_INS_VPUNPCKHBW = 1198
+X86_INS_VPUNPCKHDQ = 1199
+X86_INS_VPUNPCKHQDQ = 1200
+X86_INS_VPUNPCKHWD = 1201
+X86_INS_VPUNPCKLBW = 1202
+X86_INS_VPUNPCKLDQ = 1203
+X86_INS_VPUNPCKLQDQ = 1204
+X86_INS_VPUNPCKLWD = 1205
+X86_INS_VPXORD = 1206
+X86_INS_VPXORQ = 1207
+X86_INS_VPXOR = 1208
+X86_INS_VRCP14PD = 1209
+X86_INS_VRCP14PS = 1210
+X86_INS_VRCP14SD = 1211
+X86_INS_VRCP14SS = 1212
+X86_INS_VRCP28PD = 1213
+X86_INS_VRCP28PS = 1214
+X86_INS_VRCP28SD = 1215
+X86_INS_VRCP28SS = 1216
+X86_INS_VRCPPS = 1217
+X86_INS_VRCPSS = 1218
+X86_INS_VRNDSCALEPD = 1219
+X86_INS_VRNDSCALEPS = 1220
+X86_INS_VRNDSCALESD = 1221
+X86_INS_VRNDSCALESS = 1222
+X86_INS_VROUNDPD = 1223
+X86_INS_VROUNDPS = 1224
+X86_INS_VROUNDSD = 1225
+X86_INS_VROUNDSS = 1226
+X86_INS_VRSQRT14PD = 1227
+X86_INS_VRSQRT14PS = 1228
+X86_INS_VRSQRT14SD = 1229
+X86_INS_VRSQRT14SS = 1230
+X86_INS_VRSQRT28PD = 1231
+X86_INS_VRSQRT28PS = 1232
+X86_INS_VRSQRT28SD = 1233
+X86_INS_VRSQRT28SS = 1234
+X86_INS_VRSQRTPS = 1235
+X86_INS_VRSQRTSS = 1236
+X86_INS_VSCATTERDPD = 1237
+X86_INS_VSCATTERDPS = 1238
+X86_INS_VSCATTERPF0DPD = 1239
+X86_INS_VSCATTERPF0DPS = 1240
+X86_INS_VSCATTERPF0QPD = 1241
+X86_INS_VSCATTERPF0QPS = 1242
+X86_INS_VSCATTERPF1DPD = 1243
+X86_INS_VSCATTERPF1DPS = 1244
+X86_INS_VSCATTERPF1QPD = 1245
+X86_INS_VSCATTERPF1QPS = 1246
+X86_INS_VSCATTERQPD = 1247
+X86_INS_VSCATTERQPS = 1248
+X86_INS_VSHUFPD = 1249
+X86_INS_VSHUFPS = 1250
+X86_INS_VSQRTPD = 1251
+X86_INS_VSQRTPS = 1252
+X86_INS_VSQRTSD = 1253
+X86_INS_VSQRTSS = 1254
+X86_INS_VSTMXCSR = 1255
+X86_INS_VSUBPD = 1256
+X86_INS_VSUBPS = 1257
+X86_INS_VSUBSD = 1258
+X86_INS_VSUBSS = 1259
+X86_INS_VTESTPD = 1260
+X86_INS_VTESTPS = 1261
+X86_INS_VUNPCKHPD = 1262
+X86_INS_VUNPCKHPS = 1263
+X86_INS_VUNPCKLPD = 1264
+X86_INS_VUNPCKLPS = 1265
+X86_INS_VZEROALL = 1266
+X86_INS_VZEROUPPER = 1267
+X86_INS_WAIT = 1268
+X86_INS_WBINVD = 1269
+X86_INS_WRFSBASE = 1270
+X86_INS_WRGSBASE = 1271
+X86_INS_WRMSR = 1272
+X86_INS_XABORT = 1273
+X86_INS_XACQUIRE = 1274
+X86_INS_XBEGIN = 1275
+X86_INS_XCHG = 1276
+X86_INS_FXCH = 1277
+X86_INS_XCRYPTCBC = 1278
+X86_INS_XCRYPTCFB = 1279
+X86_INS_XCRYPTCTR = 1280
+X86_INS_XCRYPTECB = 1281
+X86_INS_XCRYPTOFB = 1282
+X86_INS_XEND = 1283
+X86_INS_XGETBV = 1284
+X86_INS_XLATB = 1285
+X86_INS_XRELEASE = 1286
+X86_INS_XRSTOR = 1287
+X86_INS_XRSTOR64 = 1288
+X86_INS_XSAVE = 1289
+X86_INS_XSAVE64 = 1290
+X86_INS_XSAVEOPT = 1291
+X86_INS_XSAVEOPT64 = 1292
+X86_INS_XSETBV = 1293
+X86_INS_XSHA1 = 1294
+X86_INS_XSHA256 = 1295
+X86_INS_XSTORE = 1296
+X86_INS_XTEST = 1297
+X86_INS_MAX = 1298
 
 # Group of X86 instructions
 
@@ -1545,10 +1656,17 @@
 X86_GRP_TBM = 31
 X86_GRP_16BITMODE = 32
 X86_GRP_NOT64BITMODE = 33
-X86_GRP_JUMP = 34
-X86_GRP_VM = 35
-X86_GRP_INT = 36
-X86_GRP_IRET = 37
-X86_GRP_CALL = 38
-X86_GRP_RET = 39
-X86_GRP_MAX = 40
+X86_GRP_SGX = 34
+X86_GRP_DQI = 35
+X86_GRP_BWI = 36
+X86_GRP_PFI = 37
+X86_GRP_VLX = 38
+X86_GRP_SMAP = 39
+X86_GRP_NOVLX = 40
+X86_GRP_JUMP = 41
+X86_GRP_VM = 42
+X86_GRP_INT = 43
+X86_GRP_IRET = 44
+X86_GRP_CALL = 45
+X86_GRP_RET = 46
+X86_GRP_MAX = 47
diff --git a/bindings/python/pyx/ccapstone.pxd b/bindings/python/pyx/ccapstone.pxd
index f575985..ba5071c 100644
--- a/bindings/python/pyx/ccapstone.pxd
+++ b/bindings/python/pyx/ccapstone.pxd
@@ -41,7 +41,7 @@
 
     cs_err cs_errno(csh handle)
 
-    size_t cs_disasm_ex(csh handle,
+    size_t cs_disasm(csh handle,
         const uint8_t *code, size_t code_size,
         uint64_t address,
         size_t count,
diff --git a/bindings/python/pyx/ccapstone.pyx b/bindings/python/pyx/ccapstone.pyx
index 26548d2..91b7623 100644
--- a/bindings/python/pyx/ccapstone.pyx
+++ b/bindings/python/pyx/ccapstone.pyx
@@ -256,7 +256,7 @@
     def disasm(self, code, addr, count=0):
         cdef cc.cs_insn *allinsn
 
-        cdef res = cc.cs_disasm_ex(self.csh, code, len(code), addr, count, &allinsn)
+        cdef res = cc.cs_disasm(self.csh, code, len(code), addr, count, &allinsn)
         detail = self._cs.detail
         arch = self._cs.arch
 
@@ -284,7 +284,7 @@
             # Diet engine cannot provide @mnemonic & @op_str
             raise CsError(capstone.CS_ERR_DIET)
 
-        cdef res = cc.cs_disasm_ex(self.csh, code, len(code), addr, count, &allinsn)
+        cdef res = cc.cs_disasm(self.csh, code, len(code), addr, count, &allinsn)
 
         for i from 0 <= i < res:
             insn = allinsn[i]
diff --git a/bindings/python/setup.py b/bindings/python/setup.py
index fbf7ce5..f41c413 100644
--- a/bindings/python/setup.py
+++ b/bindings/python/setup.py
@@ -2,7 +2,7 @@
 
 from distutils.core import setup
 
-VERSION = '2.2'
+VERSION = '3.0'
 
 # clean package directory first
 #import os.path, shutil, sys
diff --git a/bindings/python/setup_cython.py b/bindings/python/setup_cython.py
index 1dea2f5..204d32d 100644
--- a/bindings/python/setup_cython.py
+++ b/bindings/python/setup_cython.py
@@ -3,7 +3,7 @@
 from distutils.command.install_lib import install_lib as _install
 from Cython.Distutils import build_ext
 
-VERSION = '2.2'
+VERSION = '3.0'
 
 compile_args = ['-O3', '-fomit-frame-pointer']
 
diff --git a/bindings/python/test_arm.py b/bindings/python/test_arm.py
index 6bc482e..9066ee6 100755
--- a/bindings/python/test_arm.py
+++ b/bindings/python/test_arm.py
@@ -8,10 +8,10 @@
 from xprint import to_hex, to_x, to_x_32
 
 
-ARM_CODE = b"\xED\xFF\xFF\xEB\x04\xe0\x2d\xe5\x00\x00\x00\x00\xe0\x83\x22\xe5\xf1\x02\x03\x0e\x00\x00\xa0\xe3\x02\x30\xc1\xe7\x00\x00\x53\xe3"
+ARM_CODE = b"\xED\xFF\xFF\xEB\x04\xe0\x2d\xe5\x00\x00\x00\x00\xe0\x83\x22\xe5\xf1\x02\x03\x0e\x00\x00\xa0\xe3\x02\x30\xc1\xe7\x00\x00\x53\xe3\x00\x02\x01\xf1\x05\x40\xd0\xe8"
 ARM_CODE2 = b"\xd1\xe8\x00\xf0\xf0\x24\x04\x07\x1f\x3c\xf2\xc0\x00\x00\x4f\xf0\x00\x01\x46\x6c"
-THUMB_CODE2 = b"\x4f\xf0\x00\x01\xbd\xe8\x00\x88\xd1\xe8\x00\xf0"
-THUMB_CODE = b"\x70\x47\xeb\x46\x83\xb0\xc9\x68\x1f\xb1"
+THUMB_CODE = b"\x70\x47\xeb\x46\x83\xb0\xc9\x68\x1f\xb1\x30\xbf\xaf\xf3\x20\x84"
+THUMB_CODE2 = b"\x4f\xf0\x00\x01\xbd\xe8\x00\x88\xd1\xe8\x00\xf0\x18\xbf\xad\xbf\xf3\xff\x0b\x0c\x86\xf3\x00\x89\x80\xf3\x00\x8c\x4f\xfa\x99\xf6\xd0\xff\xa2\x01"
 
 all_tests = (
         (CS_ARCH_ARM, CS_MODE_ARM, ARM_CODE, "ARM", None),
@@ -43,6 +43,13 @@
                 print("\t\toperands[%u].type: C-IMM = %u" % (c, i.imm))
             if i.type == ARM_OP_FP:
                 print("\t\toperands[%u].type: FP = %f" % (c, i.fp))
+            if i.type == ARM_OP_SYSREG:
+                print("\t\toperands[%u].type: SYSREG = %u" % (c, i.reg))
+            if i.type == ARM_OP_SETEND:
+                if i.setend == ARM_SETEND_BE:
+                    print("\t\toperands[%u].type: SETEND = be")
+                else:
+                    print("\t\toperands[%u].type: SETEND = le")
             if i.type == ARM_OP_MEM:
                 print("\t\toperands[%u].type: MEM" % c)
                 if i.mem.base != 0:
@@ -61,6 +68,9 @@
             if i.shift.type != ARM_SFT_INVALID and i.shift.value:
                 print("\t\t\tShift: type = %u, value = %u\n" \
                     % (i.shift.type, i.shift.value))
+            if i.vector_index != -1:
+                print("\t\t\toperands[%u].vector_index = %u" %(c, i.vector_index))
+
             c += 1
 
     if insn.update_flags:
@@ -69,6 +79,16 @@
         print("\tWrite-back: True")
     if not insn.cc in [ARM_CC_AL, ARM_CC_INVALID]:
         print("\tCode condition: %u" % insn.cc)
+    if insn.cps_mode:
+        print("\tCPSI-mode: %u" %(insn.cps_mode))
+    if insn.cps_flag:
+        print("\tCPSI-flag: %u" %(insn.cps_flag))
+    if insn.vector_data:
+        print("\tVector-data: %u" %(insn.vector_data))
+    if insn.vector_size:
+        print("\tVector-size: %u" %(insn.vector_size))
+    if insn.usermode:
+        print("\tUser-mode: True")
 
 
 # ## Test class Cs
diff --git a/bindings/python/test_arm64.py b/bindings/python/test_arm64.py
index 985f439..5ad9b0f 100755
--- a/bindings/python/test_arm64.py
+++ b/bindings/python/test_arm64.py
@@ -8,7 +8,7 @@
 from xprint import to_hex, to_x
 
 
-ARM64_CODE = b"\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b"
+ARM64_CODE = b"\x09\x00\x38\xd5\xbf\x40\x00\xd5\x0c\x05\x13\xd5\x20\x50\x02\x0e\x20\xe4\x3d\x0f\x00\x18\xa0\x5f\xa2\x00\xae\x9e\x9f\x37\x03\xd5\xbf\x33\x03\xd5\xdf\x3f\x03\xd5\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b\x10\x5b\xe8\x3c"
 
 all_tests = (
         (CS_ARCH_ARM64, CS_MODE_ARM, ARM64_CODE, "ARM-64"),
@@ -47,6 +47,18 @@
                 if i.mem.disp != 0:
                     print("\t\t\toperands[%u].mem.disp: 0x%s" \
                         % (c, to_x(i.mem.disp)))
+            if i.type == ARM64_OP_REG_MRS:
+                print("\t\toperands[%u].type: REG_MRS = 0x%x" % (c, i.reg))
+            if i.type == ARM64_OP_REG_MSR:
+                print("\t\toperands[%u].type: REG_MSR = 0x%x" % (c, i.reg))
+            if i.type == ARM64_OP_PSTATE:
+                print("\t\toperands[%u].type: PSTATE = 0x%x" % (c, i.pstate))
+            if i.type == ARM64_OP_SYS:
+                print("\t\toperands[%u].type: SYS = 0x%x" % (c, i.sys))
+            if i.type == ARM64_OP_PREFETCH:
+                print("\t\toperands[%u].type: PREFETCH = 0x%x" % (c, i.prefetch))
+            if i.type == ARM64_OP_BARRIER:
+                print("\t\toperands[%u].type: BARRIER = 0x%x" % (c, i.barrier))
 
             if i.shift.type != ARM64_SFT_INVALID and i.shift.value:
                 print("\t\t\tShift: type = %u, value = %u" % (i.shift.type, i.shift.value))
@@ -54,6 +66,15 @@
             if i.ext != ARM64_EXT_INVALID:
                 print("\t\t\tExt: %u" % i.ext)
 
+            if i.vas != ARM64_VAS_INVALID:
+                print("\t\t\tVector Arrangement Specifier: 0x%x" % i.vas)
+
+            if i.vess != ARM64_VESS_INVALID:
+                print("\t\t\tVector Element Size Specifier: %u" % i.vess)
+
+            if i.vector_index != -1:
+                print("\t\t\tVector Index: %u" % i.vector_index)
+
     if insn.writeback:
         print("\tWrite-back: True")
     if not insn.cc in [ARM64_CC_AL, ARM64_CC_INVALID]:
diff --git a/bindings/python/test_detail.py b/bindings/python/test_detail.py
index dc1d101..0a2eb37 100755
--- a/bindings/python/test_detail.py
+++ b/bindings/python/test_detail.py
@@ -14,7 +14,7 @@
 THUMB_CODE2 = b"\x4f\xf0\x00\x01\xbd\xe8\x00\x88"
 MIPS_CODE = b"\x0C\x10\x00\x97\x00\x00\x00\x00\x24\x02\x00\x0c\x8f\xa2\x00\x00\x34\x21\x34\x56"
 MIPS_CODE2 = b"\x56\x34\x21\x34\xc2\x17\x01\x00"
-ARM64_CODE = b"\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9"
+ARM64_CODE = b"\x09\x00\x38\xd5\xbf\x40\x00\xd5\x0c\x05\x13\xd5\x20\x50\x02\x0e\x20\xe4\x3d\x0f\x00\x18\xa0\x5f\xa2\x00\xae\x9e\x9f\x37\x03\xd5\xbf\x33\x03\xd5\xdf\x3f\x03\xd5\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b\x10\x5b\xe8\x3c"
 PPC_CODE = b"\x80\x20\x00\x00\x80\x3f\x00\x00\x10\x43\x23\x0e\xd0\x44\x00\x80\x4c\x43\x22\x02\x2d\x03\x00\x80\x7c\x43\x20\x14\x7c\x43\x20\x93\x4f\x20\x00\x21\x4c\xc8\x00\x21"
 SPARC_CODE = b"\x80\xa0\x40\x02\x85\xc2\x60\x08\x85\xe8\x20\x01\x81\xe8\x00\x00\x90\x10\x20\x01\xd5\xf6\x10\x16\x21\x00\x00\x0a\x86\x00\x40\x02\x01\x00\x00\x00\x12\xbf\xff\xff\x10\xbf\xff\xff\xa0\x02\x00\x09\x0d\xbf\xff\xff\xd4\x20\x60\x00\xd4\x4e\x00\x16\x2a\xc2\x80\x03"
 SPARCV9_CODE = b"\x81\xa8\x0a\x24\x89\xa0\x10\x20\x89\xa0\x1a\x60\x89\xa0\x00\xe0"
@@ -42,6 +42,10 @@
 
 
 def print_detail(insn):
+    print("0x%x:\t%s\t%s  // insn-ID: %u, insn-mnem: %s" \
+        % (insn.address, insn.mnemonic, insn.op_str, insn.id, \
+        insn.insn_name()))
+
     # "data" instruction generated by SKIPDATA option has no detail
     if insn.id == 0:
         return
@@ -80,9 +84,6 @@
                 md.syntax = syntax
 
             for insn in md.disasm(code, 0x1000):
-                print("0x%x:\t%s\t%s  // insn-ID: %u, insn-mnem: %s" \
-                    % (insn.address, insn.mnemonic, insn.op_str, insn.id, \
-                    insn.insn_name()))
                 print_detail(insn)
 
             print()
diff --git a/bindings/python/test_x86.py b/bindings/python/test_x86.py
index 6fca0c2..6d2aa50 100755
--- a/bindings/python/test_x86.py
+++ b/bindings/python/test_x86.py
@@ -36,16 +36,14 @@
     # print instruction prefix
     print_string_hex("\tPrefix:", insn.prefix)
 
-    # print segment override (if applicable)
-    if insn.segment != X86_REG_INVALID:
-        print("\tSegment override: %s" % insn.reg_name(insn.segment))
-
     # print instruction's opcode
     print_string_hex("\tOpcode:", insn.opcode)
 
-    # print operand's size, address size, displacement size & immediate size
-    print("\top_size: %u, addr_size: %u, disp_size: %u, imm_size: %u" \
-        % (insn.op_size, insn.addr_size, insn.disp_size, insn.imm_size))
+    # print operand's REX prefix (non-zero value is relavant for x86_64 instructions)
+    print("\trex: 0x%x" % (insn.rex))
+
+    # print operand's address size
+    print("\taddr_size: %u" % (insn.addr_size))
 
     # print modRM byte
     print("\tmodrm: 0x%x" % (insn.modrm))
@@ -65,6 +63,22 @@
             if insn.sib_scale != 0:
                 print("\t\tsib_scale: %d" % (insn.sib_scale))
 
+    # SSE CC type
+    if insn.sse_cc != X86_SSE_CC_INVALID:
+        print("\tsse_cc: %u" % (insn.sse_cc))
+
+    # AVX CC type
+    if insn.avx_cc != X86_AVX_CC_INVALID:
+        print("\tavx_cc: %u" % (insn.avx_cc))
+
+    # AVX Suppress All Exception
+    if insn.avx_sae:
+        print("\tavx_sae: TRUE")
+
+    # AVX Rounding Mode type
+    if insn.avx_rm != X86_AVX_RM_INVALID:
+        print("\tavx_rm: %u" % (insn.avx_rm))
+
     count = insn.op_count(X86_OP_IMM)
     if count > 0:
         print("\timm_count: %u" % count)
@@ -85,6 +99,8 @@
                 print("\t\toperands[%u].type: FP = %f" % (c, i.fp))
             if i.type == X86_OP_MEM:
                 print("\t\toperands[%u].type: MEM" % c)
+                if i.mem.segment != 0:
+                    print("\t\t\toperands[%u].mem.segment: REG = %s" % (c, insn.reg_name(i.mem.segment)))
                 if i.mem.base != 0:
                     print("\t\t\toperands[%u].mem.base: REG = %s" % (c, insn.reg_name(i.mem.base)))
                 if i.mem.index != 0:
@@ -94,6 +110,16 @@
                 if i.mem.disp != 0:
                     print("\t\t\toperands[%u].mem.disp: 0x%s" % (c, to_x(i.mem.disp)))
 
+            # AVX broadcast type
+            if i.avx_bcast != X86_AVX_BCAST_INVALID:
+                print("\t\toperands[%u].avx_bcast: %u" % (c, i.avx_bcast))
+
+            # AVX zero opmask {z}
+            if i.avx_zero_opmask:
+                print("\t\toperands[%u].avx_zero_opmask: TRUE" % (c))
+
+            print("\t\toperands[%u].size: %u" % (c, i.size))
+
 
 # ## Test class Cs
 def test_class():
diff --git a/cs.c b/cs.c
index 40eb326..a66af43 100644
--- a/cs.c
+++ b/cs.c
@@ -409,7 +409,7 @@
 // dynamicly allocate memory to contain disasm insn
 // NOTE: caller must free() the allocated memory itself to avoid memory leaking
 CAPSTONE_EXPORT
-size_t cs_disasm_ex(csh ud, const uint8_t *buffer, size_t size, uint64_t offset, size_t count, cs_insn **insn)
+size_t cs_disasm(csh ud, const uint8_t *buffer, size_t size, uint64_t offset, size_t count, cs_insn **insn)
 {
 	struct cs_struct *handle = (struct cs_struct *)(uintptr_t)ud;
 	MCInst mci;
@@ -460,6 +460,11 @@
 		// save all the information for non-detailed mode
 		mci.flat_insn = insn_cache;
 		mci.flat_insn->address = offset;
+#ifdef CAPSTONE_DIET
+		// zero out mnemonic & op_str
+		mci.flat_insn->mnemonic[0] = '\0';
+		mci.flat_insn->op_str[0] = '\0';
+#endif
 
 		r = handle->disasm(ud, buffer, size, &mci, &insn_size, offset, handle->getinsn_info);
 		if (r) {
@@ -468,7 +473,6 @@
 
 			mci.flat_insn->size = insn_size;
 			handle->printer(&mci, &ss, handle->printer_info);
-
 			fill_insn(handle, insn_cache, ss.buffer, &mci, handle->post_printer, buffer);
 
 			f++;
@@ -518,7 +522,7 @@
 
 			if (handle->skipdata_setup.callback) {
 				skipdata_bytes = handle->skipdata_setup.callback(buffer_org, size_org,
-						offset - offset_org, handle->skipdata_setup.user_data);
+						(size_t)(offset - offset_org), handle->skipdata_setup.user_data);
 				if (skipdata_bytes > size)
 					// remaining data is not enough
 					break;
@@ -532,7 +536,7 @@
 			// we have to skip some amount of data, depending on arch & mode
 			insn_cache->id = 0;	// invalid ID for this "data" instruction
 			insn_cache->address = offset;
-			insn_cache->size = skipdata_bytes;
+			insn_cache->size = (uint16_t) skipdata_bytes;
 			memcpy(insn_cache->bytes, buffer, skipdata_bytes);
 			strncpy(insn_cache->mnemonic, handle->skipdata_setup.mnemonic,
 					sizeof(insn_cache->mnemonic) - 1);
@@ -603,6 +607,13 @@
 }
 
 CAPSTONE_EXPORT
+CAPSTONE_DEPRECATED
+size_t cs_disasm_ex(csh ud, const uint8_t *buffer, size_t size, uint64_t offset, size_t count, cs_insn **insn)
+{
+	return cs_disasm(ud, buffer, size, offset, count, insn);
+}
+
+CAPSTONE_EXPORT
 void cs_free(cs_insn *insn, size_t count)
 {
 	size_t i;
@@ -640,6 +651,18 @@
 	return handle->insn_name(ud, insn);
 }
 
+CAPSTONE_EXPORT
+const char *cs_group_name(csh ud, unsigned int group)
+{
+	struct cs_struct *handle = (struct cs_struct *)(uintptr_t)ud;
+
+	if (!handle || handle->group_name == NULL) {
+		return NULL;
+	}
+
+	return handle->group_name(ud, group);
+}
+
 static bool arr_exist(unsigned char *arr, unsigned char max, unsigned int id)
 {
 	int i;
diff --git a/cs_priv.h b/cs_priv.h
index d3cd3e1..b56030c 100644
--- a/cs_priv.h
+++ b/cs_priv.h
@@ -17,7 +17,7 @@
 
 typedef bool (*Disasm_t)(csh handle, const uint8_t *code, size_t code_len, MCInst *instr, uint16_t *size, uint64_t address, void *info);
 
-typedef const char *(*GetName_t)(csh handle, unsigned int reg);
+typedef const char *(*GetName_t)(csh handle, unsigned int id);
 
 typedef void (*GetID_t)(cs_struct *h, cs_insn *insn, unsigned int id);
 
@@ -40,6 +40,7 @@
 	bool big_endian;
 	GetName_t reg_name;
 	GetName_t insn_name;
+	GetName_t group_name;
 	GetID_t insn_id;
 	PostPrinter_t post_printer;
 	cs_err errnum;
@@ -52,6 +53,7 @@
 	bool skipdata;	// set this to True if we skip data when disassembling
 	uint8_t skipdata_size;	// how many bytes to skip
 	cs_opt_skipdata skipdata_setup;	// user-defined skipdata setup
+	uint8_t *regsize_map;	// map to register size (x86-only for now)
 };
 
 #define MAX_ARCH 8
diff --git a/docs/BHUSA2014-capstone.pdf b/docs/BHUSA2014-capstone.pdf
new file mode 100644
index 0000000..b9ceae5
--- /dev/null
+++ b/docs/BHUSA2014-capstone.pdf
Binary files differ
diff --git a/include/arm.h b/include/arm.h
index a610a70..c9f3c3f 100644
--- a/include/arm.h
+++ b/include/arm.h
@@ -50,6 +50,53 @@
 	ARM_CC_AL             // Always (unconditional)     Always (unconditional)
 } arm_cc;
 
+typedef enum arm_sysreg {
+	//> Special registers for MSR
+	ARM_SYSREG_INVALID = 0,
+
+	// SPSR* registers can be OR combined
+	ARM_SYSREG_SPSR_C = 1,
+	ARM_SYSREG_SPSR_X = 2,
+	ARM_SYSREG_SPSR_S = 4,
+	ARM_SYSREG_SPSR_F = 8,
+
+	// CPSR* registers can be OR combined
+	ARM_SYSREG_CPSR_C = 16,
+	ARM_SYSREG_CPSR_X = 32,
+	ARM_SYSREG_CPSR_S = 64,
+	ARM_SYSREG_CPSR_F = 128,
+
+	// independent registers
+	ARM_SYSREG_APSR = 256,
+	ARM_SYSREG_APSR_G,
+	ARM_SYSREG_APSR_NZCVQ,
+	ARM_SYSREG_APSR_NZCVQG,
+
+	ARM_SYSREG_IAPSR,
+	ARM_SYSREG_IAPSR_G,
+	ARM_SYSREG_IAPSR_NZCVQG,
+
+	ARM_SYSREG_EAPSR,
+	ARM_SYSREG_EAPSR_G,
+	ARM_SYSREG_EAPSR_NZCVQG,
+
+	ARM_SYSREG_XPSR,
+	ARM_SYSREG_XPSR_G,
+	ARM_SYSREG_XPSR_NZCVQG,
+
+	ARM_SYSREG_IPSR,
+	ARM_SYSREG_EPSR,
+	ARM_SYSREG_IEPSR,
+
+	ARM_SYSREG_MSP,
+	ARM_SYSREG_PSP,
+	ARM_SYSREG_PRIMASK,
+	ARM_SYSREG_BASEPRI,
+	ARM_SYSREG_BASEPRI_MAX,
+	ARM_SYSREG_FAULTMASK,
+	ARM_SYSREG_CONTROL,
+} arm_sysreg;
+
 //> Operand type for instruction's operands
 typedef enum arm_op_type {
 	ARM_OP_INVALID = 0,	// Uninitialized.
@@ -59,8 +106,88 @@
 	ARM_OP_IMM,	// Immediate operand.
 	ARM_OP_FP,	// Floating-Point immediate operand.
 	ARM_OP_MEM,	// Memory operand
+	ARM_OP_SETEND,	// operand for SETEND instruction
+	ARM_OP_SYSREG,	// MSR/MSR special register operand
 } arm_op_type;
 
+//> Operand type for SETEND instruction
+typedef enum arm_setend_type {
+	ARM_SETEND_INVALID = 0,	// Uninitialized.
+	ARM_SETEND_BE,	// BE operand.
+	ARM_SETEND_LE, // LE operand
+} arm_setend_type;
+
+typedef enum arm_cpsmode_type {
+	ARM_CPSMODE_INVALID = 0,
+	ARM_CPSMODE_IE = 2,
+	ARM_CPSMODE_ID = 3
+} arm_cpsmode_type;
+
+//> Operand type for SETEND instruction
+typedef enum arm_cpsflag_type {
+	ARM_CPSFLAG_INVALID = 0,
+	ARM_CPSFLAG_F = 1,
+	ARM_CPSFLAG_I = 2,
+	ARM_CPSFLAG_A = 4,
+	ARM_CPSFLAG_NONE = 16,	// no flag
+} arm_cpsflag_type;
+
+//> Data type for elements of vector instructions.
+typedef enum arm_vectordata_type {
+	ARM_VECTORDATA_INVALID = 0,
+
+	// Integer type
+	ARM_VECTORDATA_I8,
+	ARM_VECTORDATA_I16,
+	ARM_VECTORDATA_I32,
+	ARM_VECTORDATA_I64,
+
+	// Signed integer type
+	ARM_VECTORDATA_S8,
+	ARM_VECTORDATA_S16,
+	ARM_VECTORDATA_S32,
+	ARM_VECTORDATA_S64,
+
+	// Unsigned integer type
+	ARM_VECTORDATA_U8,
+	ARM_VECTORDATA_U16,
+	ARM_VECTORDATA_U32,
+	ARM_VECTORDATA_U64,
+
+	// Data type for VMUL/VMULL
+	ARM_VECTORDATA_P8,
+
+	// Floating type
+	ARM_VECTORDATA_F32,
+	ARM_VECTORDATA_F64,
+
+	// Convert float <-> float
+	ARM_VECTORDATA_F16F64,	// f16.f64
+	ARM_VECTORDATA_F64F16,	// f64.f16
+	ARM_VECTORDATA_F32F16,	// f32.f16
+	ARM_VECTORDATA_F16F32,	// f32.f16
+	ARM_VECTORDATA_F64F32,	// f64.f32
+	ARM_VECTORDATA_F32F64,	// f32.f64
+
+	// Convert integer <-> float
+	ARM_VECTORDATA_S32F32,	// s32.f32
+	ARM_VECTORDATA_U32F32,	// u32.f32
+	ARM_VECTORDATA_F32S32,	// f32.s32
+	ARM_VECTORDATA_F32U32,	// f32.u32
+	ARM_VECTORDATA_F64S16,	// f64.s16
+	ARM_VECTORDATA_F32S16,	// f32.s16
+	ARM_VECTORDATA_F64S32,	// f64.s32
+	ARM_VECTORDATA_S16F64,	// s16.f64
+	ARM_VECTORDATA_S16F32,	// s16.f64
+	ARM_VECTORDATA_S32F64,	// s32.f64
+	ARM_VECTORDATA_U16F64,	// u16.f64
+	ARM_VECTORDATA_U16F32,	// u16.f32
+	ARM_VECTORDATA_U32F64,	// u32.f64
+	ARM_VECTORDATA_F64U16,	// f64.u16
+	ARM_VECTORDATA_F32U16,	// f32.u16
+	ARM_VECTORDATA_F64U32,	// f64.u32
+} arm_vectordata_type;
+
 // Instruction's operand referring to memory
 // This is associated with ARM_OP_MEM operand type above
 typedef struct arm_op_mem {
@@ -72,21 +199,28 @@
 
 // Instruction operand
 typedef struct cs_arm_op {
+	int vector_index;	// Vector Index for some vector operands (or -1 if irrelevant)
 	struct {
 		arm_shifter type;
 		unsigned int value;
 	} shift;
 	arm_op_type type;	// operand type
 	union {
-		unsigned int reg;	// register value for REG operand
+		unsigned int reg;	// register value for REG/SYSREG operand
 		int32_t imm;			// immediate value for C-IMM, P-IMM or IMM operand
 		double fp;			// floating point value for FP operand
 		arm_op_mem mem;		// base/index/scale/disp value for MEM operand
+		arm_setend_type setend; // SETEND instruction's operand type
 	};
 } cs_arm_op;
 
 // Instruction structure
 typedef struct cs_arm {
+	bool usermode;	// User-mode registers to be loaded (for LDM/STM instructions)
+	int vector_size; 	// Scalar size for vector instructions
+	arm_vectordata_type vector_data; // Data type for elements of vector instructions
+	arm_cpsmode_type cps_mode;	// CPS mode for CPS instruction
+	arm_cpsflag_type cps_flag;	// CPS mode for CPS instruction
 	arm_cc cc;			// conditional code for this insn
 	bool update_flags;	// does this insn update flags?
 	bool writeback;		// does this insn write-back?
@@ -458,6 +592,7 @@
 	ARM_INS_UADD8,
 	ARM_INS_UASX,
 	ARM_INS_UBFX,
+	ARM_INS_UDF,
 	ARM_INS_UDIV,
 	ARM_INS_UHADD16,
 	ARM_INS_UHADD8,
@@ -655,6 +790,16 @@
 	ARM_INS_POP,
 	ARM_INS_PUSH,
 
+	// special instructions
+	ARM_INS_NOP,
+	ARM_INS_YIELD,
+	ARM_INS_WFE,
+	ARM_INS_WFI,
+	ARM_INS_SEV,
+	ARM_INS_SEVL,
+	ARM_INS_VPUSH,
+	ARM_INS_VPOP,
+
 	ARM_INS_MAX,	// <-- mark the end of the list of instructions
 } arm_insn;
 
diff --git a/include/arm64.h b/include/arm64.h
index 21e506f..9dcdc39 100644
--- a/include/arm64.h
+++ b/include/arm64.h
@@ -61,6 +61,175 @@
 	// is "always".
 } arm64_cc;
 
+//> System registers
+typedef enum arm64_mrs_reg {
+	//> System registers for MRS
+	ARM64_SYSREG_INVALID           = 0,
+	ARM64_SYSREG_MDCCSR_EL0        = 0x9808, // 10  011  0000  0001  000
+	ARM64_SYSREG_DBGDTRRX_EL0      = 0x9828, // 10  011  0000  0101  000
+	ARM64_SYSREG_MDRAR_EL1         = 0x8080, // 10  000  0001  0000  000
+	ARM64_SYSREG_OSLSR_EL1         = 0x808c, // 10  000  0001  0001  100
+	ARM64_SYSREG_DBGAUTHSTATUS_EL1 = 0x83f6, // 10  000  0111  1110  110
+	ARM64_SYSREG_PMCEID0_EL0       = 0xdce6, // 11  011  1001  1100  110
+	ARM64_SYSREG_PMCEID1_EL0       = 0xdce7, // 11  011  1001  1100  111
+	ARM64_SYSREG_MIDR_EL1          = 0xc000, // 11  000  0000  0000  000
+	ARM64_SYSREG_CCSIDR_EL1        = 0xc800, // 11  001  0000  0000  000
+	ARM64_SYSREG_CLIDR_EL1         = 0xc801, // 11  001  0000  0000  001
+	ARM64_SYSREG_CTR_EL0           = 0xd801, // 11  011  0000  0000  001
+	ARM64_SYSREG_MPIDR_EL1         = 0xc005, // 11  000  0000  0000  101
+	ARM64_SYSREG_REVIDR_EL1        = 0xc006, // 11  000  0000  0000  110
+	ARM64_SYSREG_AIDR_EL1          = 0xc807, // 11  001  0000  0000  111
+	ARM64_SYSREG_DCZID_EL0         = 0xd807, // 11  011  0000  0000  111
+	ARM64_SYSREG_ID_PFR0_EL1       = 0xc008, // 11  000  0000  0001  000
+	ARM64_SYSREG_ID_PFR1_EL1       = 0xc009, // 11  000  0000  0001  001
+	ARM64_SYSREG_ID_DFR0_EL1       = 0xc00a, // 11  000  0000  0001  010
+	ARM64_SYSREG_ID_AFR0_EL1       = 0xc00b, // 11  000  0000  0001  011
+	ARM64_SYSREG_ID_MMFR0_EL1      = 0xc00c, // 11  000  0000  0001  100
+	ARM64_SYSREG_ID_MMFR1_EL1      = 0xc00d, // 11  000  0000  0001  101
+	ARM64_SYSREG_ID_MMFR2_EL1      = 0xc00e, // 11  000  0000  0001  110
+	ARM64_SYSREG_ID_MMFR3_EL1      = 0xc00f, // 11  000  0000  0001  111
+	ARM64_SYSREG_ID_ISAR0_EL1      = 0xc010, // 11  000  0000  0010  000
+	ARM64_SYSREG_ID_ISAR1_EL1      = 0xc011, // 11  000  0000  0010  001
+	ARM64_SYSREG_ID_ISAR2_EL1      = 0xc012, // 11  000  0000  0010  010
+	ARM64_SYSREG_ID_ISAR3_EL1      = 0xc013, // 11  000  0000  0010  011
+	ARM64_SYSREG_ID_ISAR4_EL1      = 0xc014, // 11  000  0000  0010  100
+	ARM64_SYSREG_ID_ISAR5_EL1      = 0xc015, // 11  000  0000  0010  101
+	ARM64_SYSREG_ID_A64PFR0_EL1   = 0xc020, // 11  000  0000  0100  000
+	ARM64_SYSREG_ID_A64PFR1_EL1   = 0xc021, // 11  000  0000  0100  001
+	ARM64_SYSREG_ID_A64DFR0_EL1   = 0xc028, // 11  000  0000  0101  000
+	ARM64_SYSREG_ID_A64DFR1_EL1   = 0xc029, // 11  000  0000  0101  001
+	ARM64_SYSREG_ID_A64AFR0_EL1   = 0xc02c, // 11  000  0000  0101  100
+	ARM64_SYSREG_ID_A64AFR1_EL1   = 0xc02d, // 11  000  0000  0101  101
+	ARM64_SYSREG_ID_A64ISAR0_EL1  = 0xc030, // 11  000  0000  0110  000
+	ARM64_SYSREG_ID_A64ISAR1_EL1  = 0xc031, // 11  000  0000  0110  001
+	ARM64_SYSREG_ID_A64MMFR0_EL1  = 0xc038, // 11  000  0000  0111  000
+	ARM64_SYSREG_ID_A64MMFR1_EL1  = 0xc039, // 11  000  0000  0111  001
+	ARM64_SYSREG_MVFR0_EL1         = 0xc018, // 11  000  0000  0011  000
+	ARM64_SYSREG_MVFR1_EL1         = 0xc019, // 11  000  0000  0011  001
+	ARM64_SYSREG_MVFR2_EL1         = 0xc01a, // 11  000  0000  0011  010
+	ARM64_SYSREG_RVBAR_EL1         = 0xc601, // 11  000  1100  0000  001
+	ARM64_SYSREG_RVBAR_EL2         = 0xe601, // 11  100  1100  0000  001
+	ARM64_SYSREG_RVBAR_EL3         = 0xf601, // 11  110  1100  0000  001
+	ARM64_SYSREG_ISR_EL1           = 0xc608, // 11  000  1100  0001  000
+	ARM64_SYSREG_CNTPCT_EL0        = 0xdf01, // 11  011  1110  0000  001
+	ARM64_SYSREG_CNTVCT_EL0        = 0xdf02,  // 11  011  1110  0000  010
+
+	// Trace registers
+	ARM64_SYSREG_TRCSTATR          = 0x8818, // 10  001  0000  0011  000
+	ARM64_SYSREG_TRCIDR8           = 0x8806, // 10  001  0000  0000  110
+	ARM64_SYSREG_TRCIDR9           = 0x880e, // 10  001  0000  0001  110
+	ARM64_SYSREG_TRCIDR10          = 0x8816, // 10  001  0000  0010  110
+	ARM64_SYSREG_TRCIDR11          = 0x881e, // 10  001  0000  0011  110
+	ARM64_SYSREG_TRCIDR12          = 0x8826, // 10  001  0000  0100  110
+	ARM64_SYSREG_TRCIDR13          = 0x882e, // 10  001  0000  0101  110
+	ARM64_SYSREG_TRCIDR0           = 0x8847, // 10  001  0000  1000  111
+	ARM64_SYSREG_TRCIDR1           = 0x884f, // 10  001  0000  1001  111
+	ARM64_SYSREG_TRCIDR2           = 0x8857, // 10  001  0000  1010  111
+	ARM64_SYSREG_TRCIDR3           = 0x885f, // 10  001  0000  1011  111
+	ARM64_SYSREG_TRCIDR4           = 0x8867, // 10  001  0000  1100  111
+	ARM64_SYSREG_TRCIDR5           = 0x886f, // 10  001  0000  1101  111
+	ARM64_SYSREG_TRCIDR6           = 0x8877, // 10  001  0000  1110  111
+	ARM64_SYSREG_TRCIDR7           = 0x887f, // 10  001  0000  1111  111
+	ARM64_SYSREG_TRCOSLSR          = 0x888c, // 10  001  0001  0001  100
+	ARM64_SYSREG_TRCPDSR           = 0x88ac, // 10  001  0001  0101  100
+	ARM64_SYSREG_TRCDEVAFF0        = 0x8bd6, // 10  001  0111  1010  110
+	ARM64_SYSREG_TRCDEVAFF1        = 0x8bde, // 10  001  0111  1011  110
+	ARM64_SYSREG_TRCLSR            = 0x8bee, // 10  001  0111  1101  110
+	ARM64_SYSREG_TRCAUTHSTATUS     = 0x8bf6, // 10  001  0111  1110  110
+	ARM64_SYSREG_TRCDEVARCH        = 0x8bfe, // 10  001  0111  1111  110
+	ARM64_SYSREG_TRCDEVID          = 0x8b97, // 10  001  0111  0010  111
+	ARM64_SYSREG_TRCDEVTYPE        = 0x8b9f, // 10  001  0111  0011  111
+	ARM64_SYSREG_TRCPIDR4          = 0x8ba7, // 10  001  0111  0100  111
+	ARM64_SYSREG_TRCPIDR5          = 0x8baf, // 10  001  0111  0101  111
+	ARM64_SYSREG_TRCPIDR6          = 0x8bb7, // 10  001  0111  0110  111
+	ARM64_SYSREG_TRCPIDR7          = 0x8bbf, // 10  001  0111  0111  111
+	ARM64_SYSREG_TRCPIDR0          = 0x8bc7, // 10  001  0111  1000  111
+	ARM64_SYSREG_TRCPIDR1          = 0x8bcf, // 10  001  0111  1001  111
+	ARM64_SYSREG_TRCPIDR2          = 0x8bd7, // 10  001  0111  1010  111
+	ARM64_SYSREG_TRCPIDR3          = 0x8bdf, // 10  001  0111  1011  111
+	ARM64_SYSREG_TRCCIDR0          = 0x8be7, // 10  001  0111  1100  111
+	ARM64_SYSREG_TRCCIDR1          = 0x8bef, // 10  001  0111  1101  111
+	ARM64_SYSREG_TRCCIDR2          = 0x8bf7, // 10  001  0111  1110  111
+	ARM64_SYSREG_TRCCIDR3          = 0x8bff, // 10  001  0111  1111  111
+
+	// GICv3 registers
+	ARM64_SYSREG_ICC_IAR1_EL1      = 0xc660, // 11  000  1100  1100  000
+	ARM64_SYSREG_ICC_IAR0_EL1      = 0xc640, // 11  000  1100  1000  000
+	ARM64_SYSREG_ICC_HPPIR1_EL1    = 0xc662, // 11  000  1100  1100  010
+	ARM64_SYSREG_ICC_HPPIR0_EL1    = 0xc642, // 11  000  1100  1000  010
+	ARM64_SYSREG_ICC_RPR_EL1       = 0xc65b, // 11  000  1100  1011  011
+	ARM64_SYSREG_ICH_VTR_EL2       = 0xe659, // 11  100  1100  1011  001
+	ARM64_SYSREG_ICH_EISR_EL2      = 0xe65b, // 11  100  1100  1011  011
+	ARM64_SYSREG_ICH_ELSR_EL2      = 0xe65d  // 11  100  1100  1011  101
+} arm64_sysreg;
+
+typedef enum arm64_msr_reg {
+	//> System registers for MSR
+	ARM64_SYSREG_DBGDTRTX_EL0      = 0x9828, // 10  011  0000  0101  000
+	ARM64_SYSREG_OSLAR_EL1         = 0x8084, // 10  000  0001  0000  100
+	ARM64_SYSREG_PMSWINC_EL0       = 0xdce4,  // 11  011  1001  1100  100
+
+	// Trace Registers
+	ARM64_SYSREG_TRCOSLAR          = 0x8884, // 10  001  0001  0000  100
+	ARM64_SYSREG_TRCLAR            = 0x8be6, // 10  001  0111  1100  110
+
+	// GICv3 registers
+	ARM64_SYSREG_ICC_EOIR1_EL1     = 0xc661, // 11  000  1100  1100  001
+	ARM64_SYSREG_ICC_EOIR0_EL1     = 0xc641, // 11  000  1100  1000  001
+	ARM64_SYSREG_ICC_DIR_EL1       = 0xc659, // 11  000  1100  1011  001
+	ARM64_SYSREG_ICC_SGI1R_EL1     = 0xc65d, // 11  000  1100  1011  101
+	ARM64_SYSREG_ICC_ASGI1R_EL1    = 0xc65e, // 11  000  1100  1011  110
+	ARM64_SYSREG_ICC_SGI0R_EL1     = 0xc65f  // 11  000  1100  1011  111
+} arm64_msr_reg;
+
+//> System PState Field (MSR instruction)
+typedef enum arm64_pstate {
+	ARM64_PSTATE_INVALID = 0,
+	ARM64_PSTATE_SPSEL = 0x05,
+	ARM64_PSTATE_DAIFSET = 0x1e,
+	ARM64_PSTATE_DAIFCLR = 0x1f
+} arm64_pstate;
+
+//> Vector arrangement specifier (for FloatingPoint/Advanced SIMD insn)
+typedef enum arm64_vas {
+	ARM64_VAS_INVALID = 0,
+	ARM64_VAS_8B,
+	ARM64_VAS_16B,
+	ARM64_VAS_4H,
+	ARM64_VAS_8H,
+	ARM64_VAS_2S,
+	ARM64_VAS_4S,
+	ARM64_VAS_1D,
+	ARM64_VAS_2D,
+	ARM64_VAS_1Q,
+} arm64_vas;
+
+//> Vector element size specifier
+typedef enum arm64_vess {
+	ARM64_VESS_INVALID = 0,
+	ARM64_VESS_B,
+	ARM64_VESS_H,
+	ARM64_VESS_S,
+	ARM64_VESS_D,
+} arm64_vess;
+
+//> Memory barrier operands
+typedef enum arm64_barrier_op {
+	ARM64_BARRIER_INVALID = 0,
+	ARM64_BARRIER_OSHLD = 0x1,
+	ARM64_BARRIER_OSHST = 0x2,
+	ARM64_BARRIER_OSH =   0x3,
+	ARM64_BARRIER_NSHLD = 0x5,
+	ARM64_BARRIER_NSHST = 0x6,
+	ARM64_BARRIER_NSH =   0x7,
+	ARM64_BARRIER_ISHLD = 0x9,
+	ARM64_BARRIER_ISHST = 0xa,
+	ARM64_BARRIER_ISH =   0xb,
+	ARM64_BARRIER_LD =    0xd,
+	ARM64_BARRIER_ST =    0xe,
+	ARM64_BARRIER_SY =    0xf
+} arm64_barrier_op;
+
 //> Operand type for instruction's operands
 typedef enum arm64_op_type {
 	ARM64_OP_INVALID = 0,	// Uninitialized.
@@ -69,8 +238,111 @@
 	ARM64_OP_IMM,	// Immediate operand.
 	ARM64_OP_FP,	// Floating-Point immediate operand.
 	ARM64_OP_MEM,	// Memory operand
+	ARM64_OP_REG_MRS, // MRS register operand.
+	ARM64_OP_REG_MSR, // MSR register operand.
+	ARM64_OP_PSTATE, // PState operand.
+	ARM64_OP_SYS, // SYS operand for IC/DC/AT/TLBI instructions.
+	ARM64_OP_PREFETCH, // Prefetch operand (PRFM).
+	ARM64_OP_BARRIER, // Memory barrier operand (ISB/DMB/DSB instructions).
 } arm64_op_type;
 
+//> TLBI operations
+typedef enum arm64_tlbi_op {
+	ARM64_TLBI_INVALID = 0,
+	ARM64_TLBI_VMALLE1IS,
+	ARM64_TLBI_VAE1IS,
+	ARM64_TLBI_ASIDE1IS,
+	ARM64_TLBI_VAAE1IS,
+	ARM64_TLBI_VALE1IS,
+	ARM64_TLBI_VAALE1IS,
+	ARM64_TLBI_ALLE2IS,
+	ARM64_TLBI_VAE2IS,
+	ARM64_TLBI_ALLE1IS,
+	ARM64_TLBI_VALE2IS,
+	ARM64_TLBI_VMALLS12E1IS,
+	ARM64_TLBI_ALLE3IS,
+	ARM64_TLBI_VAE3IS,
+	ARM64_TLBI_VALE3IS,
+	ARM64_TLBI_IPAS2E1IS,
+	ARM64_TLBI_IPAS2LE1IS,
+	ARM64_TLBI_IPAS2E1,
+	ARM64_TLBI_IPAS2LE1,
+	ARM64_TLBI_VMALLE1,
+	ARM64_TLBI_VAE1,
+	ARM64_TLBI_ASIDE1,
+	ARM64_TLBI_VAAE1,
+	ARM64_TLBI_VALE1,
+	ARM64_TLBI_VAALE1,
+	ARM64_TLBI_ALLE2,
+	ARM64_TLBI_VAE2,
+	ARM64_TLBI_ALLE1,
+	ARM64_TLBI_VALE2,
+	ARM64_TLBI_VMALLS12E1,
+	ARM64_TLBI_ALLE3,
+	ARM64_TLBI_VAE3,
+	ARM64_TLBI_VALE3,
+} arm64_tlbi_op;
+
+//> AT operations
+typedef enum arm64_at_op {
+	ARM64_AT_S1E1R,
+	ARM64_AT_S1E1W,
+	ARM64_AT_S1E0R,
+	ARM64_AT_S1E0W,
+	ARM64_AT_S1E2R,
+	ARM64_AT_S1E2W,
+	ARM64_AT_S12E1R,
+	ARM64_AT_S12E1W,
+	ARM64_AT_S12E0R,
+	ARM64_AT_S12E0W,
+	ARM64_AT_S1E3R,
+	ARM64_AT_S1E3W,
+} arm64_at_op;
+
+//> DC operations
+typedef enum arm64_dc_op {
+	ARM64_DC_INVALID = 0,
+	ARM64_DC_ZVA,
+	ARM64_DC_IVAC,
+	ARM64_DC_ISW,
+	ARM64_DC_CVAC,
+	ARM64_DC_CSW,
+	ARM64_DC_CVAU,
+	ARM64_DC_CIVAC,
+	ARM64_DC_CISW,
+} arm64_dc_op;
+
+//> IC operations
+typedef enum arm64_ic_op {
+	ARM64_IC_INVALID = 0,
+	ARM64_IC_IALLUIS,
+	ARM64_IC_IALLU,
+	ARM64_IC_IVAU,
+} arm64_ic_op;
+
+//> Prefetch operations (PRFM)
+typedef enum arm64_prefetch_op {
+	ARM64_PRFM_INVALID = 0,
+	ARM64_PRFM_PLDL1KEEP = 0x00 + 1,
+	ARM64_PRFM_PLDL1STRM = 0x01 + 1,
+	ARM64_PRFM_PLDL2KEEP = 0x02 + 1,
+	ARM64_PRFM_PLDL2STRM = 0x03 + 1,
+	ARM64_PRFM_PLDL3KEEP = 0x04 + 1,
+	ARM64_PRFM_PLDL3STRM = 0x05 + 1,
+	ARM64_PRFM_PLIL1KEEP = 0x08 + 1,
+	ARM64_PRFM_PLIL1STRM = 0x09 + 1,
+	ARM64_PRFM_PLIL2KEEP = 0x0a + 1,
+	ARM64_PRFM_PLIL2STRM = 0x0b + 1,
+	ARM64_PRFM_PLIL3KEEP = 0x0c + 1,
+	ARM64_PRFM_PLIL3STRM = 0x0d + 1,
+	ARM64_PRFM_PSTL1KEEP = 0x10 + 1,
+	ARM64_PRFM_PSTL1STRM = 0x11 + 1,
+	ARM64_PRFM_PSTL2KEEP = 0x12 + 1,
+	ARM64_PRFM_PSTL2STRM = 0x13 + 1,
+	ARM64_PRFM_PSTL3KEEP = 0x14 + 1,
+	ARM64_PRFM_PSTL3STRM = 0x15 + 1,
+} arm64_prefetch_op;
+
 // Instruction's operand referring to memory
 // This is associated with ARM64_OP_MEM operand type above
 typedef struct arm64_op_mem {
@@ -81,6 +353,9 @@
 
 // Instruction operand
 typedef struct cs_arm64_op {
+	int vector_index;	// Vector Index for some vector operands (or -1 if irrelevant)
+	arm64_vas vas;		// Vector Arrangement Specifier
+	arm64_vess vess;	// Vector Element Size Specifier
 	struct {
 		arm64_shifter type;	// shifter type of this operand
 		unsigned int value;	// shifter value of this operand
@@ -92,6 +367,10 @@
 		int32_t imm;		// immediate value, or index for C-IMM or IMM operand
 		double fp;			// floating point value for FP operand
 		arm64_op_mem mem;		// base/index/scale/disp value for MEM operand
+		arm64_pstate pstate;		// PState field of MSR instruction.
+		unsigned int sys;  // IC/DC/AT/TLBI operation (see arm64_ic_op, arm64_dc_op, arm64_at_op, arm64_tlbi_op)
+		arm64_prefetch_op prefetch;  // PRFM operation.
+		arm64_barrier_op barrier;  // Memory barrier operation (ISB/DMB/DSB instructions).
 	};
 } cs_arm64_op;
 
@@ -112,10 +391,12 @@
 typedef enum arm64_reg {
 	ARM64_REG_INVALID = 0,
 
+	ARM64_REG_X29,
+	ARM64_REG_X30,
 	ARM64_REG_NZCV,
+	ARM64_REG_SP,
 	ARM64_REG_WSP,
 	ARM64_REG_WZR,
-	ARM64_REG_SP,
 	ARM64_REG_XZR,
 	ARM64_REG_B0,
 	ARM64_REG_B1,
@@ -337,8 +618,39 @@
 	ARM64_REG_X26,
 	ARM64_REG_X27,
 	ARM64_REG_X28,
-	ARM64_REG_X29,
-	ARM64_REG_X30,
+
+	ARM64_REG_V0,
+	ARM64_REG_V1,
+	ARM64_REG_V2,
+	ARM64_REG_V3,
+	ARM64_REG_V4,
+	ARM64_REG_V5,
+	ARM64_REG_V6,
+	ARM64_REG_V7,
+	ARM64_REG_V8,
+	ARM64_REG_V9,
+	ARM64_REG_V10,
+	ARM64_REG_V11,
+	ARM64_REG_V12,
+	ARM64_REG_V13,
+	ARM64_REG_V14,
+	ARM64_REG_V15,
+	ARM64_REG_V16,
+	ARM64_REG_V17,
+	ARM64_REG_V18,
+	ARM64_REG_V19,
+	ARM64_REG_V20,
+	ARM64_REG_V21,
+	ARM64_REG_V22,
+	ARM64_REG_V23,
+	ARM64_REG_V24,
+	ARM64_REG_V25,
+	ARM64_REG_V26,
+	ARM64_REG_V27,
+	ARM64_REG_V28,
+	ARM64_REG_V29,
+	ARM64_REG_V30,
+	ARM64_REG_V31,
 
 	ARM64_REG_MAX,		// <-- mark the end of the list of registers
 
@@ -356,33 +668,29 @@
 
 	ARM64_INS_ABS,
 	ARM64_INS_ADC,
-	ARM64_INS_ADDHN2,
 	ARM64_INS_ADDHN,
+	ARM64_INS_ADDHN2,
 	ARM64_INS_ADDP,
-	ARM64_INS_ADDV,
 	ARM64_INS_ADD,
-	ARM64_INS_CMN,
-	ARM64_INS_ADRP,
+	ARM64_INS_ADDV,
 	ARM64_INS_ADR,
+	ARM64_INS_ADRP,
 	ARM64_INS_AESD,
 	ARM64_INS_AESE,
 	ARM64_INS_AESIMC,
 	ARM64_INS_AESMC,
 	ARM64_INS_AND,
 	ARM64_INS_ASR,
-	ARM64_INS_AT,
-	ARM64_INS_BFI,
+	ARM64_INS_B,
 	ARM64_INS_BFM,
-	ARM64_INS_BFXIL,
 	ARM64_INS_BIC,
 	ARM64_INS_BIF,
 	ARM64_INS_BIT,
-	ARM64_INS_BLR,
 	ARM64_INS_BL,
-	ARM64_INS_BRK,
+	ARM64_INS_BLR,
 	ARM64_INS_BR,
+	ARM64_INS_BRK,
 	ARM64_INS_BSL,
-	ARM64_INS_B,
 	ARM64_INS_CBNZ,
 	ARM64_INS_CBZ,
 	ARM64_INS_CCMN,
@@ -397,9 +705,9 @@
 	ARM64_INS_CMHS,
 	ARM64_INS_CMLE,
 	ARM64_INS_CMLT,
-	ARM64_INS_CMP,
 	ARM64_INS_CMTST,
 	ARM64_INS_CNT,
+	ARM64_INS_MOV,
 	ARM64_INS_CRC32B,
 	ARM64_INS_CRC32CB,
 	ARM64_INS_CRC32CH,
@@ -415,7 +723,6 @@
 	ARM64_INS_DCPS1,
 	ARM64_INS_DCPS2,
 	ARM64_INS_DCPS3,
-	ARM64_INS_DC,
 	ARM64_INS_DMB,
 	ARM64_INS_DRPS,
 	ARM64_INS_DSB,
@@ -429,10 +736,10 @@
 	ARM64_INS_FABS,
 	ARM64_INS_FACGE,
 	ARM64_INS_FACGT,
-	ARM64_INS_FADDP,
 	ARM64_INS_FADD,
-	ARM64_INS_FCCMPE,
+	ARM64_INS_FADDP,
 	ARM64_INS_FCCMP,
+	ARM64_INS_FCCMPE,
 	ARM64_INS_FCMEQ,
 	ARM64_INS_FCMGE,
 	ARM64_INS_FCMGT,
@@ -443,41 +750,41 @@
 	ARM64_INS_FCSEL,
 	ARM64_INS_FCVTAS,
 	ARM64_INS_FCVTAU,
+	ARM64_INS_FCVT,
 	ARM64_INS_FCVTL,
 	ARM64_INS_FCVTL2,
 	ARM64_INS_FCVTMS,
 	ARM64_INS_FCVTMU,
-	ARM64_INS_FCVTN,
-	ARM64_INS_FCVTN2,
 	ARM64_INS_FCVTNS,
 	ARM64_INS_FCVTNU,
+	ARM64_INS_FCVTN,
+	ARM64_INS_FCVTN2,
 	ARM64_INS_FCVTPS,
 	ARM64_INS_FCVTPU,
 	ARM64_INS_FCVTXN,
 	ARM64_INS_FCVTXN2,
 	ARM64_INS_FCVTZS,
 	ARM64_INS_FCVTZU,
-	ARM64_INS_FCVT,
 	ARM64_INS_FDIV,
 	ARM64_INS_FMADD,
+	ARM64_INS_FMAX,
+	ARM64_INS_FMAXNM,
 	ARM64_INS_FMAXNMP,
 	ARM64_INS_FMAXNMV,
-	ARM64_INS_FMAXNM,
 	ARM64_INS_FMAXP,
 	ARM64_INS_FMAXV,
-	ARM64_INS_FMAX,
+	ARM64_INS_FMIN,
+	ARM64_INS_FMINNM,
 	ARM64_INS_FMINNMP,
 	ARM64_INS_FMINNMV,
-	ARM64_INS_FMINNM,
 	ARM64_INS_FMINP,
 	ARM64_INS_FMINV,
-	ARM64_INS_FMIN,
 	ARM64_INS_FMLA,
 	ARM64_INS_FMLS,
 	ARM64_INS_FMOV,
 	ARM64_INS_FMSUB,
-	ARM64_INS_FMULX,
 	ARM64_INS_FMUL,
+	ARM64_INS_FMULX,
 	ARM64_INS_FNEG,
 	ARM64_INS_FNMADD,
 	ARM64_INS_FNMSUB,
@@ -499,60 +806,51 @@
 	ARM64_INS_HINT,
 	ARM64_INS_HLT,
 	ARM64_INS_HVC,
-	ARM64_INS_IC,
 	ARM64_INS_INS,
+
 	ARM64_INS_ISB,
 	ARM64_INS_LD1,
 	ARM64_INS_LD1R,
-	ARM64_INS_LD2,
 	ARM64_INS_LD2R,
-	ARM64_INS_LD3,
+	ARM64_INS_LD2,
 	ARM64_INS_LD3R,
+	ARM64_INS_LD3,
 	ARM64_INS_LD4,
 	ARM64_INS_LD4R,
+
 	ARM64_INS_LDARB,
-	ARM64_INS_LDAR,
 	ARM64_INS_LDARH,
+	ARM64_INS_LDAR,
 	ARM64_INS_LDAXP,
 	ARM64_INS_LDAXRB,
-	ARM64_INS_LDAXR,
 	ARM64_INS_LDAXRH,
+	ARM64_INS_LDAXR,
+	ARM64_INS_LDNP,
+	ARM64_INS_LDP,
 	ARM64_INS_LDPSW,
-	ARM64_INS_LDRSB,
-	ARM64_INS_LDURSB,
-	ARM64_INS_LDRSH,
-	ARM64_INS_LDURSH,
-	ARM64_INS_LDRSW,
+	ARM64_INS_LDRB,
 	ARM64_INS_LDR,
+	ARM64_INS_LDRH,
+	ARM64_INS_LDRSB,
+	ARM64_INS_LDRSH,
+	ARM64_INS_LDRSW,
+	ARM64_INS_LDTRB,
+	ARM64_INS_LDTRH,
 	ARM64_INS_LDTRSB,
+
 	ARM64_INS_LDTRSH,
 	ARM64_INS_LDTRSW,
+	ARM64_INS_LDTR,
+	ARM64_INS_LDURB,
+	ARM64_INS_LDUR,
+	ARM64_INS_LDURH,
+	ARM64_INS_LDURSB,
+	ARM64_INS_LDURSH,
 	ARM64_INS_LDURSW,
 	ARM64_INS_LDXP,
 	ARM64_INS_LDXRB,
-	ARM64_INS_LDXR,
 	ARM64_INS_LDXRH,
-	ARM64_INS_LDRH,
-	ARM64_INS_LDURH,
-	ARM64_INS_STRH,
-	ARM64_INS_STURH,
-	ARM64_INS_LDTRH,
-	ARM64_INS_STTRH,
-	ARM64_INS_LDUR,
-	ARM64_INS_STR,
-	ARM64_INS_STUR,
-	ARM64_INS_LDTR,
-	ARM64_INS_STTR,
-	ARM64_INS_LDRB,
-	ARM64_INS_LDURB,
-	ARM64_INS_STRB,
-	ARM64_INS_STURB,
-	ARM64_INS_LDTRB,
-	ARM64_INS_STTRB,
-	ARM64_INS_LDP,
-	ARM64_INS_LDNP,
-	ARM64_INS_STNP,
-	ARM64_INS_STP,
+	ARM64_INS_LDXR,
 	ARM64_INS_LSL,
 	ARM64_INS_LSR,
 	ARM64_INS_MADD,
@@ -567,7 +865,6 @@
 	ARM64_INS_MSUB,
 	ARM64_INS_MUL,
 	ARM64_INS_MVNI,
-	ARM64_INS_MVN,
 	ARM64_INS_NEG,
 	ARM64_INS_NOT,
 	ARM64_INS_ORN,
@@ -577,12 +874,8 @@
 	ARM64_INS_PMUL,
 	ARM64_INS_PRFM,
 	ARM64_INS_PRFUM,
-	ARM64_INS_SQRSHRUN2,
-	ARM64_INS_SQRSHRUN,
-	ARM64_INS_SQSHRUN2,
-	ARM64_INS_SQSHRUN,
-	ARM64_INS_RADDHN2,
 	ARM64_INS_RADDHN,
+	ARM64_INS_RADDHN2,
 	ARM64_INS_RBIT,
 	ARM64_INS_RET,
 	ARM64_INS_REV16,
@@ -592,25 +885,24 @@
 	ARM64_INS_ROR,
 	ARM64_INS_RSHRN2,
 	ARM64_INS_RSHRN,
-	ARM64_INS_RSUBHN2,
 	ARM64_INS_RSUBHN,
+	ARM64_INS_RSUBHN2,
 	ARM64_INS_SABAL2,
 	ARM64_INS_SABAL,
+
 	ARM64_INS_SABA,
 	ARM64_INS_SABDL2,
 	ARM64_INS_SABDL,
 	ARM64_INS_SABD,
 	ARM64_INS_SADALP,
-	ARM64_INS_SADDL2,
 	ARM64_INS_SADDLP,
 	ARM64_INS_SADDLV,
+	ARM64_INS_SADDL2,
 	ARM64_INS_SADDL,
 	ARM64_INS_SADDW2,
 	ARM64_INS_SADDW,
 	ARM64_INS_SBC,
-	ARM64_INS_SBFIZ,
 	ARM64_INS_SBFM,
-	ARM64_INS_SBFX,
 	ARM64_INS_SCVTF,
 	ARM64_INS_SDIV,
 	ARM64_INS_SHA1C,
@@ -619,8 +911,8 @@
 	ARM64_INS_SHA1P,
 	ARM64_INS_SHA1SU0,
 	ARM64_INS_SHA1SU1,
-	ARM64_INS_SHA256H,
 	ARM64_INS_SHA256H2,
+	ARM64_INS_SHA256H,
 	ARM64_INS_SHA256SU0,
 	ARM64_INS_SHA256SU1,
 	ARM64_INS_SHADD,
@@ -650,27 +942,31 @@
 	ARM64_INS_SMULL,
 	ARM64_INS_SQABS,
 	ARM64_INS_SQADD,
-	ARM64_INS_SQDMLAL2,
 	ARM64_INS_SQDMLAL,
-	ARM64_INS_SQDMLSL2,
+	ARM64_INS_SQDMLAL2,
 	ARM64_INS_SQDMLSL,
+	ARM64_INS_SQDMLSL2,
 	ARM64_INS_SQDMULH,
-	ARM64_INS_SQDMULL2,
 	ARM64_INS_SQDMULL,
+	ARM64_INS_SQDMULL2,
 	ARM64_INS_SQNEG,
 	ARM64_INS_SQRDMULH,
 	ARM64_INS_SQRSHL,
 	ARM64_INS_SQRSHRN,
 	ARM64_INS_SQRSHRN2,
+	ARM64_INS_SQRSHRUN,
+	ARM64_INS_SQRSHRUN2,
 	ARM64_INS_SQSHLU,
 	ARM64_INS_SQSHL,
 	ARM64_INS_SQSHRN,
 	ARM64_INS_SQSHRN2,
+	ARM64_INS_SQSHRUN,
+	ARM64_INS_SQSHRUN2,
 	ARM64_INS_SQSUB,
-	ARM64_INS_SQXTN,
 	ARM64_INS_SQXTN2,
-	ARM64_INS_SQXTUN,
+	ARM64_INS_SQXTN,
 	ARM64_INS_SQXTUN2,
+	ARM64_INS_SQXTUN,
 	ARM64_INS_SRHADD,
 	ARM64_INS_SRI,
 	ARM64_INS_SRSHL,
@@ -690,34 +986,40 @@
 	ARM64_INS_ST3,
 	ARM64_INS_ST4,
 	ARM64_INS_STLRB,
-	ARM64_INS_STLR,
 	ARM64_INS_STLRH,
+	ARM64_INS_STLR,
 	ARM64_INS_STLXP,
 	ARM64_INS_STLXRB,
-	ARM64_INS_STLXR,
 	ARM64_INS_STLXRH,
+	ARM64_INS_STLXR,
+	ARM64_INS_STNP,
+	ARM64_INS_STP,
+	ARM64_INS_STRB,
+	ARM64_INS_STR,
+	ARM64_INS_STRH,
+	ARM64_INS_STTRB,
+	ARM64_INS_STTRH,
+	ARM64_INS_STTR,
+	ARM64_INS_STURB,
+	ARM64_INS_STUR,
+	ARM64_INS_STURH,
 	ARM64_INS_STXP,
 	ARM64_INS_STXRB,
-	ARM64_INS_STXR,
 	ARM64_INS_STXRH,
-	ARM64_INS_SUBHN2,
+	ARM64_INS_STXR,
 	ARM64_INS_SUBHN,
+	ARM64_INS_SUBHN2,
 	ARM64_INS_SUB,
 	ARM64_INS_SUQADD,
 	ARM64_INS_SVC,
-	ARM64_INS_SXTB,
-	ARM64_INS_SXTH,
-	ARM64_INS_SXTW,
 	ARM64_INS_SYSL,
 	ARM64_INS_SYS,
 	ARM64_INS_TBL,
 	ARM64_INS_TBNZ,
 	ARM64_INS_TBX,
 	ARM64_INS_TBZ,
-	ARM64_INS_TLBI,
 	ARM64_INS_TRN1,
 	ARM64_INS_TRN2,
-	ARM64_INS_TST,
 	ARM64_INS_UABAL2,
 	ARM64_INS_UABAL,
 	ARM64_INS_UABA,
@@ -725,15 +1027,13 @@
 	ARM64_INS_UABDL,
 	ARM64_INS_UABD,
 	ARM64_INS_UADALP,
-	ARM64_INS_UADDL2,
 	ARM64_INS_UADDLP,
 	ARM64_INS_UADDLV,
+	ARM64_INS_UADDL2,
 	ARM64_INS_UADDL,
 	ARM64_INS_UADDW2,
 	ARM64_INS_UADDW,
-	ARM64_INS_UBFIZ,
 	ARM64_INS_UBFM,
-	ARM64_INS_UBFX,
 	ARM64_INS_UCVTF,
 	ARM64_INS_UDIV,
 	ARM64_INS_UHADD,
@@ -762,8 +1062,8 @@
 	ARM64_INS_UQSHRN,
 	ARM64_INS_UQSHRN2,
 	ARM64_INS_UQSUB,
-	ARM64_INS_UQXTN,
 	ARM64_INS_UQXTN2,
+	ARM64_INS_UQXTN,
 	ARM64_INS_URECPE,
 	ARM64_INS_URHADD,
 	ARM64_INS_URSHL,
@@ -780,12 +1080,10 @@
 	ARM64_INS_USUBL,
 	ARM64_INS_USUBW2,
 	ARM64_INS_USUBW,
-	ARM64_INS_UXTB,
-	ARM64_INS_UXTH,
 	ARM64_INS_UZP1,
 	ARM64_INS_UZP2,
-	ARM64_INS_XTN,
 	ARM64_INS_XTN2,
+	ARM64_INS_XTN,
 	ARM64_INS_ZIP1,
 	ARM64_INS_ZIP2,
 
@@ -793,7 +1091,6 @@
 	ARM64_INS_MNEG,
 	ARM64_INS_UMNEGL,
 	ARM64_INS_SMNEGL,
-	ARM64_INS_MOV,
 	ARM64_INS_NOP,
 	ARM64_INS_YIELD,
 	ARM64_INS_WFE,
@@ -801,6 +1098,31 @@
 	ARM64_INS_SEV,
 	ARM64_INS_SEVL,
 	ARM64_INS_NGC,
+	ARM64_INS_SBFIZ,
+	ARM64_INS_UBFIZ,
+	ARM64_INS_SBFX,
+	ARM64_INS_UBFX,
+	ARM64_INS_BFI,
+	ARM64_INS_BFXIL,
+	ARM64_INS_CMN,
+	ARM64_INS_MVN,
+	ARM64_INS_TST,
+	ARM64_INS_CSET,
+	ARM64_INS_CINC,
+	ARM64_INS_CSETM,
+	ARM64_INS_CINV,
+	ARM64_INS_CNEG,
+	ARM64_INS_SXTB,
+	ARM64_INS_SXTH,
+	ARM64_INS_SXTW,
+	ARM64_INS_CMP,
+	ARM64_INS_UXTB,
+	ARM64_INS_UXTH,
+	ARM64_INS_UXTW,
+	ARM64_INS_IC,
+	ARM64_INS_DC,
+	ARM64_INS_AT,
+	ARM64_INS_TLBI,
 
 	ARM64_INS_MAX,  // <-- mark the end of the list of insn
 } arm64_insn;
@@ -812,6 +1134,7 @@
 	ARM64_GRP_CRYPTO,
 	ARM64_GRP_FPARMV8,
 	ARM64_GRP_NEON,
+	ARM64_GRP_CRC,
 
 	ARM64_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 
diff --git a/include/capstone.h b/include/capstone.h
index 8abb882..845791d 100644
--- a/include/capstone.h
+++ b/include/capstone.h
@@ -27,9 +27,18 @@
     #define CAPSTONE_EXPORT
 #endif
 
+#ifdef __GNUC__
+#define CAPSTONE_DEPRECATED __attribute__((deprecated))
+#elif defined(_MSC_VER)
+#define CAPSTONE_DEPRECATED __declspec(deprecated)
+#else
+#pragma message("WARNING: You need to implement CAPSTONE_DEPRECATED for this compiler")
+#define CAPSTONE_DEPRECATED
+#endif
+
 // Capstone API version
-#define CS_API_MAJOR 2
-#define CS_API_MINOR 2
+#define CS_API_MAJOR 3
+#define CS_API_MINOR 0
 
 // Macro to create combined version which can be compared to
 // result of cs_version() API.
@@ -70,8 +79,12 @@
 	CS_MODE_32 = 1 << 2,	// 32-bit mode
 	CS_MODE_64 = 1 << 3,	// 64-bit mode
 	CS_MODE_THUMB = 1 << 4,	// ARM's Thumb mode, including Thumb-2
+	CS_MODE_MCLASS = 1 << 5,	// ARM's Cortex-M series
 	CS_MODE_MICRO = 1 << 4, // MicroMips mode (MIPS architecture)
 	CS_MODE_N64 = 1 << 5, // Nintendo-64 mode (MIPS architecture)
+	CS_MODE_MIPS3 = 1 << 6, // Mips III ISA
+	CS_MODE_MIPS32R6 = 1 << 7, // Mips32r6 ISA
+	CS_MODE_MIPSGP64 = 1 << 8, // General Purpose Registers are 64-bit wide (MIPS arch)
 	CS_MODE_V9 = 1 << 4, // SparcV9 mode (Sparc architecture)
 	CS_MODE_BIG_ENDIAN = 1 << 31	// big endian mode
 } cs_mode;
@@ -115,7 +128,7 @@
 
 // User-defined callback function for SKIPDATA option
 // @code: the input buffer containing code to be disassembled. This is the 
-//      same buffer passed to cs_disasm_ex().
+//      same buffer passed to cs_disasm().
 // @code_size: size (in bytes) of the above @code buffer.
 // @offset: the position of the currently-examining byte in the input
 //      buffer @code mentioned above.
@@ -128,14 +141,14 @@
 typedef struct cs_opt_skipdata {
 	// Capstone considers data to skip as special "instructions".
 	// User can specify the string for this instruction's "mnemonic" here.
-	// By default (if @mnemonic is NULL), Capstone use ".db".
+	// By default (if @mnemonic is NULL), Capstone use ".byte".
 	const char *mnemonic;
 
 	// User-defined callback function to be called when Capstone hits data.
 	// If the returned value from this callback is positive (>0), Capstone
 	// will skip exactly that number of bytes & continue. Otherwise, if
 	// the callback returns 0, Capstone stops disassembling and returns
-	// immediately from cs_disasm_ex()
+	// immediately from cs_disasm()
 	// NOTE: if this callback pointer is NULL, Capstone would skip a number
 	// of bytes depending on architectures, as following:
 	// Arm:     2 bytes (Thumb mode) or 4 bytes.
@@ -232,7 +245,7 @@
 // These are values returned by cs_errno()
 typedef enum cs_err {
 	CS_ERR_OK = 0,   // No error: everything was fine
-	CS_ERR_MEM,      // Out-Of-Memory error: cs_open(), cs_disasm_ex()
+	CS_ERR_MEM,      // Out-Of-Memory error: cs_open(), cs_disasm()
 	CS_ERR_ARCH,     // Unsupported architecture: cs_open()
 	CS_ERR_HANDLE,   // Invalid handle: cs_op_count(), cs_op_index()
 	CS_ERR_CSH,	     // Invalid csh argument: cs_close(), cs_errno(), cs_option()
@@ -376,23 +389,33 @@
  On failure, call cs_errno() for error code.
 */
 CAPSTONE_EXPORT
-size_t cs_disasm_ex(csh handle,
+size_t cs_disasm(csh handle,
 		const uint8_t *code, size_t code_size,
 		uint64_t address,
 		size_t count,
 		cs_insn **insn);
 
+/* Deprecated function - to be retired in the next version!
+  Use cs_disasm() instead of cs_disasm_ex()
+*/
+CAPSTONE_EXPORT
+CAPSTONE_DEPRECATED
+size_t cs_disasm_ex(csh handle,
+		const uint8_t *code, size_t code_size,
+		uint64_t address,
+		size_t count,
+		cs_insn **insn);
 /*
- Free memory allocated in @insn by cs_disasm_ex()
+ Free memory allocated in @insn by cs_disasm()
 
- @insn: pointer returned by @insn argument in cs_disasm_ex()
- @count: number of cs_insn structures returned by cs_disasm_ex()
+ @insn: pointer returned by @insn argument in cs_disasm()
+ @count: number of cs_insn structures returned by cs_disasm()
 */
 CAPSTONE_EXPORT
 void cs_free(cs_insn *insn, size_t count);
 
 /*
- Return friendly name of regiser in a string
+ Return friendly name of regiser in a string.
  Find the instruction id from header file of corresponding architecture (arm.h for ARM,
  x86.h for X86, ...)
 
@@ -400,21 +423,21 @@
  store register name.
 
  @handle: handle returned by cs_open()
- @reg: register id
+ @reg_id: register id
  @return: string name of the register, or NULL if @reg_id is invalid.
 */
 CAPSTONE_EXPORT
 const char *cs_reg_name(csh handle, unsigned int reg_id);
 
 /*
- Return friendly name of an instruction in a string
+ Return friendly name of an instruction in a string.
  Find the instruction id from header file of corresponding architecture (arm.h for ARM, x86.h for X86, ...)
 
  WARN: when in 'diet' mode, this API is irrelevant because the engine does not
  store instruction name.
 
  @handle: handle returned by cs_open()
- @insn: instruction id
+ @insn_id: instruction id
 
  @return: string name of the instruction, or NULL if @insn_id is invalid.
 */
@@ -422,6 +445,21 @@
 const char *cs_insn_name(csh handle, unsigned int insn_id);
 
 /*
+ Return friendly name of a group id (that an instruction can belong to)
+ Find the group id from header file of corresponding architecture (arm.h for ARM, x86.h for X86, ...)
+
+ WARN: when in 'diet' mode, this API is irrelevant because the engine does not
+ store group name.
+
+ @handle: handle returned by cs_open()
+ @group_id: group id
+
+ @return: string name of the group, or NULL if @group_id is invalid.
+*/
+CAPSTONE_EXPORT
+const char *cs_group_name(csh handle, unsigned int insn_id);
+
+/*
  Check if a disassembled instruction belong to a particular group.
  Find the group id from header file of corresponding architecture (arm.h for ARM, x86.h for X86, ...)
  Internally, this simply verifies if @group_id matches any member of insn->groups array.
@@ -432,7 +470,7 @@
  update @groups array.
 
  @handle: handle returned by cs_open()
- @insn: disassembled instruction structure received from cs_disasm() or cs_disasm_ex()
+ @insn: disassembled instruction structure received from cs_disasm() or cs_disasm()
  @group_id: group that you want to check if this instruction belong to.
 
  @return: true if this instruction indeed belongs to aboved group, or false otherwise.
@@ -450,7 +488,7 @@
  WARN: when in 'diet' mode, this API is irrelevant because the engine does not
  update @regs_read array.
 
- @insn: disassembled instruction structure received from cs_disasm() or cs_disasm_ex()
+ @insn: disassembled instruction structure received from cs_disasm() or cs_disasm()
  @reg_id: register that you want to check if this instruction used it.
 
  @return: true if this instruction indeed implicitly used aboved register, or false otherwise.
@@ -468,7 +506,7 @@
  WARN: when in 'diet' mode, this API is irrelevant because the engine does not
  update @regs_write array.
 
- @insn: disassembled instruction structure received from cs_disasm() or cs_disasm_ex()
+ @insn: disassembled instruction structure received from cs_disasm() or cs_disasm()
  @reg_id: register that you want to check if this instruction modified it.
 
  @return: true if this instruction indeed implicitly modified aboved register, or false otherwise.
@@ -483,7 +521,7 @@
  NOTE: this API is only valid when detail option is ON (which is OFF by default)
 
  @handle: handle returned by cs_open()
- @insn: disassembled instruction structure received from cs_disasm() or cs_disasm_ex()
+ @insn: disassembled instruction structure received from cs_disasm() or cs_disasm()
  @op_type: Operand type to be found.
 
  @return: number of operands of given type @op_type in instruction @insn,
@@ -500,7 +538,7 @@
  NOTE: this API is only valid when detail option is ON (which is OFF by default)
 
  @handle: handle returned by cs_open()
- @insn: disassembled instruction structure received from cs_disasm() or cs_disasm_ex()
+ @insn: disassembled instruction structure received from cs_disasm() or cs_disasm()
  @op_type: Operand type to be found.
  @position: position of the operand to be found. This must be in the range
 			[1, cs_op_count(handle, insn, op_type)]
diff --git a/include/mips.h b/include/mips.h
index 8a7a55a..78269f6 100644
--- a/include/mips.h
+++ b/include/mips.h
@@ -189,6 +189,14 @@
 	MIPS_REG_LO,
 	MIPS_REG_PC,
 
+	MIPS_REG_P0,
+	MIPS_REG_P1,
+	MIPS_REG_P2,
+
+	MIPS_REG_MPL0,
+	MIPS_REG_MPL1,
+	MIPS_REG_MPL2,
+
 	MIPS_REG_MAX,	// <-- mark the end of the list or registers
 
 	// alias registers
@@ -242,6 +250,7 @@
 
 	MIPS_INS_ABSQ_S,
 	MIPS_INS_ADD,
+	MIPS_INS_ADDIUPC,
 	MIPS_INS_ADDQH,
 	MIPS_INS_ADDQH_R,
 	MIPS_INS_ADDQ,
@@ -260,40 +269,73 @@
 	MIPS_INS_ADD_A,
 	MIPS_INS_ADDI,
 	MIPS_INS_ADDIU,
+	MIPS_INS_ALIGN,
+	MIPS_INS_ALUIPC,
 	MIPS_INS_AND,
 	MIPS_INS_ANDI,
 	MIPS_INS_APPEND,
 	MIPS_INS_ASUB_S,
 	MIPS_INS_ASUB_U,
+	MIPS_INS_AUI,
+	MIPS_INS_AUIPC,
 	MIPS_INS_AVER_S,
 	MIPS_INS_AVER_U,
 	MIPS_INS_AVE_S,
 	MIPS_INS_AVE_U,
+	MIPS_INS_BADDU,
+	MIPS_INS_BAL,
+	MIPS_INS_BALC,
 	MIPS_INS_BALIGN,
+	MIPS_INS_BC,
+	MIPS_INS_BC1EQZ,
 	MIPS_INS_BC1F,
+	MIPS_INS_BC1NEZ,
 	MIPS_INS_BC1T,
+	MIPS_INS_BC2EQZ,
+	MIPS_INS_BC2NEZ,
 	MIPS_INS_BCLRI,
 	MIPS_INS_BCLR,
 	MIPS_INS_BEQ,
+	MIPS_INS_BEQC,
+	MIPS_INS_BEQZALC,
+	MIPS_INS_BEQZC,
+	MIPS_INS_BGEC,
+	MIPS_INS_BGEUC,
 	MIPS_INS_BGEZ,
 	MIPS_INS_BGEZAL,
+	MIPS_INS_BGEZALC,
+	MIPS_INS_BGEZC,
 	MIPS_INS_BGTZ,
+	MIPS_INS_BGTZALC,
+	MIPS_INS_BGTZC,
 	MIPS_INS_BINSLI,
 	MIPS_INS_BINSL,
 	MIPS_INS_BINSRI,
 	MIPS_INS_BINSR,
 	MIPS_INS_BITREV,
+	MIPS_INS_BITSWAP,
 	MIPS_INS_BLEZ,
+	MIPS_INS_BLEZALC,
+	MIPS_INS_BLEZC,
+	MIPS_INS_BLTC,
+	MIPS_INS_BLTUC,
 	MIPS_INS_BLTZ,
 	MIPS_INS_BLTZAL,
+	MIPS_INS_BLTZALC,
+	MIPS_INS_BLTZC,
 	MIPS_INS_BMNZI,
 	MIPS_INS_BMNZ,
 	MIPS_INS_BMZI,
 	MIPS_INS_BMZ,
 	MIPS_INS_BNE,
+	MIPS_INS_BNEC,
 	MIPS_INS_BNEGI,
 	MIPS_INS_BNEG,
+	MIPS_INS_BNEZALC,
+	MIPS_INS_BNEZC,
+	MIPS_INS_BNVC,
 	MIPS_INS_BNZ,
+	MIPS_INS_BOVC,
 	MIPS_INS_BPOSGE32,
 	MIPS_INS_BREAK,
 	MIPS_INS_BSELI,
@@ -306,11 +348,15 @@
 	MIPS_INS_BNEZ,
 	MIPS_INS_BTEQZ,
 	MIPS_INS_BTNEZ,
+	MIPS_INS_CACHE,
 	MIPS_INS_CEIL,
 	MIPS_INS_CEQI,
 	MIPS_INS_CEQ,
 	MIPS_INS_CFC1,
 	MIPS_INS_CFCMSA,
+	MIPS_INS_CINS,
+	MIPS_INS_CINS32,
+	MIPS_INS_CLASS,
 	MIPS_INS_CLEI_S,
 	MIPS_INS_CLEI_U,
 	MIPS_INS_CLE_S,
@@ -336,8 +382,15 @@
 	MIPS_INS_DADDI,
 	MIPS_INS_DADDIU,
 	MIPS_INS_DADDU,
+	MIPS_INS_DAHI,
+	MIPS_INS_DALIGN,
+	MIPS_INS_DATI,
+	MIPS_INS_DAUI,
+	MIPS_INS_DBITSWAP,
 	MIPS_INS_DCLO,
 	MIPS_INS_DCLZ,
+	MIPS_INS_DDIV,
+	MIPS_INS_DDIVU,
 	MIPS_INS_DERET,
 	MIPS_INS_DEXT,
 	MIPS_INS_DEXTM,
@@ -346,17 +399,25 @@
 	MIPS_INS_DINS,
 	MIPS_INS_DINSM,
 	MIPS_INS_DINSU,
+	MIPS_INS_DIV,
+	MIPS_INS_DIVU,
 	MIPS_INS_DIV_S,
 	MIPS_INS_DIV_U,
 	MIPS_INS_DLSA,
 	MIPS_INS_DMFC0,
 	MIPS_INS_DMFC1,
 	MIPS_INS_DMFC2,
+	MIPS_INS_DMOD,
+	MIPS_INS_DMODU,
 	MIPS_INS_DMTC0,
 	MIPS_INS_DMTC1,
 	MIPS_INS_DMTC2,
+	MIPS_INS_DMUH,
+	MIPS_INS_DMUHU,
+	MIPS_INS_DMUL,
 	MIPS_INS_DMULT,
 	MIPS_INS_DMULTU,
+	MIPS_INS_DMULU,
 	MIPS_INS_DOTP_S,
 	MIPS_INS_DOTP_U,
 	MIPS_INS_DPADD_S,
@@ -368,6 +429,7 @@
 	MIPS_INS_DPAU,
 	MIPS_INS_DPAX,
 	MIPS_INS_DPA,
+	MIPS_INS_DPOP,
 	MIPS_INS_DPSQX_SA,
 	MIPS_INS_DPSQX_S,
 	MIPS_INS_DPSQ_SA,
@@ -381,7 +443,6 @@
 	MIPS_INS_DROTR32,
 	MIPS_INS_DROTRV,
 	MIPS_INS_DSBH,
-	MIPS_INS_DDIV,
 	MIPS_INS_DSHD,
 	MIPS_INS_DSLL,
 	MIPS_INS_DSLL32,
@@ -392,10 +453,9 @@
 	MIPS_INS_DSRL,
 	MIPS_INS_DSRL32,
 	MIPS_INS_DSRLV,
+	MIPS_INS_DSUB,
 	MIPS_INS_DSUBU,
-	MIPS_INS_DDIVU,
-	MIPS_INS_DIV,
-	MIPS_INS_DIVU,
+	MIPS_INS_EHB,
 	MIPS_INS_EI,
 	MIPS_INS_ERET,
 	MIPS_INS_EXT,
@@ -411,6 +471,8 @@
 	MIPS_INS_EXTR_R,
 	MIPS_INS_EXTR_S,
 	MIPS_INS_EXTR,
+	MIPS_INS_EXTS,
+	MIPS_INS_EXTS32,
 	MIPS_INS_ABS,
 	MIPS_INS_FADD,
 	MIPS_INS_FCAF,
@@ -485,6 +547,9 @@
 	MIPS_INS_J,
 	MIPS_INS_JAL,
 	MIPS_INS_JALR,
+	MIPS_INS_JALX,
+	MIPS_INS_JIALC,
+	MIPS_INS_JIC,
 	MIPS_INS_JR,
 	MIPS_INS_JRC,
 	MIPS_INS_JALRC,
@@ -494,8 +559,10 @@
 	MIPS_INS_LD,
 	MIPS_INS_LDC1,
 	MIPS_INS_LDC2,
+	MIPS_INS_LDC3,
 	MIPS_INS_LDI,
 	MIPS_INS_LDL,
+	MIPS_INS_LDPC,
 	MIPS_INS_LDR,
 	MIPS_INS_LDXC1,
 	MIPS_INS_LH,
@@ -509,22 +576,28 @@
 	MIPS_INS_LW,
 	MIPS_INS_LWC1,
 	MIPS_INS_LWC2,
+	MIPS_INS_LWC3,
 	MIPS_INS_LWL,
+	MIPS_INS_LWPC,
 	MIPS_INS_LWR,
+	MIPS_INS_LWUPC,
 	MIPS_INS_LWU,
 	MIPS_INS_LWX,
 	MIPS_INS_LWXC1,
 	MIPS_INS_LI,
 	MIPS_INS_MADD,
+	MIPS_INS_MADDF,
 	MIPS_INS_MADDR_Q,
 	MIPS_INS_MADDU,
 	MIPS_INS_MADDV,
 	MIPS_INS_MADD_Q,
 	MIPS_INS_MAQ_SA,
 	MIPS_INS_MAQ_S,
+	MIPS_INS_MAXA,
 	MIPS_INS_MAXI_S,
 	MIPS_INS_MAXI_U,
 	MIPS_INS_MAX_A,
+	MIPS_INS_MAX,
 	MIPS_INS_MAX_S,
 	MIPS_INS_MAX_U,
 	MIPS_INS_MFC0,
@@ -533,12 +606,16 @@
 	MIPS_INS_MFHC1,
 	MIPS_INS_MFHI,
 	MIPS_INS_MFLO,
+	MIPS_INS_MINA,
 	MIPS_INS_MINI_S,
 	MIPS_INS_MINI_U,
 	MIPS_INS_MIN_A,
+	MIPS_INS_MIN,
 	MIPS_INS_MIN_S,
 	MIPS_INS_MIN_U,
+	MIPS_INS_MOD,
 	MIPS_INS_MODSUB,
+	MIPS_INS_MODU,
 	MIPS_INS_MOD_S,
 	MIPS_INS_MOD_U,
 	MIPS_INS_MOVE,
@@ -547,6 +624,7 @@
 	MIPS_INS_MOVT,
 	MIPS_INS_MOVZ,
 	MIPS_INS_MSUB,
+	MIPS_INS_MSUBF,
 	MIPS_INS_MSUBR_Q,
 	MIPS_INS_MSUBU,
 	MIPS_INS_MSUBV,
@@ -558,6 +636,14 @@
 	MIPS_INS_MTHI,
 	MIPS_INS_MTHLIP,
 	MIPS_INS_MTLO,
+	MIPS_INS_MTM0,
+	MIPS_INS_MTM1,
+	MIPS_INS_MTM2,
+	MIPS_INS_MTP0,
+	MIPS_INS_MTP1,
+	MIPS_INS_MTP2,
+	MIPS_INS_MUH,
+	MIPS_INS_MUHU,
 	MIPS_INS_MULEQ_S,
 	MIPS_INS_MULEU_S,
 	MIPS_INS_MULQ_RS,
@@ -567,6 +653,7 @@
 	MIPS_INS_MULSA,
 	MIPS_INS_MULT,
 	MIPS_INS_MULTU,
+	MIPS_INS_MULU,
 	MIPS_INS_MULV,
 	MIPS_INS_MUL_Q,
 	MIPS_INS_MUL_S,
@@ -580,10 +667,12 @@
 	MIPS_INS_OR,
 	MIPS_INS_ORI,
 	MIPS_INS_PACKRL,
+	MIPS_INS_PAUSE,
 	MIPS_INS_PCKEV,
 	MIPS_INS_PCKOD,
 	MIPS_INS_PCNT,
 	MIPS_INS_PICK,
+	MIPS_INS_POP,
 	MIPS_INS_PRECEQU,
 	MIPS_INS_PRECEQ,
 	MIPS_INS_PRECEU,
@@ -593,12 +682,14 @@
 	MIPS_INS_PRECR,
 	MIPS_INS_PRECR_SRA,
 	MIPS_INS_PRECR_SRA_R,
+	MIPS_INS_PREF,
 	MIPS_INS_PREPEND,
 	MIPS_INS_RADDU,
 	MIPS_INS_RDDSP,
 	MIPS_INS_RDHWR,
 	MIPS_INS_REPLV,
 	MIPS_INS_REPL,
+	MIPS_INS_RINT,
 	MIPS_INS_ROTR,
 	MIPS_INS_ROTRV,
 	MIPS_INS_ROUND,
@@ -608,13 +699,20 @@
 	MIPS_INS_SC,
 	MIPS_INS_SCD,
 	MIPS_INS_SD,
+	MIPS_INS_SDBBP,
 	MIPS_INS_SDC1,
 	MIPS_INS_SDC2,
+	MIPS_INS_SDC3,
 	MIPS_INS_SDL,
 	MIPS_INS_SDR,
 	MIPS_INS_SDXC1,
 	MIPS_INS_SEB,
 	MIPS_INS_SEH,
+	MIPS_INS_SELEQZ,
+	MIPS_INS_SELNEZ,
+	MIPS_INS_SEL,
+	MIPS_INS_SEQ,
+	MIPS_INS_SEQI,
 	MIPS_INS_SH,
 	MIPS_INS_SHF,
 	MIPS_INS_SHILO,
@@ -638,6 +736,8 @@
 	MIPS_INS_SLTI,
 	MIPS_INS_SLTIU,
 	MIPS_INS_SLTU,
+	MIPS_INS_SNE,
+	MIPS_INS_SNEI,
 	MIPS_INS_SPLATI,
 	MIPS_INS_SPLAT,
 	MIPS_INS_SRA,
@@ -650,6 +750,7 @@
 	MIPS_INS_SRLRI,
 	MIPS_INS_SRLR,
 	MIPS_INS_SRLV,
+	MIPS_INS_SSNOP,
 	MIPS_INS_ST,
 	MIPS_INS_SUBQH,
 	MIPS_INS_SUBQH_R,
@@ -669,6 +770,7 @@
 	MIPS_INS_SW,
 	MIPS_INS_SWC1,
 	MIPS_INS_SWC2,
+	MIPS_INS_SWC3,
 	MIPS_INS_SWL,
 	MIPS_INS_SWR,
 	MIPS_INS_SWXC1,
@@ -680,6 +782,10 @@
 	MIPS_INS_TGEI,
 	MIPS_INS_TGEIU,
 	MIPS_INS_TGEU,
+	MIPS_INS_TLBP,
+	MIPS_INS_TLBR,
+	MIPS_INS_TLBWI,
+	MIPS_INS_TLBWR,
 	MIPS_INS_TLT,
 	MIPS_INS_TLTI,
 	MIPS_INS_TLTIU,
@@ -687,6 +793,9 @@
 	MIPS_INS_TNE,
 	MIPS_INS_TNEI,
 	MIPS_INS_TRUNC,
+	MIPS_INS_V3MULU,
+	MIPS_INS_VMM0,
+	MIPS_INS_VMULU,
 	MIPS_INS_VSHF,
 	MIPS_INS_WAIT,
 	MIPS_INS_WRDSP,
@@ -698,7 +807,7 @@
 	MIPS_INS_NOP,
 	MIPS_INS_NEGU,
 
-	MIPS_INS_MAX,
+	MIPS_INS_MAXIMUM,
 } mips_insn;
 
 //> Group of MIPS instructions
@@ -723,6 +832,21 @@
 	MIPS_GRP_NOTFP64BIT,
 	MIPS_GRP_NOTINMICROMIPS,
 	MIPS_GRP_NOTNACL,
+	MIPS_GRP_NOTMIPS32R6,
+	MIPS_GRP_NOTMIPS64R6,
+	MIPS_GRP_CNMIPS,
+	MIPS_GRP_MIPS32,
+	MIPS_GRP_MIPS32R6,
+	MIPS_GRP_MIPS64R6,
+	MIPS_GRP_MIPS2,
+	MIPS_GRP_MIPS3,
+	MIPS_GRP_MIPS3_32,
+	MIPS_GRP_MIPS3_32R2,
+	MIPS_GRP_MIPS4_32,
+	MIPS_GRP_MIPS4_32R2,
+	MIPS_GRP_MIPS5_32R2,
+	MIPS_GRP_GP32BIT,
+	MIPS_GRP_GP64BIT,
 
 	MIPS_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 
diff --git a/include/ppc.h b/include/ppc.h
index ef13d58..ade1c61 100644
--- a/include/ppc.h
+++ b/include/ppc.h
@@ -17,6 +17,7 @@
 
 //> PPC branch codes for some branch instructions
 typedef enum ppc_bc {
+	PPC_BC_INVALID  = 0,
 	PPC_BC_LT       = (0 << 5) | 12,
 	PPC_BC_LE       = (1 << 5) |  4,
 	PPC_BC_EQ       = (2 << 5) | 12,
@@ -45,7 +46,7 @@
 
 //> PPC branch hint for some branch instructions
 typedef enum ppc_bh {
-	PPC_BH_NO = 0,	// no hint
+	PPC_BH_INVALID = 0,	// no hint
 	PPC_BH_PLUS,	// PLUS hint
 	PPC_BH_MINUS,	// MINUS hint
 } ppc_bh;
@@ -97,6 +98,7 @@
 	PPC_REG_INVALID = 0,
 
 	PPC_REG_CARRY,
+	PPC_REG_CC,
 	PPC_REG_CR0,
 	PPC_REG_CR1,
 	PPC_REG_CR2,
@@ -105,30 +107,6 @@
 	PPC_REG_CR5,
 	PPC_REG_CR6,
 	PPC_REG_CR7,
-	PPC_REG_CR8,
-	PPC_REG_CR9,
-	PPC_REG_CR10,
-	PPC_REG_CR11,
-	PPC_REG_CR12,
-	PPC_REG_CR13,
-	PPC_REG_CR14,
-	PPC_REG_CR15,
-	PPC_REG_CR16,
-	PPC_REG_CR17,
-	PPC_REG_CR18,
-	PPC_REG_CR19,
-	PPC_REG_CR20,
-	PPC_REG_CR21,
-	PPC_REG_CR22,
-	PPC_REG_CR23,
-	PPC_REG_CR24,
-	PPC_REG_CR25,
-	PPC_REG_CR26,
-	PPC_REG_CR27,
-	PPC_REG_CR28,
-	PPC_REG_CR29,
-	PPC_REG_CR30,
-	PPC_REG_CR31,
 	PPC_REG_CTR,
 	PPC_REG_F0,
 	PPC_REG_F1,
@@ -228,8 +206,72 @@
 	PPC_REG_V30,
 	PPC_REG_V31,
 	PPC_REG_VRSAVE,
+	PPC_REG_VS0,
+	PPC_REG_VS1,
+	PPC_REG_VS2,
+	PPC_REG_VS3,
+	PPC_REG_VS4,
+	PPC_REG_VS5,
+	PPC_REG_VS6,
+	PPC_REG_VS7,
+	PPC_REG_VS8,
+	PPC_REG_VS9,
+	PPC_REG_VS10,
+	PPC_REG_VS11,
+	PPC_REG_VS12,
+	PPC_REG_VS13,
+	PPC_REG_VS14,
+	PPC_REG_VS15,
+	PPC_REG_VS16,
+	PPC_REG_VS17,
+	PPC_REG_VS18,
+	PPC_REG_VS19,
+	PPC_REG_VS20,
+	PPC_REG_VS21,
+	PPC_REG_VS22,
+	PPC_REG_VS23,
+	PPC_REG_VS24,
+	PPC_REG_VS25,
+	PPC_REG_VS26,
+	PPC_REG_VS27,
+	PPC_REG_VS28,
+	PPC_REG_VS29,
+	PPC_REG_VS30,
+	PPC_REG_VS31,
+	PPC_REG_VS32,
+	PPC_REG_VS33,
+	PPC_REG_VS34,
+	PPC_REG_VS35,
+	PPC_REG_VS36,
+	PPC_REG_VS37,
+	PPC_REG_VS38,
+	PPC_REG_VS39,
+	PPC_REG_VS40,
+	PPC_REG_VS41,
+	PPC_REG_VS42,
+	PPC_REG_VS43,
+	PPC_REG_VS44,
+	PPC_REG_VS45,
+	PPC_REG_VS46,
+	PPC_REG_VS47,
+	PPC_REG_VS48,
+	PPC_REG_VS49,
+	PPC_REG_VS50,
+	PPC_REG_VS51,
+	PPC_REG_VS52,
+	PPC_REG_VS53,
+	PPC_REG_VS54,
+	PPC_REG_VS55,
+	PPC_REG_VS56,
+	PPC_REG_VS57,
+	PPC_REG_VS58,
+	PPC_REG_VS59,
+	PPC_REG_VS60,
+	PPC_REG_VS61,
+	PPC_REG_VS62,
+	PPC_REG_VS63,
 
-	// extra registers for mapping.c
+	// extra registers for PPCMapping.c
 	PPC_REG_RM,
 	PPC_REG_CTR8,
 	PPC_REG_LR8,
@@ -256,7 +298,12 @@
 	PPC_INS_ANDI,
 	PPC_INS_B,
 	PPC_INS_BA,
+	PPC_INS_BC,
+	PPC_INS_BCCTR,
+	PPC_INS_BCCTRL,
 	PPC_INS_BCL,
+	PPC_INS_BCLR,
+	PPC_INS_BCLRL,
 	PPC_INS_BCTR,
 	PPC_INS_BCTRL,
 	PPC_INS_BDNZ,
@@ -275,6 +322,7 @@
 	PPC_INS_BLA,
 	PPC_INS_BLR,
 	PPC_INS_BLRL,
+	PPC_INS_BRINC,
 	PPC_INS_CMPD,
 	PPC_INS_CMPDI,
 	PPC_INS_CMPLD,
@@ -301,6 +349,7 @@
 	PPC_INS_DCBTST,
 	PPC_INS_DCBZ,
 	PPC_INS_DCBZL,
+	PPC_INS_DCCCI,
 	PPC_INS_DIVD,
 	PPC_INS_DIVDU,
 	PPC_INS_DIVW,
@@ -313,6 +362,177 @@
 	PPC_INS_DSTT,
 	PPC_INS_EIEIO,
 	PPC_INS_EQV,
+	PPC_INS_EVABS,
+	PPC_INS_EVADDIW,
+	PPC_INS_EVADDSMIAAW,
+	PPC_INS_EVADDSSIAAW,
+	PPC_INS_EVADDUMIAAW,
+	PPC_INS_EVADDUSIAAW,
+	PPC_INS_EVADDW,
+	PPC_INS_EVAND,
+	PPC_INS_EVANDC,
+	PPC_INS_EVCMPEQ,
+	PPC_INS_EVCMPGTS,
+	PPC_INS_EVCMPGTU,
+	PPC_INS_EVCMPLTS,
+	PPC_INS_EVCMPLTU,
+	PPC_INS_EVCNTLSW,
+	PPC_INS_EVCNTLZW,
+	PPC_INS_EVDIVWS,
+	PPC_INS_EVDIVWU,
+	PPC_INS_EVEQV,
+	PPC_INS_EVEXTSB,
+	PPC_INS_EVEXTSH,
+	PPC_INS_EVLDD,
+	PPC_INS_EVLDDX,
+	PPC_INS_EVLDH,
+	PPC_INS_EVLDHX,
+	PPC_INS_EVLDW,
+	PPC_INS_EVLDWX,
+	PPC_INS_EVLHHESPLAT,
+	PPC_INS_EVLHHESPLATX,
+	PPC_INS_EVLHHOSSPLAT,
+	PPC_INS_EVLHHOSSPLATX,
+	PPC_INS_EVLHHOUSPLAT,
+	PPC_INS_EVLHHOUSPLATX,
+	PPC_INS_EVLWHE,
+	PPC_INS_EVLWHEX,
+	PPC_INS_EVLWHOS,
+	PPC_INS_EVLWHOSX,
+	PPC_INS_EVLWHOU,
+	PPC_INS_EVLWHOUX,
+	PPC_INS_EVLWHSPLAT,
+	PPC_INS_EVLWHSPLATX,
+	PPC_INS_EVLWWSPLAT,
+	PPC_INS_EVLWWSPLATX,
+	PPC_INS_EVMERGEHI,
+	PPC_INS_EVMERGEHILO,
+	PPC_INS_EVMERGELO,
+	PPC_INS_EVMERGELOHI,
+	PPC_INS_EVMHEGSMFAA,
+	PPC_INS_EVMHEGSMFAN,
+	PPC_INS_EVMHEGSMIAA,
+	PPC_INS_EVMHEGSMIAN,
+	PPC_INS_EVMHEGUMIAA,
+	PPC_INS_EVMHEGUMIAN,
+	PPC_INS_EVMHESMF,
+	PPC_INS_EVMHESMFA,
+	PPC_INS_EVMHESMFAAW,
+	PPC_INS_EVMHESMFANW,
+	PPC_INS_EVMHESMI,
+	PPC_INS_EVMHESMIA,
+	PPC_INS_EVMHESMIAAW,
+	PPC_INS_EVMHESMIANW,
+	PPC_INS_EVMHESSF,
+	PPC_INS_EVMHESSFA,
+	PPC_INS_EVMHESSFAAW,
+	PPC_INS_EVMHESSFANW,
+	PPC_INS_EVMHESSIAAW,
+	PPC_INS_EVMHESSIANW,
+	PPC_INS_EVMHEUMI,
+	PPC_INS_EVMHEUMIA,
+	PPC_INS_EVMHEUMIAAW,
+	PPC_INS_EVMHEUMIANW,
+	PPC_INS_EVMHEUSIAAW,
+	PPC_INS_EVMHEUSIANW,
+	PPC_INS_EVMHOGSMFAA,
+	PPC_INS_EVMHOGSMFAN,
+	PPC_INS_EVMHOGSMIAA,
+	PPC_INS_EVMHOGSMIAN,
+	PPC_INS_EVMHOGUMIAA,
+	PPC_INS_EVMHOGUMIAN,
+	PPC_INS_EVMHOSMF,
+	PPC_INS_EVMHOSMFA,
+	PPC_INS_EVMHOSMFAAW,
+	PPC_INS_EVMHOSMFANW,
+	PPC_INS_EVMHOSMI,
+	PPC_INS_EVMHOSMIA,
+	PPC_INS_EVMHOSMIAAW,
+	PPC_INS_EVMHOSMIANW,
+	PPC_INS_EVMHOSSF,
+	PPC_INS_EVMHOSSFA,
+	PPC_INS_EVMHOSSFAAW,
+	PPC_INS_EVMHOSSFANW,
+	PPC_INS_EVMHOSSIAAW,
+	PPC_INS_EVMHOSSIANW,
+	PPC_INS_EVMHOUMI,
+	PPC_INS_EVMHOUMIA,
+	PPC_INS_EVMHOUMIAAW,
+	PPC_INS_EVMHOUMIANW,
+	PPC_INS_EVMHOUSIAAW,
+	PPC_INS_EVMHOUSIANW,
+	PPC_INS_EVMRA,
+	PPC_INS_EVMWHSMF,
+	PPC_INS_EVMWHSMFA,
+	PPC_INS_EVMWHSMI,
+	PPC_INS_EVMWHSMIA,
+	PPC_INS_EVMWHSSF,
+	PPC_INS_EVMWHSSFA,
+	PPC_INS_EVMWHUMI,
+	PPC_INS_EVMWHUMIA,
+	PPC_INS_EVMWLSMIAAW,
+	PPC_INS_EVMWLSMIANW,
+	PPC_INS_EVMWLSSIAAW,
+	PPC_INS_EVMWLSSIANW,
+	PPC_INS_EVMWLUMI,
+	PPC_INS_EVMWLUMIA,
+	PPC_INS_EVMWLUMIAAW,
+	PPC_INS_EVMWLUMIANW,
+	PPC_INS_EVMWLUSIAAW,
+	PPC_INS_EVMWLUSIANW,
+	PPC_INS_EVMWSMF,
+	PPC_INS_EVMWSMFA,
+	PPC_INS_EVMWSMFAA,
+	PPC_INS_EVMWSMFAN,
+	PPC_INS_EVMWSMI,
+	PPC_INS_EVMWSMIA,
+	PPC_INS_EVMWSMIAA,
+	PPC_INS_EVMWSMIAN,
+	PPC_INS_EVMWSSF,
+	PPC_INS_EVMWSSFA,
+	PPC_INS_EVMWSSFAA,
+	PPC_INS_EVMWSSFAN,
+	PPC_INS_EVMWUMI,
+	PPC_INS_EVMWUMIA,
+	PPC_INS_EVMWUMIAA,
+	PPC_INS_EVMWUMIAN,
+	PPC_INS_EVNAND,
+	PPC_INS_EVNEG,
+	PPC_INS_EVNOR,
+	PPC_INS_EVOR,
+	PPC_INS_EVORC,
+	PPC_INS_EVRLW,
+	PPC_INS_EVRLWI,
+	PPC_INS_EVRNDW,
+	PPC_INS_EVSLW,
+	PPC_INS_EVSLWI,
+	PPC_INS_EVSPLATFI,
+	PPC_INS_EVSPLATI,
+	PPC_INS_EVSRWIS,
+	PPC_INS_EVSRWIU,
+	PPC_INS_EVSRWS,
+	PPC_INS_EVSRWU,
+	PPC_INS_EVSTDD,
+	PPC_INS_EVSTDDX,
+	PPC_INS_EVSTDH,
+	PPC_INS_EVSTDHX,
+	PPC_INS_EVSTDW,
+	PPC_INS_EVSTDWX,
+	PPC_INS_EVSTWHE,
+	PPC_INS_EVSTWHEX,
+	PPC_INS_EVSTWHO,
+	PPC_INS_EVSTWHOX,
+	PPC_INS_EVSTWWE,
+	PPC_INS_EVSTWWEX,
+	PPC_INS_EVSTWWO,
+	PPC_INS_EVSTWWOX,
+	PPC_INS_EVSUBFSMIAAW,
+	PPC_INS_EVSUBFSSIAAW,
+	PPC_INS_EVSUBFUMIAAW,
+	PPC_INS_EVSUBFUSIAAW,
+	PPC_INS_EVSUBFW,
+	PPC_INS_EVSUBIFW,
+	PPC_INS_EVXOR,
 	PPC_INS_EXTSB,
 	PPC_INS_EXTSH,
 	PPC_INS_EXTSW,
@@ -361,6 +581,7 @@
 	PPC_INS_FSUB,
 	PPC_INS_FSUBS,
 	PPC_INS_ICBI,
+	PPC_INS_ICCCI,
 	PPC_INS_ISEL,
 	PPC_INS_ISYNC,
 	PPC_INS_LA,
@@ -396,6 +617,7 @@
 	PPC_INS_LI,
 	PPC_INS_LIS,
 	PPC_INS_LMW,
+	PPC_INS_LSWI,
 	PPC_INS_LVEBX,
 	PPC_INS_LVEHX,
 	PPC_INS_LVEWX,
@@ -412,19 +634,28 @@
 	PPC_INS_LWZU,
 	PPC_INS_LWZUX,
 	PPC_INS_LWZX,
+	PPC_INS_LXSDX,
+	PPC_INS_LXVD2X,
+	PPC_INS_LXVDSX,
+	PPC_INS_LXVW4X,
+	PPC_INS_MBAR,
 	PPC_INS_MCRF,
 	PPC_INS_MFCR,
 	PPC_INS_MFCTR,
+	PPC_INS_MFDCR,
 	PPC_INS_MFFS,
 	PPC_INS_MFLR,
 	PPC_INS_MFMSR,
 	PPC_INS_MFOCRF,
 	PPC_INS_MFSPR,
+	PPC_INS_MFSR,
+	PPC_INS_MFSRIN,
 	PPC_INS_MFTB,
 	PPC_INS_MFVSCR,
 	PPC_INS_MSYNC,
 	PPC_INS_MTCRF,
 	PPC_INS_MTCTR,
+	PPC_INS_MTDCR,
 	PPC_INS_MTFSB0,
 	PPC_INS_MTFSB1,
 	PPC_INS_MTFSF,
@@ -433,6 +664,8 @@
 	PPC_INS_MTMSRD,
 	PPC_INS_MTOCRF,
 	PPC_INS_MTSPR,
+	PPC_INS_MTSR,
+	PPC_INS_MTSRIN,
 	PPC_INS_MTVSCR,
 	PPC_INS_MULHD,
 	PPC_INS_MULHDU,
@@ -451,6 +684,11 @@
 	PPC_INS_ORIS,
 	PPC_INS_POPCNTD,
 	PPC_INS_POPCNTW,
+	PPC_INS_RFCI,
+	PPC_INS_RFDI,
+	PPC_INS_RFI,
+	PPC_INS_RFID,
+	PPC_INS_RFMCI,
 	PPC_INS_RLDCL,
 	PPC_INS_RLDCR,
 	PPC_INS_RLDIC,
@@ -498,6 +736,7 @@
 	PPC_INS_STHUX,
 	PPC_INS_STHX,
 	PPC_INS_STMW,
+	PPC_INS_STSWI,
 	PPC_INS_STVEBX,
 	PPC_INS_STVEHX,
 	PPC_INS_STVEWX,
@@ -509,6 +748,9 @@
 	PPC_INS_STWU,
 	PPC_INS_STWUX,
 	PPC_INS_STWX,
+	PPC_INS_STXSDX,
+	PPC_INS_STXVD2X,
+	PPC_INS_STXVW4X,
 	PPC_INS_SUBF,
 	PPC_INS_SUBFC,
 	PPC_INS_SUBFE,
@@ -518,9 +760,16 @@
 	PPC_INS_SYNC,
 	PPC_INS_TD,
 	PPC_INS_TDI,
+	PPC_INS_TLBIA,
 	PPC_INS_TLBIE,
 	PPC_INS_TLBIEL,
+	PPC_INS_TLBIVAX,
+	PPC_INS_TLBLD,
+	PPC_INS_TLBLI,
+	PPC_INS_TLBRE,
+	PPC_INS_TLBSX,
 	PPC_INS_TLBSYNC,
+	PPC_INS_TLBWE,
 	PPC_INS_TRAP,
 	PPC_INS_TW,
 	PPC_INS_TWI,
@@ -667,16 +916,323 @@
 	PPC_INS_VUPKLSH,
 	PPC_INS_VXOR,
 	PPC_INS_WAIT,
+	PPC_INS_WRTEE,
+	PPC_INS_WRTEEI,
 	PPC_INS_XOR,
 	PPC_INS_XORI,
 	PPC_INS_XORIS,
-	PPC_INS_BC,
+	PPC_INS_XSABSDP,
+	PPC_INS_XSADDDP,
+	PPC_INS_XSCMPODP,
+	PPC_INS_XSCMPUDP,
+	PPC_INS_XSCPSGNDP,
+	PPC_INS_XSCVDPSP,
+	PPC_INS_XSCVDPSXDS,
+	PPC_INS_XSCVDPSXWS,
+	PPC_INS_XSCVDPUXDS,
+	PPC_INS_XSCVDPUXWS,
+	PPC_INS_XSCVSPDP,
+	PPC_INS_XSCVSXDDP,
+	PPC_INS_XSCVUXDDP,
+	PPC_INS_XSDIVDP,
+	PPC_INS_XSMADDADP,
+	PPC_INS_XSMADDMDP,
+	PPC_INS_XSMAXDP,
+	PPC_INS_XSMINDP,
+	PPC_INS_XSMSUBADP,
+	PPC_INS_XSMSUBMDP,
+	PPC_INS_XSMULDP,
+	PPC_INS_XSNABSDP,
+	PPC_INS_XSNEGDP,
+	PPC_INS_XSNMADDADP,
+	PPC_INS_XSNMADDMDP,
+	PPC_INS_XSNMSUBADP,
+	PPC_INS_XSNMSUBMDP,
+	PPC_INS_XSRDPI,
+	PPC_INS_XSRDPIC,
+	PPC_INS_XSRDPIM,
+	PPC_INS_XSRDPIP,
+	PPC_INS_XSRDPIZ,
+	PPC_INS_XSREDP,
+	PPC_INS_XSRSQRTEDP,
+	PPC_INS_XSSQRTDP,
+	PPC_INS_XSSUBDP,
+	PPC_INS_XSTDIVDP,
+	PPC_INS_XSTSQRTDP,
+	PPC_INS_XVABSDP,
+	PPC_INS_XVABSSP,
+	PPC_INS_XVADDDP,
+	PPC_INS_XVADDSP,
+	PPC_INS_XVCMPEQDP,
+	PPC_INS_XVCMPEQSP,
+	PPC_INS_XVCMPGEDP,
+	PPC_INS_XVCMPGESP,
+	PPC_INS_XVCMPGTDP,
+	PPC_INS_XVCMPGTSP,
+	PPC_INS_XVCPSGNDP,
+	PPC_INS_XVCPSGNSP,
+	PPC_INS_XVCVDPSP,
+	PPC_INS_XVCVDPSXDS,
+	PPC_INS_XVCVDPSXWS,
+	PPC_INS_XVCVDPUXDS,
+	PPC_INS_XVCVDPUXWS,
+	PPC_INS_XVCVSPDP,
+	PPC_INS_XVCVSPSXDS,
+	PPC_INS_XVCVSPSXWS,
+	PPC_INS_XVCVSPUXDS,
+	PPC_INS_XVCVSPUXWS,
+	PPC_INS_XVCVSXDDP,
+	PPC_INS_XVCVSXDSP,
+	PPC_INS_XVCVSXWDP,
+	PPC_INS_XVCVSXWSP,
+	PPC_INS_XVCVUXDDP,
+	PPC_INS_XVCVUXDSP,
+	PPC_INS_XVCVUXWDP,
+	PPC_INS_XVCVUXWSP,
+	PPC_INS_XVDIVDP,
+	PPC_INS_XVDIVSP,
+	PPC_INS_XVMADDADP,
+	PPC_INS_XVMADDASP,
+	PPC_INS_XVMADDMDP,
+	PPC_INS_XVMADDMSP,
+	PPC_INS_XVMAXDP,
+	PPC_INS_XVMAXSP,
+	PPC_INS_XVMINDP,
+	PPC_INS_XVMINSP,
+	PPC_INS_XVMSUBADP,
+	PPC_INS_XVMSUBASP,
+	PPC_INS_XVMSUBMDP,
+	PPC_INS_XVMSUBMSP,
+	PPC_INS_XVMULDP,
+	PPC_INS_XVMULSP,
+	PPC_INS_XVNABSDP,
+	PPC_INS_XVNABSSP,
+	PPC_INS_XVNEGDP,
+	PPC_INS_XVNEGSP,
+	PPC_INS_XVNMADDADP,
+	PPC_INS_XVNMADDASP,
+	PPC_INS_XVNMADDMDP,
+	PPC_INS_XVNMADDMSP,
+	PPC_INS_XVNMSUBADP,
+	PPC_INS_XVNMSUBASP,
+	PPC_INS_XVNMSUBMDP,
+	PPC_INS_XVNMSUBMSP,
+	PPC_INS_XVRDPI,
+	PPC_INS_XVRDPIC,
+	PPC_INS_XVRDPIM,
+	PPC_INS_XVRDPIP,
+	PPC_INS_XVRDPIZ,
+	PPC_INS_XVREDP,
+	PPC_INS_XVRESP,
+	PPC_INS_XVRSPI,
+	PPC_INS_XVRSPIC,
+	PPC_INS_XVRSPIM,
+	PPC_INS_XVRSPIP,
+	PPC_INS_XVRSPIZ,
+	PPC_INS_XVRSQRTEDP,
+	PPC_INS_XVRSQRTESP,
+	PPC_INS_XVSQRTDP,
+	PPC_INS_XVSQRTSP,
+	PPC_INS_XVSUBDP,
+	PPC_INS_XVSUBSP,
+	PPC_INS_XVTDIVDP,
+	PPC_INS_XVTDIVSP,
+	PPC_INS_XVTSQRTDP,
+	PPC_INS_XVTSQRTSP,
+	PPC_INS_XXLAND,
+	PPC_INS_XXLANDC,
+	PPC_INS_XXLNOR,
+	PPC_INS_XXLOR,
+	PPC_INS_XXLXOR,
+	PPC_INS_XXMRGHW,
+	PPC_INS_XXMRGLW,
+	PPC_INS_XXPERMDI,
+	PPC_INS_XXSEL,
+	PPC_INS_XXSLDWI,
+	PPC_INS_XXSPLTW,
 	PPC_INS_BCA,
-	PPC_INS_BCCTR,
-	PPC_INS_BCCTRL,
 	PPC_INS_BCLA,
-	PPC_INS_BCLR,
-	PPC_INS_BCLRL,
+
+	// extra & alias instructions
+	PPC_INS_SLWI,
+	PPC_INS_SRWI,
+	PPC_INS_SLDI,
+
+	PPC_INS_BTA,
+	PPC_INS_CRSET,
+	PPC_INS_CRNOT,
+	PPC_INS_CRMOVE,
+	PPC_INS_CRCLR,
+	PPC_INS_MFBR0,
+	PPC_INS_MFBR1,
+	PPC_INS_MFBR2,
+	PPC_INS_MFBR3,
+	PPC_INS_MFBR4,
+	PPC_INS_MFBR5,
+	PPC_INS_MFBR6,
+	PPC_INS_MFBR7,
+	PPC_INS_MFXER,
+	PPC_INS_MFRTCU,
+	PPC_INS_MFRTCL,
+	PPC_INS_MFDSCR,
+	PPC_INS_MFDSISR,
+	PPC_INS_MFDAR,
+	PPC_INS_MFSRR2,
+	PPC_INS_MFSRR3,
+	PPC_INS_MFCFAR,
+	PPC_INS_MFAMR,
+	PPC_INS_MFPID,
+	PPC_INS_MFTBLO,
+	PPC_INS_MFTBHI,
+	PPC_INS_MFDBATU,
+	PPC_INS_MFDBATL,
+	PPC_INS_MFIBATU,
+	PPC_INS_MFIBATL,
+	PPC_INS_MFDCCR,
+	PPC_INS_MFICCR,
+	PPC_INS_MFDEAR,
+	PPC_INS_MFESR,
+	PPC_INS_MFSPEFSCR,
+	PPC_INS_MFTCR,
+	PPC_INS_MFASR,
+	PPC_INS_MFPVR,
+	PPC_INS_MFTBU,
+	PPC_INS_MTCR,
+	PPC_INS_MTBR0,
+	PPC_INS_MTBR1,
+	PPC_INS_MTBR2,
+	PPC_INS_MTBR3,
+	PPC_INS_MTBR4,
+	PPC_INS_MTBR5,
+	PPC_INS_MTBR6,
+	PPC_INS_MTBR7,
+	PPC_INS_MTXER,
+	PPC_INS_MTDSCR,
+	PPC_INS_MTDSISR,
+	PPC_INS_MTDAR,
+	PPC_INS_MTSRR2,
+	PPC_INS_MTSRR3,
+	PPC_INS_MTCFAR,
+	PPC_INS_MTAMR,
+	PPC_INS_MTPID,
+	PPC_INS_MTTBL,
+	PPC_INS_MTTBU,
+	PPC_INS_MTTBLO,
+	PPC_INS_MTTBHI,
+	PPC_INS_MTDBATU,
+	PPC_INS_MTDBATL,
+	PPC_INS_MTIBATU,
+	PPC_INS_MTIBATL,
+	PPC_INS_MTDCCR,
+	PPC_INS_MTICCR,
+	PPC_INS_MTDEAR,
+	PPC_INS_MTESR,
+	PPC_INS_MTSPEFSCR,
+	PPC_INS_MTTCR,
+	PPC_INS_NOT,
+	PPC_INS_MR,
+	PPC_INS_ROTLD,
+	PPC_INS_ROTLDI,
+	PPC_INS_CLRLDI,
+	PPC_INS_ROTLWI,
+	PPC_INS_CLRLWI,
+	PPC_INS_ROTLW,
+	PPC_INS_SUB,
+	PPC_INS_SUBC,
+	PPC_INS_LWSYNC,
+	PPC_INS_PTESYNC,
+	PPC_INS_TDLT,
+	PPC_INS_TDEQ,
+	PPC_INS_TDGT,
+	PPC_INS_TDNE,
+	PPC_INS_TDLLT,
+	PPC_INS_TDLGT,
+	PPC_INS_TDU,
+	PPC_INS_TDLTI,
+	PPC_INS_TDEQI,
+	PPC_INS_TDGTI,
+	PPC_INS_TDNEI,
+	PPC_INS_TDLLTI,
+	PPC_INS_TDLGTI,
+	PPC_INS_TDUI,
+	PPC_INS_TLBREHI,
+	PPC_INS_TLBRELO,
+	PPC_INS_TLBWEHI,
+	PPC_INS_TLBWELO,
+	PPC_INS_TWLT,
+	PPC_INS_TWEQ,
+	PPC_INS_TWGT,
+	PPC_INS_TWNE,
+	PPC_INS_TWLLT,
+	PPC_INS_TWLGT,
+	PPC_INS_TWU,
+	PPC_INS_TWLTI,
+	PPC_INS_TWEQI,
+	PPC_INS_TWGTI,
+	PPC_INS_TWNEI,
+	PPC_INS_TWLLTI,
+	PPC_INS_TWLGTI,
+	PPC_INS_TWUI,
+	PPC_INS_WAITRSV,
+	PPC_INS_WAITIMPL,
+	PPC_INS_XNOP,
+	PPC_INS_XVMOVDP,
+	PPC_INS_XVMOVSP,
+	PPC_INS_XXSPLTD,
+	PPC_INS_XXMRGHD,
+	PPC_INS_XXMRGLD,
+	PPC_INS_XXSWAPD,
+	PPC_INS_BT,
+	PPC_INS_BF,
+	PPC_INS_BDNZT,
+	PPC_INS_BDNZF,
+	PPC_INS_BDZF,
+	PPC_INS_BDZT,
+	PPC_INS_BFA,
+	PPC_INS_BDNZTA,
+	PPC_INS_BDNZFA,
+	PPC_INS_BDZTA,
+	PPC_INS_BDZFA,
+	PPC_INS_BTCTR,
+	PPC_INS_BFCTR,
+	PPC_INS_BTCTRL,
+	PPC_INS_BFCTRL,
+	PPC_INS_BTL,
+	PPC_INS_BFL,
+	PPC_INS_BDNZTL,
+	PPC_INS_BDNZFL,
+	PPC_INS_BDZTL,
+	PPC_INS_BDZFL,
+	PPC_INS_BTLA,
+	PPC_INS_BFLA,
+	PPC_INS_BDNZTLA,
+	PPC_INS_BDNZFLA,
+	PPC_INS_BDZTLA,
+	PPC_INS_BDZFLA,
+	PPC_INS_BTLR,
+	PPC_INS_BFLR,
+	PPC_INS_BDNZTLR,
+	PPC_INS_BDZTLR,
+	PPC_INS_BDZFLR,
+	PPC_INS_BTLRL,
+	PPC_INS_BFLRL,
+	PPC_INS_BDNZTLRL,
+	PPC_INS_BDNZFLRL,
+	PPC_INS_BDZTLRL,
+	PPC_INS_BDZFLRL,
+
+	// branch CC instruction
+	PPC_INS_B_CC,	// Bcc
+	PPC_INS_BL_CC,	// BccL
+	PPC_INS_BLA_CC,	// BccLA
+	PPC_INS_BLR_CC,	// BccLR
+	PPC_INS_BLRL_CC,	// BccLRL
+	PPC_INS_BA_CC,	// BccA
+	PPC_INS_BCTR_CC,	// BccCTR
+	PPC_INS_BCTRL_CC,	// BccCTRL
+
+	// alias instructions
+	PPC_INS_BNE,
 
 	PPC_INS_MAX,   // <-- mark the end of the list of instructions
 } ppc_insn;
@@ -690,6 +1246,11 @@
 	PPC_GRP_MODE64,
 	PPC_GRP_BOOKE,
 	PPC_GRP_NOTBOOKE,
+	PPC_GRP_SPE,
+	PPC_GRP_VSX,
+	PPC_GRP_E500,
+	PPC_GRP_PPC4XX,
+	PPC_GRP_PPC6XX,
 
 	PPC_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 
diff --git a/include/sparc.h b/include/sparc.h
index f6f4ac3..1112a31 100644
--- a/include/sparc.h
+++ b/include/sparc.h
@@ -96,7 +96,7 @@
 // Instruction structure
 typedef struct cs_sparc {
 	sparc_cc cc;	// code condition for this insn
-	sparc_hint hint;	// branch hint: encoding as bitwise OR of SPARC_HINT_*.
+	sparc_hint hint;	// branch hint: encoding as bitwise OR of sparc_hint.
 	// Number of operands of this instruction, 
 	// or 0 when instruction has no operand.
 	uint8_t op_count;
@@ -194,6 +194,9 @@
 	SPARC_REG_SP,
 	SPARC_REG_Y,
 
+	// special register
+	SPARC_REG_XCC,
+
 	SPARC_REG_MAX,   // <-- mark the end of the list of registers
 
 	// extras
@@ -220,7 +223,6 @@
 	SPARC_INS_ARRAY16,
 	SPARC_INS_ARRAY32,
 	SPARC_INS_ARRAY8,
-	SPARC_INS_BA,
 	SPARC_INS_B,
 	SPARC_INS_JMP,
 	SPARC_INS_BMASK,
@@ -460,7 +462,6 @@
 	SPARC_INS_SUBXCC,
 	SPARC_INS_SUB,
 	SPARC_INS_SWAP,
-	SPARC_INS_TA,
 	SPARC_INS_TADDCCTV,
 	SPARC_INS_TADDCC,
 	SPARC_INS_T,
@@ -484,6 +485,10 @@
 	SPARC_INS_XORCC,
 	SPARC_INS_XOR,
 
+	// alias instructions
+	SPARC_INS_RET,
+	SPARC_INS_RETL,
+
 	SPARC_INS_MAX,   // <-- mark the end of the list of instructions
 } sparc_insn;
 
diff --git a/include/systemz.h b/include/systemz.h
index 191bbc1..50d390f 100644
--- a/include/systemz.h
+++ b/include/systemz.h
@@ -547,11 +547,14 @@
 	SYSZ_INS_LDGR,
 	SYSZ_INS_LDR,
 	SYSZ_INS_LDXBR,
+	SYSZ_INS_LDXBRA,
 	SYSZ_INS_LDY,
 	SYSZ_INS_LE,
 	SYSZ_INS_LEDBR,
+	SYSZ_INS_LEDBRA,
 	SYSZ_INS_LER,
 	SYSZ_INS_LEXBR,
+	SYSZ_INS_LEXBRA,
 	SYSZ_INS_LEY,
 	SYSZ_INS_LFH,
 	SYSZ_INS_LG,
@@ -797,17 +800,18 @@
 	SYSZ_INS_XR,
 	SYSZ_INS_XRK,
 	SYSZ_INS_XY,
+
 	SYSZ_INS_MAX,   // <-- mark the end of the list of instructions
 } sysz_insn;
 
 //> Group of SystemZ instructions
 typedef enum sysz_insn_group {
 	SYSZ_GRP_INVALID = 0,
-	SYSZ_GRP_FEATUREDISTINCTOPS,
-	SYSZ_GRP_FEATUREFPEXTENSION,
-	SYSZ_GRP_FEATUREHIGHWORD,
-	SYSZ_GRP_FEATUREINTERLOCKEDACCESS1,
-	SYSZ_GRP_FEATURELOADSTOREONCOND,
+	SYSZ_GRP_DISTINCTOPS,
+	SYSZ_GRP_FPEXTENSION,
+	SYSZ_GRP_HIGHWORD,
+	SYSZ_GRP_INTERLOCKEDACCESS1,
+	SYSZ_GRP_LOADSTOREONCOND,
 
 	SYSZ_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 
diff --git a/include/x86.h b/include/x86.h
index d27ddf8..b0dd375 100644
--- a/include/x86.h
+++ b/include/x86.h
@@ -31,7 +31,7 @@
 	X86_REG_CR11, X86_REG_CR12, X86_REG_CR13, X86_REG_CR14, X86_REG_CR15,
 	X86_REG_DR0, X86_REG_DR1, X86_REG_DR2, X86_REG_DR3, X86_REG_DR4,
 	X86_REG_DR5, X86_REG_DR6, X86_REG_DR7, X86_REG_FP0, X86_REG_FP1,
-	X86_REG_FP2, X86_REG_FP3, X86_REG_FP4, X86_REG_FP5, X86_REG_FP6,
+	X86_REG_FP2, X86_REG_FP3, X86_REG_FP4, X86_REG_FP5, X86_REG_FP6, X86_REG_FP7,
 	X86_REG_K0, X86_REG_K1, X86_REG_K2, X86_REG_K3, X86_REG_K4,
 	X86_REG_K5, X86_REG_K6, X86_REG_K7, X86_REG_MM0, X86_REG_MM1,
 	X86_REG_MM2, X86_REG_MM3, X86_REG_MM4, X86_REG_MM5, X86_REG_MM6,
@@ -76,12 +76,89 @@
 	X86_OP_MEM,	// Memory operand
 } x86_op_type;
 
+//> AVX broadcast type
+typedef enum x86_avx_bcast {
+	X86_AVX_BCAST_INVALID = 0,	// Uninitialized.
+	X86_AVX_BCAST_2,	// AVX512 broadcast type {1to2}
+	X86_AVX_BCAST_4,	// AVX512 broadcast type {1to4}
+	X86_AVX_BCAST_8,	// AVX512 broadcast type {1to8}
+	X86_AVX_BCAST_16,	// AVX512 broadcast type {1to16}
+} x86_avx_bcast;
+
+//> SSE Code Condition type
+typedef enum x86_sse_cc {
+	X86_SSE_CC_INVALID = 0,	// Uninitialized.
+	X86_SSE_CC_EQ,
+	X86_SSE_CC_LT,
+	X86_SSE_CC_LE,
+	X86_SSE_CC_UNORD,
+	X86_SSE_CC_NEQ,
+	X86_SSE_CC_NLT,
+	X86_SSE_CC_NLE,
+	X86_SSE_CC_ORD,
+	X86_SSE_CC_EQ_UQ,
+	X86_SSE_CC_NGE,
+	X86_SSE_CC_NGT,
+	X86_SSE_CC_FALSE,
+	X86_SSE_CC_NEQ_OQ,
+	X86_SSE_CC_GE,
+	X86_SSE_CC_GT,
+	X86_SSE_CC_TRUE,
+} x86_sse_cc;
+
+//> AVX Code Condition type
+typedef enum x86_avx_cc {
+	X86_AVX_CC_INVALID = 0,	// Uninitialized.
+	X86_AVX_CC_EQ,
+	X86_AVX_CC_LT,
+	X86_AVX_CC_LE,
+	X86_AVX_CC_UNORD,
+	X86_AVX_CC_NEQ,
+	X86_AVX_CC_NLT,
+	X86_AVX_CC_NLE,
+	X86_AVX_CC_ORD,
+	X86_AVX_CC_EQ_UQ,
+	X86_AVX_CC_NGE,
+	X86_AVX_CC_NGT,
+	X86_AVX_CC_FALSE,
+	X86_AVX_CC_NEQ_OQ,
+	X86_AVX_CC_GE,
+	X86_AVX_CC_GT,
+	X86_AVX_CC_TRUE,
+	X86_AVX_CC_EQ_OS,
+	X86_AVX_CC_LT_OQ,
+	X86_AVX_CC_LE_OQ,
+	X86_AVX_CC_UNORD_S,
+	X86_AVX_CC_NEQ_US,
+	X86_AVX_CC_NLT_UQ,
+	X86_AVX_CC_NLE_UQ,
+	X86_AVX_CC_ORD_S,
+	X86_AVX_CC_EQ_US,
+	X86_AVX_CC_NGE_UQ,
+	X86_AVX_CC_NGT_UQ,
+	X86_AVX_CC_FALSE_OS,
+	X86_AVX_CC_NEQ_OS,
+	X86_AVX_CC_GE_OQ,
+	X86_AVX_CC_GT_OQ,
+	X86_AVX_CC_TRUE_US,
+} x86_avx_cc;
+
+//> AVX static rounding mode type
+typedef enum x86_avx_rm {
+	X86_AVX_RM_INVALID = 0,	// Uninitialized.
+	X86_AVX_RM_RN,	// Round to nearest
+	X86_AVX_RM_RD,	// Round down
+	X86_AVX_RM_RU,	// Round up
+	X86_AVX_RM_RZ,	// Round toward zero
+} x86_avx_rm;
+
 // Instruction's operand referring to memory
 // This is associated with X86_OP_MEM operand type above
 typedef struct x86_op_mem {
-	unsigned int base;	// base register
-	unsigned int index;	// index register
-	int scale;	// scale for index register (can be 1, or -1)
+	unsigned int segment; // segment register (or X86_REG_INVALID if irrelevant)
+	unsigned int base;	// base register (or X86_REG_INVALID if irrelevant)
+	unsigned int index;	// index register (or X86_REG_INVALID if irrelevant)
+	int scale;	// scale for index register
 	int64_t disp;	// displacement value
 } x86_op_mem;
 
@@ -94,36 +171,39 @@
 			double fp;		// floating point value for FP operand
 			x86_op_mem mem;		// base/index/scale/disp value for MEM operand
 		};
+
+		// size of this operand (in bytes).
+		uint8_t size;
+
+		// AVX broadcast type, or 0 if irrelevant
+		x86_avx_bcast avx_bcast;
+
+		// AVX zero opmask {z}
+		bool avx_zero_opmask;
 } cs_x86_op;
 
 // Instruction structure
 typedef struct cs_x86 {
-	// (Optional) instruction prefix, which can be up to 5 bytes.
+	// Instruction prefix, which can be up to 4 bytes.
 	// A prefix byte gets value 0 when irrelevant.
-	uint8_t prefix[5];
+	// prefix[0] indicates REP/REPNE/LOCK prefix (0xf3/0xf2/0xf0 respectively)
+	// prefix[1] indicates segment override (irrelevant for x86_64):
+	//	0x2e = CS, 0x36 = SS, 0x3e = DS, 0x26 = ES, 0x64 = FS, 0x65 = GS
+	// prefix[2] indicates operand-size override (0x66)
+	// prefix[3] indicates address-size override (0x67)
+	uint8_t prefix[4];
 
-	// (Optional) segment override, which can be among CS, DS, SS, ES, FS, GS.
-	// This field get value 0 when irrelevant.
-	x86_reg segment;
-
-	// Instruction opcode, wich can be from 1 to 3 bytes in size.
+	// Instruction opcode, wich can be from 1 to 4 bytes in size.
 	// This contains VEX opcode as well.
-	// An opcode byte gets value 0 when irrelevant.
-	uint8_t opcode[3];
+	// An trailing opcode byte gets value 0 when irrelevant.
+	uint8_t opcode[4];
 
-	// Operand size, which can be overrided with above prefix[5].
-	uint8_t op_size;
+	// REX prefix: only a non-zero value is relavant for x86_64
+	uint8_t rex;
 
 	// Address size, which can be overrided with above prefix[5].
 	uint8_t addr_size;
 
-	// Size of (optional) displacement.
-	// This field get value 0 when irrelevant.
-	uint8_t disp_size;
-
-	// Size of immediate operand
-	uint8_t imm_size;
-
 	// ModR/M byte
 	uint8_t modrm;
 
@@ -141,6 +221,18 @@
 	// SIB base register, or X86_REG_INVALID when irrelevant.
 	x86_reg sib_base;
 
+	// SSE Code Condition
+	x86_sse_cc sse_cc;
+
+	// AVX Code Condition
+	x86_avx_cc avx_cc;
+
+	// AVX Suppress all Exception
+	bool avx_sae;
+
+	// AVX static rounding mode
+	x86_avx_rm avx_rm;
+
 	// Number of operands of this instruction,
 	// or 0 when instruction has no operand.
 	uint8_t op_count;
@@ -247,11 +339,11 @@
 	X86_INS_CMP,
 	X86_INS_CMPPD,
 	X86_INS_CMPPS,
-	X86_INS_CMPSW,
+	X86_INS_CMPSB,
 	X86_INS_CMPSD,
 	X86_INS_CMPSQ,
-	X86_INS_CMPSB,
 	X86_INS_CMPSS,
+	X86_INS_CMPSW,
 	X86_INS_CMPXCHG16B,
 	X86_INS_CMPXCHG,
 	X86_INS_CMPXCHG8B,
@@ -265,7 +357,6 @@
 	X86_INS_CPUID,
 	X86_INS_CQO,
 	X86_INS_CRC32,
-	X86_INS_CS,
 	X86_INS_CVTDQ2PD,
 	X86_INS_CVTDQ2PS,
 	X86_INS_CVTPD2DQ,
@@ -301,9 +392,10 @@
 	X86_INS_FDIVP,
 	X86_INS_DPPD,
 	X86_INS_DPPS,
-	X86_INS_DS,
+	X86_INS_RET,
+	X86_INS_ENCLS,
+	X86_INS_ENCLU,
 	X86_INS_ENTER,
-	X86_INS_ES,
 	X86_INS_EXTRACTPS,
 	X86_INS_EXTRQ,
 	X86_INS_F2XM1,
@@ -341,7 +433,6 @@
 	X86_INS_FSETPM,
 	X86_INS_FSINCOS,
 	X86_INS_FNSTENV,
-	X86_INS_FS,
 	X86_INS_FXAM,
 	X86_INS_FXRSTOR,
 	X86_INS_FXRSTOR64,
@@ -359,7 +450,6 @@
 	X86_INS_XORPD,
 	X86_INS_XORPS,
 	X86_INS_GETSEC,
-	X86_INS_GS,
 	X86_INS_HADDPD,
 	X86_INS_HADDPS,
 	X86_INS_HLT,
@@ -368,13 +458,13 @@
 	X86_INS_IDIV,
 	X86_INS_FILD,
 	X86_INS_IMUL,
-	X86_INS_INSW,
 	X86_INS_IN,
-	X86_INS_INSD,
-	X86_INS_INSB,
 	X86_INS_INC,
+	X86_INS_INSB,
 	X86_INS_INSERTPS,
 	X86_INS_INSERTQ,
+	X86_INS_INSD,
+	X86_INS_INSW,
 	X86_INS_INT,
 	X86_INS_INT1,
 	X86_INS_INT3,
@@ -428,16 +518,37 @@
 	X86_INS_JP,
 	X86_INS_JRCXZ,
 	X86_INS_JS,
+	X86_INS_KANDB,
+	X86_INS_KANDD,
+	X86_INS_KANDNB,
+	X86_INS_KANDND,
+	X86_INS_KANDNQ,
 	X86_INS_KANDNW,
+	X86_INS_KANDQ,
 	X86_INS_KANDW,
+	X86_INS_KMOVB,
+	X86_INS_KMOVD,
+	X86_INS_KMOVQ,
 	X86_INS_KMOVW,
+	X86_INS_KNOTB,
+	X86_INS_KNOTD,
+	X86_INS_KNOTQ,
 	X86_INS_KNOTW,
+	X86_INS_KORB,
+	X86_INS_KORD,
+	X86_INS_KORQ,
 	X86_INS_KORTESTW,
 	X86_INS_KORW,
 	X86_INS_KSHIFTLW,
 	X86_INS_KSHIFTRW,
 	X86_INS_KUNPCKBW,
+	X86_INS_KXNORB,
+	X86_INS_KXNORD,
+	X86_INS_KXNORQ,
 	X86_INS_KXNORW,
+	X86_INS_KXORB,
+	X86_INS_KXORD,
+	X86_INS_KXORQ,
 	X86_INS_KXORW,
 	X86_INS_LAHF,
 	X86_INS_LAR,
@@ -733,8 +844,6 @@
 	X86_INS_RDTSCP,
 	X86_INS_REPNE,
 	X86_INS_REP,
-	X86_INS_RET,
-	X86_INS_REX64,
 	X86_INS_ROL,
 	X86_INS_ROR,
 	X86_INS_RORX,
@@ -751,10 +860,10 @@
 	X86_INS_SAR,
 	X86_INS_SARX,
 	X86_INS_SBB,
-	X86_INS_SCASW,
+	X86_INS_SCASB,
 	X86_INS_SCASD,
 	X86_INS_SCASQ,
-	X86_INS_SCASB,
+	X86_INS_SCASW,
 	X86_INS_SETAE,
 	X86_INS_SETA,
 	X86_INS_SETBE,
@@ -798,7 +907,6 @@
 	X86_INS_SQRTSD,
 	X86_INS_SQRTSS,
 	X86_INS_FSQRT,
-	X86_INS_SS,
 	X86_INS_STAC,
 	X86_INS_STC,
 	X86_INS_STD,
@@ -870,6 +978,8 @@
 	X86_INS_VBLENDVPS,
 	X86_INS_VBROADCASTF128,
 	X86_INS_VBROADCASTI128,
+	X86_INS_VBROADCASTI32X4,
+	X86_INS_VBROADCASTI64X4,
 	X86_INS_VBROADCASTSD,
 	X86_INS_VBROADCASTSS,
 	X86_INS_VCMPPD,
@@ -1004,6 +1114,14 @@
 	X86_INS_VXORPS,
 	X86_INS_VGATHERDPD,
 	X86_INS_VGATHERDPS,
+	X86_INS_VGATHERPF0DPD,
+	X86_INS_VGATHERPF0DPS,
+	X86_INS_VGATHERPF0QPD,
+	X86_INS_VGATHERPF0QPS,
+	X86_INS_VGATHERPF1DPD,
+	X86_INS_VGATHERPF1DPS,
+	X86_INS_VGATHERPF1QPD,
+	X86_INS_VGATHERPF1QPS,
 	X86_INS_VGATHERQPD,
 	X86_INS_VGATHERQPS,
 	X86_INS_VHADDPD,
@@ -1042,8 +1160,10 @@
 	X86_INS_VMOVDQA32,
 	X86_INS_VMOVDQA64,
 	X86_INS_VMOVDQA,
+	X86_INS_VMOVDQU16,
 	X86_INS_VMOVDQU32,
 	X86_INS_VMOVDQU64,
+	X86_INS_VMOVDQU8,
 	X86_INS_VMOVDQU,
 	X86_INS_VMOVHLPS,
 	X86_INS_VMOVHPD,
@@ -1194,6 +1314,8 @@
 	X86_INS_VPINSRD,
 	X86_INS_VPINSRQ,
 	X86_INS_VPINSRW,
+	X86_INS_VPLZCNTD,
+	X86_INS_VPLZCNTQ,
 	X86_INS_VPMACSDD,
 	X86_INS_VPMACSDQH,
 	X86_INS_VPMACSDQL,
@@ -1360,6 +1482,14 @@
 	X86_INS_VRSQRTSS,
 	X86_INS_VSCATTERDPD,
 	X86_INS_VSCATTERDPS,
+	X86_INS_VSCATTERPF0DPD,
+	X86_INS_VSCATTERPF0DPS,
+	X86_INS_VSCATTERPF0QPD,
+	X86_INS_VSCATTERPF0QPS,
+	X86_INS_VSCATTERPF1DPD,
+	X86_INS_VSCATTERPF1DPS,
+	X86_INS_VSCATTERPF1QPD,
+	X86_INS_VSCATTERPF1QPS,
 	X86_INS_VSCATTERQPD,
 	X86_INS_VSCATTERQPS,
 	X86_INS_VSHUFPD,
@@ -1452,6 +1582,13 @@
 	X86_GRP_TBM,
 	X86_GRP_16BITMODE,
 	X86_GRP_NOT64BITMODE,
+	X86_GRP_SGX,
+	X86_GRP_DQI,
+	X86_GRP_BWI,
+	X86_GRP_PFI,
+	X86_GRP_VLX,
+	X86_GRP_SMAP,
+	X86_GRP_NOVLX,
 
 	X86_GRP_JUMP,	// all jump instructions (conditional+direct+indirect jumps)
 	X86_GRP_VM,	// all virtualization instructions (VT-x + AMD-V)
diff --git a/make.sh b/make.sh
index 622d6a9..d4fa43f 100755
--- a/make.sh
+++ b/make.sh
@@ -82,6 +82,7 @@
 	if [ "$(uname)" == "Darwin" ]; then
 		# find the directory automatically, so we can support both Macport & Brew
 		PKGCFGDIR="$(pkg-config --variable pc_path pkg-config | cut -d ':' -f 1)"
+		export PREFIX=/usr/local
 		if [ ${PKGCFGDIR}x != x ]; then
 			${MAKE} PKGCFGDIR=$PKGCFGDIR uninstall
 		else
diff --git a/msvc/capstone_dll/capstone_dll.vcxproj b/msvc/capstone_dll/capstone_dll.vcxproj
index d5ddcfc..e91b34d 100644
--- a/msvc/capstone_dll/capstone_dll.vcxproj
+++ b/msvc/capstone_dll/capstone_dll.vcxproj
@@ -92,6 +92,7 @@
       <SubSystem>Windows</SubSystem>
       <GenerateDebugInformation>true</GenerateDebugInformation>
       <OutputFile>$(OutDir)$(TargetName)$(TargetExt)</OutputFile>
+      <ImportLibrary>$(OutDir)capstone_dll.lib</ImportLibrary>
     </Link>
   </ItemDefinitionGroup>
   <ItemDefinitionGroup Condition="'$(Configuration)|$(Platform)'=='Debug|x64'">
@@ -108,6 +109,7 @@
       <SubSystem>Windows</SubSystem>
       <GenerateDebugInformation>true</GenerateDebugInformation>
       <OutputFile>$(OutDir)$(TargetName)$(TargetExt)</OutputFile>
+      <ImportLibrary>$(OutDir)capstone_dll.lib</ImportLibrary>
     </Link>
   </ItemDefinitionGroup>
   <ItemDefinitionGroup Condition="'$(Configuration)|$(Platform)'=='Release|Win32'">
@@ -128,6 +130,7 @@
       <EnableCOMDATFolding>true</EnableCOMDATFolding>
       <OptimizeReferences>true</OptimizeReferences>
       <OutputFile>$(OutDir)$(TargetName)$(TargetExt)</OutputFile>
+      <ImportLibrary>$(OutDir)capstone_dll.lib</ImportLibrary>
     </Link>
   </ItemDefinitionGroup>
   <ItemDefinitionGroup Condition="'$(Configuration)|$(Platform)'=='Release|x64'">
@@ -148,6 +151,7 @@
       <EnableCOMDATFolding>true</EnableCOMDATFolding>
       <OptimizeReferences>true</OptimizeReferences>
       <OutputFile>$(OutDir)$(TargetName)$(TargetExt)</OutputFile>
+      <ImportLibrary>$(OutDir)capstone_dll.lib</ImportLibrary>
     </Link>
   </ItemDefinitionGroup>
   <ItemGroup>
diff --git a/pkgconfig.mk b/pkgconfig.mk
index c295230..26876f1 100644
--- a/pkgconfig.mk
+++ b/pkgconfig.mk
@@ -2,8 +2,8 @@
 # To be used to generate capstone.pc for pkg-config
 
 # version major & minor 
-PKG_MAJOR = 2
-PKG_MINOR = 2
+PKG_MAJOR = 3
+PKG_MINOR = 0
 
 # version bugfix level. Example: PKG_EXTRA = 1
 PKG_EXTRA =
diff --git a/suite/arm/Makefile b/suite/arm/Makefile
new file mode 100644
index 0000000..ab19cc7
--- /dev/null
+++ b/suite/arm/Makefile
@@ -0,0 +1,12 @@
+# Sample Makefile for Capstone Disassembly Engine
+
+LIBNAME = capstone
+
+test_arm_regression: test_arm_regression.o
+	${CC} $< -O3 -Wall -l$(LIBNAME) -o $@
+
+%.o: %.c
+	${CC} -c $< -o $@
+
+clean:
+	rm -rf *.o test_arm_regression
diff --git a/suite/arm/test_arm_regression.c b/suite/arm/test_arm_regression.c
new file mode 100644
index 0000000..45d906e
--- /dev/null
+++ b/suite/arm/test_arm_regression.c
@@ -0,0 +1,379 @@
+/* Capstone Disassembler Engine */
+/* By David Hogarty, 2014 */
+
+// the following must precede stdio (woo, thanks msft)
+#ifdef _MSC_VER
+#define _CRT_SECURE_NO_WARNINGS
+#define snprintf _snprintf
+#endif
+#include <stdio.h>
+#include <stdlib.h>
+#include <string.h>
+#include <inttypes.h>
+
+#include <capstone/capstone.h>
+
+static csh handle;
+
+struct platform {
+	cs_arch arch;
+	cs_mode mode;
+	unsigned char *code;
+	size_t size;
+	char *comment;
+	int syntax;
+};
+
+static char* hex_string(unsigned char *str, int len)
+{
+	// returns a malloced string that has the hex version of the string in it
+	// null if failed to malloc
+	char * hex_out = NULL;
+	size_t i = 0;
+	hex_out = (char *) malloc(len*2 + 1); // two ascii characters per input character, plus trailing null
+	if (!hex_out) { goto Exit; }
+
+	for (i = 0; i < len; ++i) {
+		snprintf(hex_out + (i*2), 2, "%02x", str[i]);
+	}
+
+	hex_out[len*2] = 0; // trailing null
+
+Exit:
+	return hex_out;
+}
+
+static void snprint_insn_detail(char * buf, size_t * cur, size_t * left, cs_insn *ins)
+{
+	size_t used = 0;
+
+#define _this_printf(...) \
+	{ \
+		size_t used = 0; \
+		used = snprintf(buf + *cur, *left, __VA_ARGS__); \
+		*left -= used; \
+		*cur += used; \
+	}
+
+	cs_arm *arm;
+	int i;
+
+	// detail can be NULL on "data" instruction if SKIPDATA option is turned ON
+	if (ins->detail == NULL)
+		return;
+
+	arm = &(ins->detail->arm);
+
+	if (arm->op_count)
+		_this_printf("\top_count: %u\n", arm->op_count);
+
+	for (i = 0; i < arm->op_count; i++) {
+		cs_arm_op *op = &(arm->operands[i]);
+		switch((int)op->type) {
+			default:
+				break;
+			case ARM_OP_REG:
+				_this_printf("\t\toperands[%u].type: REG = %s\n", i, cs_reg_name(handle, op->reg));
+				break;
+			case ARM_OP_IMM:
+				_this_printf("\t\toperands[%u].type: IMM = 0x%x\n", i, op->imm);
+				break;
+			case ARM_OP_FP:
+				_this_printf("\t\toperands[%u].type: FP = %f\n", i, op->fp);
+				break;
+			case ARM_OP_MEM:
+				_this_printf("\t\toperands[%u].type: MEM\n", i);
+				if (op->mem.base != X86_REG_INVALID)
+					_this_printf("\t\t\toperands[%u].mem.base: REG = %s\n",
+							i, cs_reg_name(handle, op->mem.base));
+				if (op->mem.index != X86_REG_INVALID)
+					_this_printf("\t\t\toperands[%u].mem.index: REG = %s\n",
+							i, cs_reg_name(handle, op->mem.index));
+				if (op->mem.scale != 1)
+					_this_printf("\t\t\toperands[%u].mem.scale: %u\n", i, op->mem.scale);
+				if (op->mem.disp != 0)
+					_this_printf("\t\t\toperands[%u].mem.disp: 0x%x\n", i, op->mem.disp);
+
+				break;
+			case ARM_OP_PIMM:
+				_this_printf("\t\toperands[%u].type: P-IMM = %u\n", i, op->imm);
+				break;
+			case ARM_OP_CIMM:
+				_this_printf("\t\toperands[%u].type: C-IMM = %u\n", i, op->imm);
+				break;
+		}
+
+		if (op->shift.type != ARM_SFT_INVALID && op->shift.value) {
+			if (op->shift.type < ARM_SFT_ASR_REG) {
+				// shift with constant value
+				_this_printf("\t\t\tShift: %u = %u\n", op->shift.type, op->shift.value);
+			} else {
+				// shift with register
+				_this_printf("\t\t\tShift: %u = %s\n", op->shift.type,
+						cs_reg_name(handle, op->shift.value));
+			}
+		}
+	}
+
+	if (arm->cc != ARM_CC_AL && arm->cc != ARM_CC_INVALID) {
+		_this_printf("\tCode condition: %u\n", arm->cc);
+	}
+
+	if (arm->update_flags) {
+		_this_printf("\tUpdate-flags: True\n");
+	}
+
+	if (arm->writeback) {
+		_this_printf("\tWrite-back: True\n");
+	}
+
+#undef _this_printf
+}
+
+static void print_insn_detail(cs_insn *ins)
+{
+	char a_buf[2048];
+	size_t cur=0, left=2048;
+	snprint_insn_detail(a_buf, &cur, &left, ins);
+	printf("%s\n", a_buf);
+}
+
+struct invalid_code {
+	unsigned char *code;
+	size_t size;
+	char *comment;
+};
+
+#define MAX_INVALID_CODES 16
+
+struct invalid_instructions {
+	cs_arch arch;
+	cs_mode mode;
+	char *platform_comment;
+	int num_invalid_codes;
+	struct invalid_code invalid_codes[MAX_INVALID_CODES]; 
+};
+
+static void test_invalids()
+{
+	struct invalid_instructions invalids[] = {{
+		CS_ARCH_ARM,
+			CS_MODE_THUMB,
+			"Thumb",
+			1,
+			{{
+				 (unsigned char *)"\xbd\xe8\x1e\xff",
+				 4,
+				 "invalid thumb2 pop because sp used and because both pc and lr are "
+					 "present at the same time"
+			 }},
+	}};
+
+	struct invalid_instructions * invalid = NULL;
+
+	uint64_t address = 0x1000;
+	cs_insn *insn;
+	int i;
+	int j;
+	size_t count;
+
+	printf("\nShould be invalid\n"
+			"-----------------\n");
+
+	for (i = 0; i < sizeof(invalids)/sizeof(invalids[0]); i++) {
+		cs_err err;
+
+		invalid = invalids + i;
+		err = cs_open(invalid->arch, invalid->mode, &handle);
+
+		if (err) {
+			printf("Failed on cs_open() with error returned: %u\n", err);
+			continue;
+		}
+
+		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
+
+		for (j = 0; j < invalid->num_invalid_codes; ++j) {
+			struct invalid_code * invalid_code = NULL;
+			char * hex_str = NULL;
+
+			invalid_code = invalid->invalid_codes + j;
+
+			hex_str = hex_string(invalid_code->code, invalid_code->size);
+
+			printf("%s %s: %s\n", invalid->platform_comment, hex_str, invalid_code->comment);
+
+			free(hex_str);
+
+			count = cs_disasm_ex(handle,
+					invalid_code->code, invalid_code->size, address, 0, &insn
+					);
+
+			if (count) {
+				size_t k;
+				printf("    ERROR:\n");
+
+				for (k = 0; k < count; k++) {
+					printf("    0x%"PRIx64":\t%s\t%s\n", 
+							insn[k].address, insn[k].mnemonic, insn[k].op_str);
+					print_insn_detail(&insn[k]);
+				}
+				cs_free(insn, count);
+
+			} else {
+				printf("    SUCCESS: invalid\n");
+			}
+		}
+
+		cs_close(&handle);
+	}
+}
+
+struct valid_code {
+	unsigned char *code;
+	size_t size;
+	uint32_t start_addr;
+	char* expected_out;
+	char *comment;
+};
+
+#define MAX_VALID_CODES 16
+struct valid_instructions {
+	cs_arch arch;
+	cs_mode mode;
+	char *platform_comment;
+	int num_valid_codes;
+	struct valid_code valid_codes[MAX_VALID_CODES]; 
+};
+
+static void test_valids()
+{
+	struct valid_instructions valids[] = {{
+		CS_ARCH_ARM,
+			CS_MODE_THUMB,
+			"Thumb",
+			2,
+			{{ (unsigned char *)"\x00\xf0\x26\xe8", 4, 0x352,
+
+				 "0x352:\tblx\t#0x3a0\n"
+					 "\top_count: 1\n"
+					 "\t\toperands[0].type: IMM = 0x3a0\n",
+
+				 "thumb2 blx with misaligned immediate"
+
+			 }, { (unsigned char *)"\x05\xdd", 2, 0x1f0,
+
+				 "0x1f0:\tble\t#0x1fe\n"
+					 "\top_count: 1\n"
+					 "\t\toperands[0].type: IMM = 0x1fe\n"
+					 "\tCode condition: 14\n",
+
+				 "thumb b cc with thumb-aligned target"
+			 }}
+	}};
+
+	struct valid_instructions * valid = NULL;
+
+	uint64_t address = 0x1000;
+	cs_insn *insn;
+	int i;
+	int j;
+	size_t count;
+
+
+	for (i = 0; i < sizeof(valids)/sizeof(valids[0]); i++) {
+		cs_err err;
+
+		valid = valids + i;
+		err = cs_open(valid->arch, valid->mode, &handle);
+
+		if (err) {
+			printf("Failed on cs_open() with error returned: %u\n", err);
+			continue;
+		}
+
+		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
+
+#define _this_printf(...) \
+		{ \
+			size_t used = 0; \
+			used = snprintf(tmp_buf + cur, left, __VA_ARGS__); \
+			left -= used; \
+			cur += used; \
+		}
+		printf("\nShould be valid\n"
+				"---------------\n");
+
+		for (j = 0; j < valid->num_valid_codes; ++j) {
+			char tmp_buf[2048];
+			size_t left = 2048;
+			size_t cur = 0;
+			size_t used = 0;
+			int success = 0;
+			char * hex_str = NULL;
+
+			struct valid_code * valid_code = NULL;
+			valid_code = valid->valid_codes + j;
+
+			hex_str = hex_string(valid_code->code, valid_code->size);
+
+			printf("%s %s @ 0x%04x: %s\n    %s", 
+					valid->platform_comment, hex_str, valid_code->start_addr, 
+					valid_code->comment, valid_code->expected_out);
+
+			count = cs_disasm_ex(handle,
+					valid_code->code, valid_code->size, 
+					valid_code->start_addr, 0, &insn
+					);
+
+			if (count) {
+				size_t k;
+				size_t max_len = 0;
+				size_t tmp_len = 0;
+
+				for (k = 0; k < count; k++) {
+					_this_printf(
+							"0x%"PRIx64":\t%s\t%s\n", 
+							insn[k].address, insn[k].mnemonic, 
+							insn[k].op_str
+							);
+
+					snprint_insn_detail(tmp_buf, &cur, &left, &insn[k]);
+				}
+
+				max_len = strlen(tmp_buf);
+				tmp_len = strlen(valid_code->expected_out);
+				if (tmp_len > max_len) {
+					max_len = tmp_len;
+				}
+
+				if (memcmp(tmp_buf, valid_code->expected_out, max_len)) {
+					printf(
+							"    ERROR: '''\n%s''' does not match"
+							" expected '''\n%s'''\n", 
+							tmp_buf, valid_code->expected_out
+						  );
+				} else {
+					printf("    SUCCESS: valid\n");
+				}
+
+				cs_free(insn, count);
+
+			} else {
+				printf("ERROR: invalid\n");
+			}
+		}
+
+		cs_close(&handle);
+	}
+
+#undef _this_prinf
+}
+
+int main()
+{
+	test_invalids();
+	test_valids();
+	return 0;
+}
+
diff --git a/tests/test.c b/tests/test.c
index 1c34603..1502e93 100644
--- a/tests/test.c
+++ b/tests/test.c
@@ -17,7 +17,7 @@
 	cs_opt_value opt_value;
 };
 
-static void print_string_hex(unsigned char *str, int len)
+static void print_string_hex(unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -205,7 +205,7 @@
 		if (platforms[i].opt_type)
 			cs_option(handle, platforms[i].opt_type, platforms[i].opt_value);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -220,7 +220,7 @@
 			// print out the next offset, after the last insn
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_arm.c b/tests/test_arm.c
index 82df431..3f1f006 100644
--- a/tests/test_arm.c
+++ b/tests/test_arm.c
@@ -18,7 +18,7 @@
 	int syntax;
 };
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -78,6 +78,12 @@
 			case ARM_OP_CIMM:
 				printf("\t\toperands[%u].type: C-IMM = %u\n", i, op->imm);
 				break;
+			case ARM_OP_SETEND:
+				printf("\t\toperands[%u].type: SETEND = %s\n", i, op->setend == ARM_SETEND_BE? "be" : "le");
+				break;
+			case ARM_OP_SYSREG:
+				printf("\t\toperands[%u].type: SYSREG = %u\n", i, op->reg);
+				break;
 		}
 
 		if (op->shift.type != ARM_SFT_INVALID && op->shift.value) {
@@ -89,6 +95,10 @@
 				printf("\t\t\tShift: %u = %s\n", op->shift.type,
 						cs_reg_name(handle, op->shift.value));
 		}
+
+		if (op->vector_index != -1) {
+			printf("\t\toperands[%u].vector_index = %u\n", i, op->vector_index);
+		}
 	}
 
 	if (arm->cc != ARM_CC_AL && arm->cc != ARM_CC_INVALID)
@@ -100,6 +110,21 @@
 	if (arm->writeback)
 		printf("\tWrite-back: True\n");
 
+	if (arm->cps_mode)
+		printf("\tCPSI-mode: %u\n", arm->cps_mode);
+
+	if (arm->cps_flag)
+		printf("\tCPSI-flag: %u\n", arm->cps_flag);
+
+	if (arm->vector_data)
+		printf("\tVector-data: %u\n", arm->vector_data);
+
+	if (arm->vector_size)
+		printf("\tVector-size: %u\n", arm->vector_size);
+
+	if (arm->usermode)
+		printf("\tUser-mode: True\n");
+
 	printf("\n");
 }
 
@@ -151,7 +176,7 @@
 //#define ARM_CODE "\x90\x04\x0E\x00"	// muleq	lr, r0, r4
 //#define ARM_CODE "\x90\x24\x0E\x00"	// muleq	lr, r0, r4
 //#define ARM_CODE "\xb6\x10\x5f\xe1"	// ldrh	r1, [pc, #-6]
-#define ARM_CODE "\xED\xFF\xFF\xEB\x04\xe0\x2d\xe5\x00\x00\x00\x00\xe0\x83\x22\xe5\xf1\x02\x03\x0e\x00\x00\xa0\xe3\x02\x30\xc1\xe7\x00\x00\x53\xe3"
+#define ARM_CODE "\xED\xFF\xFF\xEB\x04\xe0\x2d\xe5\x00\x00\x00\x00\xe0\x83\x22\xe5\xf1\x02\x03\x0e\x00\x00\xa0\xe3\x02\x30\xc1\xe7\x00\x00\x53\xe3\x00\x02\x01\xf1\x05\x40\xd0\xe8"
 //#define ARM_CODE2 "\xf0\x24"
 //#define ARM_CODE2 "\x83\xb0"
 #define ARM_CODE2 "\xd1\xe8\x00\xf0\xf0\x24\x04\x07\x1f\x3c\xf2\xc0\x00\x00\x4f\xf0\x00\x01\x46\x6c"
@@ -161,8 +186,8 @@
 //#define THUMB_CODE "\x01\x47"	// bx r0
 //#define THUMB_CODE "\x02\x47"	// bx r0
 //#define THUMB_CODE "\x0a\xbf" // itet eq
-#define THUMB_CODE "\x70\x47\xeb\x46\x83\xb0\xc9\x68\x1f\xb1"
-#define THUMB_CODE2 "\x4f\xf0\x00\x01\xbd\xe8\x00\x88\xd1\xe8\x00\xf0"
+#define THUMB_CODE "\x70\x47\xeb\x46\x83\xb0\xc9\x68\x1f\xb1\x30\xbf\xaf\xf3\x20\x84"
+#define THUMB_CODE2 "\x4f\xf0\x00\x01\xbd\xe8\x00\x88\xd1\xe8\x00\xf0\x18\xbf\xad\xbf\xf3\xff\x0b\x0c\x86\xf3\x00\x89\x80\xf3\x00\x8c\x4f\xfa\x99\xf6\xd0\xff\xa2\x01"
 
 	struct platform platforms[] = {
 		{
@@ -213,7 +238,7 @@
 		if (platforms[i].syntax)
 			cs_option(handle, CS_OPT_SYNTAX, platforms[i].syntax);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 			printf("****************\n");
@@ -227,7 +252,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_arm64.c b/tests/test_arm64.c
index 601d2ef..f344dcf 100644
--- a/tests/test_arm64.c
+++ b/tests/test_arm64.c
@@ -17,7 +17,7 @@
 	char *comment;
 };
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -69,6 +69,24 @@
 			case ARM64_OP_CIMM:
 				printf("\t\toperands[%u].type: C-IMM = %u\n", i, op->imm);
 				break;
+			case ARM64_OP_REG_MRS:
+				printf("\t\toperands[%u].type: REG_MRS = 0x%x\n", i, op->reg);
+				break;
+			case ARM64_OP_REG_MSR:
+				printf("\t\toperands[%u].type: REG_MSR = 0x%x\n", i, op->reg);
+				break;
+			case ARM64_OP_PSTATE:
+				printf("\t\toperands[%u].type: PSTATE = 0x%x\n", i, op->pstate);
+				break;
+			case ARM64_OP_SYS:
+				printf("\t\toperands[%u].type: SYS = 0x%x\n", i, op->sys);
+				break;
+			case ARM64_OP_PREFETCH:
+				printf("\t\toperands[%u].type: PREFETCH = 0x%x\n", i, op->prefetch);
+				break;
+			case ARM64_OP_BARRIER:
+				printf("\t\toperands[%u].type: BARRIER = 0x%x\n", i, op->barrier);
+				break;
 		}
 
 		if (op->shift.type != ARM64_SFT_INVALID &&
@@ -78,10 +96,16 @@
 
 		if (op->ext != ARM64_EXT_INVALID)
 			printf("\t\t\tExt: %u\n", op->ext);
-	}
 
-	if (arm64->cc != ARM64_CC_INVALID)
-		printf("\tCode condition: %u\n", arm64->cc);
+		if (op->vas != ARM64_VAS_INVALID)
+			printf("\t\t\tVector Arrangement Specifier: 0x%x\n", op->vas);
+
+		if (op->vess != ARM64_VESS_INVALID)
+			printf("\t\t\tVector Element Size Specifier: %u\n", op->vess);
+
+		if (op->vector_index != -1)
+			printf("\t\t\tVector Index: %u\n", op->vector_index);
+	}
 
 	if (arm64->update_flags)
 		printf("\tUpdate-flags: True\n");
@@ -89,6 +113,9 @@
 	if (arm64->writeback)
 		printf("\tWrite-back: True\n");
 
+	if (arm64->cc)
+		printf("\tCode-condition: %u\n", arm64->cc);
+
 	printf("\n");
 }
 
@@ -139,7 +166,16 @@
 //#define ARM64_CODE "\x20\xf4\x18\x9e"	// fcvtzs	x0, s1, #3
 //#define ARM64_CODE "\x20\xfc\x02\x9b"	// mneg	x0, x1, x2
 //#define ARM64_CODE "\xd0\xb6\x1e\xd5"	// msr	s3_6_c11_c6_6, x16
-#define ARM64_CODE "\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b"
+
+//#define ARM64_CODE "\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b"
+
+//#define ARM64_CODE "\x09\x00\x38\xd5"	// DBarrier
+//#define ARM64_CODE "\x20\xe4\x3d\x0f\xa2\x00\xae\x9e"
+//#define ARM64_CODE "\x9f\x37\x03\xd5\xbf\x33\x03\xd5\xdf\x3f\x03\xd5"	// DBarrier
+//#define ARM64_CODE "\x10\x5b\xe8\x3c"
+//#define ARM64_CODE "\x00\x18\xa0\x5f\xa2\x00\xae\x9e"
+
+#define ARM64_CODE "\x09\x00\x38\xd5\xbf\x40\x00\xd5\x0c\x05\x13\xd5\x20\x50\x02\x0e\x20\xe4\x3d\x0f\x00\x18\xa0\x5f\xa2\x00\xae\x9e\x9f\x37\x03\xd5\xbf\x33\x03\xd5\xdf\x3f\x03\xd5\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b\x10\x5b\xe8\x3c"
 
 	struct platform platforms[] = {
 		{
@@ -165,13 +201,13 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
 			printf("****************\n");
 			printf("Platform: %s\n", platforms[i].comment);
-			print_string_hex("Code:", platforms[i].code, platforms[i].size);
+			print_string_hex("Code: ", platforms[i].code, platforms[i].size);
 			printf("Disasm:\n");
 
 			for (j = 0; j < count; j++) {
@@ -180,12 +216,12 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
 			printf("Platform: %s\n", platforms[i].comment);
-			print_string_hex("Code:", platforms[i].code, platforms[i].size);
+			print_string_hex("Code: ", platforms[i].code, platforms[i].size);
 			printf("ERROR: Failed to disasm given code!\n");
 		}
 
diff --git a/tests/test_detail.c b/tests/test_detail.c
index 03e6eac..5509357 100644
--- a/tests/test_detail.c
+++ b/tests/test_detail.c
@@ -17,7 +17,7 @@
 	cs_opt_value opt_value;
 };
 
-static void print_string_hex(unsigned char *str, int len)
+static void print_string_hex(unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -49,7 +49,9 @@
 //#define ARM64_CODE "\x21\x7c\x02\x9b"	// mul	x1, x1, x2
 //#define ARM64_CODE "\x20\x74\x0b\xd5"	// dc	zva, x0
 //#define ARM64_CODE "\x20\xfc\x02\x9b"	// mneg	x0, x1, x2
-#define ARM64_CODE "\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x10\x20\x21\x1e"
+//#define ARM64_CODE "\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x10\x20\x21\x1e"
+//#define ARM64_CODE "\x21\x7c\x00\x53"
+#define ARM64_CODE "\x09\x00\x38\xd5\xbf\x40\x00\xd5\x0c\x05\x13\xd5\x20\x50\x02\x0e\x20\xe4\x3d\x0f\x00\x18\xa0\x5f\xa2\x00\xae\x9e\x9f\x37\x03\xd5\xbf\x33\x03\xd5\xdf\x3f\x03\xd5\x21\x7c\x02\x9b\x21\x7c\x00\x53\x00\x40\x21\x4b\xe1\x0b\x40\xb9\x20\x04\x81\xda\x20\x08\x02\x8b\x10\x5b\xe8\x3c"
 //#define THUMB_CODE "\x0a\xbf" // itet eq
 //#define X86_CODE32 "\x77\x04"	// ja +6
 #define PPC_CODE "\x80\x20\x00\x00\x80\x3f\x00\x00\x10\x43\x23\x0e\xd0\x44\x00\x80\x4c\x43\x22\x02\x2d\x03\x00\x80\x7c\x43\x20\x14\x7c\x43\x20\x93\x4f\x20\x00\x21\x4c\xc8\x00\x21"
@@ -197,7 +199,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &all_insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &all_insn);
 		if (count) {
 			size_t j;
 			int n;
@@ -247,7 +249,7 @@
 			// print out the next offset, after the last insn
 			printf("0x%"PRIx64":\n", all_insn[j-1].address + all_insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(all_insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_mips.c b/tests/test_mips.c
index 076b6d1..c28a228 100644
--- a/tests/test_mips.c
+++ b/tests/test_mips.c
@@ -17,7 +17,7 @@
 
 static csh handle;
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -112,7 +112,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -127,7 +127,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_ppc.c b/tests/test_ppc.c
index f68ad7b..f4575bb 100644
--- a/tests/test_ppc.c
+++ b/tests/test_ppc.c
@@ -16,7 +16,7 @@
 
 static csh handle;
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -104,7 +104,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -119,7 +119,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_skipdata.c b/tests/test_skipdata.c
index 767cb05..9610815 100644
--- a/tests/test_skipdata.c
+++ b/tests/test_skipdata.c
@@ -19,7 +19,7 @@
 	size_t skipdata;
 };
 
-static void print_string_hex(unsigned char *str, int len)
+static void print_string_hex(unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -111,7 +111,7 @@
 		cs_option(handle, CS_OPT_SKIPDATA, CS_OPT_ON);
 		cs_option(handle, platforms[i].opt_skipdata, platforms[i].skipdata);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -126,7 +126,7 @@
 			// print out the next offset, after the last insn
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_sparc.c b/tests/test_sparc.c
index 1368cf2..29cc57a 100644
--- a/tests/test_sparc.c
+++ b/tests/test_sparc.c
@@ -16,7 +16,7 @@
 
 static csh handle;
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -113,7 +113,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -128,7 +128,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_systemz.c b/tests/test_systemz.c
index 55cc7eb..a844679 100644
--- a/tests/test_systemz.c
+++ b/tests/test_systemz.c
@@ -16,7 +16,7 @@
 
 static csh handle;
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -106,7 +106,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -121,7 +121,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_x86.c b/tests/test_x86.c
index ecad620..99c7e23 100644
--- a/tests/test_x86.c
+++ b/tests/test_x86.c
@@ -19,7 +19,7 @@
 	cs_opt_value opt_value;
 };
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -42,13 +42,13 @@
 
 	x86 = &(ins->detail->x86);
 
-	print_string_hex("\tPrefix:", x86->prefix, 5);
+	print_string_hex("\tPrefix:", x86->prefix, 4);
 
-	if (x86->segment != X86_REG_INVALID)
-		printf("\tSegment override: %s\n", cs_reg_name(handle, x86->segment));
+	print_string_hex("\tOpcode:", x86->opcode, 4);
 
-	print_string_hex("\tOpcode:", x86->opcode, 3);
-	printf("\top_size: %u, addr_size: %u, disp_size: %u, imm_size: %u\n", x86->op_size, x86->addr_size, x86->disp_size, x86->imm_size);
+	printf("\trex: 0x%x\n", x86->rex);
+
+	printf("\taddr_size: %u\n", x86->addr_size);
 	printf("\tmodrm: 0x%x\n", x86->modrm);
 	printf("\tdisp: 0x%x\n", x86->disp);
 
@@ -63,6 +63,26 @@
 			printf("\t\tsib_scale: %d\n", x86->sib_scale);
 	}
 
+	// SSE code condition
+	if (x86->sse_cc != X86_SSE_CC_INVALID) {
+		printf("\tsse_cc: %u\n", x86->sse_cc);
+	}
+
+	// AVX code condition
+	if (x86->avx_cc != X86_AVX_CC_INVALID) {
+		printf("\tavx_cc: %u\n", x86->avx_cc);
+	}
+
+	// AVX Suppress All Exception
+	if (x86->avx_sae) {
+		printf("\tavx_sae: %u\n", x86->avx_sae);
+	}
+
+	// AVX Rounding Mode
+	if (x86->avx_rm != X86_AVX_RM_INVALID) {
+		printf("\tavx_rm: %u\n", x86->avx_rm);
+	}
+
 	count = cs_op_count(ud, ins, X86_OP_IMM);
 	if (count) {
 		printf("\timm_count: %u\n", count);
@@ -89,9 +109,11 @@
 				break;
 			case X86_OP_MEM:
 				printf("\t\toperands[%u].type: MEM\n", i);
-				if (op->mem.base != 0)
+				if (op->mem.segment != X86_REG_INVALID)
+					printf("\t\t\toperands[%u].mem.segment: REG = %s\n", i, cs_reg_name(handle, op->mem.segment));
+				if (op->mem.base != X86_REG_INVALID)
 					printf("\t\t\toperands[%u].mem.base: REG = %s\n", i, cs_reg_name(handle, op->mem.base));
-				if (op->mem.index != 0)
+				if (op->mem.index != X86_REG_INVALID)
 					printf("\t\t\toperands[%u].mem.index: REG = %s\n", i, cs_reg_name(handle, op->mem.index));
 				if (op->mem.scale != 1)
 					printf("\t\t\toperands[%u].mem.scale: %u\n", i, op->mem.scale);
@@ -101,6 +123,16 @@
 			default:
 				break;
 		}
+
+		// AVX broadcast type
+		if (op->avx_bcast != X86_AVX_BCAST_INVALID)
+			printf("\t\toperands[%u].avx_bcast: %u\n", i, op->avx_bcast);
+
+		// AVX zero opmask {z}
+		if (op->avx_zero_opmask != false)
+			printf("\t\toperands[%u].avx_zero_opmask: TRUE\n", i);
+
+		printf("\t\toperands[%u].size: %u\n", i, op->size);
 	}
 
 	printf("\n");
@@ -184,7 +216,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -199,7 +231,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/tests/test_xcore.c b/tests/test_xcore.c
index 8e77270..39a575e 100644
--- a/tests/test_xcore.c
+++ b/tests/test_xcore.c
@@ -16,7 +16,7 @@
 
 static csh handle;
 
-static void print_string_hex(char *comment, unsigned char *str, int len)
+static void print_string_hex(char *comment, unsigned char *str, size_t len)
 {
 	unsigned char *c;
 
@@ -101,7 +101,7 @@
 
 		cs_option(handle, CS_OPT_DETAIL, CS_OPT_ON);
 
-		count = cs_disasm_ex(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
+		count = cs_disasm(handle, platforms[i].code, platforms[i].size, address, 0, &insn);
 		if (count) {
 			size_t j;
 
@@ -116,7 +116,7 @@
 			}
 			printf("0x%"PRIx64":\n", insn[j-1].address + insn[j-1].size);
 
-			// free memory allocated by cs_disasm_ex()
+			// free memory allocated by cs_disasm()
 			cs_free(insn, count);
 		} else {
 			printf("****************\n");
diff --git a/utils.c b/utils.c
index f322d05..79c5a0f 100644
--- a/utils.c
+++ b/utils.c
@@ -69,3 +69,16 @@
 
 	return (char *)memmove(new, str, len);
 }
+
+// we need this since Windows doesnt have snprintf()
+int cs_snprintf(char *buffer, size_t size, const char *fmt, ...)
+{
+	int ret;
+
+	va_list ap;
+	va_start(ap, fmt);
+	ret = cs_vsnprintf(buffer, size, fmt, ap);
+	va_end(ap);
+
+	return ret;
+}
diff --git a/utils.h b/utils.h
index 9ac117b..60ed822 100644
--- a/utils.h
+++ b/utils.h
@@ -48,5 +48,8 @@
 
 #define MIN(x, y) ((x) < (y) ? (x) : (y))
 
+// we need this since Windows doesnt have snprintf()
+int cs_snprintf(char *buffer, size_t size, const char *fmt, ...);
+
 #endif